diff --git "a/integrated_data/v1/domain/domain_sequences.fa" "b/integrated_data/v1/domain/domain_sequences.fa"
new file mode 100644--- /dev/null
+++ "b/integrated_data/v1/domain/domain_sequences.fa"
@@ -0,0 +1,140898 @@
+>P31946_PF00244_11 <unknown description>
+KAKLAEQAERYDDMAAAMKAVTEQGHELSNEERNLLSVAYKNVVGARRSSWRVISSIEQK
+TERNEKKQQMGKEYREKIEAELQDICNDVLELLDKYLIPNATQPESKVFYLKMKGDYFRY
+LSEVASGDNKQTTVSNSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYEILNSPEKA
+CSLAKTAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWT
+>P62258_PF00244_10 <unknown description>
+QAKLAEQAERYDEMVESMKKVAGMDVELTVEERNLLSVAYKNVIGARRASWRIISSIEQK
+EENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHLIPAANTGESKVFYYKMKGDYH
+RYLAEFATGNDRKEAAENSLVAYKAASDIAMTELPPTHPIRLGLALNFSVFYYEILNSPD
+RACRLAKAAFDDAIAELDTLSEESYKDSTLIMQLLRDNLTLWT
+>Q04917_PF00244_11 <unknown description>
+ARLAEQAERYDDMASAMKAVTELNEPLSNEDRNLLSVAYKNVVGARRSSWRVISSIEQKT
+MADGNEKKLEKVKAYREKIEKELETVCNDVLSLLDKFLIKNCNDFQYESKVFYLKMKGDY
+YRYLAEVASGEKKNSVVEASEAAYKEAFEISKEQMQPTHPIRLGLALNFSVFYYEIQNAP
+EQACLLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWT
+>P61981_PF00244_10 <unknown description>
+KARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSWRVISSIEQK
+TSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQYESKVFYLKMKGD
+YYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYSVFYYEIQNA
+PEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWT
+>P31947_PF00244_9 <unknown description>
+KAKLAEQAERYEDMAAFMKGAVEKGEELSCEERNLLSVAYKNVVGGQRAAWRVLSSIEQK
+SNEEGSEEKGPEVREYREKVETELQGVCDTVLGLLDSHLIKEAGDAESRVFYLKMKGDYY
+RYLAEVATGDDKKRIIDSARSAYQEAMDISKKEMPPTNPIRLGLALNFSVFHYEIANSPE
+EAISLAKTTFDEAMADLHTLSEDSYKDSTLIMQLLRDNLTLWT
+>P27348_PF00244_9 <unknown description>
+KAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWRVISSIEQK
+TDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLKMKGDYFRY
+LAEVACGDDRKQTIDNSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYEILNNPELA
+CTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWT
+>P63104_PF00244_9 <unknown description>
+KAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWRVVSSIEQK
+TEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQAESKVFYLKMKGDYYRY
+LAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYEILNSPEKA
+CSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWT
+>Q96QU6_PF00155_98 <unknown description>
+IINLGTSENKLCFDLLSWRLSQRDMQRVEPSLLQYADWRGHLFLREEVAKFLSFYCKSPV
+PLRPENVVVLNGGASLFSALATVLCEAGEAFLIPTPYYGAITQHVCLYGNIRLAYVYLDS
+EVTGLDTRPFQLTVEKLEMALREAHSEGVKVKGLILISPQNPLGDVYSPEELQEYLVFAK
+RHRLHVIVDEVYMLSVFEKSVGYRSVLSLERLPDPQRTHVMWATSKDFGMSGLRFGTLYT
+ENQDVATAVASLCRYHGLSGLVQYQMAQLLRDRDWINQVYLPENHARLKAAHTYVSEELR
+ALGIPFLSRGAGFFIWVDLRKYLPKGTFEEEMLLWRRFLDNKVLLSFGKAFECKEPGWFR
+FVF
+>Q4AC99_PF00155_194 <unknown description>
+MNCIEDTLLQYPDWRGQPFLREEVARFLTYYCRAPTRLDPENVVVLNGCCSVFCALAMVL
+CDPGEAFLVPAPFYGGFAFSSRLYAKVELIPVHLESEVTVTNTHPFQLTVDKLEEALLEA
+RLEGKKVRGLVLINPQNPLGDIYSPDSLMKYLEFAKRYNLHVIIDEIYMLSVFDESITFH
+SILSMKSLPDSNRTHVIWGTSKDFGISGFRFGALYTHNKEVASAVSAFGYLHSISGITQH
+KLCQLLQNTEWIDKVYLPTNCYRLREAHKYITAELKALEIPFHNRSSGLYVWINLKKYLD
+PCTFEEERLLYCRFLDNKLLLSRGKTYMCKEPGWF
+>Q15172_PF01603_56 <unknown description>
+LPQLKDATSNEQQELFCQKLQQCCILFDFMDSVSDLKSKEIKRATLNELVEYVSTNRGVI
+VESAYSDIVKMISANIFRTLPPSDNPDFDPEEDEPTLEASWPHIQLVYEFFLRFLESPDF
+QPSIAKRYIDQKFVQQLLELFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKQINNIFLR
+FIYETEHFNGVAELLEILGSIINGFALPLKAEHKQFLMKVLIPMHTAKGLALFHAQLAYC
+VVQFLEKDTTLTEPVIRGLLKFWPKTCSQKEVMFLGEIEEILDVIEPTQFKKIEEPLFKQ
+ISKCVSSSHFQVAERALYFWNNEYILSLIEENIDKILPIMFASLYKISKEHWNPTIVALV
+YNVLKTLMEMNGKLFDDLTSSYKAERQREKKKELEREELWKKLEE
+>Q15173_PF01603_62 <unknown description>
+LPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGSTRGVL
+IEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPDF
+QPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCNHIFLR
+FIYEFEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAYC
+VVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFKQ
+VARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSLI
+YNVLKTFMEMNGKLFDELTASYKLEKQQEQQKAQERQELWQGLEE
+>Q14738_PF01603_103 <unknown description>
+LPALKDSPTQEREELFIQKLRQCCVLFDFVSDPLSDLKFKEVKRAGLNEMVEYITHSRDV
+VTEAIYPEAVTMFSVNLFRTLPPSSNPTGAEFDPEEDEPTLEAAWPHLQLVYEFFLRFLE
+SPDFQPNIAKKYIDQKFVLALLDLFDSEDPRERDFLKTILHRIYGKFLGLRAYIRRQINH
+IFYRFIYETEHHNGIAELLEILGSIINGFALPLKEEHKMFLIRVLLPLHKVKSLSVYHPQ
+LAYCVVQFLEKESSLTEPVIVGLLKFWPKTHSPKEVMFLNELEEILDVIEPSEFSKVMEP
+LFRQLAKCVSSPHFQVAERALYYWNNEYIMSLISDNAARVLPIMFPALYRNSKSHWNKTI
+HGLIYNALKLFMEMNQKLFDDCTQQYKAEKQKGRFRMKEREEMWQKIEELA
+>Q16537_PF01603_48 <unknown description>
+LPLLKDVPSSEQPELFLKKLQQCCVIFDFMDTLSDLKMKEYKRSTLNELVDYITISRGCL
+TEQTYPEVVRMVSCNIFRTLPPSDSNEFDPEEDEPTLEASWPHLQLVYEFFIRFLESQEF
+QPSIAKKYIDQKFVLQLLELFDSEDPRERDYLKTVLHRIYGKFLGLRAFIRKQINNIFLR
+FVYETEHFNGVAELLEILGSIINGFALPLKAEHKQFLVKVLIPLHTVRSLSLFHAQLAYC
+IVQFLEKDPSLTEPVIRGLMKFWPKTCSQKEVMFLGELEEILDVIEPSQFVKIQEPLFKQ
+IAKCVSSPHFQVAERALYYWNNEYIMSLIEENSNVILPIMFSSLYRISKEHWNPAIVALV
+YNVLKAFMEMNSTMFDELTATYKSDRQREKKKEKEREELWKKLED
+>P30153_PF13646_172 <unknown description>
+NLCSDDTPMVRRAAASKLGEFAKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNI
+AQLLPQEDLEALVMPTLRQAAEDKSWRVR
+>P30153_PF02985_283 <unknown description>
+LVPAFQNLMKDCEAEVRAAASHKVKEFCENL
+>P30153_PF13646_366 <unknown description>
+LPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVRLAIIE
+YMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATSNLK
+>P30154_PF02985_181 <unknown description>
+QFRSLCSDDTPMVRRAAASKLGEFAKVL
+>P30154_PF02985_295 <unknown description>
+LIPAFQNLLKDCEAEVRAAAAHKVKELGEN
+>P30154_PF13646_378 <unknown description>
+LPLFLAQLKDECPDVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVRLAIIE
+YMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNL
+>P14060_PF01073_7 <unknown description>
+LVTGAGGFLGQRIIRLLVKEKELKEIRVLDKAFGPELREEFSKLQNKTKLTVLEGDILDE
+PFLKRACQDVSVIIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSI
+EVAGPNSYKEIIQNGHEEEPLENTWPAPYPHSKKLAEKAVLAANGWNLKNGGTLYTCALR
+PMYIYGEGSRFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALQDPKKA
+PSIRGQFYYISDDTPHQSYDNLNYTLSKEFGLRLDSRWSFPL
+>P26439_PF01073_6 <unknown description>
+LVTGAGGLLGQRIVRLLVEEKELKEIRALDKAFRPELREEFSKLQNRTKLTVLEGDILDE
+PFLKRACQDVSVVIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSI
+EVAGPNSYKEIIQNGHEEEPLENTWPTPYPYSKKLAEKAVLAANGWNLKNGDTLYTCALR
+PTYIYGEGGPFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALRDPKKA
+PSVRGQFYYISDDTPHQSYDNLNYILSKEFGLRLDSRWSLPL
+>Q9H2F3_PF01073_13 <unknown description>
+LVTGGCGFLGEHVVRMLLQREPRLGELRVFDQHLGPWLEELKTGPVRVTAIQGDVTQAHE
+VAAAVAGAHVVIHTAGLVDVFGRASPKTIHEVNVQGTRNVIEACVQTGTRFLVYTSSMEV
+VGPNTKGHPFYRGNEDTPYEAVHRHPYPCSKALAEWLVLEANGRKVRGGLPLVTCALRPT
+GIYGEGHQIMRDFYRQGLRLGGWLFRAIPASVEHGRVYVGNVAWMHVLAARELEQRATLM
+GGQVYFCYDGSPYRSYEDFNMEFLGPCGLRL
+>Q9Y3L3_PF03114_2 <unknown description>
+MKRQLHRMRQLAQTGSLGRTPETAEFLGEDLLQVEQRLEPAKRAAHNIHKRLQACLQGQS
+GADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMSCAIQNQLARILAEFEMTLER
+DVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQATKNSGSSQGLGGSPGSHSH
+TTMANKVETLKEEEEELKRKVEQCRDEYLADLYHFVTKEDSYANYFIRLLEIQADYHRRS
+LSSLDTALA
+>Q9Y3L3_PF00620_292 <unknown description>
+PIEACVMMLLSEGMKEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSY
+LRELPEPLMTFDLYDDWMRAASLKEPGARLQALQEVCSRLPPENLSNLRYLMKFLARLAE
+EQEVNKMTPSNIAIVLGPNLLWPPEKE
+>P78314_PF00169_29 <unknown description>
+KAGYLHKKGGTQLQLLKWPLRFVIIHKRCVYYFKSSTSASPQGAFSLSGYNRVMRAAEET
+TSNNVFPFKIIHISKKHRTWFFSASSEEERKSWMALLRRE
+>P78314_PF00017_466 <unknown description>
+EVERLFKATSPRGEPQDGLYCIRNSSTKSGKVLVVWDETSNKVRNYRIFEKDSKFYLEGE
+VLFVSVGSMVEHY
+>Q7L8J4_PF05276_54 <unknown description>
+EELDPRIQEELEHLNQASEEINQVELQLDEARTTYRRILQESARKLNTQGSHLGSCIEKA
+RPYYEARRLAKEAQQETQKAALRYERAVSMHNAAREMVFVAEQGVMADKNRLDPTWQEML
+NHATCKVNEAEEERLRGEREHQRVTRLCQQAEARVQALQKTLRRAIGKSRPYFELKAQFS
+QILEEHKAKVTELEQQVAQAKTRYSVALRNLEQISEQIHARRR
+>O60239_PF05276_39 <unknown description>
+EEVDPRIQGELEKLNQSTDDINRRETELEDARQKFRSVLVEATVKLDELVKKIGKAVEDS
+KPYWEARRVARQAQLEAQKATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEM
+LNHATQRVMEAEQTKTRSELVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKY
+YVQLEQLKKTVDDLQAKLTLAKGEYKMALKNLEMISDEIHERRRSSAM
+>P46952_PF06052_2 <unknown description>
+ERRLGVRAWVKENRGSFQPPVCNKLMHQEQLKVMFIGGPNTRKDYHIEEGEEVFYQLEGD
+MVLRVLEQGKHRDVVIRQGEIFLLPARVPHSPQRFANTVGLVVERRRLETELDGLRYYVG
+DTMDVLFEKWFYCKDLGTQLAPIIQEFF
+>P31937_PF03446_42 <unknown description>
+VGFIGLGNMGNPMAKNLMKHGYPLIIYDVFPDACKEFQDAGEQVVSSPADVAEKADRIIT
+MLPTSINAIEAYSGANGILKKVKKGSLLIDSSTIDPAVSKELAKEVEKMGAVFMDAPVSG
+GVGAARSGNLTFMVGGVEDEFAAAQELLGCMGSNVVYCG
+>P31937_PF14833_203 <unknown description>
+GTGQAAKICNNMLLAISMIGTAEAMNLGIRLGLDPKLLAKILNMSSGRCWSSDTYNPVPG
+VMDGVPSANNYQGGFGTTLMAKDLGLAQDSATSTKSPILLGSLAHQIYRMMCAKGYSKKD
+FSSVFQF
+>P29372_PF02245_84 <unknown description>
+EFFDQPAVPLARAFLGQVLVRRLPNGTELRGRIVETEAYLGPEDEAAHSRGGRQTPRNRG
+MFMKPGTLYVYIIYGMYFCMNISSQGDGACVLLRALEPLEGLETMRQLRSTLRKGTASRV
+LKDRELCSGPSKLCQALAINKSFDQRDLAQDEAVWLERGPLEPSEPAVVAAARVGVGHAG
+EWARKPLRFYVRGSP
+>Q13541_PF05456_5 <unknown description>
+SSCSQTPSRAIPATRRVVLGDGVQLPPGDYSTTPGGTLFSTTPGGTRIIYDRKFLMECRN
+SPVTKTPPRDLPTIPGVTSPSSDEPPMEASQSHLRNSPEDKRAGGEESQFEMDI
+>Q13542_PF05456_7 <unknown description>
+SGHQPSQSRAIPTRTVAISDAAQLPHDYCTTPGGTLFSTTPGGTRIIYDRKFLLDRRNSP
+MAQTPPCHLPNIPGVTSPGTLIEDSKVEVNNLNNLNNHDRKHAVGDDAQFEMDI
+>O60516_PF05456_14 <unknown description>
+DQLPDCYSTTPGGTLYATTPGGTRIIYDRKFLLECKNSPIARTPPCCLPQIPGVTTPPTA
+PLSKLEELKEQETEEEIPDDAQFEMDI
+>Q9NRA8_PF10477_30 <unknown description>
+YTKEELLDIKELPHSKQRPSCLSEKYDSDGVWDPEKWHASLYPASGRSSPVESLKKELDT
+DRPSLVRRIVDPRERVKEDDLDVVLSPQRRSFGGGCHVTAAVSSRRSGSPLEKDSDGLRL
+LGGRRIGSGRIISARTFEKDHRLSDKDLRDLRDRDRERDFKDKRFRREFGDSKRVFGERR
+RNDSYTEEEPEWFSAGPTSQSETIELTGFDDKILEEDHKGRKRTRRRTASVKEGIVECNG
+GVAEEDEVEVILAQEPAADQEVPRDAVLPEQSPGDFDFNEFFNLDKVPCLASMIEDVLGE
+GSVSASRFSRWFSNPSRSGSRSSSLGSTPHEELERLAGLEQAILSPGQNSGNYFAPIPLE
+DHAENKVDILEMLQKAKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQ
+QVKNSTPFMAEHLEETLSAVTNNRQLKKDGDMTAFNKLVSTMKASGTLPSQPKVSRNLES
+HLMSPAEIPGQPVPKNILQELLGQPVQRPASSNLLSGLMGSLEPTTSLLGQRAPSPPLSQ
+VFQTRAASADYLRPRIPSPIGFTPGPQQLLGDPFQGMRKPMSPITAQMSQLELQQAALEG
+LALPHDLAVQAANFYQPGFGKPQVDRTRDGFRNRQQRVTKSPAPVHRGNSSSPAPAASIT
+SMLSPSFTPTSVIRKMYESKEK
+>P08195_PF16028_54 <unknown description>
+AAAAAKFTGLSKEELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELP
+AQKWWHTGALY
+>P08195_PF00128_138 <unknown description>
+GNLAGLKGRLDYLSSLKVKGLVLGPIHKNQKDDVAQTDLLQIDPNFGSKEDFDSLLQSAK
+KKSIRVILDLTPNYRGENSWFSTQV
+>P08908_PF00001_53 <unknown description>
+GNACVVAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLF
+IALDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPM
+LGWRTPEDRSDPDACTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVKK
+VEKTGADTRHGASPAPQPKKSVNGESGSRNWRLGVESKAGGALCANGAVRQGDDGAALEV
+IEVHRVGNSKEHLPLPSEAGPTPCAPASFERKNERNAEAKRKMALARERKTVKTLGIIMG
+TFILCWLPFFIVALVLPFCESSCHMPTLLGAIINWLGYSNSLLNPVIY
+>P28222_PF00001_67 <unknown description>
+NAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWL
+SSDITCCTASILHLCVIALDRYWAITDAVEYSAKRTPKRAAVMIALVWVFSISISLPPFF
+WRQAKAEEEVSECVVNTDHILYTVYSTVGAFYFPTLLLIALYGRIYVEARSRILKQTPNR
+TGKRLTRAQLITDSPGSTSSVTSINSRVPDVPSESGSPVYVNQVKVRVSDALLEKKKLMA
+ARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHLAIFDFFTWLGYLNSLINP
+IIY
+>P28221_PF00001_56 <unknown description>
+NAFVLTTILLTRKLHTPANYLIGSLATTDLLVSILVMPISIAYTITHTWNFGQILCDIWL
+SSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAATMIAIVWAISICISIPPLF
+WRQAKAQEEMSDCLVNTSQISYTIYSTCGAFYIPSVLLIILYGRIYRAARNRILNPPSLY
+GKRFTTAHLITGSAGSSLCSLNSSLHEGHSHSAGSPLFFNHVKIKLADSALERKRISAAR
+ERKATKILGIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPII
+Y
+>P28566_PF00001_40 <unknown description>
+NLAVIMAIGTTKKLHQPANYLICSLAVTDLLVAVLVMPLSIIYIVMDRWKLGYFLCEVWL
+SVDMTCCTCSILHLCVIALDRYWAITNAIEYARKRTAKRAALMILTVWTISIFISMPPLF
+WRSHRRLSPPPSQCTIQHDHVIYTIYSTLGAFYIPLTLILILYYRIYHAAKSLYQKRGSS
+RHLSNRSTDSQNSFASCKLTQTFCVSDFSTSDPTTEFEKFHASIRIPPFDNDLDHPGERQ
+QISSTRERKAARILGLILGAFILSWLPFFIKELIVGLSIYTVSSEVADFLTWLGYVNSLI
+NPLLY
+>P30939_PF00001_41 <unknown description>
+NSLVIAAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVVCDIWL
+SVDITCCTCSILHLSAIALDRYRAITDAVEYARKRTPKHAGIMITIVWIISVFISMPPLF
+WRHQGTSRDDECIIKHDHIVSTIYSTFGAFYIPLALILILYYKIYRAAKTLYHKRQASRI
+AKEEVNGQVLLESGEKSTKSVSTSYVLEKSLSDPSTDFDKIHSTVRSLRSEFKHEKSWRR
+QKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNVCDKCKISEEMSNFLAWLGYLNS
+LINPLIY
+>P28223_PF00001_91 <unknown description>
+GNILVIMAVSLEKKLQNATNYFLMSLAIADMLLGFLVMPVSMLTILYGYRWPLPSKLCAV
+WIYLDVLFSTASIMHLCAISLDRYVAIQNPIHHSRFNSRTKAFLKIIAVWTISVGISMPI
+PVFGLQDDSKVFKEGSCLLADDNFVLIGSFVSFFIPLTIMVITYFLTIKSLQKEATLCVS
+DLGTRAKLASFSFLPQSSLSSEKLFQRSIHREPGSYTGRRTMQSISNEQKACKVLGIVFF
+LFVVMWCPFFITNIMAVICKESCNEDVIGALLNVFVWIGYLSSAVNPLVY
+>P41595_PF00001_71 <unknown description>
+GNTLVILAVSLEKKLQYATNYFLMSLAVADLLVGLFVMPIALLTIMFEAMWPLPLVLCPA
+WLFLDVLFSTASIMHLCAISVDRYIAIKKPIQANQYNSRATAFIKITVVWLISIGIAIPV
+PIKGIETDVDNPNNITCVLTKERFGDFMLFGSLAAFFTPLAIMIVTYFLTIHALQKKAYL
+VKNKPPQRLTWLTVSTVFQRDETPCSSPEKVAMLDGSRKDKALPNSGDETLMRRTSTIGK
+KSVQTISNEQRASKVLGIVFFLFLLMWCPFFITNITLVLCDSCNQTTLQMLLEIFVWIGY
+VSSGVNPLVY
+>P28335_PF00001_70 <unknown description>
+GNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYVWPLPRYLCPV
+WISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVWAISIGVSVPI
+PVIGLRDEEKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYCLTIYVLRRQALMLL
+HGHTEEPPGLSLDFLKCCKRNTAEEENSANPNQDQNARRRKKKERRPRGTMQAINNERKA
+SKVLGIVFFVFLIMWCPFFITNILSVLCEKSCNQKLMEKLLNVFVWIGYVCSGINPLVY
+>P46098_PF02931_36 <unknown description>
+RLSDYLLTNYRKGVRPVRDWRKPTTVSIDVIVYAILNVDEKNQVLTTYIWYRQYWTDEFL
+QWNPEDFDNITKLSIPTDSIWVPDILINEFVDVGKSPNIPYVYIRHQGEVQNYKPLQVVT
+ACSLDIYNFPFDVQNCSLTFTSWLHTIQDINISLWRLPEKVKSDRSVFMNQGEWELLGVL
+PYFREFSMESSNYYAEMKFYVVIRRRP
+>P46098_PF02932_249 <unknown description>
+LLLPSIFLMVMDIVGFYLPPNSGERVSFKITLLLGYSVFLIIVSDTLPATAIGTPLIGVY
+FVVCMALLVISLAETIFIVRLVHKQDLQQPVPAWLRHLVLERIAWLLCLREQSTSQRPPA
+TSQATKTDDCSAMGNHCSHMGGPQDFEKSPRDRCSPPPPPREASLAVCGLLQELSSIRQF
+LEKRDEIREVARDWLRVGSVLDKLLFHIYLLAVLAYSITL
+>O95264_PF02931_34 <unknown description>
+HLSKQLLQKYHKEVRPVYNWTKATTVYLDLFVHAILDVDAENQILKTSVWYQEVWNDEFL
+SWNSSMFDEIREISLPLSAIWAPDIIINEFVDIERYPDLPYVYVNSSGTIENYKPIQVVS
+ACSLETYAFPFDVQNCSLTFKSILHTVEDVDLAFLRSPEDIQHDKKAFLNDSEWELLSVS
+STYSILQSSAGGFAQIQFNVVMRRHP
+>O95264_PF02932_246 <unknown description>
+LLIPSIFLMLVDLGSFYLPPNCRARIVFKTSVLVGYTVFRVNMSNQVPRSVGSTPLIGHF
+FTICMAFLVLSLAKSIVLVKFLHDEQR
+>Q8WXA8_PF02931_52 <unknown description>
+KAFRPFTNYSIPTRVNISFTLSAILGVDAQLQLLTSFLWMDLVWDNPFINWNPKECVGIN
+KLTVLAENLWLPDIFIVESMDVDQTPSGLTAYISSEGRIKYDKPMRVTSICNLDIFYFPF
+DQQNCTFTFSSFLYTVDSMLLGMDKEVWEITDTSRKVIQTQGEWELLGINKATPKMSMGN
+NLYDQIMFYVAIRRRP
+>Q8WXA8_PF02932_254 <unknown description>
+LLVPSSFLVAIDALSFYLPAESENRAPFKITLLLGYNVFLLMMNDLLPASGTPLISVYFA
+LCLSLMVVSLLETVFITYLLHVATTQPPPMPRWLHSLLLHCT
+>Q70Z44_PF02932_189 <unknown description>
+LVPSGILIAIDALSFYLPLESGNCAPFKMTVLLGYSVFLLMMNDLLPATSTSSHASLVRP
+HPSRDQKRGVYFALCLSLMVGSLLETIFITHLLHVATTQPLPLPRWLHSLLLHCTGQGRC
+CP
+>A5X5Y0_PF02931_52 <unknown description>
+KPFRPVTNISVPTQVNISFAMSAILDVNEQLHLLSSFLWLEMVWDNPFISWNPEECEGIT
+KMSMAAKNLWLPDIFIIELMDVDKTPKGLTAYVSNEGRIRYKKPMKVDSICNLDIFYFPF
+DQQNCTLTFSSFLYTVDSMLLDMEKEVWEITDASRNILQTHGEWELLGLSKATAKLSRGG
+NLYDQIVFYVAIRRRP
+>A5X5Y0_PF02932_254 <unknown description>
+LLVPSGFLVAIDALSFYLPVKSGNRVPFKITLLLGYNVFLLMMSDLLPTSGTPLIGVYFA
+LCLSLMVGSLLETIFITHLLHVATTQPPPLPRWLHSLLLHCNSPGRCCPTAPQKENKGPG
+LTPTHLPGVKEPEVS
+>Q13639_PF00001_36 <unknown description>
+GNLLVMVAVCWDRQLRKIKTNYFIVSLAFADLLVSVLVMPFGAIELVQDIWIYGEVFCLV
+RTSLDVLLTTASIFHLCCISLDRYYAICCQPLVYRNKMTPLRIALMLGGCWVIPTFISFL
+PIMQGWNNIGIIDLIEKRKFNQNSNSTYCVFMVNKPYAITCSVVAFYIPFLLMVLAYYRI
+YVTAKEHAHQIQMLQRAGASSESRPQSADQHSTHRMRTETKAAKTLCIIMGCFCLCWAPF
+FVTNIVDPFIDYTVPGQVWTAFLWLGYINSGLNPFLY
+>P47898_PF00001_58 <unknown description>
+NLLVLATILRVRTFHRVPHNLVASMAVSDVLVAALVMPLSLVHELSGRRWQLGRRLCQLW
+IACDVLCCTASIWNVTAIALDRYWSITRHMEYTLRTRKCVSNVMIALTWALSAVISLAPL
+LFGWGETYSEGSEECQVSREPSYAVFSTVGAFYLPLCVVLFVYWKIYKAAKFRVGSRKTN
+SVSPISEAVEVKDSAKQPQMVFTVRHATVTFQPEGDTWREQKEQRAALMVGILIGVFVLC
+WIPFFLTELISPLCSCDIPAIWKSIFLWLGYSNSFFNPLIY
+>P50406_PF00001_44 <unknown description>
+NSLLIALICTQPALRNTSNFFLVSLFTSDLMVGLVVMPPAMLNALYGRWVLARGLCLLWT
+AFDVMCCSASILNLCLISLDRYLLILSPLRYKLRMTPLRALALVLGAWSLAALASFLPLL
+LGWHELGHARPPVPGQCRLLASLPFVLVASGLTFFLPSGAICFTYCRILLAARKQAVQVA
+SLTTGMASQASETLQVPRTPRPGVESADSRRLATKHSRKALKASLTLGILLGMFFVTWLP
+FFVANIVQAVCDCISPGLFDVLTWLGYCNSTMNPIIY
+>P34969_PF00001_98 <unknown description>
+GNCLVVISVCFVKKLRQPSNYLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNV
+FIAMDVMCCTASIMTLCVISIDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPP
+LFGWAQNVNDDKVCLISQDFGYTIYSTAVAFYIPMSVMLFMYYQIYKAARKSAAKHKFPG
+FPRVEPDSVIALNGIVKLQKEVEECANLSRLLKHERKNISIFKREQKAATTLGIIVGAFT
+VCWLPFFLLSTARPFICGTSCSCIPLWVERTFLWLGYANSLINPFIY
+>Q9Y6E2_PF02020_340 <unknown description>
+LILLQKVQEYCYDNIHFMKAFQKIVVLFYKADVLSEEAILKWYKEAHVAKGKSVFLDQMK
+KFVEWLQNAEEESESE
+>Q7L1Q6_PF02020_338 <unknown description>
+ELTLLLKIQEYCYDNIHFMKAFQKIVVLFYKAEVLSEEPILKWYKDAHVAKGKSVFLEQM
+KKFVEWLKNAEEESESE
+>Q9BXI3_PF06189_81 <unknown description>
+RYQLEHENEPFSPGPAFPFVKALEAVNRRLRELYPDSEDVFDIVLMTNNHAQVGVRLINS
+INHYDLFIERFCMTGGNSPICYLKAYHTNLYLSADAEKVREAIDEGIAAATIFSPSRDVV
+VSQSQLRVAFDGDAVLFSDESERIVKAHGLDRFFEHEKAHENKPLAQGPLKGFLEALGRL
+QKKFYSKGLRLECPIRTYLVTARSAASSGARALKTLRSWGLETDEALFLAGAPKGPLLEK
+IRPHIFFDDQMFHVAGAQEMGTVAAHVPYGVA
+>Q96P26_PF06189_277 <unknown description>
+EYQLTNENVILTPGPAFRFVKALQYVNARLRDLYPDEQDLFDIVLMTNNHAQVGVRLINS
+VNHYGLLIDRFCLTGGKDPIGYLKAYLTNLYIAADSEKVQEAIQEGIASATMFDGAKDMA
+YCDTQLRVAFDGDAVLFSDESEHFTKEHGLDKFFQYDTLCESKPLAQGPLKGFLEDLGRL
+QKKFYAKNERLLCPIRTYLVTARSAASSGARVLKTLRRWGLEIDEALFLAGAPKSPILVK
+IRPHIFFDDHMFHIEGAQR
+>Q969T7_PF05822_44 <unknown description>
+MTLSRFAYNGKRCPSSYNILDNSKIISEECRKELTALLHHYYPIEIDPHRTVKEKLPHMV
+EWWTKAHNLLCQQKIQKFQIAQVVRESNAMLREGYKTFFNTLYHNNIPLFIFSAGIGDIL
+EEIIRQMKVFHPNIHIVSNYMDFNEDGFLQGFKGQLIHTYNKNSSACENSGYFQQLEGKT
+NVILLGDSIGDLTMADGVPGVQNILKIGFLNDKVEERRERYMDSYDIVLEKDETLDVVNG
+LLQHI
+>P49902_PF05761_35 <unknown description>
+VFVNRSLAMEKIKCFGFDMDYTLAVYKSPEYESLGFELTVERLVSIGYPQELLSFAYDST
+FPTRGLVFDTLYGNLLKVDAYGNLLVCAHGFNFIRGPETREQYPNKFIQRDDTERFYILN
+TLFNLPETYLLACLVDFFTNCPRYTSCETGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSL
+KEKTVENLEKYVVKDGKLPLLLSRMKEVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGS
+SHRPWQSYFDLILVDARKPLFFGEGTVLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDT
+ICDLLGAKGKDILYIGDHIFGDILKSKKRQGWRTFLVIPELAQELHVWTDKSSLFEELQS
+LDIFLAELYKHLDSSSNERPDISSIQRRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQV
+MRYADLYAASFINLLYYPFSYLFRAAHVLMPHES
+>P21589_PF00149_29 <unknown description>
+LTILHTNDVHSRLEQTSEDSSKCVNASRCMGGVARLFTKVQQIRRAEPNVLLLDAGDQYQ
+GTIWFTVYKGAEVAHFMNALRYDAMALGNHEFDNGVEGLIEPLLKEAKFPILSANIKAKG
+PLASQISGLYLPYKVLPVGDEVVGIVGYTSKETPFLSNPGTNLVFEDEITALQPEVDKLK
+TLNVNKIIALGHSGFEMDKLIAQKVRGVDVVVGGHS
+>P21589_PF02872_340 <unknown description>
+GKTIVYLDGSSQSCRFRECNMGNLICDAMINNNLRHTDEMFWNHVSMCILNGGGIRSPID
+ERNNGTITWENLAAVLPFGGTFDLVQLKGSTLKKAFEHSVHRYGQSTGEFLQVGGIHVVY
+DLSRKPGDRVVKLDVLCTKCRVPSYDPLKMDEVYKVILPNFLANGGDGFQMIK
+>P52209_PF03446_5 <unknown description>
+DIALIGLAVMGQNLILNMNDHGFVVCAFNRTVSKVDDFLANEAKGTKVVGAQSLKEMVSK
+LKKPRRIILLVKAGQAVDDFIEKLVPLLDTGDIIIDGGNSEYRDTTRRCRDLKAKGILFV
+GSGVSGGEEGARYGPSLMPGGNKEAWPHIKTIFQGIAAKVG
+>P52209_PF00393_180 <unknown description>
+GHFVKMVHNGIEYGDMQLICEAYHLMKDVLGMAQDEMAQAFEDWNKTELDSFLIEITANI
+LKFQDTDGKHLLPKIRDSAGQKGTGKWTAISALEYGVPVTLIGEAVFARCLSSLKDERIQ
+ASKKLKGPQKFQFDGDKKSFLEDIRKALYASKIISYAQGFMLLRQAATEFGWTLNYGGIA
+LMWRGGCIIRSVFLGKIKDAFDRNPELQNLLLDDFFKSAVENCQDSWRRAVSTGVQAGIP
+MPCFTTALSFYDGYRHEMLPASLIQAQRDYFGAHTYELLAKPGQFIHTNW
+>O95336_PF01182_14 <unknown description>
+SSQELGAALAQLVAQRAACCLAGARARFALGLSGGSLVSMLARELPAAVAPAGPASLARW
+TLGFCDERLVPFDHAESTYGLYRTHLLSRLPIPESQVITINPELPVEEAAEDYAKKLRQA
+FQGDSIPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPKPPPQRVTLTLPVL
+NAARTVIFVATGEGKAAVLKRILEDQEENPLPAALVQPHTGKLCW
+>P05408_PF05281_59 <unknown description>
+EYPAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPN
+PCPVGKTADDGCLENTPDTAEFSREFQLHQHLFDPEH
+>P36639_PF00293_9 <unknown description>
+LVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGARRELQEESGLTVDALHKVG
+QIVFEFVGEPELMDVHVFCTDSIQGTPVESDEMRPCWFQLDQIPFKDMWPDDSYWFP
+>P0DKL9_PF14949_36 <unknown description>
+ERQLKCLAFRNPGPQVADFNPETRQQKKKARMSKMNEYFSTKYKIMRKYDKSGRLICNDA
+DLCDCLEKNCLGCFYPCPKCNSNKCGPECRCNRRWVYDAIVT
+>Q8IZ83_PF00171_48 <unknown description>
+WLKPEHRNSVPCQDPITGENLASCLQAQAEDVAAAVEAARMAFKGWSAHPGVVRAQHLTR
+LAEVIQKHQRLLWTLESLVTGRAVREVRDGDVQLAQQLLHYHAIQASTQEEALAGWEPMG
+VIGLILPPTFSFLEMMWRICPALAVGCTVVALVPPASPAPLLLAQLAGELGPFPGILNVL
+SGPASLVPILASQPGIRKVAFCGAPEEGRALRRSLAGECAELGLALGTESLLLLTDTADV
+DSAVEGVVDAAWSDRGPGGLRLLIQESVWDEAMRRLQERMGRLRSGRGLDGAVDMGARGA
+AACDLVQRFVREAQSQGAQVFQAGDVPSERPFYPPTLVSNLPPASPCAQVEVPWPVVVAS
+PFRTAKEALLVANGTPRGGSASVWSERLGQALELGYGLQVGTVWINAHGLRDPSVPTGGC
+KESGCSWHGGPDGLYEYLR
+>Q8IZ83_PF00171_550 <unknown description>
+SSGNLHGYVAEGGAKDIRGAVEAAHQAFPGWAGQSPGARAALLWALAAALERRKSTLASR
+LERQGAELKAAEAEVELSARRLRAWGARVQAQGHTLQVAGLRGPVLRLREPLGVLAVVCP
+DEWPLLAFVSLLAPALAYGNTVVMVPSAACPLLALEVCQDMATVFPAGLANVVTGDRDHL
+TRCLALHQDVQAMWYFGSAQGSQFVEWASAGNLKPVWAS
+>Q676U5_PF08614_16 <unknown description>
+HISEQLRRRDRLQRQAFEEIILQYNKLLEKSDLHSVLAQKLQAEKHDVPNRHEISPGHDG
+TWNDNQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQRKDREMQMNEAKIAECL
+QTISDLETECLDLRTKLCDLERANQTLKDEYDALQITFTALEGKLRKTTEENQELVTRWM
+AEKAQEANRLN
+>Q676U5_PF00400_316 <unknown description>
+CVFDAHDGEVNAVQFSPGSRLLATGGMDRRVKLW
+>Q676U5_PF00400_360 <unknown description>
+GSLSGSNAGITSIEFDSAGSYLLAASNDFASRIW
+>Q676U5_PF00400_400 <unknown description>
+LRHTLTGHSGKVLSAKFLLDNARIVSGSHDRTLKLWD
+>Q676U5_PF00400_537 <unknown description>
+WTRVVFSPDGSYVAAGSAEGSLYIWS
+>Q676U5_PF00400_574 <unknown description>
+KQHSSSINAVAWSPSGSHVVSVDKGC
+>Q8NAA4_PF08614_17 <unknown description>
+HIVRQLRLRDRTQKALFLELVPAYNHLLEKAELLDKFSKKLQPEPNSVTPTTHQGPWEES
+ELDSDQVPSLVALRVKWQEEEEGLRLVCGEMAYQVVEKGAALGTLESELQQRQSRLAALE
+ARVAQLREARAQQAQQVEEWRAQNAVQRAAYEALRAHVGLREAALRRLQEEARDLLERLV
+QRKARAAAERN
+>Q8NAA4_PF00400_330 <unknown description>
+DVLDAHLSEVNAVRFGPNSSLLATGGADRLIHLWN
+>Q8NAA4_PF00400_416 <unknown description>
+ETLSGHKDKVTAAKFKLTRHQAVTGSRDRTVKEWD
+>Q8NAA4_PF00400_499 <unknown description>
+IPVQGRVTSLSLSHDQLHLLSCSRDNTLKVID
+>Q8NAA4_PF00400_554 <unknown description>
+AVFSPDRSYALAGSCDGALYIWD
+>P02763_PF00061_40 <unknown description>
+TGKWFYIASAFRNEEYNKSVQEIQATFFYFTPNKTEDTIFLREYQTRQDQCIYNTTYLNV
+QRENGTISRYVGGQEHFAHLLILRDTKTYMLAFDVNDEKNWGLSVYADKPETTKEQLGEF
+YEALDCLRIPKSDVVYTDWK
+>P19652_PF00061_40 <unknown description>
+TGKWFYIASAFRNEEYNKSVQEIQATFFYFTPNKTEDTIFLREYQTRQNQCFYNSSYLNV
+QRENGTVSRYEGGREHVAHLLFLRDTKTLMFGSYLDDEKNWGLSFYADKPETTKEQLGEF
+YEALDCLCIPRSDVMYTDWK
+>P01009_PF00079_54 <unknown description>
+LAEFAFSLYRQLAHQSNSTNIFFSPVSIATAFAMLSLGTKADTHDEILEGLNFNLTEIPE
+AQIHEGFQELLRTLNQPDSQLQLTTGNGLFLSEGLKLVDKFLEDVKKLYHSEAFTVNFGD
+TEEAKKQINDYVEKGTQGKIVDLVKELDRDTVFALVNYIFFKGKWERPFEVKDTEEEDFH
+VDQVTTVKVPMMKRLGMFNIQHCKKLSSWVLLMKYLGNATAIFFLPDEGKLQHLENELTH
+DIITKFLENEDRRSASLHLPKLSITGTYDLKSVLGQLGITKVFSNGADLSGVTEEAPLKL
+SKAVHKAVLTIDEKGTEAAGAMFLEAIPMSIPPEVKFNKPFVFLMIEQNTKSPLFMGKVV
+NP
+>P04217_PF13895_217 <unknown description>
+ESSQVLHPGNKVTLTCVAPLSGVDFQLRRGEKELLVPRSSTSPDRIFFHLNAVALGDGGH
+YTCRYRLHDNQNGWSGDSAPVELI
+>Q9NQ94_PF00076_58 <unknown description>
+IFIGKLPRDLFEDELIPLCEKIGKIYEMRMMMDFNGNNRGYAFVTFSNKVEAKNAIKQLN
+NYEIRNG
+>Q9NQ94_PF00076_138 <unknown description>
+LFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRGFAFVEYESHRAAAMARR
+KL
+>Q9NQ94_PF00076_233 <unknown description>
+LYVRNLMLSTSEEMIEKEFNNIKPGAVERVKKIRDYAFVHFSNREDAVEAMKALNGKVLD
+GSPI
+>Q9NQ94_PF14709_439 <unknown description>
+APQILEEICQKNNWGQPVYQLHSAIGQDQRQLFLYKITIPALASQNPAIHPFTPPKLSAF
+VDEAKTYAAEYTLQTLG
+>Q5TYW2_PF12796_38 <unknown description>
+HRAAVKGDAAEVERCLARRSGDLDALDKQHRTALHLACTSGHVQVVTLLVNRKCQIDVCD
+KENRTPLIQAVHCQEEACAVILLEHGANPNLKD
+>Q5TYW2_PF12796_137 <unknown description>
+LHYAVYSESTSLAEKLLSHGAHIEALDKDNNTPLLFAIICKKEKMVEFLLKKKASSHAVD
+RLRRSALMLAVYYDSPGIVNILLKQNIDVFAQD
+>Q5TYW2_PF14915_514 <unknown description>
+NCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFR
+YQQELNDLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAISKHSESVKTERNLKLA
+LERTRDVSVQVEMSSAISKVKAENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALE
+TVQNDLSQTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQ
+KEDHKEIVTNIQRGFIESGKKDLVLEEKSKKLMNECDHLKESLFQYEREKTE
+>P08697_PF00079_85 <unknown description>
+AMMAFTADLFSLVAQTSTCPNLILSPLSVALALSHLALGAQNHTLQRLQQVLHAGSGPCL
+PHLLSRLCQDLGPGAFRLAARMYLQKGFPIKEDFLEQSEQLFGAKPVSLTGKQEDDLANI
+NQWVKEATEGKIQEFLSGLPEDTVLLLLNAIHFQGFWRNKFDPSLTQRDSFHLDEQFTVP
+VEMMQARTYPLRWFLLEQPEIQVAHFPFKNNMSFVVLVPTHFEWNVSQVLANLSWDTLHP
+PLVWERPTKVRLPKLYLKHQMDLVATLSQLGLQELFQAPDLRGISEQSLVVSGVQHQSTL
+ELSEVGVEAAAATSIAMSRMSLSSFSVNRPFLFFIFEDTTGLPLFVGSVRNP
+>P02750_PF13855_116 <unknown description>
+PQLRVLDLTRNALTGLPPGLFQASATLDTLVLKENQLEVLEVSWLHGLKALGHLDLSGNR
+L
+>P02750_PF13855_213 <unknown description>
+QLERLHLEGNKLQVLGKDLLLPQPDLRYLFLNGNKLARVAAGAFQGLRQLDMLDLSNNSL
+>P01023_PF01835_129 <unknown description>
+VFVQTDKSIYKPGQTVKFRVVSMDENFHPLNELIPLVYIQDPKGNRIAQWQSFQLEGGLK
+QFSFPLSSEPFQGSYKVVVQKKSGGRTEHPFTV
+>P01023_PF17791_223 <unknown description>
+EFVLPKFEVQVTVPKIITILEEEMNVSVCGLYTYGKPVPGHVTVSICRKYSDASDCHGED
+SQAFCEKFSGQLNSHGCFYQQVKTKVFQLK
+>P01023_PF17789_350 <unknown description>
+LSFVKVDSHFRQGIPFFGQVRLVDGKGVPIPNKVIFIRGNEANYYSNATTDEHGLVQFSI
+NTTNVMGTSLTVRVNYKDRSPCYGYQWVSEEHEEAH
+>P01023_PF07703_460 <unknown description>
+HLEPMSHELPCGHTQTVQAHYILNGGTLLGLKKLSFYYLIMAKGGIVRTGTHGLLVKQED
+MKGHFSISIPVKSDIAPVARLLIYAVLPTGDVIGDSAKYDVENCLANKVDLSFSPSQSLP
+ASHAHLRVTAAPQSVCALRAVDQSVLLM
+>P01023_PF00207_738 <unknown description>
+TWIWDLVVVNSAGVAEVGVTVPDTITEWKAGAFCLSEDAGLGISSTASLRAFQPFFVELT
+MPYSVIRGEAFTLKATVLNYLPKCIRVSVQL
+>P01023_PF07678_948 <unknown description>
+VSVLGDILGSAMQNTQNLLQMPYGCGEQNMVLFAPNIYVLDYLNETQQLTPEIKSKAIGY
+LNTGYQRQLNYKHYDGSYSTFGERYGRNQGNTWLTAFVLKTFAQARAYIFIDEAHITQAL
+IWLSQRQKDNGCFRSSGSLLNNAIKGGVEDEVTLSAYITIALLEIPLTVTHPVVRNALFC
+LESAWKTAQEGDHGSHVYTKALLAYAFALAGNQDKRKEVLKSLNEEAVKKDNSVHWERPQ
+KPKAPVGHFYEPQAPSAEVEMTSYVLLAYLTAQPAPTSEDLTSATNIVKWITKQQNAQGG
+FSSTQDTVVALHALSKY
+>P01023_PF07677_1375 <unknown description>
+ASNMAIVDVKMVSGFIPLKPTVKMLERSNHVSRTEVSSNHVLIYLDKVSNQTLSLFFTVL
+QDVPVRDLKPAIVKVYDYYETDEFAIAEYN
+>A8K2U0_PF01835_122 <unknown description>
+TFVQTDKPLYTPGQQVYFRIVTMDSNFVPVNDKYSMVELQDPNSNRIAQWLEVVPEQGIV
+DLSFQLAPEAMLGTYTVAVAE
+>A8K2U0_PF17791_213 <unknown description>
+EYVLPKFKVEVVEPKELSTVQESFLVKICCRYTYGKPMLGAVQVSVCQKANTYWYREVER
+EQLPDKCRNLSGQTDKTGCFSAPVDMATFDL
+>A8K2U0_PF17789_342 <unknown description>
+MTFEDTSNFYHPNFPFSGKIRVRGHDDSFLKNHLVFLVIYGTNGTFNQTLVTDNNGLAPF
+TLETSGWNGTDVSLEGKFQMEDLVYNPEQVPRYYQNAY
+>A8K2U0_PF07703_459 <unknown description>
+NGPLKCGQPQEVLVDYYIDPADASPDQEISFSYYLIGKGSLVMEGQKHLNSKKKGLKASF
+SLSLTFTSRLAPDPSLVIYAIFPSGGVVADKIQFSVEMCFDNQVSLGFSPSQQLPGAEVE
+LQLQAAPGSLCALRAVDESVLLL
+>A8K2U0_PF00207_736 <unknown description>
+TWLWDLFPIGNSGKEAVHVTVPDAITEWKAMSFCTSQSRGFGLSPTVGLTAFKPFFVDLT
+LPYSVVRGESFRLTATIFNYLKDCIRVQTD
+>A8K2U0_PF07678_946 <unknown description>
+VTVLGDIMGTALQNLDGLVQMPSGCGEQNMVLFAPIIYVLQYLEKAGLLTEEIRSRAVGF
+LEIGYQKELMYKHSNGSYSAFGERDGNGNTWLTAFVTKCFGQAQKFIFIDPKNIQDALKW
+MAGNQLPSGCYANVGNLLHTAMKGGVDDEVSLTAYVTAALLEMGKDVDDPMVSQGLRCLK
+NSATSTTNLYTQALLAYIFSLAGEMDIRNILLKQLDQQAIISGESIYWSQKPTPSSNASP
+WSEPAAVDVELTAYALLAQLTKPSLTQKEIAKATSIVAWLAKQHNAYGGFSSTQDTVVAL
+QALAKY
+>A8K2U0_PF07677_1361 <unknown description>
+SSNMAIVEVKMLSGFSPMEGTNQLLLQQPLVKKVEFGTDTLNIYLDELIKNTQTYTFTIS
+QSVLVTNLKPATIKVYDYYLPDEQATIQYS
+>A7E2S9_PF12796_42 <unknown description>
+IHKAASRGQAWKLERMMKKTTMDLNIRDAKKRTALYWACANGHAEVVTLLVDRKCQLDVL
+DGENRTILMKALQCQREACANILIDSGADPNIVD
+>A7E2S9_PF12796_138 <unknown description>
+GNTAVHYAVNSENLSVVAKLLSCGADIEVKNKAGHTPLLLAIRKRSEEIVEFLLTKNANA
+NAV
+>U3KPV4_PF03414_66 <unknown description>
+WARPEVLTCTPWGAPIIWDGSFDPDVAKQEARQQNLTIGLTIFAVGRYLEKYLERFLETA
+EQHFMAGQSVMYYVFTELPGAVPRVALGPGRRLPVERVARERRWQDVSMARMRTLHAALG
+GLPGREAHFMFCMDVDQHFSGTFGPEALAESVAQLHSWHYHWPSWLLPFERDAHSAAAMA
+WGQGDFYNHAAVFGGSVAALRGLTAHCAGGLDWDRARGLEARWHDESHLNKFFWLHKPAK
+VLSPEFCWSPDIGPRAEIRRPRLLWAPKGYRLLRN
+>Q9NPC4_PF04488_94 <unknown description>
+FMCSVESAARTHPESHVLVLMKGLPGGNASLPRHLGISLLSCFPNVQMLPLDLRELFRDT
+PLADWYAAVQGRWEPYLLPVLSDASRIALMWKFGGIYLDTDFIVLKNLRNLTNVLGTQSR
+>Q9NPC4_PF04572_222 <unknown description>
+AFERRHEFMALCMRDFVDHYNGWIWGHQGPQLLTRVFKKWCSIRSLAESRACRGVTTLPP
+EAFYPIPWQDWKKYFEDINPEELPRLLSATYAVHVWNKKSQGTRFEATSRALLAQLHARY
+CPTTHEA
+>Q9UNA3_PF04488_64 <unknown description>
+PHLVSCSVESAAKIYPEWPVVFFMKGLTDSTPMPSNSTYPAFSFLSAIDNVFLFPLDMKR
+LLEDTPLFSWYNQINASAERNWLHISSDASRLAIIWKYGGIYMDTDVISIRPIPEE
+>Q9UNA3_PF04572_197 <unknown description>
+FLPHHPFLWECMENFVEHYNSAIWGNQGPELMTRMLRVWCKLEDFQEVSDLRCLNISFLH
+PQRFYPISYREWRRYYEVWDTEPSFNVSYALHLWNHMNQEGRAVIRGSNTLVENLYRKHC
+PRTYR
+>P05067_PF02177_31 <unknown description>
+EPQIAMFCGRLNMHMNVQNGKWDSDPSGTKTCIDTKEGILQYCQEVYPELQITNVVEANQ
+PVTIQNWCKRGRKQCKTHPHFVIPYRCLVGEFVSDALLVPD
+>P05067_PF12924_132 <unknown description>
+KCKFLHQERMDVCETHLHWHTVAKETCSEKSTNLHDYGMLLPCGIDKFRGVEFVCCP
+>P05067_PF00014_290 <unknown description>
+VCSEQAETGPCRAMISRWYFDVTEGKCAPFFYGGCGGNRNNFDTEEYCMAVC
+>P05067_PF12925_366 <unknown description>
+TTAASTPDAVDKYLETPGDENEHAHFQKAKERLEAKHRERMSQVMREWEEAERQAKNLPK
+ADKKAVIQHFQEKVESLEQEAANERQQLVETHMARVEAMLNDRRRLALENYITALQAVPP
+RPRHVFNMLKKYVRAEQKDRQHTLKHFEHVRMVDPKKAAQIRSQVMTHLRVIYERMNQSL
+SLL
+>P05067_PF03494_676 <unknown description>
+RHDSGYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIA
+>P05067_PF10515_716 <unknown description>
+IVITLVMLKKKQYTSIHHGVVEVDAAVTPEERHLSKMQQNGYENPTYKFFE
+>P30542_PF00001_26 <unknown description>
+GNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGALVIPLAILINIGPQTYFHTCLMVAC
+PVLILTQSSILALLAIAVDRYLRVKIPLRYKMVVTPRRAAVAIAGCWILSFVVGLTPMFG
+WNNLSAVERAWAANGSMGEPVIKCEFEKVISMEYMVYFNFFVWVLPPLLLMVLIYLEVFY
+LIRKQLNKKVSASSGDPQKYYGKELKIAKSLALILFLFALSWLPLHILNCITLFCPSCHK
+PSILTYIAIFLTHGNSAMNPIVY
+>P29274_PF00001_23 <unknown description>
+GNVLVCWAVWLNSNLQNVTNYFVVSLAAADIAVGVLAIPFAITISTGFCAACHGCLFIAC
+FVLVLTQSSIFSLLAIAIDRYIAIRIPLRYNGLVTGTRAKGIIAICWVLSFAIGLTPMLG
+WNNCGQPKEGKNHSQGCGEGQVACLFEDVVPMNYMVYFNFFACVLVPLLLMLGVYLRIFL
+AARRQLKQMESQPLPGERARSTLQKEVHAAKSLAIIVGLFALCWLPLHIINCFTFFCPDC
+SHAPLWLMYLAIVLSHTNSVVNPFIY
+>P29275_PF00001_24 <unknown description>
+GNVLVCAAVGTANTLQTPTNYFLVSLAAADVAVGLFAIPFAITISLGFCTDFYGCLFLAC
+FVLVLTQSSIFSLLAVAVDRYLAICVPLRYKSLVTGTRARGVIAVLWVLAFGIGLTPFLG
+WNSKDSATNNCTEPWDGTTNESCCLVKCLFENVVPMSYMVYFNFFGCVLPPLLIMLVIYI
+KIFLVACRQLQRTELMDHSRTTLQREIHAAKSLAMIVGIFALCWLPVHAVNCVTLFQPAQ
+GKNKPKWAMNMAILLSHANSVVNPIVY
+>P0DMS8_PF00001_29 <unknown description>
+GNVLVICVVKLNPSLQTTTFYFIVSLALADIAVGVLVMPLAIVVSLGITIHFYSCLFMTC
+LLLIFTHASIMSLLAIAVDRYLRVKLTVRYKRVTTHRRIWLALGLCWLVSFLVGLTPMFG
+WNMKLTSEYHRNVTFLSCQFVSVMRMDYMVYFSFLTWIFIPLVVMCAIYLDIFYIIRNKL
+SLNLSNSKETGAFYGREFKTAKSLFLVLFLFALSWLPLSIINCIIYFNGEVPQLVLYMGI
+LLSHANSMMNPIVY
+>Q9NS82_PF13520_40 <unknown description>
+IGLLSACTIIIGNIIGSGIFISPKGVLEHSGSVGLALFVWVLGGGVTALGSLCYAELGVA
+IPKSGGDYAYVTEIFGGLAGFLLLWSAVLIMYPTSLAVISMTFSNYVLQPVFPNCIPPTT
+ASRVLSMACLMLLTWVNSSSVRWATRIQDMFTGGKLLALSLIIGVGLLQIFQGHFEELRP
+SNAFAFWMTPSVGHLALAFLQGSFAFSGWNFLNYVTEEMVDARKNLPRAIFISIPLVTFV
+YTFTNIAYFTAMSPQELLSSNAVAVTFGEKLLGYFSWVMPVSVALSTFGGINGYLFTYSR
+LCFSGAREGHLPSLLAMIHVRHCTPIPALLVCCGATAVIMLVGDTYTLINYVSFINYLCY
+GVTILGLLLLRWRRPALHRPIKVNLLIPVAYLVFWAFLLVFSFI
+>P22760_PF07859_107 <unknown description>
+LFYIHGGGWCVGSAALSGYDLLSRWTADRLDAVVVSTNYRLAPKYHFPIQFEDVYNALRW
+FLRKKVLAKYGVNPERIGISGDSAGGNLAAAVTQQLLDDPDVKIKLKIQSLIYPALQPLD
+VDLPSYQENSNFLFLSKSLMVRFWSEYFTTDRSLEKAMLS
+>P22760_PF07859_315 <unknown description>
+LDVRAAPLLADDNKLRGLPLTYVITCQYDLLRDDGLMYVTRLRNTGVQVTHNHVEDGFHG
+AF
+>Q9NRG9_PF00400_240 <unknown description>
+SHPGHTPVTSLAWAPSGGRLLSASPVDAAIRVWD
+>Q15758_PF00375_54 <unknown description>
+LVLLTVVAVVAGVALGLGVSGAGGALALGPERLSAFVFPGELLLRLLRMIILPLVVCSLI
+GGAASLDPGALGRLGAWALLFFLVTTLLASALGVGLALALQPGAASAAINASVGAAGSAE
+NAPSKEVLDSFLDLARNIFPSNLVSAAFRSYSTTYEERNITGTRVKVPVGQEVEGMNILG
+LVVFAIVFGVALRKLGPEGELLIRFFNSFNEATMVLVSWIMWYAPVGIMFLVAGKIVEME
+DVGLLFARLGKYILCCLLGHAIHGLLVLPLIYFLFTRKNPYRFLWGIVTPLATAFGTSSS
+SATLPLMMKCVEENNGVAKHISRFILPIGATVNMDGAALFQCVAAVFIAQLSQQSLDFVK
+IITILVTATASSVGAAGIPAGGVLTLAIILEAVNLPVDHISLILAVDWLVDRSCTVLNVE
+GDALGAGLLQ
+>Q86V21_PF16177_47 <unknown description>
+YDDLYHWSVESYSDFWAEFWKFSGIVFSRVYDEVVDTSKGIADVPEWFKGSRLNYAEN
+>Q86V21_PF00501_109 <unknown description>
+KENDRVALYIAREGKEEIVKVTFEELRQEVALFAAAMRKMGVKKGDRVVGYLPNSEHAVE
+AMLAAASIGAIWSSTSPDFGVNGVLDRFSQIQPKLIFSVEAVVYNGKEHNHMEKLQQVVK
+GLPDLKKVVVIPYVSSRENIDLSKIPNSVFLDDFLATGTSEQAPQLEFEQLPFSHPLFIM
+FSSGTTGAPKCMVHSAGGTLIQHLKEHLLHGNMTSSDILLCYTTVGWMMWNWMVSLLATG
+AAMVLYDGSPLVPTPNVLWDLVDRIGITVLVTGAKWLSVLEEKAMKPVETHSLQMLHTIL
+STGSPLKAQSYEYVYRCIKSSILLGSISGGTDIISCFMGHNFSLPVYKGEIQARNLGMAV
+EAWNEEGKAVWGESGELVCTKPIPCQPTHFWNDENGNKYRKAYFSKFPGIWAHGDYCRIN
+PKTGGIVMLGRSDGT
+>P01011_PF00079_52 <unknown description>
+ANVDFAFSLYKQLVLKAPDKNVIFSPLSISTALAFLSLGAHNTTLTEILKGLKFNLTETS
+EAEIHQSFQHLLRTLNQSSDELQLSMGNAMFVKEQLSLLDRFTEDAKRLYGSEAFATDFQ
+DSAAAKKLINDYVKNGTRGKITDLIKDLDSQTMMVLVNYIFFKAKWEMPFDPQDTHQSRF
+YLSKKKWVMVPMMSLHHLTIPYFRDEELSCTVVELKYTGNASALFILPDQDKMEEVEAML
+LPETLKRWRDSLEFREIGELYLPKFSISRDYNLNDILLQLGIEEAFTSKADLSGITGARN
+LAVSQVVHKAVLDVFEEGTEASAATAVKITLLSALVETRTIVRFNRPFLMIIVPTDTQNI
+FFMSKVTNP
+>Q8N5Z0_PF00155_59 <unknown description>
+KTIQFGEEMMKRALQYSPSAGIPELLSWLKQLQIKLHNPPTIHYPPSQGQMDLCVTSGSQ
+QGLCKVFEMIINPGDNVLLDEPAYSGTLQSLHPLGCNIINVASDESGIVPDSLRDILSRW
+KPEDAKNPQKNTPKFLYTVPNGNNPTGNSLTSERKKEIYELARKYDFLIIEDDPYYFLQF
+NKFRVPTFLSMDVDGRVIRADSFSKIISSGLRIGFLTGPKPLIERVILHIQVSTLHPSTF
+NQLMISQLLHEWGEEGFMAHVDRVIDFYSNQKDAILAAADKWLTGLAEWHVPAAGMFLWI
+KVKGINDVKELIEEKAVKMGVLMLPGNAFYVDSSAPSPYLRASFSSASPEQMDVAFQ
+>Q6PD74_PF10199_155 <unknown description>
+ESTGVKRIVQALNANVWSNVVMKNDRNQGFSLLNSLTGTNHSIGSADPCHPEQPHLPAAD
+STESLSDHRGGASNTTDAQVDSIVDPMLDLDIQELASLTTGGGDVENFERLFSKLKEMKD
+KAATLPHEQRKVHAEKVAKA
+>Q2M2I8_PF00069_48 <unknown description>
+VDEVLAEGGFAIVFLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYI
+DSSINNVSSGDVWEVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQ
+CKTPIIHRDLKVENILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRA
+PEMVNLYSGKIITTKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMH
+CLIRYMLEPDPDKRPDIYQV
+>Q9Y478_PF16561_79 <unknown description>
+PTVFRWTGGGKEVYLSGSFNNWSKLPLTRSHNNFVAILDLPEGEHQYKFFVDGQWTHDPS
+EPIVTSQLGTVNNIIQVKKTDF
+>Q9Y478_PF04739_201 <unknown description>
+RAPPILPPHLLQVILNKDTGISCDPALLPEPNHVMLNHLYALSIKDGVMVLSATHRYKKK
+YVTTLLYKP
+>O43741_PF16561_78 <unknown description>
+PTVIRWSEGGKEVFISGSFNNWSTKIPLIKSHNDFVAILDLPEGEHQYKFFVDGQWVHDP
+SEPVVTSQLGTINNLIHVKKSDFE
+>O43741_PF04739_203 <unknown description>
+KSPPILPPHLLQVILNKDTNISCDPALLPEPNHVMLNHLYALSIKDSVMVLSATHRYKKK
+YVTTLLYKP
+>P54619_PF00571_127 <unknown description>
+KPLVCISPNASLFDAVSSLIRNKIHRLPVIDPESGNTLYILTHKRILKFLK
+>P54619_PF00571_203 <unknown description>
+NIAMVRTTTPVYVALGIFVQHRVSALPVVDEKGRVVDIYSKFDVINL
+>P54619_PF00571_276 <unknown description>
+VLKCYLHETLETIINRLVEAEVHRLVVVDENDVVKGIVSLSDILQALV
+>Q9UGJ0_PF00571_359 <unknown description>
+KPLVNISPDASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQ
+>Q9UGJ0_PF00571_434 <unknown description>
+HNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINL
+>Q9UGJ0_PF00571_508 <unknown description>
+VVKCNKLEILETIVDRIVRAEVHRLVVVNEADSIVGIISLSDILQAL
+>Q9H7C9_PF04430_6 <unknown description>
+IASLSWGQMKVKGSNTTYKDCKVWPGGSRTWDWRETGTEHSPGVQPADVKEVVEKGVQTL
+VIGRGMSEALKVPSSTVEYLKKHGIDVRVLQTEQAVKEYNALVAQGVRVGGVFH
+>Q13685_PF00400_125 <unknown description>
+ELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVW
+>Q13685_PF00400_219 <unknown description>
+TCGRVLPDGKRAVVGYEDGTIRIWD
+>Q13685_PF00400_248 <unknown description>
+SPIHVLKGTEGHQGPLTCVAANQDGSLILTGSVDCQAKL
+>Q13685_PF00400_350 <unknown description>
+TLRHQCQHQSGIVQLLWEAGTAVVYTCSLDGIVRLWD
+>Q13685_PF00400_391 <unknown description>
+RLLTDYRGHTAEILDFALSKDASLVVTTSGDHKAKV
+>Q13131_PF00069_29 <unknown description>
+LGDTLGVGTFGKVKVGKHELTGHKVAVKILNRQKIRSLDVVGKIRREIQNLKLFRHPHII
+KLYQVISTPSDIFMVMEYVSGGELFDYICKNGRLDEKESRRLFQQILSGVDYCHRHMVVH
+RDLKPENVLLDAHMNAKIADFGLSNMMSDGEFLRTSCGSPNYAAPEVISGRLYAGPEVDI
+WSSGVILYALLCGTLPFDDDHVPTLFKKICDGIFYTPQYLNPSVISLLKHMLQVDPMKRA
+TIKDIREHEWF
+>Q13131_PF16579_406 <unknown description>
+KWHLGIRSQSRPNDIMAEVCRAIKQLDYEWKVVNPYYLRVRRKNPVTSTYSKMSLQLYQV
+DSRTYLLDFRSIDDE
+>P54646_PF00069_17 <unknown description>
+VLGDTLGVGTFGKVKIGEHQLTGHKVAVKILNRQKIRSLDVVGKIKREIQNLKLFRHPHI
+IKLYQVISTPTDFFMVMEYVSGGELFDYICKHGRVEEMEARRLFQQILSAVDYCHRHMVV
+HRDLKPENVLLDAHMNAKIADFGLSNMMSDGEFLRTSCGSPNYAAPEVISGRLYAGPEVD
+IWSCGVILYALLCGTLPFDDEHVPTLFKKIRGGVFYIPEYLNRSVATLLMHMLQVDPLKR
+ATIKDIREHEWF
+>P54646_PF16579_401 <unknown description>
+KWHLGIRSQSKPYDIMAEVYRAMKQLDFEWKVVNAYHLRVRRKNPVTGNYVKMSLQLYLV
+DNRSYLLDFKSIDDEVVEQ
+>Q9Y312_PF05282_17 <unknown description>
+EGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLHYSSVDKANPKEVGPRMG
+FFLSLHQRGLTVLRWSTLREEVDLSPAPESEVEAMRANLQELDQFLGPYPYATLKKWISL
+TNFISEATVEKLQPENRQICAFSDVLPVLSMKHTKDRVGQNLPRCGIECKSYQEGLARLP
+EMKPRAGTEIRFSELPTQMFPEGATPAEITKHSMDLSYALETVLNKQFPSSPQDVLGELQ
+FAFVCFLLGNVYEAFEHWKRLLNLLCRSEAAMMKHHTLYINLISILYHQLGEIPADFFVD
+IVSQDNFLTSTLQVFFSSACSIAVDATLRKKAEKFQAHLTKKFRWDF
+>Q9BTE6_PF07973_196 <unknown description>
+IRVVNIEGVDSNMCCGTHVSNLSDLQVIKILGTEKGKKNR
+>Q9UDR5_PF05222_28 <unknown description>
+VRREDVNAWERRAPLAPKHIKGITNLGYKVLIQPSNRRAIHDKDYVKAGGILQEDISEAC
+LILGVKRPPEEKLMSRKTYAFFSHTIKAQEANMGLLDEILKQEIRLIDYEKMVDHRGVRV
+VAFGQWAGVA
+>Q9UDR5_PF01262_352 <unknown description>
+ICDISADTGGSIEFMTECTTIEHPFCMYDADQHIIHDSVEGSGILMCSIDNLPAQLPIEA
+TECFGDMLYPYVEEMILSDATQPLESQNFSPVVRDAVITSNGTL
+>Q9UDR5_PF03435_483 <unknown description>
+VLVLGSGYISEPVLEYLSRDGNIEITVGSDMKNQIEQLGKKYNINPVSMDICKQEEKLGF
+LVAKQDLVISLLPYVLHPLVAKACITNKVNMVTASYITPALKELEKSVEDAGITII
+>Q9UDR5_PF16653_602 <unknown description>
+GLDPGLDHMLAMETIDKAKEVGATIESYISYCGGLPAPEHSNNPLRYKFSWSPVGVLMNV
+MQSATYLLDGKVVNVAGGISFLDAVTSMDFFPGLNLEGYPNRDSTKYAEIYGISSAHTLL
+RGTLRYKGYMKALNGFVKLGLINREALPAFRPEANPLTWKQLLCDLVGISPSSEHDVLKE
+AVLKKLGGDNTQLEAAEWLGLLGDEQVPQAESILDALSKHLVMKLSYGPEEKDMIVMRDS
+FGIRHPSGHLEHKTIDLVAYGDINGFSAMAKTVGLPTAMAAKMLLDGEIGAKGLMGPFSK
+EIYGPILERIKAEGI
+>Q8NHS2_PF00155_41 <unknown description>
+MTNEGHPWVSLVVQKTRLQISQDPSLNYEYLPTMGLKSFIQASLALLFGKHSQAIVENRV
+GGVHTVGDSGAFQLGVQFLRAWHKDARIVYIISSQKELHGLVFQDMGFTVYEYSVWDPKK
+LCMDPDILLNVVEQIPHGCVLVMGNIIDCKLTPSGWAKLMSMIKSKQIFPFFDIPCQGLY
+TSDLEEDTRILQYFVSQGFEFFCSQSLSKNFGIYDEGVGMLVVVAVNNQQLLCVLSQLEG
+LAQALWLNPPNTGARVITSILCNPALLGEWKQSLKEVVENIMLTKEKVKEKLQLLGTPGS
+WGHITEQSGTHGYLGLNSQQVEYLVRKKHIYIPKNGQINFSCINANNINYITE
+>P17174_PF00155_32 <unknown description>
+RKVNLGVGAYRTDDCHPWVLPVVKKVEQKIANDNSLNHEYLPILGLAEFRSCASRLALGD
+DSPALKEKRVGGVQSLGGTGALRIGADFLARWYNGTNNKNTPVYVSSPTWENHNAVFSAA
+GFKDIRSYRYWDAEKRGLDLQGFLNDLENAPEFSIVVLHACAHNPTGIDPTPEQWKQIAS
+VMKHRFLFPFFDSAYQGFASGNLERDAWAIRYFVSEGFEFFCAQSFSKNFGLYNERVGNL
+TVVGKEPESILQVLSQMEKIVRITWSNPPAQGARIVASTLSNPELFEEWTGNVKTMADRI
+LTMRSELRARLEALKTPGTWNHITDQIGMFSFTGLNPKQVEYLVNEKHIYLLPSGRINVS
+GLTTKNLDYVATSI
+>Q9NY61_PF13339_220 <unknown description>
+EVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPLFKDKGGPEFSSALKNSH
+KALKALLRSLVGLQEELLFQYPDTRYLVDGTKPNAGSEEISSEDDELVEEKKQQRRRVPA
+KRKLEMEDYPSFMAKRFADFTVYRNRTLQKWHDK
+>Q9NY61_PF08164_464 <unknown description>
+YHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIHKKVDRKASKGRKLRFHVLSKL
+LSFMAPIDHTTMNDDARTELYRSLF
+>P00505_PF00155_58 <unknown description>
+KKMNLGVGAYRDDNGKPYVLPSVRKAEAQIAAKNLDKEYLPIGGLAEFCKASAELALGEN
+SEVLKSGRFVTVQTISGTGALRIGASFLQRFFKFSRDVFLPKPTWGNHTPIFRDAGMQLQ
+GYRYYDPKTCGFDFTGAVEDISKIPEQSVLLLHACAHNPTGVDPRPEQWKEIATVVKKRN
+LFAFFDMAYQGFASGDGDKDAWAVRHFIEQGINVCLCQSYAKNMGLYGERVGAFTMVCKD
+ADEAKRVESQLKILIRPMYSNPPLNGARIAAAILNTPDLRKQWLQEVKVMADRIIGMRTQ
+LVSNLKKEGSTHNWQHITDQIGMFCFTGLKPEQVERLIKEFSIYMTKDGRISVAGVTSSN
+VGYLAHAI
+>Q7Z5M8_PF12146_141 <unknown description>
+IIVYLHGSAEHRAASHRLKLVKVLSDGGFHVLSVDYRGFGDSTGKPTEEGLTTDAICVYE
+WTKARSGITPVCLWGHSLGTGVATNAAKVLEEKGCPVDAIVLEAPFTNMWVASINYPLLK
+>Q96GS6_PF12146_111 <unknown description>
+RYTVLFSHGNAVDLGQMSSFYIGLGSRLHCNIFSYDYSGYGASSGRPSERNLYADIDAAW
+QALRTRYGISPDSIILYGQSIGTVPTVDLASRYECAAVVLHSPLTS
+>Q5VST6_PF00326_140 <unknown description>
+KNLYADIEAAWLALRTRYGIRPENVIIYGQSIGTVPSVDLAARYESAAVILHSPLTSGMR
+VAFPDTKKTYCFDAFPNIDKISKITSPVLIIHGTEDEVIDFSHGLALFERCQRPVEPLWV
+EGAGH
+>Q6PCB6_PF12146_132 <unknown description>
+RYTLLFSHGNAVDLGQMCSFYIGLGSRINCNIFSYDYSGYGVSSGKPSEKNLYADIDAAW
+QALRTRYGVSPENIILYGQSIGTVPTVDLASRYECAAVILHSPLMS
+>Q7Z5R6_PF00788_177 <unknown description>
+KLVVKVHMNDNSTKSLMVDERQLARDVLDNLFEKTHCDCNVDWCLYEIYPELQIERFFED
+HENVVEVLSDWTRDTENKILFLEKEE
+>Q7Z5R6_PF00169_312 <unknown description>
+ELEGALYLKEDGKKSWKRRYFLLRASGIYYVPKGKTKTSRDLACFIQFENVNIYYGTQHK
+MKYKAPTDYCFVLKHPQIQKESQYIKYLCCDDTRTLNQWVMGIRIA
+>P31941_PF18782_12 <unknown description>
+LMDPHIFTSNFNNGIGRHKTYLCYEVERLDNGTSVKMDQHRGFLHNQAKNLLCGFYGRHA
+ELRFLDLVPSLQLDPAQIYRVTWFISWSPCFSWGCAGEVRAFLQENTHVRLRIFAARIYD
+YDPLYKEALQMLRDAGAQVSIMTYDEFKHCWDTFVDHQGCPFQPWDGLDEHSQALSGRLR
+AIL
+>Q9UH17_PF18782_10 <unknown description>
+ERMYRDTFYDNFENEPILYGRSYTWLCYEVKIKRGRSNLLWDTGVFRGQVYFKPQYHAEM
+CFLSWFCGNQLPAYKCFQITWFVSWTPCPDCVAKLAEFLSEHPNVTLTISAARLYYYWER
+DYRRALCRLSQAGARVTIMDYEEFAYCWENFVYNEGQQFMPWYKFDENYAFLHRTLKEIL
+>Q9UH17_PF18782_192 <unknown description>
+LMDPDTFTFNFNNDPLVLRRRQTYLCYEVERLDNGTWVLMDQHMGFLCNEAKNLLCGFYG
+RHAELRFLDLVPSLQLDPAQIYRVTWFISWSPCFSWGCAGEVRAFLQENTHVRLRIFAAR
+IYDYDPLYKEALQMLRDAGAQVSIMTYDEFEYCWDTFVYRQGCPFQPWDGLEEHSQALSG
+RLRAIL
+>Q9NRW3_PF18782_10 <unknown description>
+KAMYPGTFYFQFKNLWEANDRNETWLCFTVEGIKRRSVVSWKTGVFRNQVDSETHCHAER
+CFLSWFCDDILSPNTKYQVTWYTSWSPCPDCAGEVAEFLARHSNVNLTIFTARLYYFQYP
+CYQEGLRSLSQEGVAVEIMDYEDFKYCWENFVYNDNEPFKPWKGLKTNFRLLKRRLRE
+>Q96AK3_PF18782_10 <unknown description>
+ERMYRDTFYDNFENEPILYGRSYTWLCYEVKIKRGRSNLLWDTGVFRGPVLPKRQSNHRQ
+EVYFRFENHAEMCFLSWFCGNRLPANRRFQITWFVSWNPCLPCVVKVTKFLAEHPNVTLT
+ISAARLYYYRDRDWRWVLLRLHKAGARVKIMDYEDFAYCWENFVCNEGQPFMPWYKFDDN
+YASLHRTLKEIL
+>Q96AK3_PF18772_208 <unknown description>
+MYPHIFYFHFKNLLKACGRNESWLCFTMEVTKHHSAVFRKRGVFRNQVDPETHCHAERCF
+LSWFCDDILSPNTNYEVTWYTSWSPCPECAGEVAEFLARHSNVNLTIFTARLCYFWDTDY
+QEGLCSLSQEGASVKIMGYKDFVSCWKNFVYSDDEPFKPWKGLQTNFRLLKRRLREILQ
+>Q8IUX4_PF18782_10 <unknown description>
+ERMYRDTFSYNFYNRPILSRRNTVWLCYEVKTKGPSRPRLDAKIFRGQVYSQPEHHAEMC
+FLSWFCGNQLPAYKCFQITWFVSWTPCPDCVAKLAEFLAEHPNVTLTISAARLYYYWERD
+YRRALCRLSQAGARVKIMDDEEFAYCWENFVYSEGQPFMPWYKFDDNYAFLHRTLKEIL
+>Q8IUX4_PF18782_194 <unknown description>
+AMYPHIFYFHFKNLRKAYGRNESWLCFTMEVVKHHSPVSWKRGVFRNQVDPETHCHAERC
+FLSWFCDDILSPNTNYEVTWYTSWSPCPECAGEVAEFLARHSNVNLTIFTARLYYFWDTD
+YQEGLRSLSQEGASVEIMGYKDFKYCWENFVYNDDEPFKPWKGLKYNFLFLDSKLQEIL
+>Q9HC16_PF18782_10 <unknown description>
+ERMYRDTFSYNFYNRPILSRRNTVWLCYEVKTKGPSRPPLDAKIFRGQVYSELKYHPEMR
+FFHWFSKWRKLHRDQEYEVTWYISWSPCTKCTRDMATFLAEDPKVTLTIFVARLYYFWDP
+DYQEALRSLCQKRDGPRATMKIMNYDEFQHCWSKFVYSQRELFEPWNNLPKYYILLHIML
+GEIL
+>Q9HC16_PF18782_195 <unknown description>
+HSMDPPTFTFNFNNEPWVRGRHETYLCYEVERMHNDTWVLLNQRRGFLCNQAPHKHGFLE
+GRHAELCFLDVIPFWKLDLDQDYRVTCFTSWSPCFSCAQEMAKFISKNKHVSLCIFTARI
+YDDQGRCQEGLRTLAEAGAKISIMTYSEFKHCWDTFVDHQGCPFQPWDGLDEHSQDLSGR
+LRAIL
+>Q6NTF7_PF18771_23 <unknown description>
+YYPRKALLCYQLTPQNGSTPTRGYFENKKKCHAEICFINEIKSMGLDETQCYQVTCYLTW
+SPCSSCAWELVDFIKAHDHLNLGIFASRLYYHWCKPQQKGLRLLCGSQVPVEVMGFPEFA
+DCWENFVDHEKP
+>O95477_PF12698_640 <unknown description>
+MPLFMTLAWIYSVAVIIKGIVYEKEARLKETMRIMGLDNSILWFSWFISSLIPLLVSAGL
+LVVILKLGNLLPYSDPSVVFVFLSVFAVVTILQCFLISTLFSRANLAAACGGIIYFTLYL
+PYVLCVAWQDYVGFTLKIFASLLSPVAFGFGCEYFALFEEQGIGVQWDNLFESPVEEDGF
+NLTTSVSMMLFDTFLYGVMTWY
+>O95477_PF00005_917 <unknown description>
+DGLALNFYEGQITSFLGHNGAGKTTTMSILTGLFPPTSGTAYILGKDIRSEMSTIRQNLG
+VCPQHNVLFDMLTVEEHIWFYARLKGLSEKHVKAEMEQMALDVGLPSSKLKSKTSQLSGG
+MQRKLSVALAFVGGSKVVILDEPTA
+>O95477_PF12698_1347 <unknown description>
+FFAQIVLPAVFVCIALVFSLIVPPFGKYPSLELQPWMYNEQYTFVSNDAPEDTGTLELLN
+ALTKDPGFGTRCMEGNPIPDTPCQAGEEEWTTAPVPQTIMDLFQNGNWTMQNPSPACQCS
+SDKIKKMLPVCPPGAGGLPPPQRKQNTADILQDLTGRNISDYLVKTYVQIIAKSLKNKIW
+VNEFRYGGFSLGVSNTQALPPSQEVNDAIKQMKKHLKLAKDSSADRFLNSLGRFMTGLDT
+KNNVKVWFNNKGWHAISSFLNVINNAILRANLQKGENPSHYGITAFNHPLNLTKQQLSEV
+ALMTTSVDVLVSICVIFAMSFVPASFVVFLIQERVSKAKHLQFISGVKPVIYWLSNFVWD
+MCNYVVPATLVIIIFICFQQKSYVSSTNLPVLALLLLLYGWSITPLMYPASFVFKIPSTA
+YVVLTSVNLFIGINGSVATFVLELFTDNKLNNINDILKSVFLIFPHFCLGRGLIDMVKNQ
+AMADALERFGENRFVSPLSWDLVGRNLFAMAVEGVVFFLITV
+>O95477_PF00005_1930 <unknown description>
+DRICVGIPPGECFGLLGVNGAGKSSTFKMLTGDTTVTRGDAFLNKNSILSNIHEVHQNMG
+YCPQFDAITELLTGREHVEFFALLRGVPEKEVGKVGEWAIRKLGLVKYGEKYAGNYSGGN
+KRKLSTAMALIGGPPVVFLDEPT
+>Q9BZC7_PF12698_706 <unknown description>
+HMMPLCMVISWVYSVAMTIQHIVAEKEHRLKEVMKTMGLNNAVHWVAWFITGFVQLSISV
+TALTAILKYGQVLMHSHVVIIWLFLAVYAVATIMFCFLVSVLYSKAKLASACGGIIYFLS
+YVPYMYVAIREEVAHDKITAFEKCIASLMSTTAFGLGSKYFALYEVAGVGIQWHTFSQSP
+VEGDDFNLLLAVTMLMVDAVVYGILTWY
+>Q9BZC7_PF00005_1008 <unknown description>
+LNKLSLNLYENQVVSFLGHNGAGKTTTMSILTGLFPPTSGSATIYGHDIRTEMDEIRKNL
+GMCPQHNVLFDRLTVEEHLWFYSRLKSMAQEEIRREMDKMIEDLELSNKRHSLVQTLSGG
+MKRKLSVAIAFVGGSRAIILDEPTA
+>Q9BZC7_PF12698_1726 <unknown description>
+RAAQVFYNNKGYHSMPTYLNSLNNAILRANLPKSKGNPAAYGITVTNHPMNKTSASLSLD
+YLLQGTDVVIAIFIIVAMSFVPASFVVFLVAEKSTKAKHLQFVSGCNPIIYWLANYVWDM
+LNYLVPATCCVIILFVFDLPAYTSPTNFPAVLSLFLLYGWSITPIMYPASFWFEVPSSAY
+VFLIVINLFIGITATVATFLLQLFEHDKDLKVVNSYLKSCFLIFPNYNLGHGLMEMAYNE
+YINEYYAKIGQFDKMKSPFEWDIVTRGLVAMAVEGVVGFLLTI
+>Q9BZC7_PF00005_2073 <unknown description>
+RLCLGVRPGECFGLLGVNGAGKTSTFKMLTGDESTTGGEAFVNGHSVLKELLQVQQSLGY
+CPQCDALFDELTAREHLQLYTRLRGISWKDEARVVKWALEKLELTKYADKPAGTYSGGNK
+RKLSTAIALIGYPAFIFLDEPT
+>Q99758_PF12698_252 <unknown description>
+ADPFLVAIQYQLPLLLLLSFTYTALTIARAVVQEKERRLKEYMRMMGLSSWLHWSAWFLL
+FFLFLLIAASFMTLLFCVKVKPNVAVLSRSDPSLVLAFLLCFAISTISFSFMVSTFFSKA
+NMAAAFGGFLYFFTYIPYFFVAPRYNWMTLSQKLCSCLLSNVAMAMGAQLIGKFEAKGMG
+IQWRDLLSPVNVDDDFCFGQVLGMLLLDSVLYGLVTWY
+>Q99758_PF00005_550 <unknown description>
+RDLNLNLYEGQITVLLGHNGAGKTTTLSMLTGLFPPTSGRAYISGYEISQDMVQIRKSLG
+LCPQHDILFDNLTVAEHLYFYAQLKGLSRQKCPEEVKQMLHIIGLEDKWNSRSRFLSGGM
+RRKLSIGIALIAGSKVLILDEPTS
+>Q99758_PF12698_923 <unknown description>
+WKMVAAQVLVPLTCVTLALLAINYSSELFDDPMLRLTLGEYGRTVVPFSVPGTSQLGQQL
+SEHLKDALQAEGQEPREVLGDLEEFLIFRASVEGGGFNERCLVAASFRDVGERTVVNALF
+NNQAYHSPATALAVVDNLLFKLLCGPHASIVVSNFPQPRSALQAAKDQFNEGRKGFDIAL
+NLLFAMAFLASTFSILAVSERAVQAKHVQFVSGVHVASFWLSALLWDLISFLIPSLLLLV
+VFKAFDVRAFTRDGHMADTLLLLLLYGWAIIPLMYLMNFFFLGAATAYTRLTIFNILSGI
+ATFLMVTIMRIPAVKLEELSKTLDHVFLVLPNHCLGMAVSSFYENYETRRYCTSSEVAAH
+YCKKYNIQYQENFYAWSAPGVGRFVASMAASGCAYLILLF
+>Q99758_PF00005_1400 <unknown description>
+DRLSLAVQKGECFGLLGFNGAGKTTTFKMLTGEESLTSGDAFVGGHRISSDVGKVRQRIG
+YCPQFDALLDHMTGREMLVMYARLRGIPERHIGACVENTLRGLLLEPHANKLVRTYSGGN
+KRKLSTGIALIGEPAVIFLDEPS
+>P78363_PF12698_610 <unknown description>
+YLQDMVEQGITRSQVQAEAPVGIYLQQMPYPCFVDDSFMIILNRCFPIFMVLAWIYSVSM
+TVKSIVLEKELRLKETLKNQGVSNAVIWCTWFLDSFSIMSMSIFLLTIFIMHGRILHYSD
+PFILFLFLLAFSTATIMLCFLLSTFFSKASLAAACSGVIYFTLYLPHILCFAWQDRMTAE
+LKKAVSLLSPVAFGFGTEYLVRFEEQGLGLQWSNIGNSPTEGDEFSFLLSMQMMLLDAAV
+YGLLAWY
+>P78363_PF00005_947 <unknown description>
+DRLNITFYENQITAFLGHNGAGKTTTLSILTGLLPPTSGTVLVGGRDIETSLDAVRQSLG
+MCPQHNILFHHLTVAEHMLFYAQLKGKSQEEAQLEMEAMLEDTGLHHKRNEEAQDLSGGM
+QRKLSVAIAFVGDAKVVILDEPTS
+>P78363_PF12698_1604 <unknown description>
+DFLKHLETEDNIKVWFNNKGWHALVSFLNVAHNAILRASLPKDRSPEEYGITVISQPLNL
+TKEQLSEITVLTTSVDAVVAICVIFSMSFVPASFVLYLIQERVNKSKHLQFISGVSPTTY
+WVTNFLWDIMNYSVSAGLVVGIFIGFQKKAYTSPENLPALVALLLLYGWAVIPMMYPASF
+LFDVPSTAYVALSCANLFIGINSSAITFILELFENNRTLLRFNAVLRKLLIVFPHFCLGR
+GLIDLALSQAVTDVYARFGEEHSANPFHWDLIGKNLFAMVVEGVVYFLLTLL
+>P78363_PF00005_1957 <unknown description>
+RLCVGVRPGECFGLLGVNGAGKTTTFKMLTGDTTVTSGDATVAGKSILTNISEVHQNMGY
+CPQFDAIDELLTGREHLYLYARLRGVPAEEIEKVANWSIKSLGLTVYADCLAGTYSGGNK
+RKLSTAIALIGCPPLVLLDEPT
+>Q8WWZ7_PF12698_32 <unknown description>
+SVQEILFPLFFLFWLILISMMHPNKKYEEVPNIELNPMDKFTLSNLILGYTPVTNITSSI
+MQKVSTDHLPDVIITEEYTNEKEMLTSSLSKPSNFVGVVFKDSMSYELRFFPDMIPVSSI
+YMDSRAGCSKSCEAAQYWSSGFTVLQASIDAAIIQLKTNVSLWKELESTKAVIMGETAVV
+EIDTFPRGVILIYLVIAFSPFGYFLAIHIVAEKEKKIKEFLKIMGLHDTAFWLSWVLLYT
+SLIFLMSLLMAVIATASLLFPQSSSIVIFLLFFLYGLSSVFFALMLTPLFKKSKHVGIVE
+FFVTVAFGFIGLMIILIESFPKSLVWLFSPFCHCTFVIGIAQVMHLEDFNEGASFSNLTA
+GPYPLIITIIMLTLNSIFYVLLAVY
+>Q8WWZ7_PF00005_497 <unknown description>
+LRNLSFDIYEGQITALLGHSGTGKSTLMNILCGLCPPSDGFASIYGHRVSEIDEMFEARK
+MIGICPQLDIHFDVLTVEENLSILASIKGIPANNIIQEVQKVLLDLDMQTIKDNQAKKLS
+GGQKRKLSLGIAVLGNPKILLLDEPTA
+>Q8WWZ7_PF12698_866 <unknown description>
+LLLLLIFFTVQIFMFLVHHSFKNAVVPIKLVPDLYFLKPGDKPHKYKTSLLLQNSADSDI
+SDLISFFTSQNIMVTMINDSDYVSVAPHSAALNVMHSEKDYVFAAVFNSTMVYSLPILVN
+IISNYYLYHLNVTETIQIWSTPFFQEITDIVFKIELYFQAALLGIIVTAMPPYFAMENAE
+NHKIKAYTQLKLSGLLPSAYWIGQAVVDIPLFFIILILMLGSLLAFHYGLYFYTVKFLAV
+VFCLIGYVPSVILFTYIASFTFKKILNTKEFWSFIYSVAALACIAITEITFFMGYTIATI
+LHYAFCIIIPIYPLLGCLISFIKISWKNVRKNVDTYNPWDRLSVAVISPYLQCVLWIFL
+>Q8WWZ7_PF00005_1318 <unknown description>
+YISFCVKKGEILGLLGPNGAGKSTIINILVGDIEPTSGQVFLGDYSSETSEDDDSLKCMG
+YCPQINPLWPDTTLQEHFEIYGAVKGMSASDMKEVISRITHALDLKEHLQKTVKKLPAGI
+KRKLCFALSMLGNPQITLLDEPS
+>Q8N139_PF12698_33 <unknown description>
+EWGLSILLGLCIALFSSSMRNVQFPGMAPQNLGRVDKFNSSSLMVVYTPISNLTQQIMNK
+TALAPLLKGTSVIGAPNKTHMDEILLENLPYAMGIIFNETFSYKLIFFQGYNSPLWKEDF
+SAHCWDGYGEFSCTLTKYWNRGFVALQTAINTAIIEITTNHPVMEELMSVTAITMKTLPF
+ITKNLLHNEMFILFFLLHFSPLVYFISLNVTKERKKSKNLMKMMGLQDSAFWLSWGLIYA
+GFIFIISIFVTIIITFTQIIVMTGFMVIFILFFLYGLSLVALVFLMSVLLKKAVLTNLVV
+FLLTLFWGCLGFTVFYEQLPSSLEWILNICSPFAFTTGMIQIIKLDYNLNGVIFPDPSGD
+SYTMIATFSMLLLDGLIYLLLALY
+>Q8N139_PF00005_497 <unknown description>
+LKGLLFDIYEGQITAILGHSGAGKSSLLNILNGLSVPTEGSVTIYNKNLSEMQDLEEIRK
+ITGVCPQFNVQFDILTVKENLSLFAKIKGIHLKEVEQEVQRILLELDMQNIQDNLAKHLS
+EGQKRKLTFGITILGDPQILLLDEPT
+>Q8N139_PF12698_867 <unknown description>
+FPLIVENIMYAMLNEKIDWEFKNELYFLSPGQLPQEPRTSLLIINNTESNIEDFIKSLKH
+QNILLEVDDFENRNGTDGLSYNGAIIVSGKQKDYRFSVVCNTKRLHCFPILMNIISNGLL
+QMFNHTQHIRIESSPFPLSHIGLWTGLPDGSFFLFLVLCSISPYITMGSISDYKKNAKSQ
+LWISGLYTSAYWCGQALVDVSFFILILLLMYLIFYIENMQYLLITSQIVFALVIVTPGYA
+ASLVFFIYMISFIFRKRRKNSGLWSFYFFFASTIMFSITLINHFDLSILITTMVLVPSYT
+L
+>Q8N139_PF00005_1304 <unknown description>
+RNISFCVQEGEILGLLGPNGAGKSSSIRMISGITKPTAGEVELKGCSSVLGHLGYCPQEN
+VLWPMLTLREHLEVYAAVKGLRKADARLAIARLVSAFKLHEQLNVPVQKLTAGITRKLCF
+VLSLLGNSPVLLLDEPS
+>Q8IZY2_PF12698_551 <unknown description>
+PLFLTLAWIYSVTLTVKAVVREKETRLRDTMRAMGLSRAVLWLGWFLSCLGPFLLSAALL
+VLVLKLGDILPYSHPGVVFLFLAAFAVATVTQSFLLSAFFSRANLAAACGGLAYFSLYLP
+YVLCVAWRDRLPAGGRVAASLLSPVAFGFGCESLALLEEQGEGAQWHNVGTRPTADVFSL
+AQVSGLLLLDAALYGLATWY
+>Q8IZY2_PF00005_824 <unknown description>
+LRGLSLDFYQGHITAFLGHNGAGKTTTLSILSGLFPPSGGSAFILGHDVRSSMAAIRPHL
+GVCPQYNVLFDMLTVDEHVWFYGRLKGLSAAVVGPEQDRLLQDVGLVSKQSVQTRHLSGG
+MQRKLSVAIAFVGGSQVVILDEPTA
+>Q8IZY2_PF12698_1466 <unknown description>
+DAQDSLKIWFNNKGWHSMVAFVNRASNAILRAHLPPGPARHAHSITTLNHPLNLTKEQLS
+EGALMASSVDVLVSICVVFAMSFVPASFTLVLIEERVTRAKHLQLMGGLSPTLYWLGNFL
+WDMCNYLVPACIVVLIFLAFQQRAYVAPANLPALLLLLLLYGWSITPLMYPASFFFSVPS
+TAYVVLTCINLFIGINGSMATFVLELFSDQKLQEVSRILKQVFLIFPHFCLGRGLIDMVR
+NQAMADAFERLGDRQFQSPLRWEVVGKNLLAMVIQGPLFLLFTL
+>Q8IZY2_PF00005_1812 <unknown description>
+RLCLGIPPGECFGLLGVNGAGKTSTFRMVTGDTLASRGEAVLAGHSVAREPSAAHLSMGY
+CPQSDAIFELLTGREHLELLARLRGVPEAQVAQTAGSGLARLGLSWYADRPAGTYSGGNK
+RKLATALALVGDPAVVFLDEPT
+>O94911_PF12698_38 <unknown description>
+LLLLLCLYIYPHSHQVNDFSSLLTMDLGRVDTFNESRFSVVYTPVTNTTQQIMNKVASTP
+FLAGKEVLGLPDEESIKEFTANYPEEIVRVTFTNTYSYHLKFLLGHGMPAKKEHKDHTAH
+CYETNEDVYCEVSVFWKEGFVALQAAINAAIIEITTNHSVMEELMSVTGKNMKMHSFIGQ
+SGVITDLYLFSCIISFSSFIYYASVNVTRERKRMKALMTMMGLRDSAFWLSWGLLYAGFI
+FIMALFLALVIRSTQFIILSGFMVVFSLFLLYGLSLVALAFLMSILVKKSFLTGLVVFLL
+TVFWGCLGFTSLYRHLPASLEWILSLLSPFAFMLGMAQLLHLDYDLNSNAFPHPSDGSNL
+IVATNFMLAFDTCLYLALAIY
+>O94911_PF00005_499 <unknown description>
+LKDLVFDIYEGQITAILGHSGAGKSTLLNILSGLSVPTKGSVTIYNNKLSEMADLENLSK
+LTGVCPQSNVQFDFLTVRENLRLFAKIKGILPQEVDKEIQRVLLELEMKNIQDVLAQNLS
+GGQKRKLTFGIAILGDPQIFLLDEPTA
+>O94911_PF12698_941 <unknown description>
+VDAFGTRNGTDDPSYNGAITVCCNEKNYSFSLACNAKRLNCFPVLMDIVSNGLLGMVKPS
+VHIRTERSTFLENGQDNPIGFLAYIMFWLVLTSSCPPYIAMSSIDDYKNRARSQLRISGL
+SPSAYWFGQALVDVSLYFLVFVFIYLMSYISNFEDMLLTIIHIIQIPCAVGYSFSLIFMT
+YVISFIFRKGRKNSGIWSFCFYVVTVFSVAGFAFSIFESDIPFIFTFLIPPATMIGCLFL
+>O94911_PF00005_1307 <unknown description>
+RNVSFCVRKGEVLGLLGHNGAGKSTSIKVITGDTKPTAGQVLLKGSGGGDALEFLGYCPQ
+ENALWPNLTVRQHLEVYAAVKGLRKGDAEVAITRLVDALKLQDQLKSPVKTLSEGIKRKL
+CFVLSILGNPSVVLLDEPS
+>Q8IUA7_PF12698_32 <unknown description>
+LEWLFSFLLVLFLYLFFSNLHQVHDTPQMSSMDLGRVDSFNDTNYVIAFAPESKTTQEIM
+NKVASAPFLKGRTIMGWPDEKSMDELDLNYSIDAVRVIFTDTFSYHLKFSWGHRIPMMKE
+HRDHSAHCQAVNEKMKCEGSEFWEKGFVAFQAAINAAIIEIATNHSVMEQLMSVTGVHMK
+ILPFVAQGGVATDFFIFFCIISFSTFIYYVSVNVTQERQYITSLMTMMGLRESAFWLSWG
+LMYAGFILIMATLMALIVKSAQIVVLTGFVMVFTLFLLYGLSLITLAFLMSVLIKKPFLT
+GLVVFLLIVFWGILGFPALYTRLPAFLEWTLCLLSPFAFTVGMAQLIHLDYDVNSNAHLD
+SSQNPYLIIATLFMLVFDTLLYLVLTLY
+>Q8IUA7_PF00005_500 <unknown description>
+LKGVVFDIYEGQITALLGHSGAGKTTLLNILSGLSVPTSGSVTVYNHTLSRMADIENISK
+FTGFCPQSNVQFGFLTVKENLRLFAKIKGILPHEVEKEVQRVVQELEMENIQDILAQNLS
+GGQNRKLTFGIAILGDPQVLLLDEPTA
+>Q8IUA7_PF12698_954 <unknown description>
+PSYNGAIIVSGDEKDHRFSIACNTKRLNCFPVLLDVISNGLLGIFNSSEHIQTDRSTFFE
+EHMDYEYGYRSNTFFWIPMAASFTPYIAMSSIGDYKKKAHSQLRISGLYPSAYWFGQALV
+DVSLYFLILLLMQIMDYIFSPEEIIFIIQNLLIQILCSIGYVSSLVFLTYVISFIFRNGR
+KNSGIWSFFFLIVVIFSIVATDLNEYGFLGLFFGTMLIPPFTLIGSLFIFSEISPDSMDY
+LGASESEIVYLALLIPYLHFLIFLFI
+>Q8IUA7_PF00005_1310 <unknown description>
+RNVSFCVKKGEVIGLLGHNGAGKSTTIKMITGDTKPTAGQVILKGSGGGEPLGFLGYCPQ
+ENALWPNLTVRQHLEVYAAVKGLRKGDAMIAITRLVDALKLQDQLKAPVKTLSEGIKRKL
+CFVLSILGNPSVVLLDEPS
+>Q8WWZ4_PF12698_90 <unknown description>
+AFQAAINAAIIEVTTNHSVMEELTSVIGINMKIPPFISKGEIMNEWFHFTCLVSFSSFIY
+FASLNVARERGKFKKLMTVMGLRESAFWLSWGLTYICFIFIMSIFMALVITSIPIVFHTG
+FMVIFTLYSLYGLSLIALAFLMSVLIRKPMLAGLAGFLFTVFWGCLGFTVLYRQLPLSLG
+WVLSLLSPFAFTAGMAQITHLDNYLSGVIFPDPSGDSYKMIATFFILAFDTLFYLIFTLY
+>Q8WWZ4_PF00005_410 <unknown description>
+LQGIFFDIYEGQITAILGHNGAGKSTLLNILSGLSVSTEGSATIYNTQLSEITDMEEIRK
+NIGFCPQFNFQFDFLTVRENLRVFAKIKGIQPKEVEQEVKRIIMELDMQSIQDIIAKKLS
+GGQKRKLTLGIAILGDPQVLLLDEPTA
+>Q8WWZ4_PF12698_936 <unknown description>
+SIFLLLITNCVSPFIGMSSISDYKKNVQSQLWISGLWPSAYWCGQALVDIPLYFLILFSI
+HLIYYFIFLGFQLSWELMFVLVVCIIGCAVSLIFLTYVLSFIFRKWRKNNGFWSFGFFII
+LICVSTIMVSTQYEKLNLILCMIFIPSFTLLGYVMLLIQLDFMRNLDSLDNRINEVNKTI
+LLTTLIPYLQSVIFL
+>Q8WWZ4_PF00005_1223 <unknown description>
+RNVSFCVKKGEVLGLLGHNGAGKSTSIKMITGCTKPTAGVVVLQGSRASVRQQHDNSLKF
+LGYCPQENSLWPKLTMKEHLELYAAVKGLGKEDAALSISRLVEALKLQEQLKAPVKTLSE
+GIKRKLCFVLSILGNPSVVLLDEPF
+>Q86UK0_PF12698_934 <unknown description>
+KTIDEMEREAKRLYKSNELFGSVIFKLPSNRSWHRGYDSGNVFLPPVIKYTIRMSLKTAQ
+TTRSLRTKIWAPGPHNSPSHNQIYGRAFIYLQDSIERAIIELQTGRNSQEIAVQVQAIPY
+PCFMKDNFLTSVSYSLPIVLMVAWVVFIAAFVKKLVYEKDLRLHEYMKMMGVNSCSHFFA
+WLIESVGFLLVTIVILIIILKFGNILPKTNGFILFLYFSDYSFSVIAMSYLISVFFNNTN
+IAALIGSLIYIIAFFPFIVLVTVENELSYVLKVFMSLLSPTAFSYASQYIARYEEQGIGL
+QWENMYTSPVQDDTTSFGWLCCLILADSFIYFLIAWY
+>Q86UK0_PF00005_1361 <unknown description>
+VDNLNLNFYEGHITSLLGPNGAGKTTTISMLTGLFGASAGTIFVYGKDIKTDLHTVRKNM
+GVCMQHDVLFSYLTTKEHLLLYGSIKVPHWTKKQLHEEVKRTLKDTGLYSHRHKRVGTLS
+GGMKRKLSISIALIGGSRVVILDEPS
+>Q86UK0_PF12698_1744 <unknown description>
+WKGLIAQVILPIVFVTTAMGLGTLRNSSNSYPEIQISPSLYGTSEQTAFYANYHPSTEAL
+VSAMWDFPGIDNMCLNTSDLQCLNKDSLEKWNTSGEPITNFGVCSCSENVQECPKFNYSP
+PHRRTYSSQVIYNLTGQRVENYLISTANEFVQKRYGGWSFGLPLTKDLRFDITGVPANRT
+LAKVWYDPEGYHSLPAYLNSLNNFLLRVNMSKYDAARHGIIMYSHPYPGVQDQEQATISS
+LIDILVALSILMGYSVTTASFVTYVVREHQTKAKQLQHISGIGVTCYWVTNFIYDMVFYL
+VPVAFSIGIIAIFKLPAFYSENNLGAVSLLLLLFGYATFSWMYLLAGLFHETGMAFITYV
+CVNLFFGINSIVSLSVVYFLSKEKPNDPTLELISETLKRIFLIFPQFCFGYGLIELSQQQ
+SVLD
+>Q86UK0_PF00005_2273 <unknown description>
+VNNISIGIPAGECFGLLGVNGAGKTTIFKMLTGDIIPSSGNILIRNKTGSLGHVDSHSSL
+VGYCPQEDALDDLVTVEEHLYFYARVHGIPEKDIKETVHKLLRRLHLMPFKDRATSMCSY
+GTKRKLSTALALIGKPSILLLDEPS
+>Q2M3G0_PF00664_48 <unknown description>
+MILGILASLVNGACLPLMPLVLGEMSDNLISGCLVQTNTTNYQNCTQSQEKLNEDMTLLT
+LYYVGIGVAALIFGYIQISLWIITAARQTKRIRKQFFHSVLAQDIGWFDSCDIGELNTRM
+TDDIDKISDGIGDKIALLFQNMSTFSIGLAVGLVKGWKLTLVTLSTSPLIMASAAACSRM
+VISLTSKELSAYSKAGAVAEEVLSSIRTVIAFRAQEKELQRYTQNLKDAKDFGIKRTIAS
+KVSLGAVYFFMNGTYGLAFWYGTSLILNGEPGYTIGTVLAVFFSVI
+>Q2M3G0_PF00005_404 <unknown description>
+LKGLNLRIKSGETVALVGLNGSGKSTVVQLLQRLYDPDDGFIMVDENDIRALNVRHYRDH
+IGVVSQEPVLFGTTISNNIKYGRDDVTDEEMERAAREANAYDFIMEFPNKFNTLVGEKGA
+QMSGGQKQRIAIARALVRNPKILILDEATS
+>Q2M3G0_PF00664_693 <unknown description>
+VLGTLASVLNGTVHPVFSIIFAKIITMFGNNDKTTLKHDAEIYSMIFVILGVICFVSYFM
+QGLFYGRAGEILTMRLRHLAFKAMLYQDIAWFDEKENSTGGLTTILAIDIAQIQGATGSR
+IGVLTQNATNMGLSVIISFIYGWEMTFLILSIAPVLAVTGMIETAAMTGFANKDKQELKH
+AGKIATEALENIRTIVSLTREKAFEQMYEEMLQTQHRNTSKKAQIIGSCYAFSHAFIYFA
+YAAGFRFGAYLIQAGRMTPEGMFIVFTAIAYGA
+>Q2M3G0_PF00005_1033 <unknown description>
+LRGLSLSIERGKTVAFVGSSGCGKSTSVQLLQRLYDPVQGQVLFDGVDAKELNVQWLRSQ
+IAIVPQEPVLFNCSIAENIAYGDNSRVVPLDEIKEAANAANIHSFIEGLPEKYNTQVGLK
+GAQLSGGQKQRLAIARALLQKPKILLLDEATS
+>Q9NP58_PF16185_6 <unknown description>
+NYCEAEGPVGPAWMQDGLSPCFFFTLVPSTRMALGTLALVLALPCRRRERPAGADSLSWG
+AGPRISPYVLQLLLATLQAALPLAGLAGRVGTARGAPLPSYLLLASVLESLAGACGLWLL
+VVERSQARQRLAMGIWIKFRHSPGLLLLWTVAFAAENLALVSWNSPQWWWARADLGQQVQ
+FSLWVLRYVVSGGLFVLGLWAPGLRPQSYTLQVHEEDQDVERSQVRSAAQQSTWRDFGRK
+LRLLSGYLWP
+>Q9NP58_PF00664_274 <unknown description>
+LERALNVLVPIFYRNIVNLLTEKAPWNSLAWTVTSYVFLKFLQGGGTGSTGFVSNLRTFL
+WIRVQQFTSRRVELLIFSHLHELSLRWHLGRRTGEVLRIADRGTSSVTGLLSYLVFNVIP
+TLADIIIGIIYFSMFFNAWFGLIVFLCMSLYLTLTIVVTEWRTKFRRAMNTQENATRARA
+VDSLLNFETVKYYNAESYEVERYREAIIKYQGLEWKSSASLVLLNQTQNLVIGLGLLAGS
+LLCAYFVTEQKLQVGDYVLFGTYIIQLYMPL
+>Q9NP58_PF00005_606 <unknown description>
+LQDVSFTVMPGQTLALVGPSGAGKSTILRLLFRFYDISSGCIRIDGQDISQVTQASLRSH
+IGVVPQDTVLFNDTIADNIRYGRVTAGNDEVEAAAQAAGIHDAIMAFPEGYRTQVGERGL
+KLSGGEKQRVAIARTILKAPGIILLDEATS
+>O75027_PF00664_149 <unknown description>
+GAKAMNIVVPFMFKYAVDSLNQMSGNMLNLSDAPNTVATMATAVLIGYGVSRAGAAFFNE
+VRNAVFGKVAQNSIRRIAKNVFLHLHNLDLGFHLSRQTGALSKAIDRGTRGISFVLSALV
+FNLLPIMFEVMLVSGVLYYKCGAQFALVTLGTLGTYTAFTVAVTRWRTRFRIEMNKADND
+AGNAAIDSLLNYETVKYFNNERYEAQRYDGFLKTYETASLKSTSTLAMLNFGQSAIFSVG
+LTAIMVLASQGIVAGTLTVGDLVMVNGLLFQLSLP
+>O75027_PF00005_488 <unknown description>
+LSGISFEVPAGKKVAIVGGSGSGKSTIVRLLFRFYEPQKGSIYLAGQNIQDVSLESLRRA
+VGVVPQDAVLFHNTIYYNLLYGNISASPEEVYAVAKLAGLHDAILRMPHGYDTQVGERGL
+KLSGGEKQRVAIARAILKDPPVILYDEATS
+>Q9NP78_PF00664_190 <unknown description>
+AASFFLIVAALGETFLPYYTGRAIDGIVIQKSMDQFSTAVVIVCLLAIGSSFAAGIRGGI
+FTLIFARLNIRLRNCLFRSLVSQETSFFDENRTGDLISRLTSDTTMVSDLVSQNINVFLR
+NTVKVTGVVVFMFSLSWQLSLVTFMGFPIIMMVSNIYGKYYKRLSKEVQNALARASNTAE
+ETISAMKTVRSFANEEEEAEVYLRKLQQVYKLNRKEAAAYMYYVWGSGLTLLVVQVSILY
+YGGHLVISGQMTSGNLIAFIIYEFV
+>Q9NP78_PF00005_522 <unknown description>
+LQNVSFSLSPGKVTALVGPSGSGKSSCVNILENFYPLEGGRVLLDGKPISAYDHKYLHRV
+ISLVSQEPVLFARSITDNISYGLPTVPFEMVVEAAQKANAHGFIMELQDGYSTETGEKGA
+QLSGGQKQRVAMARALVRNPPVLILDEATS
+>Q9NRK6_PF00664_175 <unknown description>
+VGFLTMSSVISMSAPFFLGKIIDVIYTNPTVDYSDNLTRLCLGLSAVFLCGAAANAIRVY
+LMQTSGQRIVNRLRTSLFSSILRQEVAFFDKTRTGELINRLSSDTALLGRSVTENLSDGL
+RAGAQASVGISMMFFVSPNLATFVLSVVPPVSIIAVIYGRYLRKLTKVTQDSLAQATQLA
+EERIGNVRTVRAFGKEMTEIEKYASKVDHVMQLARKEAFARAGFFGATGLSGNLIVLSVL
+YKGGLLMGSAHMTVGELSSFLMYA
+>Q9NRK6_PF00005_511 <unknown description>
+QDFSLSIPSGSVTALVGPSGSGKSTVLSLLLRLYDPASGTISLDGHDIRQLNPVWLRSKI
+GTVSQEPILFSCSIAENIAYGADDPSSVTAEEIQRVAEVANAVAFIRNFPQGFNTVVGEK
+GVLLSGGQKQRIAIARALLKNPKILLLDEATS
+>O95342_PF00664_63 <unknown description>
+FVGSLCAFLHGIAQPGVLLIFGTMTDVFIDYDVELQELQIPGKACVNNTIVWTNSSLNQN
+MTNGTRCGLLNIESEMIKFASYYAGIAVAVLITGYIQICFWVIAAARQIQKMRKFYFRRI
+MRMEIGWFDCNSVGELNTRFSDDINKINDAIADQMALFIQRMTSTICGFLLGFFRGWKLT
+LVIISVSPLIGIGAATIGLSVSKFTDYELKAYAKAGVVADEVISSMRTVAAFGGEKREVE
+RYEKNLVFAQRWGIRKGIVMGFFTGFVWCLIFLCYALAFWYGSTLVLDEGEYTPGTLVQI
+FLSVIVGA
+>O95342_PF00005_438 <unknown description>
+LNDLNMVIKPGEMTALVGPSGAGKSTALQLIQRFYDPCEGMVTVDGHDIRSLNIQWLRDQ
+IGIVEQEPVLFSTTIAENIRYGREDATMEDIVQAAKEANAYNFIMDLPQQFDTLVGEGGG
+QMSGGQKQRVAIARALIRNPKILLLDMAT
+>O95342_PF00664_757 <unknown description>
+VGSVGAAVNGTVTPLYAFLFSQILGTFSIPDKEEQRSQINGVCLLFVAMGCVSLFTQFLQ
+GYAFAKSGELLTKRLRKFGFRAMLGQDIAWFDDLRNSPGALTTRLATDASQVQGAAGSQI
+GMIVNSFTNVTVAMIIAFSFSWKLSLVILCFFPFLALSGATQTRMLTGFASRDKQALEMV
+GQITNEALSNIRTVAGIGKERRFIEALETELEKPFKTAIQKANIYGFCFAFAQCIMFIAN
+SASYRYGGYLISNEGLHFSYVFRVISAVVL
+>O95342_PF00005_1096 <unknown description>
+LNGLSVSISPGQTLAFVGSSGCGKSTSIQLLERFYDPDQGKVMIDGHDSKKVNVQFLRSN
+IGIVSQEPVLFACSIMDNIKYGDNTKEIPMERVIAAAKQAQLHDFVMSLPEKYETNVGSQ
+GSQLSRGEKQRIAIARAIVRDPKILLLDEATS
+>Q09428_PF00664_304 <unknown description>
+TFRILADLLGFAGPLCIFGIVDHLGKENDVFQPKTQFLGVYFVSSQEFLANAYVLAVLLF
+LALLLQRTFLQASYYVAIETGINLRGAIQTKIYNKIMHLSTSNLSMGEMTAGQICNLVAI
+DTNQLMWFFFLCPNLWAMPVQIIVGVILLYYILGVSALIGAAVIILLAPVQYFVATKLSQ
+AQRSTLEYSNERLKQTNEMLRGIKLLKLYAWENIFRTRVETTRRKEMTSLRAFAIYTSIS
+IFMNTAIPIAAVLITFVGHVSFFKEADFSPSVAFASLSLFHILVTPL
+>Q09428_PF00005_696 <unknown description>
+LSNITIRIPRGQLTMIVGQVGCGKSSLLLAALGEMQKVSGAVFWSSLPDSEIGEDPSPER
+ETATDLDIRKRGPVAYASQKPWLLNATVEENIIFESPFNKQRYKMVIEACSLQPDIDILP
+HGDQTQIGERGINLSGGQRQRISVARALYQHANVVFLDDPF
+>Q09428_PF00664_1014 <unknown description>
+LLVFSQLLKHMVLVAIDYWLAKWTDSALTLTPAARNCSLSQECTLDQTVYAMVFTVLCSL
+GIVLCLVTSVTVEWTGLKVAKRLHRSLLNRIILAPMRFFETTPLGSILNRFSSDCNTIDQ
+HIPSTLECLSRSTLLCVSALAVISYVTPVFLVALLPLAIVCYFIQKYFRVASRDLQQLDD
+TTQLPLLSHFAETVEGLTTIRAFRYEARFQQKLLEYTDSNNIASLFLTAANRWLEVRMEY
+IGACVVLIAAVTSISNSLHRELSAGLVGLGLTYALMVSN
+>Q09428_PF00005_1361 <unknown description>
+LKHVNALIAPGQKIGICGRTGSGKSSFSLAFFRMVDTFEGHIIIDGIDIAKLPLHTLRSR
+LSIILQDPVLFSGTIRFNLDPERKCSDSTLWEALEIAQLKLVVKALPGGLDAIITEGGEN
+FSQGQRQLFCLARAFVRKTSIFIMDEATA
+>O60706_PF00664_302 <unknown description>
+TFRYLADLLGFAGPLCISGIVQRVNETQNGTNNTTGISETLSSKEFLENAYVLAVLLFLA
+LILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIET
+NQLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQ
+KSTLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIF
+MNAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPL
+>O60706_PF00005_688 <unknown description>
+LSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEMQTLEGKVHWSNVNESEPSFEATRSR
+NRYSVAYAAQKPWLLNATVEENITFGSPFNKQRYKAVTDACSLQPDIDLLPFGDQTEIGE
+RGINLSGGQRQRICVARALYQNTNIVFLDDPF
+>O60706_PF00664_994 <unknown description>
+LILMIFSKLLKHSVIVAIDYWLATWTSEYSINNTGKADQTYYVAGFSILCGAGIFLCLVT
+SLTVEWMGLTAAKNLHHNLLNKIILGPIRFFDTTPLGLILNRFSADTNIIDQHIPPTLES
+LTRSTLLCLSAIGMISYATPVFLVALLPLGVAFYFIQKYFRVASKDLQELDDSTQLPLLC
+HFSETAEGLTTIRAFRHETRFKQRMLELTDTNNIAYLFLSAANRWLEVRTDYLGACIVLT
+ASIASISGSSNSGLVGLGL
+>O60706_PF00005_1329 <unknown description>
+LKHVKAYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLHTLRSR
+LSIILQDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDAVVTEGGEN
+FSVGQRQLFCLARAFVRKSSILIMDEATA
+>P33897_PF06472_78 <unknown description>
+LLRLLFPRVLCRETGLLALHSAALVSRTFLSVYVARLDGRLARCIVRKDPRAFGWQLLQW
+LLIALPATFVNSAIRYLEGQLALSFRSRLVAHAYRLYFSQQTYYRVSNMDGRLRNPDQSL
+TEDVVAFAASVAHLYSNLTKPLLDVAVTSYTLLRAARSRGAGTAWPSAIAGLVVFLTANV
+LRAFSPKFGELVAEEARRKGELRYMHSRVVANSEEIAFYGGHEVELALLQRSYQDLASQI
+NLILLERLWYVMLEQFLMKYVWSASGLLMVAVPII
+>P33897_PF00005_492 <unknown description>
+SLNIRVEEGMHLLITGPNGCGKSSLFRILGGLWPTYGGVLYKPPPQRMFYIPQRPYMSVG
+SLRDQVIYPDSVEDMQRKGYSEQDLEAILDVVHLHHILQREGGWEAMCDWKDVLSGGEKQ
+RIGMARMFYHRPKYALLDECTS
+>Q9UBJ2_PF06472_91 <unknown description>
+LRKILFPKLVTTETGWLCLHSVALISRTFLSIYVAGLDGKIVKSIVEKKPRTFIIKLIKW
+LMIAIPATFVNSAIRYLECKLALAFRTRLVDHAYETYFTNQTYYKVINMDGRLANPDQSL
+TEDIMMFSQSVAHLYSNLTKPILDVMLTSYTLIQTATSRGASPIGPTLLAGLVVYATAKV
+LKACSPKFGKLVAEEAHRKGYLRYVHSRIIANVEEIAFYRGHKVEMKQLQKSYKALADQM
+NLILSKRLWYIMIEQFLMKYVWSSSGLIMVAIPII
+>Q9UBJ2_PF00005_496 <unknown description>
+RLNFKVEEGMHLLITGPNGCGKSSLFRILSGLWPVYEGVLYKPPPQHMFYIPQRPYMSLG
+SLRDQVIYPDSVDDMHDKGYTDQDLERILHNVHLYHIVQREGGWDAVMDWKDVLSGGEKQ
+RMGMARMFYHKPKYALLDECTS
+>P28288_PF06472_70 <unknown description>
+ILKIMVPRTFCKETGYLVLIAVMLVSRTYCDVWMIQNGTLIESGIIGRSRKDFKRYLLNF
+IAAMPLISLVNNFLKYGLNELKLCFRVRLTKYLYEEYLQAFTYYKMGNLDNRIANPDQLL
+TQDVEKFCNSVVDLYSNLSKPFLDIVLYIFKLTSAIGAQGPASMMAYLVVSGLFLTRLRR
+PIGKMTITEQKYEGEYRYVNSRLITNSEEIAFYNGNKREKQTVHSVFRKLVEHLHNFILF
+RFSMGFIDSIIAKYLATVVGYLVVSRPFL
+>P28288_PF00005_457 <unknown description>
+RDLNFEVRSGANVLICGPNGCGKSSLFRVLGELWPLFGGRLTKPERGKLFYVPQRPYMTL
+GTLRDQVIYPDGREDQKRKGISDLVLKEYLDNVQLGHILEREGGWDSVQDWMDVLSGGEK
+QRMAMARLFYHKPQFAILDECTS
+>O14678_PF06472_27 <unknown description>
+ILKVLFPSWSSQNALMFLTLLCLTLLEQFVIYQVGLIPSQYYGVLGNKDLEGFKTLTFLA
+VMLIVLNSTLKSFDQFTCNLLYVSWRKDLTEHLHRLYFRGRAYYTLNVLRDDIDNPDQRI
+SQDVERFCRQLSSMASKLIISPFTLVYYTYQCFQSTGWLGPVSIFGYFILGTVVNKTLMG
+PIVMKLVHQEKLEGDFRFKHMQIRVNAEPAAFYRAGHVEHMRTDRRLQRLLQTQRELMSK
+ELWLYIGINTFDYLGSILSYVVIAIPIF
+>O14678_PF00005_405 <unknown description>
+KDLSLKISEGQSLLITGNTGTGKTSLLRVLGGLWTSTRGSVQMLTDFGPHGVLFLPQKPF
+FTDGTLREQVIYPLKEVYPDSGSADDERILRFLELAGLSNLVARTEGLDQQVDWNWYDVL
+SPGEMQRLSFARLFYLQPKYAVLDEATS
+>P61221_PF04068_6 <unknown description>
+TRIAIVNHDKCKPKKCRQECKKSCPVVRMGKL
+>P61221_PF00037_49 <unknown description>
+WISETLCIGCGICIKKCPFGAL
+>P61221_PF00005_102 <unknown description>
+PGEVLGLVGTNGIGKSTALKILAGKQKPNLGKYDDPPDWQEILTYFRGSELQNYFTKILE
+DDLKAIIKPQYVDQIPKAAKGTVGSILDRKDETKTQAIVCQQLDLTHLKERNVEDLSGGE
+LQRFACAVVCIQKADIFMFDEPS
+>P61221_PF00005_367 <unknown description>
+GEFTDSEIMVMLGENGTGKTTFIRMLAGRLKPDEGGEVPVLNVSYKPQKISPKSTGSVRQ
+LLHEKIRDAYTHPQFVTDVMKPLQIENIIDQEVQTLSGGELQRVALALCLGKPADVYLID
+EPSA
+>Q8NE71_PF00005_321 <unknown description>
+NADLYIVAGRRYGLVGPNGKGKTTLLKHIANRALSIPPNIDVLLCEQEVVADETPAVQAV
+LRADTKRLKLLEEERRLQGQLEQGDDTAAERLEKVYEELRATGAAAAEAKARRILAGLGF
+DPEMQNRPTQKFSGGWRMRVSLARALFMEPTLLMLDEPTN
+>Q8NE71_PF00005_642 <unknown description>
+KNLDFGIDMDSRICIVGPNGVGKSTLLLLLTGKLTPTHGEMRKNHRLKIGFFNQQYAEQL
+RMEETPTEYLQRGFNLPYQDARKCLGRFGLESHAHTIQICKLSGGQKARVVFAELACREP
+DVLILDEPTN
+>Q9UG63_PF00005_101 <unknown description>
+LSDTKLELNSGRRYGLIGLNGIGKSMLLSAIGKREVPIPEHIDIYHLTREMPPSDKTPLH
+CVMEVDTERAMLEKEAERLAHEDAECEKLMELYERLEELDADKAEMRASRILHGLGFTPA
+MQRKKLKDFSGGWRMRVALARALFIRPFMLLLDEPTN
+>Q9UG63_PF12848_296 <unknown description>
+IHMHNKKLKYYTGNYDQYVKTRLELEENQMKRFHWEQDQIAHMKNYIARFGHGSAKLARQ
+AQSKEKTLQKMMA
+>Q9UG63_PF00005_413 <unknown description>
+YNNLEFGIDLDTRVALVGPNGAGKSTLLKLLTGELLPTDGMIRKHSHVKIGRYHQHLQEQ
+LDLDLSPLEYMMKCYPEIKEKEEMRKIIGRYGLTGKQQVSPIRNLSDGQKCRVCLAWLAW
+QNPHMLFLDEPTN
+>Q9NUQ8_PF00005_196 <unknown description>
+ADVNLAWGRRYGLVGRNGLGKTTLLKMLATRSLRVPAHISLLHVEQEVAGDDTPALQSVL
+ESDSVREDLLRRERELTAQIAAGRAEGSEAAELAEIYAKLEEIEADKAPARASVILAGLG
+FTPKMQQQPTREFSGGWRMRLALARALFARPDLLLLDEPTN
+>Q9NUQ8_PF12848_395 <unknown description>
+IHLHSQRLDGYRGDFETFIKSKQERLLNQQREYEAQQQYRQHIQVFIDRFRYNANRASQV
+QSKLKMLEKLPELKPVDKES
+>Q9NUQ8_PF00005_510 <unknown description>
+RLSVSADLESRICVVGENGAGKSTMLKLLLGDLAPVRGIRHAHRNLKIGYFSQHHVEQLD
+LNVSAVELLARKFPGRPEEEYRHQLGRYGISGELAMRPLASLSGGQKSRVAFAQMTMPCP
+NFYILDEPTN
+>P45844_PF00005_101 <unknown description>
+LKGISGKFNSGELVAIMGPSGAGKSTLMNILAGYRETGMKGAVLINGLPRDLRCFRKVSC
+YIMQDDMLLPHLTVQEAMMVSAHLKLQEKDEGRREMVKEILTALGLLSCANTRTGSLSGG
+QRKRLAIALELVNNPPVMFFDEPTS
+>P45844_PF19055_274 <unknown description>
+HQPSAKLFELFDQLYVLSQGQCVYRGKVCNLVPYLRDLGLNCPTYHNPADFVMEVASGEY
+GDQ
+>P45844_PF01061_391 <unknown description>
+TQFCILFKRTFLSIMRDSVLTHLRITSHIGIGLLIGLLYLGIGNEAKKVLSNSGFLFFSM
+LFLMFAALMPTVLTFPLEMGVFLREHLNYWYSLKAYYLAKTMADVPFQIMFPVAYCSIVY
+WMTSQPSDAVRFVLFAALGTMTSLVAQSLGLLIGAASTSLQVATFVGPVTAIPVLLFSGF
+FVSFDTIPTYLQWMSYISYVRYGFEGVIL
+>Q9UNQ0_PF00005_72 <unknown description>
+KPGLNAILGPTGGGKSSLLDVLAARKDPSGLSGDVLINGAPRPANFKCNSGYVVQDDVVM
+GTLTVRENLQFSAALRLATTMTNHEKNERINRVIQELGLDKVADSKVGTQFIRGVSGGER
+KRTSIGMELITDPSILFLDEPT
+>Q9UNQ0_PF19055_243 <unknown description>
+HQPRYSIFKLFDSLTLLASGRLMFHGPAQEALGYFESAGYHCEAYNNPADFFLDIINGDS
+>Q9UNQ0_PF01061_376 <unknown description>
+QLRWVSKRSFKNLLGNPQASIAQIIVTVVLGLVIGAIYFGLKNDSTGIQNRAGVLFFLTT
+NQCFSSVSAVELFVVEKKLFIHEYISGYYRVSSYFLGKLLSDLLPMRMLPSIIFTCIVYF
+MLGLKPKADAFFVMMFTLMMVAYSASSMALAIAAGQSVVSVATLLMTICFVFMMIFSGLL
+VNLTTIASWLSWLQYFSIPRYGFTALQHNEF
+>Q9H172_PF00005_86 <unknown description>
+KCLSGKFCRRELIGIMGPSGAGKSTFMNILAGYRESGMKGQILVNGRPRELRTFRKMSCY
+IMQDDMLLPHLTVLEAMMVSANLKLSEKQEVKKELVTEILTALGLMSCSHTRTALLSGGQ
+RKRLAIALELVNNPPVMFFDEPTS
+>Q9H172_PF19055_258 <unknown description>
+HQPSAKLFEMFDKLYILSQGQCIFKGVVTNLIPYLKGLGLHCPTYHNPADFIIEVASGE
+>Q9H172_PF01061_372 <unknown description>
+TQFCILFKRTFLSILRDTVLTHLRFMSHVVIGVLIGLLYLHIGDDASKVFNNTGCLFFSM
+LFLMFAALMPTVLTFPLEMAVFMREHLNYWYSLKAYYLAKTMADVPFQVVCPVVYCSIVY
+WMTGQPAETSRFLLFSALATATALVAQSLGLLIGAASNSLQVATFVGPVTAIPVLLFSGF
+FVSFKTIPTYLQWSSYLSYVRYGFEGVILT
+>Q9H222_PF00005_69 <unknown description>
+LKDVSLYVESGQIMCILGSSGSGKTTLLDAMSGRLGRAGTFLGEVYVNGRALRREQFQDC
+FSYVLQSDTLLSSLTVRETLHYTALLAIRRGNPGSFQKKVEAVMAELSLSHVADRLIGNY
+SLGGISTGERRRVSIAAQLLQDPKVMLFDEPT
+>Q9H222_PF19055_250 <unknown description>
+HQPRSELFQLFDKIAILSFGELIFCGTPAEMLDFFNDCGYPCPEHSNPFDFYMDLTSVDT
+QSKEREIETSKRVQMIESAYKKS
+>Q9H222_PF01061_368 <unknown description>
+LGVLLRRVTRNLVRNKLAVITRLLQNLIMGLFLLFFVLRVRSNVLKGAIQDRVGLLYQFV
+GATPYTGMLNAVNLFPVLRAVSDQESQDGLYQKWQMMLAYALHVLPFSVVATMIFSSVCY
+WTLGLHPEVARFGYFSAALLAPHLIGEFLTLVLLGIVQNPNIVNSVVALLSIAGVLVGSG
+FLRNIQEMPIPFKIISYFTFQKYCSEILVVNEF
+>Q9H221_PF00005_89 <unknown description>
+QNLSFKVRSGQMLAIIGSSGCGRASLLDVITGRGHGGKIKSGQIWINGQPSSPQLVRKCV
+AHVRQHNQLLPNLTVRETLAFIAQMRLPRTFSQAQRDKRVEDVIAELRLRQCADTRVGNM
+YVRGLSGGERRRVSIGVQLLWNPGILILDEPTS
+>Q9H221_PF19055_270 <unknown description>
+HQPRSDIFRLFDLVLLMTSGTPIYLGAAQHMVQYFTAIGYPCPRYSNPADFYVDLTS
+>Q9H221_PF01061_397 <unknown description>
+QQFTTLIRRQISNDFRDLPTLLIHGAEACLMSMTIGFLYFGHGSIQLSFMDTAALLFMIG
+ALIPFNVILDVISKCYSERAMLYYELEDGLYTTGPYFFAKILGELPEHCAYIIIYGMPTY
+WLANLRPGLQPFLLHFLLVWLVVFCCRIMALAAAALLPTFHMASFFSNALYNSFYLAGGF
+MINLSSLWTVPAWISKVSFLRWCFEGLMKIQF
+>Q8N2K0_PF12146_170 <unknown description>
+IILYLHGNAGTRGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFD
+WIKARSGDNPVYIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSH
+>P41238_PF18774_26 <unknown description>
+FYDPRELRKEACLLYEIKWGMSRKIWRSSGKNTTNHVEVNFIKKFTSERDFHPSMSCSIT
+WFLSWSPCWECSQAIREFLSRHPGVTLVIYVARLFWHMDQQNRQGLRDLVNSGVTIQIMR
+ASEYYHCWRNFVNY
+>Q9Y235_PF18772_48 <unknown description>
+PANFFKFQFRNVEYSSGRNKTFLCYVVEAQGKGGQVQASRGYLEDEHAAAHAEEAFFNTI
+LPAFDPALRYNVTWYVSSSPCAACADRIIKTLSKTKNLRLLILVGRLFMWEEPEIQAALK
+KLKEAGCKLRIMKPQDFEYVWQNFVEQEEGESKAFQPWEDIQENFLYYEEKLADILK
+>Q8WW27_PF08210_48 <unknown description>
+FCQIFGFPYGTTFPQTKHLTFYELKTSSGSLVQKGHASSCTGNYIHPESMLFEMNGYLDS
+AIYNNDSIRHIILYSNNSPCNEANHCCISKMYNFLITYPGITLSIYFSQLYHTEMDFPAS
+AWNREALRSLASLWPRVVLSPISGGIWHSVLHSFIS
+>Q96SE0_PF00561_123 <unknown description>
+PIVLLLPGITGSSQETYVLHLVNQALRDGYQAVVFNNRGCRGEELRTHRAFCASNTEDLE
+TVVNHIKHRYPQAPLLAVGISFGGILVLNHLAQARQAAGLVAALTLSACWDSFETTRSLE
+TPLNSLLFNQPLTAGLCQLVERNRKVIEKVVDIDFVLQARTIRQFDERYTSVAFGYQDCV
+TYYKAASPRTKIDAIRIPVLYLSAADDPFSPVCALPIQAAQHSPYVALLITARGGHIGFL
+EG
+>P08910_PF00561_128 <unknown description>
+MVICPGIANHSEKQYIRTFVDYAQKNGYRCAVLNHLGALPNIELTSPRMFTYGCTWEFGA
+MVNYIKKTYPLTQLVVVGFSLGGNIVCKYLGETQANQEKVLCCVSVCQGYSALRAQETFM
+QWDQCRRFYNFLMADNMKKIILSHRQALFGDHVKKPQSLEDTDLSRLYTATSLMQIDDNV
+MRKFHGYNSLKEYYEEESCMRYLHRIYVPLMLVNAADDPLVHESLLTIPKSLSEKRENVM
+FVLPLHGGHLGFFEG
+>Q8WU67_PF00561_140 <unknown description>
+PTILLLPGLTGTSKESYILHMIHLSEELGYRCVVFNNRGVAGENLLTPRTYCCANTEDLE
+TVIHHVHSLYPSAPFLAAGVSMGGMLLLNYLGKIGSKTPLMAAATFSVG
+>Q8TB40_PF00561_70 <unknown description>
+PLVMVHGFGGGVGLWILNMDSLSARRTLHTFDLLGFGRSSRPAFPRDPEGAEDEFVTSIE
+TWRETMGIPSMILLGHSLGGFLATSYSIKYPDRVKHLILVDPWGFPLRPTNPSEIRAPPA
+WVKAVASVLGRSNPLAVLRVAGPWGPGLVQRFRPDFKRKFADFFEDDTISEYIYHCNAQN
+PSGETAFKAMMESFGWARRPMLERIHLIRKDVPITMIYGSDTWIDTSTGKKVKMQRPDSY
+VRDMEIKGASHHVY
+>Q8WTS1_PF00561_77 <unknown description>
+PLVLLHGFGGGLGLWALNFGDLCTNRPVYAFDLLGFGRSSRPRFDSDAEEVENQFVESIE
+EWRCALGLDKMILLGHNLGGFLAAAYSLKYPSRVNHLILVEPWGFPER
+>Q9BV23_PF00561_72 <unknown description>
+PSILMLHGFSAHKDMWLSVVKFLPKNLHLVCVDMPGHEGTTRSSLDDLSIDGQVKRIHQF
+VECLKLNKKPFHLVGTSMGGQVAGVYAAYYPSDVSSLCLVCPAGLQYSTDNQFVQRLKEL
+QGSAAVEKIPLIPSTPEEMSEMLQLCSYVRFKVPQQILQGLVDVRIPHNNFYRKLFLEIV
+SEKSRYSLHQNMDKIKVPTQIIWGKQDQVLDVSGADMLAKSIANCQVELLENCGHSVVME
+RP
+>Q96I13_PF00561_177 <unknown description>
+VLFFIHGVGGSLAIWKEQLDFFVRLGYEVVAPDLAGHGASSAPQVAAAYTFYALAEDMRA
+IFKRYAKKRNVLIGHSYGVSFCTFLAHEYPDLVHKVIMINGGG
+>Q9NUJ1_PF00561_78 <unknown description>
+IIFIPGYLSYMNGTKALAIEEFCKSLGHACIRFDYSGVGSSDGNSEESTLGKWRKDVLSI
+IDDLADGPQILVGSSLGGWLMLHAAIARPEKVVALIGVATA
+>Q8NFV4_PF12697_60 <unknown description>
+VVFLHGLFGSKTNFNSIAKILAQQTGRRVLTVDARNHGDSPHSPDMSYEIMSQDLQDLLP
+QLGLVPCVVVGHSMGGKTAMLLALQRPELVERLIAVDISPVESTGVSHFATYVAAMRAIN
+IADELPRSRARKLADEQLSSVIQDMAVRQHLLTNLVEVDGRFVWRVNLDALTQHLDKILA
+FPQRQESYLGPTLFLLGGNSQFVHPSHHPEIMRLFPRAQMQTVPNAGHWIHADRPQDFIA
+A
+>Q7L211_PF12146_116 <unknown description>
+TIIYFHGNAGNIGHRLPNALLMLVNLKVNLLLVDYRGYGKSEGEASEEGLYLDSEAVLDY
+VMTRPDLDKTKIFLFGRSLGGAVAIHLASENSHRISAIMVENTFLSIPHMA
+>Q9BUJ0_PF12697_97 <unknown description>
+VVLLHGKAFNSHTWEQLGTLQLLSQRGYRAVALDLPGFGNSAPSKEASTEAGRAALLERA
+LRDLEVQNAVLVSPSLSGHYALPFLMRGHHQLHGFVPIAP
+>Q96IU4_PF12697_35 <unknown description>
+VLLLHGIRFSSETWQNLGTLHRLAQAGYRAVAIDLPGLGHSKEAAAPAPIGELAPGSFLA
+AVVDALELGPPVVISPSLSGMYSLP
+>O95870_PF00561_281 <unknown description>
+LVICCEGNAGFYEVGCVSTPLEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAIH
+RLGFQPQDIIIYAWSIGGFTATWAAMSYPDVSAMILDASFDDLVPLALKVMPDSWRGLVT
+RTVRQHL
+>Q9H3Z7_PF00561_174 <unknown description>
+VICCEGNAGFYEMGCLSAPLEAGYSVLGWNHPGFGSSTGVPFPQHDANAMDVVVEYALHR
+LHFPPAHLVVYGWSVGGFTATWATMTYPELGALVLDATFDDLVPLALKVMPHSWKGLVVR
+TVREH
+>Q8IZP0_PF07815_93 <unknown description>
+ISQTVDIHKEKVARREIGILTTNKNTSRTHKIIAPANMERPVRYIRKPIDYTVLDDVGHG
+VKWLKAKHGNNQPARTGT
+>Q8IZP0_PF00018_425 <unknown description>
+VAIYDYTKDKDDELSFMEGAIIYVIKKNDDGWYEGVCNRVTGLFP
+>Q9P2A4_PF07815_94 <unknown description>
+GQMVNMHMEKVARREIGTLATVQRLPPGQKVIAPENLPPLTPYCRRPLNFGCLDDIGHGI
+KDLSTQLSRTGT
+>Q9P2A4_PF14604_316 <unknown description>
+LYPYTSQKDNELSFSEGTVICVTRRYSDGWCEGVSSEGTGFFPGNYVE
+>Q9NX38_PF01597_111 <unknown description>
+VSSCVRGRLMEVNENILHKPSILQEKPSTEGYIAVVLPKFEESKSITEGLLTQKQYEEVM
+V
+>P00519_PF00018_67 <unknown description>
+VALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPS
+>P00519_PF00017_127 <unknown description>
+WYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTASDGKLYV
+SSESRFNTLAELVHHH
+>P00519_PF07714_242 <unknown description>
+ITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQL
+LGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVNAVVLLYMATQISSAMEYLEKKNFIH
+RDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIKSD
+VWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWNPS
+DRPSFAEIHQA
+>P00519_PF08919_1026 <unknown description>
+AITKGVVLDSTEALCLAISRNSEQMASHSAVLEAGKNLYTFCVSYVDSIQQMRNKFAFRE
+AINKLENNLRELQICPATAGSGPAATQDFSKLLSSVKEISDIVQR
+>P42684_PF00018_113 <unknown description>
+VALYDFVASGDNTLSITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPS
+>P42684_PF00017_173 <unknown description>
+WYHGPVSRSAAEYLLSSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTADGKVYV
+TAESRFSTLAELVHHH
+>P42684_PF07714_288 <unknown description>
+ITMKHKLGGGQYGEVYVGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQL
+LGVCTLEPPFYIVTEYMPYGNLLDYLRECNREEVTAVVLLYMATQISSAMEYLEKKNFIH
+RDLAARNCLVGENHVVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNTFSIKSD
+VWAFGVLLWEIATYGMSPYPGIDLSQVYDLLEKGYRMEQPEGCPPKVYELMRACWKWSPA
+DRPSFAETHQA
+>P42684_PF08919_1083 <unknown description>
+KISKEALLECADLLSSALTEPVPNSQLVDTGHQLLDYCSGYVDCIPQTRNKFAFREAVSK
+LELSLQELQVSSAAAGVPGTNPVLNNLLSCVQEISDVVQR
+>O14639_PF00412_99 <unknown description>
+CHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGGFFIKNGEYLCTLDYQRM
+>O14639_PF00412_158 <unknown description>
+CHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDRVTFNGRDCLCQLC
+>O14639_PF00412_226 <unknown description>
+CAGCGRDIKNGQALLALDKQWHLGCFKCKSCGKVLTGEYISKDGAPYCEKDYQG
+>O14639_PF00412_285 <unknown description>
+CEACHQFITGKVLEAGDKHYHPSCARCSRCNQMFTEGEEMYL
+>O14639_PF16182_349 <unknown description>
+TRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEP
+FYTSGYDDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTP
+TTSRSPQHFHRPGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIY
+KQHAALAAQSKSSEDIIKFSKFPAAQAPDPSETPKIETDHWPGPPSFAVVGPDMKRRSSG
+REEDDEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERSSLLASRYDSPINSASHI
+PSSKTASLPGYGRNGLHRPVSTDFAQYNSYGDVSGGVRDYQTLPDGHMPAMRMDRGVSMP
+NMLEPKIFPYEMLMVTNRGR
+>O14639_PF02209_743 <unknown description>
+HLAPEVFREIFGMSIQEFDRLPLWRRNDMKKKAKLF
+>Q6H8Q1_PF00412_24 <unknown description>
+CNTCGNVCKGEVLRVQDKYFHIKCFVCKACGCDLAEGGFFVRQGEYICTLDYQR
+>Q6H8Q1_PF00412_83 <unknown description>
+CFSCDQFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGDRVTFNGKECMCQKCS
+>Q6H8Q1_PF00412_153 <unknown description>
+CGGCGTEIKNGQALVALDKHWHLGCFKCKSCGKLLNAEYISKDGLPYCEADYHAKF
+>Q6H8Q1_PF00412_212 <unknown description>
+CDSCEKYITGRVLEAGEKHYHPSCALCVRCGQMFAEGEEMYLQ
+>Q6H8Q1_PF16182_276 <unknown description>
+TRTSSESIISVPASSTSGSPSRVIYAKLGGEILDYRDLAALPKSKAIYDIDRPDMISYSP
+YISHSAGDRQSYGEGDQDDRSYKQCRTSSPSSTGSVSLGRYTPTSRSPQHYSRPAGTVSV
+GTSSCLSLSQHPSPTSVFRHHYIPYFRGSESGRSTPSLSVLSDSKPPPSTYQQAPRHFHV
+PDTGVKDNIYRKPPIYRQHAARRSDGED
+>Q6H8Q1_PF16182_494 <unknown description>
+KSSWLMLKGDADTRTNSPDLDTQSLSHSSGTDRDPLQRMAGDSFHSRFPYSKSDPLPGHG
+KNGLDQRNANLAPCGADPDASWGMREYKIYPYDSLIVTNRIR
+>Q6H8Q1_PF02209_610 <unknown description>
+HLSPEEFQEVFGMSIEEFDRLALWKRNDLKKKALLF
+>O94929_PF00412_23 <unknown description>
+CYRCGDTCKGEVVRVHNNHFHIRCFTCQVCGCGLAQSGFFFKNQEYICTQDYQQ
+>O94929_PF00412_82 <unknown description>
+CDSCRDFITGEVISALGRTYHPKCFVCSLCRKPFPIGDKVTFSGKECVCQTCSQS
+>O94929_PF00412_151 <unknown description>
+CAGCKEEIKHGQSLLALDKQWHVSCFKCQTCSVILTGEYISKDGVPYCESDYHAQ
+>O94929_PF00412_210 <unknown description>
+CETCDRYISGRVLEAGGKHYHPTCARCVRCHQMFTEGEEMYLTGSEVWHPICKQ
+>O94929_PF16182_273 <unknown description>
+HRRTSETSISPPGSSIGSPNRVICAKVDNEILNYKDLAALPKVKSIYEVQRPDLISYEPH
+SRYMSDEMLERCGYGESLGTLSPYSQDIYENLDLRQRRASSPGYIDSPTYSRQGMSPTFS
+RSPHHYYRSGPESGRSSPYHSQLDVRSSTPTSYQAPKHFHIPAGDSNIYRKPPIYKRHGD
+LSTATKSKTSEDISQTSKYSPIYSPDPYYASESEYWTYHGSPKVPRARRFSSGGEEDDFD
+RSMHKLQSGIGRLILKEEMKARSSSYADPWTPPRSSTSSREALHTAGYEMSLNGSPRSHY
+LADSDPLISKSASLPAYRRNGLHRTPSADLFHYDSMNAVNWGMREYKIYPYELLLVTTRG
+R
+>O94929_PF02209_648 <unknown description>
+HLSQEEFYQVFGMTISEFDRLALWKRNELKKQARLF
+>Q9P1F3_PF14705_2 <unknown description>
+NVDHEVNLLVEEIHRLGSKNADGKLSVKFGVLFRDDKCANLFEALVGTLKAAKRRKIVTY
+PGELLLQGVHDDVDIILL
+>Q8N0Z2_PF14705_305 <unknown description>
+HIYREMMDMCFIICTMARHRRDGKIQVTFGDLFDRYVRISDKVVGILMRARKHGLVDFEG
+EMLWQGRDDHVVITLL
+>Q12979_PF00621_99 <unknown description>
+FLASEEIYINQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLC
+PKVQQWDSQVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVK
+GPKDSKDSHTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFL
+SSIN
+>Q12979_PF00169_334 <unknown description>
+KKTSAGKHQQYDCKWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKMKISALKSEIQK
+EKANKGQSRAIERLKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREA
+IQKLQ
+>Q12979_PF00168_506 <unknown description>
+LHVIVHSAKGFKQSANLYCTLEVDSFGYFVSKAKTRVFRDTAEPKWDEEFEIELEGSQSL
+RILCYEKCYDKTKVNKDNNEIVDKIMGKGQIQLDPQTVE
+>Q12979_PF00620_661 <unknown description>
+PYIVRQCVEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDILLMLSDMDINAIAGT
+LKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPDPNLITFLFLLEHLK
+RVAEKEPINKMSLHNLATVFGPTLLRPSE
+>Q969K4_PF12796_6 <unknown description>
+LFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLYYACLCGHEELVLYLLANGARCEA
+>Q969K4_PF00651_105 <unknown description>
+LQRLLEQGIHSDVVFVVHGKPFRVHRCVLGARSAYFANMLDTKWKGKSVVVLRHPLINPV
+AFGALLQYLYTGRLDIGVEHVSDCERLAKQCQLWDLLSDLEA
+>Q969K4_PF00651_271 <unknown description>
+CPDICFRVAGCSFLCHKAFFCGRSDYFRALLDDHFRESEEPATSGGPPAVTLHGISPDVF
+THVLYYMYSDHTELSPEAAYDVLSVADMYLLPGLKRLCGRSLAQ
+>Q8N961_PF12796_500 <unknown description>
+TDLINQAIEALGPDGVNTMDDQGMTPLMYACAAGDEAMVQMLIDAGANLDIQVPSNSPRH
+PSIHPDSRHWTSLTFAVLHGHISVVQLLLDAGAHV
+>Q8N961_PF00023_609 <unknown description>
+TPLQLASAAGNYELVSLLLSRGADP
+>Q8N961_PF00651_838 <unknown description>
+FLNNKEMSDVTFLVEGKLFYAHKVLLVTASNRFKTLMTNKSEQDGDSSKTIEISDMKYHI
+FQMMMQYLYYGGTESMEIPTTDILELLSAASLFQLDALQRHCEILCSQT
+>A6QL63_PF00023_604 <unknown description>
+GMTPLMYACVRGDEAMVQMLLDAGADLN
+>A6QL63_PF12796_649 <unknown description>
+RHWTALTFAVLHGHIPVVQLLLDAGAKVEGSVEHGEENYSETPLQLAAAVGNFELVSLLL
+ERGADP
+>A6QL63_PF00651_916 <unknown description>
+FLNNKEMSDVTFLVEGRPFYAHKVLLFTASPRFKALLSSKPTNDGTCIEIGYVKYSIFQL
+VMQYLYYGGPESLLIKNNEIMELLSAAKFFQLEALQRHCEIICAK
+>Q13085_PF00289_155 <unknown description>
+IEKVLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVP
+VPGGPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAM
+>Q13085_PF02786_325 <unknown description>
+KGYVKDVDDGLQAAEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIF
+VMRLAKQSRHLEVQILADQYGNAISLFGRDCSVQRRHQKIIEEAPATIATPAVFEHMEQC
+AVKLAKMVGYVSAGTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMG
+IPLY
+>Q13085_PF02785_545 <unknown description>
+ARITSENPDEGFKPSSGTVQELNFRSNKNVWGYFSVAAAGGLHEFADSQFGHCFSWGENR
+EEAISNMVVALKELSIRGDFRTTVEYLIKLLETESFQMNRIDTGWLD
+>Q13085_PF00364_790 <unknown description>
+VMRSPSAGKLIQYIVEDGGHVFAGQCYAEIEVMKMVMTLTAVESGCIHYVKRPGAALDPG
+CVLAKM
+>Q13085_PF08326_856 <unknown description>
+QLDNPSKVQQAELHTGSLPRIQSTALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSKV
+KDWVERLMKTLRDPSLPLLELQDIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPS
+QQIANILDSHAATLNRKSEREVFFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVE
+TQFQNGHYDKCVFALREENKSDMNTVLNYIFSHAQVTKKNLLVTMLIDQLCGRDPTLTDE
+LLNILTELTQLSKTTNAKVALRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIE
+NLQKLILSETSIFDVLPNFFYHSNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVV
+EFQFMLPTSHPNRGNIPTLNRMSFSSNLNHYGMTHVASVSDVLLDNSFTPPCQRMGGMVS
+FRTFEDFVRIFDEVMGCFSDSPPQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCD
+IEDDRLAAMFREFTQQNKATLVDHGIRRLTFLVAQKDFRKQVNYEVDRRFHREFPKFFTF
+RARDKFEEDRIYRHLEPALAFQLELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTD
+YRFFVRAIIRHSDLVTKEASFEYLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFV
+PTVIMDPSKIEESVRSMVMRYGSRLWKLRVLQAELKINIRLTPTGKAIPIRLFLTNESGY
+YLDISLYKEVTDSRTAQIMFQAYGDKQGPLH
+>Q13085_PF01039_1706 <unknown description>
+PEYPEGRDIIVIGNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVSANSGARIGLAE
+EIRHMFHVAWVDPEDPYKGYRYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGK
+EEGIGPENLRGSGMIAGESSLAYNEIITISLVTCRAIGIGAYLVRLGQRTIQVENSHLIL
+TGAGALNKVLGREVYTSNNQLGGIQIMHNNGVTHCTVCDDFEGVFTVLHWLSYMPKSVHS
+SVPLLNSKDPIDRIIEFVPTKTPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWA
+QTVVVGRARLGGIPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQ
+AIKDFNREGLPLMVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECCQPVLVYIPPQAEL
+RGGSWVVIDSSINPRHMEMYADRESRGSVLEPEGTVEIKFRRKDLVKTMRRVDPVYIHLA
+ERLGTPELSTAERKELENKLKEREEFLIPIYHQVAVQFADLHDTPGRMQEKGVISDILDW
+KTSRTFFYWRLRRL
+>O00763_PF00289_260 <unknown description>
+IEKVLIANNGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVP
+VPGGPNNNNYANVELIVDIAKRIPVQAVWAGWGHASENPKLPELLCKNGVAFLGPPSEAM
+>O00763_PF02786_431 <unknown description>
+KGCVKDVDEGLEAAERIGFPLMIKASEGGGGKGIRKAESAEDFPILFRQVQSEIPGSPIF
+LMKLAQHARHLEVQILADQYGNAVSLFGRDCSIQRRHQKIVEEAPATIAPLAIFEFMEQC
+AIRLAKTVGYVSAGTVEYLYSQDGSFHFLELNPRLQVEHPCTEMIADVNLPAAQLQIAMG
+VPLH
+>O00763_PF02785_651 <unknown description>
+ARITSENPDEGFKPSSGTVQELNFRSSKNVWGYFSVAATGGLHEFADSQFGHCFSWGENR
+EEAISNMVVALKELSIRGDFRTTVEYLINLLETESFQNNDIDTGWLD
+>O00763_PF00364_896 <unknown description>
+VLRSPSAGKLTQYTVEDGGHVEAGSSYAEMEVMKMIMTLNVQERGRVKYIKRPGAVLEAG
+CVVARL
+>O00763_PF08326_962 <unknown description>
+ELDDPSKVHPAEPFTGELPAQQTLPILGEKLHQVFHSVLENLTNVMSGFCLPEPVFSIKL
+KEWVQKLMMTLRHPSLPLLELQEIMTSVAGRIPAPVEKSVRRVMAQYASNITSVLCQFPS
+QQIATILDCHAATLQRKADREVFFINTQSIVQLVQRYRSGIRGYMKTVVLDLLRRYLRVE
+HHFQQAHYDKCVINLREQFKPDMSQVLDCIFSHAQVAKKNQLVIMLIDELCGPDPSLSDE
+LISILNELTQLSKSEHCKVALRARQILIASHLPSYELRHNQVESIFLSAIDMYGHQFCPE
+NLKKLILSETTIFDVLPTFFYHANKVVCMASLEVYVRRGYIAYELNSLQHRQLPDGTCVV
+EFQFMLPSSHPNRMTVPISITNPDLLRHSTELFMDSGFSPLCQRMGAMVAFRRFEDFTRN
+FDEVISCFANVPKDTPLFSEARTSLYSEDDCKSLREEPIHILNVSIQCADHLEDEALVPI
+LRTFVQSKKNILVDYGLRRITFLIAQEKEFPKFFTFRARDEFAEDRIYRHLEPALAFQLE
+LNRMRNFDLTAVPCANHKMHLYLGAAKVKEGVEVTDHRFFIRAIIRHSDLITKEASFEYL
+QNEGERLLLEAMDELEVAFNNTSVRTDCNHIFLNFVPTVIMDPFKIEESVRYMVMRYGSR
+LWKLRVLQAEVKINIRQTTTGSAVPIRLFITNESGYYLDISLYKEVTDSRSGNIMFHSFG
+NKQGPQH
+>O00763_PF01039_1780 <unknown description>
+QEYPEGRDVIVIGNDITFRIGSFGPGEDLLYLRASEMARAEGIPKIYVAANSGARIGMAE
+EIKHMFHVAWVDPEDPHKGFKYLYLTPQDYTRISSLNSVHCKHIEEGGESRYMITDIIGK
+DDGLGVENLRGSGMIAGESSLAYEEIVTISLVTCRAIGIGAYLVRLGQRVIQVENSHIIL
+TGASALNKVLGREVYTSNNQLGGVQIMHYNGVSHITVPDDFEGVYTILEWLSYMPKDNHS
+PVPIITPTDPIDREIEFLPSRAPYDPRWMLAGRPHPTLKGTWQSGFFDHGSFKEIMAPWA
+QTVVTGRARLGGIPVGVIAVETRTVEVAVPADPANLDSEAKIIQQAGQVWFPDSAYKTAQ
+AVKDFNREKLPLMIFANWRGFSGGMKDMYDQVLKFGAYIVDGLRQYKQPILIYIPPYAEL
+RGGSWVVIDATINPLCIEMYADKESRGGVLEPEGTVEIKFRKKDLIKSMRRIDPAYKKLM
+EQLGEPDLSDKDRKDLEGRLKAREDLLLPIYHQVAVQFADFHDTPGRMLEKGVISDILEW
+KTARTFLYWRLRRL
+>Q9UKU7_PF02771_42 <unknown description>
+NEEQKEFQKVAFDFAAREMAPNMAEWDQKELFPVDVMRKAAQLGFGGVYIQTDVGGSGLS
+RLDTSVIFEALATGCTSTTAYISIHNMCAWMIDSFGNEEQRHKFCPPLCTME
+>Q9UKU7_PF02770_157 <unknown description>
+SYCLTEPGSGSDAASLLTSAKKQGDHYILNGSKAFISGAGESDIYVVMCRTGGPGPKGIS
+CIVVEKGTPGLSFGKKEKKVGWNSQPTRAVIFEDC
+>Q9UKU7_PF00441_263 <unknown description>
+GQGFLIAVRGLNGGRINIASCSLGAAHASVILTRDHLNVRKQFGEPLASNQYLQFTLADM
+ATRLVAARLMVRNAAVALQEERKDAVALCSMAKLFATDECFAICNQALQMHGGYGYLKDY
+AVQQYVRDSRVHQILEGSNEVMRILISRSL
+>Q9H845_PF02771_69 <unknown description>
+QFLGPVEKFFTEEVDSRKIDQEGKIPDETLEKLKSLGLFGLQVPEEYGGLGFSNTMYSRL
+GEIISMDGSITVTLAAHQAIGLKGIILAGTEEQKAKYLPKLASGE
+>Q9H845_PF02770_177 <unknown description>
+AFCLTEPASGSDAASIRSRATLSEDKKHYILNGSKVWITNGGLANIFTVFAKTEVVDSDG
+SVKDKITAFIVERDFGGVTNGKPEDKLGIRGSNTCEVHFEN
+>Q9H845_PF00441_290 <unknown description>
+GDGFKVAMNILNSGRFSMGSVVAGLLKRLIEMTAEYACTRKQFNKRLSEFGLIQEKFALM
+AQKAYVMESMTYLTAGMLDQPGFPDCSIEAAMVKVFSSEAAWQCVSEALQILGGLGYTRD
+YPYERILRDTRILLIFEGTNEILRMYIA
+>P28330_PF02771_54 <unknown description>
+SPEHDIFRKSVRKFFQEEVIPHHSEWEKAGEVSREVWEKAGKQGLLGVNIAEHLGGIGGD
+LYSAAIVWEEQAYSNCSGPGFSIHSGIVMSYITNHGSEEQIKHFIPQMTAG
+>P28330_PF02770_169 <unknown description>
+AIAMTEPGAGSDLQGIKTNAKKDGSDWILNGSKVFISNGSLSDVVIVVAVTNHEAPSPAH
+GISLFLVENGMKGFIKGRKLHKMGLKAQDTAELFFED
+>P28330_PF00441_278 <unknown description>
+NKGFYYIMKELPQERLLIADVAISASEFMFEETRNYVKQRKAFGKTVAHLQTVQHKLAEL
+KTHICVTRAFVDNCLQLHEAKRLDSATACMAKYWASELQNSVAYDCVQLHGGWGYMWEYP
+IAKAYVDARVQPIYGGTNEIMKELIAREI
+>P11310_PF02771_42 <unknown description>
+TEQQKEFQATARKFAREEIIPVAAEYDKTGEYPVPLIRRAWELGLMNTHIPENCGGLGLG
+TFDACLISEELAYGCTGVQTAIEGNSLGQMPIIIAGNDQQKKKYLGRMT
+>P11310_PF02770_157 <unknown description>
+AYCVTEPGAGSDVAGIKTKAEKKGDEYIINGQKMWITNGGKANWYFLLARSDPDPKAPAN
+KAFTGFIVEADTPGIQIGRKELNMGQRCSDTRGIVFEDV
+>P11310_PF00441_267 <unknown description>
+GAGFKVAMGAFDKTRPVVAAGAVGLAQRALDEATKYALERKTFGKLLVEHQAISFMLAEM
+AMKVELARMSYQRAAWEVDSGRRNTYYASIAKAFAGDIANQLATDAVQILGGNGFNTEYP
+VEKLMRDAKIYQIYEGTSQIQRLIVARE
+>P16219_PF02771_36 <unknown description>
+ETHQMLLQTCRDFAEKELFPIAAQVDKEHLFPAAQVKKMGGLGLLAMDVPEELGGAGLDY
+LAYAIAMEEISRGCASTGVIMSVNNSLYLGPILKFGSKEQKQAWVTPFTSGD
+>P16219_PF02770_151 <unknown description>
+CFALSEPGNGSDAGAASTTARAEGDSWVLNGTKAWITNAWEASAAVVFASTDRALQNKGI
+SAFLVPMPTPGLTLGKKEDKLGIRGSSTANLIFEDC
+>P16219_PF00441_258 <unknown description>
+GMGFKIAMQTLDMGRIGIASQALGIAQTALDCAVNYAENRMAFGAPLTKLQVIQFKLADM
+ALALESARLLTWRAAMLKDNKKPFIKEAAMAKLAASEAATAISHQAIQILGGMGYVTEMP
+AERHYRDARITEIYEGTSEIQRLVIAGHL
+>P49748_PF02771_103 <unknown description>
+KELVEPVSRFFEEVNDPAKNDALEMVEETTWQGLKELGAFGLQVPSELGGVGLCNTQYAR
+LVEIVGMHDLGVGITLGAHQSIGFKGILLFGTKAQKEKYLPKLASGE
+>P49748_PF02770_213 <unknown description>
+AFCLTEPSSGSDAASIRTSAVPSPCGKYYTLNGSKLWISNGGLADIFTVFAKTPVTDPAT
+GAVKEKITAFVVERGFGGITHGPPEKKMGIKASNTAEVFFDGV
+>P49748_PF00441_327 <unknown description>
+GSGFKVAMHILNNGRFGMAAALAGTMRGIIAKAVDHATNRTQFGEKIHNFGLIQEKLARM
+VMLQYVTESMAYMVSANMDQGATDFQIEAAISKIFGSEAAWKVTDECIQIMGGMGFMKEP
+GVERVLRDLRIFRIFEGTNDILRLFVA
+>Q15027_PF16746_5 <unknown description>
+LDFEECLKDSPRFRASIELVEAEVSELETRLEKLLKLGTGLLESGRHYLAASRAFVVGIC
+DLARLGPPEPMMAECLEKFTVSLNHKLDSHAELLDATQHTLQQQIQTLVKEGLRGFREAR
+RDFWRGAESLEAALTHNAEVPRRRAQEAEEAGAALRTARAGYRGRALDYALQINVIEDKR
+KFDIMEFVLRLVEAQATHFQQGHEELSRLSQYRKELGAQLHQLVLNSAREKRDM
+>Q15027_PF00169_267 <unknown description>
+VMEGHLFKRASNAFKTWSRRWFTIQSNQLVYQKKYKDPVTVVVDDLRLCTVKLCPDSERR
+FCFEVVSTSKSCLLQADSERLLQLWVSAVQSSI
+>Q15027_PF01412_407 <unknown description>
+VVAQVQSVDGNAQCCDCREPAPEWASINLGVTLCIQCSGIHRSLGVHFSKVRSLTLDSWE
+PELVKLMCELGNVIINQIYEARVEAMAVKKPGPSCSRQEKEAWIHAKYVEKKFLT
+>Q15027_PF12796_588 <unknown description>
+MADALAHGADVNWVNGGQDNATPLIQATAANSLLACEFLLQNGANVNQADSAGRGPLHHA
+TILGHTGLACLFLKRGADLGARD
+>Q15057_PF16746_5 <unknown description>
+VDFEECLKDSPRFRAALEEVEGDVAELELKLDKLVKLCIAMIDTGKAFCVANKQFMNGIR
+DLAQYSSNDAVVETSLTKFSDSLQEMINFHTILFDQTQRSIKAQLQNFVKEDLRKFKDAK
+KQFEKVSEEKENALVKNAQVQRNKQHEVEEATNILTATRKCFRHIALDYVLQINVLQSKR
+RSEILKSMLSFMYAHLAFFHQGYDLFSELGPYMKDLGAQLDRLVVDAAKEKREM
+>Q15057_PF00169_268 <unknown description>
+VMEGYLFKRASNAFKTWNRRWFSIQNNQLVYQKKFKDNPTVVVEDLRLCTVKHCEDIERR
+FCFEVVSPTKSCMLQADSEKLRQAWIKAVQTSI
+>Q15057_PF01412_401 <unknown description>
+ALQRVQCIPGNASCCDCGLADPRWASINLGITLCIECSGIHRSLGVHFSKVRSLTLDTWE
+PELLKLMCELGNDVINRVYEANVEKMGIKKPQPGQRQEKEAYIRAKYVERKFVDK
+>Q15057_PF12796_610 <unknown description>
+LYRASYEKNLPKMAEALAHGADVNWANSEENKATPLIQAVLGGSLVTCEFLLQNGANVNQ
+RDVQGRGPLHHATVLGHTGQVCLFLKRGANQHATD
+>Q96P50_PF16746_3 <unknown description>
+VEFEECVKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSGMVEAGKAYVSTSRLFVSGVR
+DLSQQCQGDTVISECLQRFADSLQEVVNYHMILFDQAQRSVRQQLQSFVKEDVRKFKETK
+KQFDKVREDLELSLVRNAQAPRHRPHEVEEATGALTLTRKCFRHLALDYVLQINVLQAKK
+KFEILDSMLSFMHAQSSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREM
+>Q96P50_PF00169_269 <unknown description>
+VVMEGYLFKRASNAFKTWNRRWFSIQNSQLVYQKKLKDALTVVVDDLRLCSVKPCEDIER
+RFCFEVLSPTKSCMLQADSEKLRQAWVQAVQASI
+>Q96P50_PF01412_404 <unknown description>
+SVLQRVQSVAGNSQCGDCGQPDPRWASINLGVLLCIECSGIHRSLGVHCSKVRSLTLDSW
+EPELLKLMCELGNSAVNQIYEAQCEGAGSRKPTASSSRQDKEAWIKDKYVEKKFLRK
+>Q96P50_PF13857_689 <unknown description>
+AHGAEVNWADAEDEGKTPLVQAVLGGSLIVCEFLLQNGADVNQRDSRGRAPLHHA
+>O00400_PF13000_75 <unknown description>
+ILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFSLKLLWAPLVDAVYV
+KNFGRRKSWLVPTQYILGLFMIYLSTQVDRLLGNTDDRTPDVIALTVAFFLFEFLAATQD
+IAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADFCNKYLRFQPQPRGI
+VTLSDFLFFWGTVFLITTTLVALLKKENEV
+>O00400_PF13000_287 <unknown description>
+VKEETQGITDTYKLLFAIIKMPAVLTFCLLILTAKIGFSAADAVTGLKLVEEGVPKEHLA
+LLAVPMVPLQIILPLIISKYTAGPQPLNTFYKAMPYRLLLGLEYALLVWWTPKVEHQGGF
+PIYYYIVVLLSYALHQVTVYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTV
+ALWLVDPLTVKECVGASNQNCRTPDAVELCKKLGGSCVTALDGYYVESIICVFIGFGWWF
+FLGPKFKKLQDEGSSSWKC
+>Q8NC06_PF00887_13 <unknown description>
+QKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLVPRPGFWDPIGRYKWDA
+WNSLGKMSREEAMSAYIT
+>Q5T8D3_PF00887_45 <unknown description>
+TRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDPIGRYKWDAW
+SSLGDMTKEEAMIAYVEE
+>Q9BR61_PF00887_44 <unknown description>
+ELFEKAAAHLQGLIQVASREQLLYLYARYKQVKVGNCNTPKPSFFDFEGKQKWEAWKALG
+DSSPSQAMQEYIAVV
+>Q9BR61_PF12796_167 <unknown description>
+RENNIDHITKAIKSKNVDVNVKDEEGRALLHWACDRGHKELVTVLLQHRADINCQDNEGQ
+TALHYASACEFLDIVELLLQSGADPTLRD
+>Q8N6N7_PF00887_4 <unknown description>
+QADFDRAAEDVRKLKARPDDGELKELYGLYKQAIVGDINIACPGMLDLKGKAKWEAWNLK
+KGLSTEDATSAYISK
+>Q96GR2_PF00501_111 <unknown description>
+EALDKYGDLIALGFKRQDKWEHISYSQYYLLARRAAKGFLKLGLKQAHSVAILGFNSPEW
+FFSAVGTVFAGGIVTGIYTTSSPEACQYIAYDCCANVIMVDTQKQLEKILKIWKQLPHLK
+AVVIYKEPPPNKMANVYTMEEFMELGNEVPEEALDAIIDTQQPNQCCVLVYTSGTTGNPK
+GVMLSQDNITWTARYGSQAGDIRPAEVQQEVVVSYLPLSHIAAQIYDLWTGIQWGAQVCF
+AEPDALKGSLVNTLREVEPTSHMGVPRVWEKIMERIQEVAAQSGFIRRKMLLWAMSVTLE
+QNLTCPGSDLKPFTTRLADYLVLAKVRQALGFAKCQKNFYGAAPMMAETQHFFLGLNIRL
+YAGYGLSETSGPHFMSSPYNYRLYSSGKLVPGCRVKLVNQDAEGIGEICLWGRTIFMGYL
+NMEDKTCEAIDEEGWLHTGDAGRLDADGFLYITGRLKELIIT
+>Q5FVE4_PF00501_57 <unknown description>
+FRESVNRFGTYPALASKNGKKWEILNFNQYYEACRKAAKSLIKLGLERFHGVGILGFNSA
+EWFITAVGAILAGGLCVGIYATNSAEVCQYVITHAKVNILLVENDQQLQKILSIPQSSLE
+PLKAIIQYRLPMKKNNNLYSWDDFMELGRSIPDTQLEQVIESQKANQCAVLIYTSGTTGI
+PKGVMLSHDNITWIAGAVTKDFKLTDKHETVVSYLPLSHIAAQMMDIWVPIKIGALTYFA
+QADALKGTLVSTLKEVKPTVFIGVPQIWEKIHEMVKKNSAKSMGLKKKAFVWARNIGFKV
+NSKKMLGKYNTPVSYRMAKTLVFSKVKTSLGLDHCHSFISGTAPLNQETAEFFLSLDIPI
+GELYGLSESSGPHTISNQNNYRLLSCGKILTGCKNMLFQQNKDGIGEICLWGRHIFMGYL
+ESETETTEAIDDEGWLHSGDLGQLDGLGFLYVTGHIKEILIT
+>P07108_PF00887_3 <unknown description>
+QAEFEKAAEEVRHLKTKPSDEEMLFIYGHYKQATVGDINTERPGMLDFTGKAKWDAWNEL
+KGTSKEDAMKAYINKV
+>Q6JQN1_PF13419_132 <unknown description>
+AKQFPVMTEAITQIRAKGLQTAVLSNNFYLPNQKSFLPLDRKQFDVIVESCMEGICKPDP
+RIYKLCLEQLGLQPSESIFLDDLGTNLKEAARLGIHTIKV
+>Q6JQN1_PF01636_290 <unknown description>
+QFDHGQSNPTYYIRLANRDLVLRKKPPGTLLPSAHAIEREFRIMKALANAGVPVPNVLDL
+CEDSSVIGTPFYVMEYCPGLIYKDPSLPGLEPSHRRAIYTAMNTVLCKIHSVDLQAVGLE
+DYGKQGDYIPRQVRTWVKQYRASETSTIPAMERLIEWLPLHLPRQQRTTVVHGDFRLDNL
+VFHPEEPEVLAVLDWELSTLGDPLADVAYSCLA
+>Q6JQN1_PF02771_664 <unknown description>
+RELYHRLKHFMEQRVYPAEPELQSHQASAARWSPSPLIEDLKEKAKAEGLWNLFLPLEAD
+PEKKYGAGLTNVEYAHLCELMGTSLYAPEVCNCSAPDTGNMELLVRYGTEAQKARWLIPL
+LEGK
+>Q6JQN1_PF02770_791 <unknown description>
+CFAMTEPQVASSDATNIEASIREEDSFYVINGHKWWITGILDPRCQLCVFMGKTDPHAPR
+HRQQSVLLVPMDTPGIKIIRPLTVYGLEDAPGGHGEVRFEHV
+>Q6JQN1_PF00441_904 <unknown description>
+GRGFEIAQGRLGPGRIHHCMRLIGFSERALALMKARVKSRLAFGKPLVEQGTVLADIAQS
+RVEIEQARLLVLRAAHLMDLAGNKAAALDIAMIKMVAPSMASRVIDRAIQAFGAAGLSSD
+YPLAQFFTWARALRFADGPDEVHRATVAK
+>Q709F0_PF01636_46 <unknown description>
+IAQYRAGKSNPTFYLQKGFQTYVLRKKPPGSLLPKAHQIDREFKVQKALFSIGFPVPKPI
+LYCSDTSVIGTEFYVMEHVQGRIFRDLTIPGLSPAERSAIYVATVETLAQLHSLNIQSLQ
+LEGYGIGAGYCKRQVSTWTKQYQAAAHQDIPAMQQLSEWLMKNLPDNDNEENLIHGDFRL
+DNIVFHPKECRVIAVLDWELSTIGHPLSDLAHFSLFYFWP
+>Q709F0_PF02771_382 <unknown description>
+VLIKVKHFMKQHILPAEKEVTEFYVQNENSVDKWGKPLVIDKLKEMAKVEGLWNLFLPAV
+SGLSHVDYALIAEETGKCFFAPDVFNCQAPDTGNMEVLHLYGSEEQKKQWLEPLLQG
+>Q709F0_PF02770_503 <unknown description>
+CFCMTEPDVASSDATNIECSIQRDEDSYVINGKKWWSSGAGNPKCKIAIVLGRTQNTSLS
+RHKQHSMILVPMNTPGVKIIRPLSVFGYTDNFH
+>Q709F0_PF00441_618 <unknown description>
+GRGFEISQGRLGPGRIHHCMRTVGLAERALQIMCERATQRIAFKKKLYAHEVVAHWIAES
+RIAIEKIRLLTLKAAHSMDTLGSAGAKKEIAMIKVAAPRAVSKIVDWAIQVCGGAGVSQD
+YPLANMYAITRVLRLADGPDEVHLSAIAT
+>P45954_PF02771_58 <unknown description>
+TDEEMMIKSSVKKFAQEQIAPLVSTMDENSKMEKSVIQGLFQQGLMGIEVDPEYGGTGAS
+FLSTVLVIEELAKVDASVAVFCEIQNTLINTLIRKHGTEEQKATYLPQLTT
+>P45954_PF02770_173 <unknown description>
+SFCLSEAGAGSDSFALKTRADKEGDYYVLNGSKMWISSAEHAGLFLVMANVDPTIGYKGI
+TSFLVDRDTPGLHIGKPENKLGLRASSTCPLTFENV
+>P45954_PF00441_280 <unknown description>
+GHGYKYAIGSLNEGRIGIAAQMLGLAQGCFDYTIPYIKERIQFGKRLFDFQGLQHQVAHV
+ATQLEAARLLTYNAARLLEAGKPFIKEASMAKYYASEIAGQTTSKCIEWMGGVGYTKDYP
+VEKYFRDAKIGTIYEGASNIQLNTIAKHI
+>Q96AP0_PF10341_11 <unknown description>
+PWIRELILGSETPSSPRAGQLLEVLQDAEAAVAGPSHAPDTSDVGATLLVSDGTHSVRCL
+VTREALDTSDWEEKEFGFRGTEGRLLLLQDCGVHVQVAEGGAPAEFYLQV
+>Q9BYF1_PF01401_21 <unknown description>
+IEEQAKTFLDKFNHEAEDLFYQSSLASWNYNTNITEENVQNMNNAGDKWSAFLKEQSTLA
+QMYPLQEIQNLTVKLQLQALQQNGSSVLSEDKSKRLNTILNTMSTIYSTGKVCNPDNPQE
+CLLLEPGLNEIMANSLDYNERLWAWESWRSEVGKQLRPLYEEYVVLKNEMARANHYEDYG
+DYWRGDYEVNGVDGYDYSRGQLIEDVEHTFEEIKPLYEHLHAYVRAKLMNAYPSYISPIG
+CLPAHLLGDMWGRFWTNLYSLTVPFGQKPNIDVTDAMVDQAWDAQRIFKEAEKFFVSVGL
+PNMTQGFWENSMLTDPGNVQKAVCHPTAWDLGKGDFRILMCTKVTMDDFLTAHHEMGHIQ
+YDMAYAAQPFLLRNGANEGFHEAVGEIMSLSAATPKHLKSIGLLSPDFQEDNETEINFLL
+KQALTIVGTLPFTYMLEKWRWMVFKGEIPKDQWMKKWWEMKREIVGVVEPVPHDETYCDP
+ASLFHVSNDYSFIRYYTRTLYQFQFQEALCQAAKHEGPLHKCDISNSTEAGQKLFNMLRL
+GKSEPWTLALENVVGAKNMNVRPLLNYFEPLFTWLKDQNKNSFVGW
+>Q9BYF1_PF16959_617 <unknown description>
+SIKVRISLKSALGDKAYEWNDNEMYLFRSSVAYAMRQYFLKVKNQMILFGEEDVRVANLK
+PRISFNFFVTAPKNVSDIIPRTEVEKAIRMSRSRINDAFRLNDNSLEFLGIQPTLGPPNQ
+PPVSIWLIVFGVVMGVIVVGIVILIFTGIRDRKK
+>Q8TDN7_PF05875_7 <unknown description>
+YQSSEVDWCESNFQYSELVAEFYNTFSNIPFFIFGPLMMLLMHPYAQKRSRYIYVVWVLF
+MIIGLFSMYFHMTLSFLGQLLDEIAILWLLGSGYSIWMPRCYFPSFLGGNRSQFIRLVFI
+TTVVSTLLSFLRPTVNAYALNSIALHILYIVCQEYRKTSNKELRHLIEVSVVLWAVALTS
+WISDRLLCSFWQRIHFFYLHSIWHVLISITFPYGMVTMALVDANYEMPGETLKVRYWPRD
+SWPVGLPYV
+>Q5QJU3_PF05875_13 <unknown description>
+GSSEVDWCEDNYTIVPAIAEFYNTISNVLFFILPPICMCLFRQYATCFNSGIYLIWTLLV
+VVGIGSVYFHATLSFLGQMLDELAVLWVLMCALAMWFPRRYLPKIFRNDRGRFKVVVSVL
+SAVTTCLAFVKPAINNISLMTLGVPCTALLIAELKRCDNMRVFKLGLFSGLWWTLALFCW
+ISDRAFCELLSSFNFPYLHCMWHILICLAAYLGCVCFAYFDAASEIPEQGPVIKFWPNEK
+WAFIGVPYV
+>Q9NUN7_PF05875_12 <unknown description>
+GPTTSTLDWCEENYSVTWYIAEFWNTVSNLIMIIPPMFGAVQSVRDGLEKRYIASYLALT
+VVGMGSWCFHMTLKYEMQLLDELPMIYSCCIFVYCMFECFKIKNSVNYHLLFTLVLFSLI
+VTTVYLKVKEPIFHQVMYGMLVFTLVLRSIYIVTWVYPWLRGLGYTSLGIFLLGFLFWNI
+DNIFCESLRNFRKKVPPIIGITTQFHAWWHILTGLGSYLHILFSLYTRTLYLRYRPKVKF
+>P22303_PF00135_39 <unknown description>
+LLVTVRGGRLRGIRLKTPGGPVSAFLGIPFAEPPMGPRRFLPPEPKQPWSGVVDATTFQS
+VCYQYVDTLYPGFEGTEMWNPNRELSEDCLYLNVWTPYPRPTSPTPVLVWIYGGGFYSGA
+SSLDVYDGRFLVQAERTVLVSMNYRVGAFGFLALPGSREAPGNVGLLDQRLALQWVQENV
+AAFGGDPTSVTLFGESAGAASVGMHLLSPPSRGLFHRAVLQSGAPNGPWATVGMGEARRR
+ATQLAHLVGCPPGGTGGNDTELVACLRTRPAQVLVNHEWHVLPQESVFRFSFVPVVDGDF
+LSDTPEALINAGDFHGLQVLVGVVKDEGSYFLVYGAPGFSKDNESLISRAEFLAGVRVGV
+PQVSDLAAEAVVLHYTDWLHPEDPARLREALSDVVGDHNVVCPVAQLAGRLAAQGARVYA
+YVFEHRASTLSWPLWMGVPHGYEIEFIFGIPLDPSRNYTAEEKIFAQRLMRYWANFARTG
+DPNEPRDPKAPQWPPYTAGAQQYVSLDLRPLEVRRGLRAQACAFW
+>P22303_PF08674_578 <unknown description>
+DEAERQWKAEFHRWSSYMVHWKNQFDHYSKQDRC
+>P12821_PF01401_41 <unknown description>
+ADEAGAQLFAQSYNSSAEQVLFQSVAASWAHDTNITAENARRQEEAALLSQEFAEAWGQK
+AKELYEPIWQNFTDPQLRRIIGAVRTLGSANLPLAKRQQYNALLSNMSRIYSTAKVCLPN
+KTATCWSLDPDLTNILASSRSYAMLLFAWEGWHNAAGIPLKPLYEDFTALSNEAYKQDGF
+TDTGAYWRSWYNSPTFEDDLEHLYQQLEPLYLNLHAFVRRALHRRYGDRYINLRGPIPAH
+LLGDMWAQSWENIYDMVVPFPDKPNLDVTSTMLQQGWNATHMFRVAEEFFTSLELSPMPP
+EFWEGSMLEKPADGREVVCHASAWDFYNRKDFRIKQCTRVTMDQLSTVHHEMGHIQYYLQ
+YKDLPVSLRRGANPGFHEAIGDVLALSVSTPEHLHKIGLLDRVTNDTESDINYLLKMALE
+KIAFLPFGYLVDQWRWGVFSGRTPPSRYNFDWWYLRTKYQGICPPVTRNETHFDAGAKFH
+VPNVTPYIRYFVSFVLQFQFHEALCKEAGYEGPLHQCDIYRSTKAGAKLRKVLQAGSSRP
+WQEVLKDMVGLDALDAQPLLKYFQPVTQWLQEQNQQNGEVLGW
+>P12821_PF01401_644 <unknown description>
+TDEAEASKFVEEYDRTSQVVWNEYAEANWNYNTNITTETSKILLQKNMQIANHTLKYGTQ
+ARKFDVNQLQNTTIKRIIKKVQDLERAALPAQELEEYNKILLDMETTYSVATVCHPNGSC
+LQLEPDLTNVMATSRKYEDLLWAWEGWRDKAGRAILQFYPKYVELINQAARLNGYVDAGD
+SWRSMYETPSLEQDLERLFQELQPLYLNLHAYVRRALHRHYGAQHINLEGPIPAHLLGNM
+WAQTWSNIYDLVVPFPSAPSMDTTEAMLKQGWTPRRMFKEADDFFTSLGLLPVPPEFWNK
+SMLEKPTDGREVVCHASAWDFYNGKDFRIKQCTTVNLEDLVVAHHEMGHIQYFMQYKDLP
+VALREGANPGFHEAIGDVLALSVSTPKHLHSLNLLSSEGGSDEHDINFLMKMALDKIAFI
+PFSYLVDQWRWRVFDGSITKENYNQEWWSLRLKYQGLCPPVPRTQGDFDPGAKFHIPSSV
+PYIRYFVSFIIQFQFHEALCQAAGHTGPLHKCDIYQSKEAGQRLATAMKLGFSRPWPEAM
+QLITGQPNMSASAMLSYFKPLLDWLRTENELHGEKLGW
+>Q9GZZ6_PF02931_32 <unknown description>
+KLFRDLFANYTSALRPVADTDQTLNVTLEVTLSQIIDMDERNQVLTLYLWIRQEWTDAYL
+RWDPNAYGGLDAIRIPSSLVWRPDIVLYNKADAQPPGSASTNVVLRHDGAVRWDAPAITR
+SSCRVDVAAFPFDAQHCGLTFGSWTHGGHQLDVRPRGAAASLADFVENVEWRVLGMPARR
+RVLTYGCCSEPYPDVTFTLLLRRR
+>Q9GZZ6_PF02932_243 <unknown description>
+LLLPCVLISLLAPLAFHLPADSGEKVSLGVTVLLALTVFQLLLAESMPPAESVPLIGKYY
+MATMTMVTFSTALTILIMNLHYCGPSVRPVPAWARALLLGHLARGLCVRERGE
+>Q15822_PF02931_59 <unknown description>
+EDRLFKHLFRGYNRWARPVPNTSDVVIVRFGLSIAQLIDVDEKNQMMTTNVWLKQEWSDY
+KLRWNPTDFGNITSLRVPSEMIWIPDIVLYNNADGEFAVTHMTKAHLFSTGTVHWVPPAI
+YKSSCSIDVTFFPFDQQNCKMKFGSWTYDKAKIDLEQMEQTVDLKDYWESGEWAIVNATG
+TYNSKKYDCCAEIYPDVTYAFVIRRL
+>Q15822_PF02932_272 <unknown description>
+LIIPCLLISCLTVLVFYLPSDCGEKITLCISVLLSLTVFLLLITEIIPSTSLVIPLIGEY
+LLFTMIFVTLSIVITVFVLNVHHRSPSTHTMPHWVRGALLGCVPRWLLMNRPPPPVELCH
+PLRLKLSPSYHWLESNVDAEEREVVVEEEDRWACAGHVAPSVGTLCSHGHLHSGASGPKA
+EALLQEGELLLSPHMQKALEGVHYIADHLRSEDADSSVKEDWKYVAMVIDRIFLWLFIIV
+CFLGTIGLF
+>P32297_PF02931_35 <unknown description>
+EHRLFERLFEDYNEIIRPVANVSDPVIIHFEVSMSQLVKVDEVNQIMETNLWLKQIWNDY
+KLKWNPSDYGGAEFMRVPAQKIWKPDIVLYNNAVGDFQVDDKTKALLKYTGEVTWIPPAI
+FKSSCKIDVTYFPFDYQNCTMKFGSWSYDKAKIDLVLIGSSMNLKDYWESGEWAIIKAPG
+YKHDIKYNCCEEIYPDITYSLYIRRL
+>P32297_PF02932_248 <unknown description>
+LIIPCLLISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVIPLIGEY
+LLFTMIFVTLSIVITVFVLNVHYRTPTTHTMPSWVKTVFLNLLPRVMFMTRPTSNEGNAQ
+KPRPLYGAELSNLNCFSRAESKGCKEGYPCQDGMCGYCHHRRIKISNFSANLTRSSSSES
+VDAVLSLSALSPEIKEAIQSVKYIAENMKAQNEAKEIQDDWKYVAMVIDRIFLWVFTLVC
+ILGTAGLF
+>P43681_PF02931_37 <unknown description>
+EERLLKKLFSGYNKWSRPVANISDVVLVRFGLSIAQLIDVDEKNQMMTTNVWVKQEWHDY
+KLRWDPADYENVTSIRIPSELIWRPDIVLYNNADGDFAVTHLTKAHLFHDGRVQWTPPAI
+YKSSCSIDVTFFPFDQQNCTMKFGSWTYDKAKIDLVNMHSRVDQLDFWESGEWVIVDAVG
+TYNTRKYECCAEIYPDITYAFVIRRL
+>P43681_PF02932_250 <unknown description>
+LIIPCLLISCLTVLVFYLPSECGEKITLCISVLLSLTVFLLLITEIIPSTSLVIPLIGEY
+LLFTMIFVTLSIVITVFVLNVHHRSPRTHTMPTWVRRVFLDIVPRLLLMKRPSVVKDNCR
+RLIESMHKMASAPRFWPEPEGEPPATSGTQSLHPPSPSFCVPLDVPAEPGPSCKSPSDQL
+PPQQPLEAEKASPHPSPGPCRPPHGTQAPGLAKARSLSVQHMSSPGEAVEGGVRCRSRSI
+QYCVPRDDAAPEADGQAAGALASRNTHSAELPPPDQPSPCKCTCKKEPSSVSPSATVKTR
+STKAPPPHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVIDRIFLWMFIIV
+CLLGTVGLF
+>P30532_PF02931_48 <unknown description>
+DSLLKDLFQDYERWVRPVEHLNDKIKIKFGLAISQLVDVDEKNQLMTTNVWLKQEWIDVK
+LRWNPDDYGGIKVIRVPSDSVWTPDIVLFDNADGRFEGTSTKTVIRYNGTVTWTPPANYK
+SSCTIDVTFFPFDLQNCSMKFGSWTYDGSQVDIILEDQDVDKRDFFDNGEWEIVSATGSK
+GNRTDSCCWYPYVTYSFVIKRL
+>P30532_PF02932_257 <unknown description>
+LIIPCIGLSFLTVLVFYLPSNEGEKICLCTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEY
+LVFTMIFVTLSIMVTVFAINIHHRSSSTHNAMAPLVRKIFLHTLPKLLCMRSH
+>P30532_PF02932_382 <unknown description>
+SGSGPKSSRNTLEAALDSIRYITRHIMKENDVREVVEDWKFIAQVLDRMFLWTFLFVSIV
+GSLGLF
+>Q15825_PF02931_34 <unknown description>
+EERLFHKLFSHYNQFIRPVENVSDPVTVHFEVAITQLANVDEVNQIMETNLWLRHIWNDY
+KLRWDPMEYDGIETLRVPADKIWKPDIVLYNNAVGDFQVEGKTKALLKYNGMITWTPPAI
+FKSSCPMDITFFPFDHQNCSLKFGSWTYDKAEIDLLIIGSKVDMNDFWENSEWEIIDASG
+YKHDIKYNCCEEIYTDITYSFYIRRL
+>Q15825_PF02932_247 <unknown description>
+LIIPCLFISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVVPLVGEY
+LLFTMIFVTLSIVVTVFVLNIHYRTPTTHTMPRWVKTVFLKLLPQVLLMRWPLDKTRGTG
+SDAVPRGLARRPAKGKLASHGEPRHLKECFHCHKSNELATSKRRLSHQPLQWVVENSEHS
+PEVEDVINSVQFIAENMKSHNETKEVEDDWKYVAMVVDRVFLWVFIIVCVFGTAGLF
+>P36544_PF02931_27 <unknown description>
+RKLYKELVKNYNPLERPVANDSQPLTVYFSLSLLQIMDVDEKNQVLTTNIWLQMSWTDHY
+LQWNVSEYPGVKTVRFPDGQIWKPDILLYNSADERFDATFHTNVLVNSSGHCQYLPPGIF
+KSSCYIDVRWFPFDVQHCKLKFGSWSYGGWSLDLQMQEADISGYIPNGEWDLVGIPGKRS
+ERFYECCKEPYPDVTFTVTMRRRT
+>P36544_PF02932_237 <unknown description>
+LLIPCVLISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQY
+FASTMIIVGLSVVVTVIVLQYHHHDPDGGKMPKWTRVILLNWCAWFLRMKRPGEDKVRPA
+CQHKQRRCSLASVEMSAVAPPPASNGNLLYIGFRGLDGVHCVPTPDSGVVCGRMACSPTH
+DEHLLHGGQPPEGDPDLAKILEEVRYIANRFRCQDESEAVCSEWKFAACVVDRLCLMAFS
+VFTIICTIGIL
+>Q9UGM1_PF02931_32 <unknown description>
+QKLFNDLFEDYSNALRPVEDTDKVLNVTLQITLSQIKDMDERNQILTAYLWIRQIWHDAY
+LTWDRDQYDGLDSIRIPSDLVWRPDIVLYNKADDESSEPVNTNVVLRYDGLITWDAPAIT
+KSSCVVDVTYFPFDNQQCNLTFGSWTYNGNQVDIFNALDSGDLSDFIEDVEWEVHGMPAV
+KNVISYGCCSEPYPDVTFTLLLKRR
+>Q9UGM1_PF02932_244 <unknown description>
+LLIPCVLISFLAPLSFYLPAASGEKVSLGVTILLAMTVFQLMVAEIMPASENVPLIGKYY
+IATMALITASTALTIMVMNIHFCGAEARPVPHWARVVILKYMSRVLFVYDVGESCLSPHH
+SRERDHLTKVYSKLPESNLKAARNKDLSRKKDMNKRLKNDLGCQGKNPQEAESYCAQYKV
+LTRNIEYIAKCLKDHKATNSKGSEWKKVAKVIDRFFMWIFFIMVFVMTILI
+>P02708_PF02931_24 <unknown description>
+ETRLVAKLFKDYSSVVRPVEDHRQVVEVTVGLQLIQLINVDEVNQIVTTNVRLKQQWVDY
+NLKWNPDDYGGVKKIHIPSEKIWRPDLVLYNNADGDFAIVKFTKVLLQYTGHITWTPPAI
+FKSYCEIIVTHFPFDEQNCSMKLGTWTYDGSVVAINPESDQPDLSNFMESGEWVIKESRG
+WKHSVTYSCCPDTPYLDITYHFVMQRL
+>P02708_PF02932_238 <unknown description>
+VIIPCLLFSFLTGLVFYLPTDSGEKMTLSISVLLSLTVFLLVIVELIPSTSSAVPLIGKY
+MLFTMVFVIASIIITVIVINTHHRSPSTHVMPNWVRKVFIDTIPNIMFFSTMKRPSREKQ
+DK
+>P02708_PF02932_387 <unknown description>
+IKHPEVKSAIEGIKYIAETMKSDQESNNAAAEWKYVAMVMDHILLGVFMLVCIIGTLAVF
+>P17787_PF02931_29 <unknown description>
+EERLVEHLLDPSRYNKLIRPATNGSELVTVQLMVSLAQLISVHEREQIMTTNVWLTQEWE
+DYRLTWKPEEFDNMKKVRLPSKHIWLPDVVLYNNADGMYEVSFYSNAVVSYDGSIFWLPP
+AIYKSACKIEVKHFPFDQQNCTMKFRSWTYDRTEIDLVLKSEVASLDDFTPSGEWDIVAL
+PGRRNENPDDSTYVDITYDFIIRRKP
+>P17787_PF02932_241 <unknown description>
+LIIPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLDVPLVGKY
+LMFTMVLVTFSIVTSVCVLNVHHRSPTTHTMAPWVKVVFLEKLPALLFMQQPRHHCARQR
+LRLRRRQREREGAGALFFREAPGADSCTCFVNRASVQGLAGAFGAEPAPVAGPGRSGEPC
+GCGLREAVDGVRFIADHMRSEDDDQSVSEDWKYVAMVIDRLFLWIFVFVCVFGTIGMF
+>Q05901_PF02931_30 <unknown description>
+DALLRHLFQGYQKWVRPVLHSNDTIKVYFGLKISQLVDVDEKNQLMTTNVWLKQEWTDHK
+LRWNPDDYGGIHSIKVPSESLWLPDIVLFENADGRFEGSLMTKVIVKSNGTVVWTPPASY
+KSSCTMDVTFFPFDRQNCSMKFGSWTYDGTMVDLILINENVDRKDFFDNGEWEILNAKGM
+KGNRRDGVYSYPFITYSFVLRRL
+>Q05901_PF02932_240 <unknown description>
+LIIPCLGLSFLTVLVFYLPSDEGEKLSLSTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEY
+LLFIMIFVTLSIIVTVFVINVHHRSSSTYHPMAPWVKRLFLQKLPKLLCMKDHVDRYSSP
+EKEESQPVVKGK
+>Q05901_PF02932_375 <unknown description>
+KKKQKQLSDGEKVLVAFLEKAADSIRYISRHVKKEHFISQVVQDWKFVAQVLDRIFLWLF
+LIVSVTGSVLIF
+>P30926_PF02931_27 <unknown description>
+EEKLMDDLLNKTRYNNLIRPATSSSQLISIKLQLSLAQLISVNEREQIMTTNVWLKQEWT
+DYRLTWNSSRYEGVNILRIPAKRIWLPDIVLYNNADGTYEVSVYTNLIVRSNGSVLWLPP
+AIYKSACKIEVKYFPFDQQNCTLKFRSWTYDHTEIDMVLMTPTASMDDFTPSGEWDIVAL
+PGRRTVNPQDPSYVDVTYDFIIKRKP
+>P30926_PF02932_239 <unknown description>
+LIIPCVLTTLLAILVFYLPSDCGEKMTLCISVLLALTFFLLLISKIVPPTSLDVPLIGKY
+LMFTMVLVTFSIVTSVCVLNVHHRSPSTHTMAPWVKRCFLHKLPTFLFMKRPGPDSSPAR
+AFPPSKSCVTKPEATATSTSPSNFYGNSMYFVNPASAASKSPAGSTPVAIPRDFWLRSSG
+RFRQDVQEALEGVSFIAQHMKNDDEDQSVVEDWKYVAMVVDRLFLWVFMFVCVLGTVGLF
+>P11230_PF02931_28 <unknown description>
+GRLREKLFSGYDSSVRPAREVGDRVRVSVGLILAQLISLNEKDEEMSTKVYLDLEWTDYR
+LSWDPAEHDGIDSLRITAESVWLPDVVLLNNNDGNFDVALDISVVVSSDGSVRWQPPGIY
+RSSCSIQVTYFPFDWQNCTMVFSSYSYDSSEVSLQTGLGPDGQGHQEIHIHEGTFIENGQ
+WEIIHKPSRLIQPPGDPRGGREGQRQEVIFYLIIRRKP
+>P11230_PF02932_252 <unknown description>
+VIAPCILITLLAIFVFYLPPDAGEKMGLSIFALLTLTVFLLLLADKVPETSLSVPIIIKY
+LMFTMVLVTFSVILSVVVLNLHHRSPHTHQMPLWVRQIFIHKLPLYLRLKRPKPERDLMP
+EPPHCSSPGSGWGRGTDEYFIRKPPSDFLFPKPNRFQPELSAPDLRRFIDGPNRAVALLP
+ELREVVSSISYIARQLQEQEDHDALKEDWQFVAMVVDRLFLWTFIIFTSVGTLVIF
+>Q07001_PF02931_25 <unknown description>
+EERLIRHLFQEKGYNKELRPVAHKEESVDVALALTLSNLISLKEVEETLTTNVWIEHGWT
+DNRLKWNAEEFGNISVLRLPPDMVWLPEIVLENNNDGSFQISYSCNVLVYHYGFVYWLPP
+AIFRSSCPISVTYFPFDWQNCSLKFSSLKYTAKEITLSLKQDAKENRTYPVEWIIIDPEG
+FTENGEWEIVHRPARVNVDPRAPLDSPSRQDITFYLIIRRKP
+>Q07001_PF02932_253 <unknown description>
+ILVPCVLISFMVNLVFYLPADSGEKTSVAISVLLAQSVFLLLISKRLPATSMAIPLIGKF
+LLFGMVLVTMVVVICVIVLNIHFRTPSTHVLSEGVKKLFLETLPELLHMSRPAEDGPSPG
+ALVRRSSSLGYISKAEEYFLLKSRSDLMFEKQSERHGLARRLTTARRPPASSEQAQQELF
+NELKPAVDGANFIVNHMRDQNNYNEEKDSWNRVARTVDRLCLFVVTPVMVVGTAWIF
+>Q04844_PF02931_26 <unknown description>
+RLYHHLFNNYDPGSRPVREPEDTVTISLKVTLTNLISLNEKEETLTTSVWIGIDWQDYRL
+NYSKDDFGGIETLRVPSELVWLPEIVLENNIDGQFGVAYDANVLVYEGGSVTWLPPAIYR
+SVCAVEVTYFPFDWQNCSLIFRSQTYNAEEVEFTFAVDNDGKTINKIDIDTEAYTENGEW
+AIDFCPGVIRRHHGGATDGPGETDVIYSLIIRRKP
+>Q04844_PF02932_247 <unknown description>
+IIVPCVLISGLVLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKIPETSLSVPLLGR
+FLIFVMVVATLIVMNCVIVLNVSQRTPTTHAMSPRLRHVLLELLPRLLGSPPPPEAPRAA
+SPPRRASSVGLLLRAEELILKKPRSELVFEGQRHRQGTWTAAFCQSLGAAAPEVRCCVDA
+VNFVAESTRDQEATGEEVSDWVRMGNALDNICFWAALVLFSVGSSLIF
+>P07510_PF02931_26 <unknown description>
+EERLLADLMQNYDPNLRPAERDSDVVNVSLKLTLTNLISLNEREEALTTNVWIEMQWCDY
+RLRWDPRDYEGLWVLRVPSTMVWRPDIVLENNVDGVFEVALYCNVLVSPDGCIYWLPPAI
+FRSACSISVTYFPFDWQNCSLIFQSQTYSTNEIDLQLSQEDGQTIEWIFIDPEAFTENGE
+WAIQHRPAKMLLDPAAPAQEAGHQKVVFYLLIQRKP
+>P07510_PF02932_248 <unknown description>
+IIAPCVLISSVAILIHFLPAKAGGQKCTVAINVLLAQTVFLFLVAKKVPETSQAVPLISK
+YLTFLLVVTILIVVNAVVVLNVSLRSPHTHSMARGVRKVFLRLLPQLLRMHVRPLAPAAV
+QDTQSRLQNGSSGWSITTGEEVALCLPRSELLFQQWQRQGLVAAALEKLEKGPELGLSQF
+CGSLKQAAPAIQACVEACNLIACARHQQSHFDNGNEEWFLVGRVLDRVCFLAMLSLFICG
+TAGIF
+>O00590_PF00001_63 <unknown description>
+GNLLLLMVLLRYVPRRRMVEIYLLNLAISNLLFLVTLPFWGISVAWHWVFGSFLCKMVST
+LYTINFYSGIFFISCMSLDKYLEIVHAQPYHRLRTRAKSLLLATIVWAVSLAVSIPDMVF
+VQTHENPKGVWNCHADFGGHGTIWKLFLRFQQNLLGFLLPLLAMIFFYSRIGCVLVRLRP
+AGQGRALKIAAALVVAFFVLWFPYNLTLFLHTLLDLQVFGNCEVSQHLDYALQVTESIAF
+LHCCFSPILY
+>P25106_PF00001_62 <unknown description>
+NSVVVWVNIQAKTTGYDTHCYILNLAIADLWVVLTIPVWVVSLVQHNQWPMGELTCKVTH
+LIFSINLFGSIFFLTCMSVDRYLSITYFTNTPSSRKKMVRRVVCILVWLLAFCVSLPDTY
+YLKTVTSASNNETYCRSFYPEHSIKEWLIGMELVSVVLGFAVPFSIIAVFYFLLARAISA
+SSDQEKHSSRKIIFSYVVVFLVCWLPYHVAVLLDIFSILHYIPFTCRLEHALFTALHVTQ
+CLSLVHCCVNPVLY
+>Q9NPB9_PF00001_58 <unknown description>
+GNSMVVAIYAYYKKQRTKTDVYILNLAVADLLLLFTLPFWAVNAVHGWVLGKIMCKITSA
+LYTLNFVSGMQFLACISIDRYVAVTKVPSQSGVGKPCWIICFCVWMAAILLSIPQLVFYT
+VNDNARCIPIFPRYLGTSMKALIQMLEICIGFVVPFLIMGVCYFITARTLMKMPNIKISR
+PLKVLLTVVIVFIVTQLPYNIVKFCRAIDIIYSLITSCNMSKRMDIAIQVTESIALFHSC
+LNPILY
+>Q5JWF8_PF00022_2 <unknown description>
+ASTALLALCSTGAFSGLAVEAGAGVCHATPIYAGHSWHQATFRLNVAGSTLSRYLRDLLV
+AANPDLLQQALPRKAITHLKKRSCYVSLDFEGDLRDPARHHPASFSVGNGCCVCLSSERF
+RCPEPIFQPGLLGQAEQGLPALAFRALQKMPKTLRTRLADTVVLAGGSTLFPGFAERLDK
+ELEAQCRRHGYAALRPHLVAKHGRGMAVWTGGSMVASLHSFQRRWITRAMYQECGSRL
+>O96019_PF00022_8 <unknown description>
+GDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGMVVERDDGSTLMEIDGDKGKQGGPT
+YYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEASLHPVLMSEAPW
+NTRAKREKLTELMFEHYNIPAFFLCKTAVLTAFANGRSTGLILDSGATHTTAIPVHDGYV
+LQQGIVKSPLAGDFITMQCRELFQEMNIELVPPYMIASKEAVREGSPANWKRKEKLPQVT
+RSWHNYMCNCVIQDFQASVLQVSDSTYDEQVAAQMPTVHYEFPNGYNCDFGAERLKIPEG
+LFDPSNVKGLSGNTMLGVSHVVTTSVGMCDIDIRPGLYGSVIVAGGNTLIQSFTDRLNRE
+LSQKTPPSMRLKLIANNTTVERRFSSWIGGSILASLGTFQQMWISKQEYEEGGKQCVERK
+C
+>O94805_PF00022_8 <unknown description>
+GDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGDKEKKGKIFHI
+DTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMSEAPWNTR
+AKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVHDGYVLQQ
+GIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKLPQVSKSW
+HNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLRIPEGLFD
+PSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDRLNRELSQ
+KTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKC
+>Q9Y615_PF16840_1 <unknown description>
+MWAPPAAIMGDGPTKKVGNQAPLQTQALQTASLRDGPAKRAVWVRHTSSEPQEPTESKAA
+KERPK
+>Q9Y615_PF00022_69 <unknown description>
+TKAVVVDLGTGYCKCGFAGLPRPTHKISTTVGKPYMETAKTGDNRKETFVGQELNNTNVH
+LKLVNPLRHGIIVDWDTVQDIWEYLFRQEMKIAPEEHAVLVSDPPLSPHTNREKYAEMLF
+EAFNTPAMHIAYQSRLSMYSYGRTSGLVVEVGHGVSYVVPIYEGYPLPSITGRLDYAGSD
+LTAYLLGLLNSAGNEFTQDQMGIVEDIKKKCCFVALDPIEEKKVPLSEHTIRYVLPDGKE
+IQLCQERFLCSEMFFKPSLIKSMQLGLHTQTVSCLNKCDIALKRDLMGNILLCGGSTMLS
+GFPNRLQKELSSMCPNDTPQVNVLPERDSAVWTGGSILASLQGFQPLWVHRFEYEEHGPF
+FLYRRCF
+>Q9Y614_PF00022_48 <unknown description>
+KIKAVIIDLGSQYCKCGYAGEPRPTYFISSTVGKRCPEAADAGDTRKWTLVGHELLNTEA
+PLKLVNPLKHGIVVDWDCVQDIWEYIFRTAMKILPEEHAVLVSDPPLSPSSNREKYAELM
+FETFGIPAMHVTSQSLLSIYSYGKTSGLVVESGHGVSHVVPISEGDVLPGLTSRADYAGG
+DLTNYLMQLLNEAGHAFTDDHLHIIEHIKKKCCYAAFLPEEELGLVPEELRVDYELPDGK
+LITIGQERFRCSEMLFQPSLAGSTQPGLPELTAACLGRCQDTGFKEEMAANVLLCGGCTM
+LDGFPERFQRELSLLCPGDSPAVAAAPERKTSVWTGGSILASLQAFQQLWVSKEEFEERG
+SVAIYSKC
+>P53396_PF16114_244 <unknown description>
+REAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNELAN
+YGEYSGAPSEQQTYDYAKTILSLMTREKHPDGKILIIGGSIANFTNVAATFKGIVRAIRD
+YQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG
+>P53396_PF02629_496 <unknown description>
+HTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILIPVFKN
+MADAMRKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEG
+>P53396_PF00549_660 <unknown description>
+VSRSGGMSNELNNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTPGVKMIVVLGEI
+GGTEEYKICRGIKEGRLTKPIVCWCIGTCATMFSSEVQFGHAGACANQASETAVAKNQAL
+KEAGV
+>P53396_PF00285_887 <unknown description>
+CQFIEMCLMVTADHGPAVSGAHNTIICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMF
+SKAFDSGIIPMEFVNKMKKEGKLIMGIGHRVKSINNPDMRVQILKDYVRQHFPATPLLDY
+ALEVEKITTSKKPNLILNVDGLIGVAFVDMLRNCGSFTREEADEYIDIGALNGIFVLGRS
+MGFIGHYLDQKRLKQ
+>P11229_PF00001_42 <unknown description>
+GNLLVLISFKVNTELKTVNNYFLLSLACADLIIGTFSMNLYTTYLLMGHWALGTLACDLW
+LALDYVASNASVMNLLLISFDRYFSVTRPLSYRAKRTPRRAALMIGLAWLVSFVLWAPAI
+LFWQYLVGERTVLAGQCYIQFLSQPIITFGTAMAAFYLPVTVMCTLYWRIYRETENRARE
+LAALQGSETPGKGGGSSSSSERSQPGAEGSPETPPGRCCRCCRAPRLLQAYSWKEEEEED
+EGSMESLTSSEGEEPGSEVVIKMPMVDPEAQAPTKQPPRSSPNTVKRPTKKGRDRAGKGQ
+KPRGKEQLAKRKTFSLVKEKKAARTLSAILLAFILTWTPYNIMVLVSTFCKDCVPETLWE
+LGYWLCYVNSTINPMCY
+>P08172_PF00001_40 <unknown description>
+GNILVMVSIKVNRHLQTVNNYFLFSLACADLIIGVFSMNLYTLYTVIGYWPLGPVVCDLW
+LALDYVVSNASVMNLLIISFDRYFCVTKPLTYPVKRTTKMAGMMIAAAWVLSFILWAPAI
+LFWQFIVGVRTVEDGECYIQFFSNAAVTFGTAIAAFYLPVIIMTVLYWHISRASKSRIKK
+DKKEPVANQDPVSPSLVQGRIVKPNNNNMPSSDDGLEHNKIQNGKAPRDPVTENCVQGEE
+KESSNDSTSVSAVASNMRDDEITQDENTVSTSLGHSKDENSKQTCIRIGTKTPKSDSCTP
+TNTTVEVVGSSGQNGDEKQNIVARKIVKMTKQPAKKKPPPSREKKVTRTILAILLAFIIT
+WAPYNVMVLINTFCAPCIPNTVWTIGYWLCYINSTINPACY
+>P20309_PF00001_85 <unknown description>
+GNILVIVSFKVNKQLKTVNNYFLLSLACADLIIGVISMNLFTTYIIMNRWALGNLACDLW
+LAIDYVASNASVMNLLVISFDRYFSITRPLTYRAKRTTKRAGVMIGLAWVISFVLWAPAI
+LFWQYFVGKRTVPPGECFIQFLSEPTITFGTAIAAFYMPVTIMTILYWRIYKETEKRTKE
+LAGLQASGTEAETENFVHPTGSSRSCSSYELQQQSMKRSNRRKYGRCHFWFTTKSWKPSS
+EQMDQDHSSSDSWNNNDAAASLENSASSDEEDIGSETRAIYSIVLKLPGHSTILNSTKLP
+SSDNLQVPEEELGMVDLERKADKLQAQKSVDDGGSFPKSFSKLPIQLESAVDTAKTSDVN
+SSVGKSTATLPLSFKEATLAKRFALKTRSQITKRKRMSLVKEKKAAQTLSAILLAFIITW
+TPYNIMVLVNTFCDSCIPKTFWNLGYWLCYINSTVNPVCY
+>P08173_PF00001_49 <unknown description>
+GNILVMLSIKVNRQLQTVNNYFLFSLACADLIIGAFSMNLYTVYIIKGYWPLGAVVCDLW
+LALDYVVSNASVMNLLIISFDRYFCVTKPLTYPARRTTKMAGLMIAAAWVLSFVLWAPAI
+LFWQFVVGKRTVPDNQCFIQFLSNPAVTFGTAIAAFYLPVVIMTVLYIHISLASRSRVHK
+HRPEGPKEKKAKTLAFLKSPLMKQSVKKPPPGEAAREELRNGKLEEAPPPALPPPPRPVA
+DKDTSNESSSGSATQNTKERPATELSTTEATTPAMPAPPLQPRALNPASRWSKIQIVTKQ
+TGNECVTAIEIVPATPAGMRPAANVARKFASIARNQVRKKRQMAARERKVTRTIFAILLA
+FILTWTPYNVMVLVNTFCQSCIPDTVWSIGYWLCYVNSTINPACY
+>P08912_PF00001_47 <unknown description>
+GNVLVMISFKVNSQLKTVNNYYLLSLACADLIIGIFSMNLYTTYILMGRWALGSLACDLW
+LALDYVASNASVMNLLVISFDRYFSITRPLTYRAKRTPKRAGIMIGLAWLISFILWAPAI
+LCWQYLVGKRTVPLDECQIQFLSEPTITFGTAIAAFYIPVSVMTILYCRIYRETEKRTKD
+LADLQGSDSVTKAEKRKPAHRALFRSCLRCPRPTLAQRERNQASWSSSRRSTSTTGKPSQ
+ATGPSANWAKAEQLTTCSSYPSSEDEDKPATDPVLQVVYKSQGKESPGEEFSAEETEETF
+VKAETEKSDYDTPNYLLSPAAAHRPKSQKCVAYKFRLVVKADGNQETNNGCHKVKIMPCP
+FPVAKEPSTKGLNPNPSHQMTKRKRVVLVKERKAAQTLSAILLAFIITWTPYNIMVLVST
+FCDKCVPVTLWHLGYWLCYVNSTVNPICY
+>Q8TDX5_PF04909_3 <unknown description>
+IDIHSHILPKEWPDLKKRFGYGGWVQLQHHSKGEAKLLKDGKVFRVVRENCWDPEVRIRE
+MDQKGVTVQALSTVPVMFSYWAKPEDTLNLCQLLNNDLASTVVSYPRRFVGLGTLPMQAP
+ELAVKEMERCVKELGFPGVQIGTHVNEWDLNAQELFPVYAAAERLKCSLFVHPWDMQMDG
+RMAKYWLPWLVGMPAETTIAICSMIMGGVFEKFPKLKVCFAHGGGAFPFTVGRISHGFSM
+RPDLCAQDNPMNPKKYLGSFYTDALVHDPLSLKLLTDVIGKDKVILGTDYPFPLGELEPG
+KLIESMEEFDEETKNKLKAGNALAFLGL
+>Q8WXI4_PF03061_67 <unknown description>
+GQLLKWIDTTACLSAERHAGCPCVTASMDDIYFEHTISVGQVVNIKAKVNRAFNSSMEVG
+IQVASED
+>Q8WXI4_PF03061_234 <unknown description>
+QGNTFGGQIMAWMENVATIAASRLCRAHPTLKAIEMFHFRGPSQVGDRLVLKAIVNNAFK
+HSMEVGVCVE
+>Q8WXI4_PF01852_385 <unknown description>
+VYLSYNNVSSLKMLVAKDNWVLSSEISQVRLYTLEDDKFLSFHMEMVVHVDAAQAFLLLS
+DLRQRPEWDKHYRSVELVQQVDEDDAIYHVTSPALGGHTKPQDFVILASRRKPCDNGDPY
+VIALRSVTLPTHRETPEYRRGETLCSGFCLWREGDQLTKVSYYNQAT
+>Q8WYK0_PF03061_28 <unknown description>
+AGQLLKWIDTTACLAAEKHAGVSCVTASVDDIQFEETARVGQVITIKAKVTRAFSTSMEI
+SIKVMVQDML
+>Q8WYK0_PF03061_197 <unknown description>
+HGNTFGGQIMAWMETVATISASRLCWAHPFLKSVDMFKFRGPSTVGDRLVFTAIVNNTFQ
+TCVEVGVRVEAFDC
+>Q8WYK0_PF01852_356 <unknown description>
+VEALKKLAAKRGWEVTSTVEKIKIYTLEEHDVLSVWVEKHVGSPAHLAYRLLSDFTKRPL
+WDPHFVSCEVIDWVSEDDQLYHITCPILNDDKPKDLVVLVSRRKPLKDGNTYTVAVKSVI
+LPSVPPSPQYIRSEIICAGFLIHAIDSNSCIVSYFNH
+>Q9NPJ3_PF03061_53 <unknown description>
+GTLHGGLTATLVDNISTMALLCTERGAPGVSVDMNITYMSPAKLGEDIVITAHVLKQGKT
+LAFTSVDLTNKAT
+>P21399_PF00330_66 <unknown description>
+WNVTQHKNIEVPFKPARVILQDFTGVPAVVDFAAMRDAVKKLGGDPEKINPVCPADLVID
+HSIQVDFNRRADSLQKNQDLEFERNRERFEFLKWGSQAFHNMRIIPPGSGIIHQVNLEYL
+ARVVFDQDGYYYPDSLVGTDSHTTMIDGLGILGWGVGGIEAEAVMLGQPISMVLPQVIGY
+RLMGKPHPLVTSTDIVLTITKHLRQVGVVGKFVEFFGPGVAQLSIADRATIANMCPEYGA
+TAAFFPVDEVSITYLVQTGRDEEKLKYIKKYLQAVGMFRDFNDPSQDPDFTQVVELDLKT
+VVPCCSGPKRPQDKVAVSDMKKDFESCLGAKQGFKGFQVAPEHHNDHKTFIYDNTEFTLA
+HGSVVIAAITSCTNTSNPSVMLGAGLLAKKAVDAGLNVMPYIKTSLSPGSGVVTYYLQES
+GVMPYLSQLGFDVVGYGCMTCIGNSGPLPEPVVEAITQGDLVAVGVLSGNRNFEGRVHPN
+TRANYLASPPLVIAYAIAG
+>P21399_PF00694_693 <unknown description>
+ARYLTNRGLTPREFNSYGSRRGNDAVMARGTFANIRLLNRFLNKQAPQTIHLPSGEILDV
+FDAAERYQQAGLPLIVLAGKEYGAGSSRDWAAKGPFLLGIKAVLAESYERIHRSNLVGMG
+VIPLEY
+>Q99798_PF00330_67 <unknown description>
+EKIVYGHLDDPASQEIERGKSYLRLRPDRVAMQDATAQMAMLQFISSGLSKVAVPSTIHC
+DHLIEAQVGGEKDLRRAKDINQEVYNFLATAGAKYGVGFWKPGSGIIHQIILENYAYPGV
+LLIGTDSHTPNGGGLGGICIGVGGADAVDVMAGIPWELKCPKVIGVKLTGSLSGWSSPKD
+VILKVAGILTVKGGTGAIVEYHGPGVDSISCTGMATICNMGAEIGATTSVFPYNHRMKKY
+LSKTGREDIANLADEFKDHLVPDPGCHYDQLIEINLSELKPHINGPFTPDLAHPVAEVGK
+VAEKEGWPLDIRVGLIGSCTNSSYEDMGRSAAVAKQALAHGLKCKSQFTITPGSEQIRAT
+IERDGYAQILRDLGGIVLANACGPCIGQWDRKDIKKGEKNTIVTSYNRNFTGRNDANPET
+HAFVTSPEIVTALAIAG
+>Q99798_PF00694_583 <unknown description>
+QILIKVKGKCTTDHISAAGPWLKFRGHLDNISNNLLIGAINIENGKANSVRNAVTQEFGP
+VPDTARYYKKHGIRWVVIGDENYGEGSSREHAALEPRHLGGRAIITKSFARIHETNLKKQ
+GLLPLTFAD
+>Q86TX2_PF04775_16 <unknown description>
+DEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGL
+EPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCRVRHERYFLPPGVR
+REPVR
+>Q86TX2_PF08840_204 <unknown description>
+HLEYFEEAVNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGG
+TLRYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVG
+QDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPII
+WGGEPRAHAMAQVDAWKQLQTFFHKHLG
+>P49753_PF04775_78 <unknown description>
+DEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGL
+EPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCQTRHERYFLPPGVR
+REPVR
+>P49753_PF08840_266 <unknown description>
+HLEYFEEAMNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGG
+TLHYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVG
+QDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPII
+WGGEPRAHAMAQVDAWKQLQTFFHKHLG
+>Q8N9L9_PF04775_17 <unknown description>
+EPVRIAVRGLAPEQRVTLRASLRDEKGALFRAHARYCADARGELDLERAPALGGSFAGLE
+PMGLLWALEPEKPFWRFLKRDVQIPFVVELEVLDGHDPEPGRLLCQAQHERHFLPPGVRR
+QSVR
+>Q8N9L9_PF08840_203 <unknown description>
+ISLEYFEEAVCYMLQHPQVKGPGIGLLGISLGADICLSMASFLKNVSATVSINGSGISGN
+TAINYKHSSIPPLGYDLRRIKVAFSGLVDIVDIRNALVGGYKNPSMIPIEKAQGPILLIV
+GQDDHNWRSELYAQTVSERLQAHGKEKPQIICYPGTGHYIEPPYFPLCPASLHRLLNKHV
+IWGGEPRAHSKAQEDAWKQILAFFCKHLG
+>Q3I5F7_PF04775_16 <unknown description>
+DEPLRIAVRGLAPEQPVTLRTSLRDEEGALFRAHARYRADARDELDLERAPALGGSFAGL
+QPMGLLWALEPEKALVRLVKRDVRTPFAVELEVLDGHDTEPGRLLCLAQNKRDFLRPGVR
+REPVR
+>Q3I5F7_PF08840_203 <unknown description>
+VHLEYFEEAVDFMLQHPKVKGPSIALLGFSKGGDLCLSMASFLKGITATVLINACVANTV
+APLHYKDMIIPKLVDDLGKVKITKSGFLTFMDTWSNPLEEHNHQSLVPLEKAQVPFLFIV
+GMDDQSWKSEFYAQIASERLQAHGKERPQIICYPETGHCIDPPYFPPSRASVHAVLGEAI
+FYGGEPKAHSKAQVDAWQQIQTFFHKHLN
+>O14734_PF13622_48 <unknown description>
+WVPAKRLFGGQIVGQALVAAAKSVSEDVHVHSLHCYFVRAGDPKLPVLYQVERTRTGSSF
+SVRSVKAVQHGKPIFICQASFQQAQPSPMQHQFSMPTVPPPEELLDCETLIDQYLRDPNL
+QKRYPLALNRIAAQEVPIEIKPVNPSPLSQLQRMEPKQMFWVRARGYIGEGDMKMHCCVA
+AYISDYAFLGTALLPHQWQHKVHFMVSLDHSMWFHAPFRADHWMLYECESPWAGGSRGLV
+HGRLWRQDGVLAVTCAQEGVI
+>Q15067_PF14749_15 <unknown description>
+NPELLTHILDGSPEKTRRRREIENMILNDPDFQHEDLNFLTRSQRYEVAVRKSAIMVKKM
+REFGIADPDEIMWFKNFVHRGRPEPLDLHLGMFLPTLLHQATAEQQERFFMPAWNLEII
+>Q15067_PF02770_136 <unknown description>
+YAQTEMGHGTHLRGLETTATYDPETQEFILNSPTVTSIKWWPGGLGKTSNHAIVLAQLIT
+KGKCYGLHAFIVPIREIGTHKPLPGITVGDIGPKFGYDEIDNGYLKMDN
+>Q15067_PF01756_480 <unknown description>
+PESLTEAYKLRAARLVEIAAKNLQKEVIHRKSKEVAWNLTSVDLVRASEAHCHYVVVKLF
+SEKLLKIQDKAIQAVLRSLCLLYSLYGISQNAGDFLQGSIMTEPQITQVNQRVKELLTLI
+RSDAVALVDAFDFQDVTLGSVLGRYDGNVYENLFEWAKNSPLNKAEVHESYKHL
+>Q99424_PF14749_32 <unknown description>
+DVERLTNILDGGAQNTALRRKVESIIHSYPEFSCKDNYFMTQNERYKAAMRRAFHIRLIA
+RRLGWLEDGRELGYAYRALSGDVALNIHRVFVRALRSLGSEEQIAKWDPLCKNIQII
+>Q99424_PF02770_151 <unknown description>
+YAQTELGHGTYLQGLETEATYDAATQEFVIHSPTLTATKWWPGDLGRSATHALVQAQLIC
+SGARRGMHAFIVPIRSLQDHTPLPGIIIGDIGPKMDFDQTDNGFLQLNHV
+>Q99424_PF01756_496 <unknown description>
+PELYTTAWAHVAVRLIKDSVQHLQTLTQSGADQHEAWNQTTVIHLQAAKVHCYYVTVKGF
+TEALEKLENEPAIQQVLKRLCDLHAIHGILTNSGDFLHDAFLSGAQVDMARTAYLDLLRL
+IRKDAILLTDAFDFTDQCLNSALGCYDGNVYERLFQWAQKSPTNTQENPAYEEYIRPLLQ
+>O15254_PF02770_155 <unknown description>
+CFALTELSHGSNTKAIRTTAHYDPATEEFIIHSPDFEAAKFWVGNMGKTATHAVVFAKLC
+VPGDQCHGLHPFIVQIRDPKTLLPMPGVMVGDIGKKLGQNGLDNGFAMFHKV
+>O15254_PF01756_511 <unknown description>
+SAVALAAYKWLVCYLLRETYQKLNQEKRSGSSDFEARNKCQVSHGRPLALAFVELTVVQR
+FHEHVHQPSVPPSLRAVLGRLSALYALWSLSRHAALLYRGGYFSGEQAGEVLESAVLALC
+SQLKDDAVALVDVIAPPDFVLDSPIGRADGELYKNLWGAVLQESKVLERASWWPEFS
+>Q9NUZ1_PF02770_90 <unknown description>
+FAMTERGHGSNARGIQTEATFDLSAQEFVIDTPCENAEKMYIGNAMYGNYAAVFAQLIID
+GRSQGPHCFIVPVRDENGSLYPGVTAIDMMYKEGLHGVDNGILIFDKV
+>Q9NUZ1_PF00441_228 <unknown description>
+FNAMLAALTPSRLAVAFQAMGAMKLGLTIAIRYSHSRRQFGPKTKEEVKIIEHQTQTLRL
+MPHLATALALTFVSRYAGALLDEDVFQGKELVNSRSLQALVAGLKAYSTWENIRCLQDCR
+ECTGGMVVGRE
+>Q9NUZ1_PF01756_404 <unknown description>
+FLLKAVKFRERVLQRGLVARIYYKVKTKKEDFFHAWNSCLHHVASLSLAHTHRVTLEQFS
+LAVKSCPDQEDQTLLMKFCLLYGTKLVFQERAWYLEHKYLTPMASTRIRNQLLDLCDSVK
+DDARRVISTFNIPHTYLHAPI
+>Q6ZNF0_PF16656_32 <unknown description>
+PEQVHLSYPGEPGSMTVTWTTWVPTRSEVQFGLQPSGPLPLRAQGTFVPFVDGGILRRKL
+YIHRVTLRKLLPGVQYVYRCGSAQGWSRRFRFR
+>Q6ZNF0_PF00149_135 <unknown description>
+RLAVFGDLGADNPKAVPRLRRDTQQGMYDAVLHVGDFAYNLDQDNARVGDRFMRLIEPVA
+ASLPYMTCPGNHEERYNFSNYKARFSMPGDNEGLWYSWDLGPAHIISFSTEVYFFLHYGR
+HLVQRQFRWLESDLQKANKNRAARPWIITMGHRPMYCSNADLDDCTRHESKVRKGLQGKL
+YGLEDLFYKYGVDLQLWAHEHSY
+>Q6ZNF0_PF14008_362 <unknown description>
+GPVHIITGSAGCEERLTPFAVFPRPWSAVRVKEYGYTRLHILNGTHIHIQQVSDDQDGKI
+VD
+>P13798_PF19283_41 <unknown description>
+GQYRTVHTEWTQRDLERMENIRFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSRESPSG
+TMKAVLRKAGGTGPGEEKQFLEVWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSWSHSET
+HLLYVAEKKRPKAESFFQTKALDVSASDDEIARLKKPDQAIKGDQFVFYEDWGENMVSKS
+IPVLCVLDVESGNISVLEGVPENVSPGQAFWAPGDAGVVFVGWWHEPFRLGIRFCTNRRS
+ALYYVD
+>P13798_PF00326_523 <unknown description>
+AMLCKMGFAVLLVNYRGSTGFGQDSILSLPGNVGHQDVKDVQFAVEQVLQEEHFDASHVA
+LMGGSHGGFISCHLIGQYPETYRACVARNPVINIASMLGSTDIPDWCVVEAGFPFSSDCL
+PDLSVWAEMLDKSPIRYIPQVKTPLLLMLGQEDRRVPFKQGMEYYRALKTRNVPVRLLLY
+PKSTHALSEVEVESDSFMNAVLWLRTHLG
+>O14561_PF00550_92 <unknown description>
+KIDPEKLSVNSHFMKDLGLDSLDQVEIIMAMEDEFGFEIPDIDAEKLMCPQEIVDYI
+>Q8NEB7_PF07222_1 <unknown description>
+MRKPAAGFLPSLLKVLLLPLAPAAAQDSTQASTPGSPLSPTEYERFFALLTPTWKAETTC
+RLRATHGCRNPTLVQLDQYENHGLVPDGAVCSNLPYASWFESFCQFTHYRCSNHVYYAKR
+VLCSQPVSILSPNTLKEIEASAEVSPTTMTSPISPHFTVTERQTFQPWPERLSNNVEELL
+QSSLSLGGQEQAPEHKQEQGVEHRQEPTQEHKQEEGQKQEEQEEEQEEEGKQEEGQGTKE
+>P10323_PF00089_43 <unknown description>
+IVGGKAAQHGAWPWMVSLQIFTYNSHRYHTCGGSLLNSRWVLTAAHCFVGKNNVHDWRLV
+FGAKEITYGNNKPVKAPLQERYVEKIIIHEKYNSATEGNDIALVEITPPISCGRFIGPGC
+LPHFKAGLPRGSQSCWVAGWGYIEEKAPRPSSILMEARVDLIDLDLCNSTQWYNGRVQPT
+NVCAGYPVGKIDTCQGDSGGPLMCKDSKESAYVVVGITSWGVGCARAKRPGIYTATWPYL
+NWI
+>Q08AH3_PF00501_75 <unknown description>
+KGKELMWNFRELSENSQQAANVLSGACGLQRGDRVAVVLPRVPEWWLVILGCIRAGLIFM
+PGTIQMKSTDILYRLQMSKAKAIVAGDEVIQEVDTVASECPSLRIKLLVSEKSCDGWLNF
+KKLLNEASTTHHCVETGSQEASAIYFTSGTSGLPKMAEHSYSSLGLKAKMDAGWTGLQAS
+DIMWTISDTGWILNILCSLMEPWALGACTFVHLLPKFDPLVILKTLSSYPIKSMMGAPIV
+YRMLLQQDLSSYKFPHLQNCVTVGESLLPETLENWRAQTGLDIRESYGQTETGLTCMVSK
+TMKIKPGYMGTAASCYDVQIIDDKGNVLPPGTEGDIGIRVKPIRPIGIFSGYVDNPDKTA
+ANIRGDFWLLGDRGIKDEDGYFQFMGRANDIINS
+>Q08AH3_PF13193_477 <unknown description>
+EVENALMEHPAVVETAVISSPDPVRGEVVKAFVVLASQFLSHDPEQLTKELQQHVKSVTA
+PYKYPRKIEFVLNLPKTVTGK
+>Q68CK6_PF00501_75 <unknown description>
+KGKELMWNFRELSENSQQAANILSGACGLQRGDRVAVMLPRVPEWWLVILGCIRAGLIFM
+PGTIQMKSTDILYRLQMSKAKAIVAGDEVIQEVDTVASECPSLRIKLLVSEKSCDGWLNF
+KKLLNEASTTHHCVETGSQEASAIYFTSGTSGLPKMAEHSYSSLGLKAKMDAGWTGLQAS
+DIMWTISDTGWILNILGSLLESWTLGACTFVHLLPKFDPLVILKTLSSYPIKSMMGAPIV
+YRMLLQQDLSSYKFPHLQNCLAGGESLLPETLENWRAQTGLDIREFYGQTETGLTCMVSK
+TMKIKPGYMGTAASCYDVQVIDDKGNVLPPGTEGDIGIRVKPIRPIGIFSGYVENPDKTA
+ANIRGDFWLLGDRGIKDEDGYFQFMGRADDIINS
+>Q68CK6_PF13193_477 <unknown description>
+EVENALMKHPAVVETAVISSPDPVRGEVVKAFVILASQFLSHDPEQLTKELQQHVKSVTA
+PYKYPRKIEFVLNLPKTVTGK
+>Q9NUB1_PF16177_58 <unknown description>
+YPALSAQAAREPAAFWGPLARDTLVWDTPYHTVWDCDFSTGKIGWFLGGQLNVSVNC
+>Q9NUB1_PF00501_116 <unknown description>
+DQHVRKSPESVALIWERDEPGTEVRITYRELLETTCRLANTLKRHGVHRGDRVAIYMPVS
+PLAVAAMLACARIGAVHTVIFAGFSAESLAGRINDAKCKVVITFNQGLRGGRVVELKKIV
+DEAVKHCPTVQHVLVAHRTDNKVHMGDLDVPLEQEMAKEDPVCAPESMGSEDMLFMLYTS
+GSTGMPKGIVHTQAGYLLYAALTHKLVFDHQPGDIFGCVADIGWITGHSYVVYGPLCNGA
+TSVLFESTPVYPNAGRYWETVERLKINQFYGAPTAVRLLLKYGDAWVKKYDRSSLRTLGS
+VGEPINCEAWEWLHRVVGDSRCTLVDTWWQTETGGICIAPRPSEEGAEILPAMAMRPFFG
+IVPVLMDEKGSVVEGSNVSGALCISQAWPGMARTIYGDHQRFVDAYFKAYPGYYFTGDGA
+YRTEGGYYQITGRMDDVINI
+>Q9NUB1_PF13193_564 <unknown description>
+EIEDAIADHPAVPESAVIGYPHDIKGEAAFAFIVVKDSAGDSDVVVQELKSMVATKIAKY
+AVPDEILVVKRLPKTRSGK
+>Q9NR19_PF16177_47 <unknown description>
+YRELHRRSVEEPREFWGDIAKEFYWKTPCPGPFLRYNFDVTKGKIFIEWMKGATTNICYN
+V
+>Q9NR19_PF00501_115 <unknown description>
+KKLGDKVAFYWEGNEPGETTQITYHQLLVQVCQFSNVLRKQGIQKGDRVAIYMPMIPELV
+VAMLACARIGALHSIVFAGFSSESLCERILDSSCSLLITTDAFYRGEKLVNLKELADEAL
+QKCQEKGFPVRCCIVVKHLGRAELGMGDSTSQSPPIKRSCPDVQISWNQGIDLWWHELMQ
+EAGDECEPEWCDAEDPLFILYTSGSTGKPKGVVHTVGGYMLYVATTFKYVFDFHAEDVFW
+CTADIGWITGHSYVTYGPLANGATSVLFEGIPTYPDVNRLWSIVDKYKVTKFYTAPTAIR
+LLMKFGDEPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGAQRCPIVDTFWQTETGGHM
+LTPLPGATPMKPGSATFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMRTVYGNHE
+RFETTYFKKFPGYYVTGDGCQRDQDGYYWITGRIDDMLNV
+>Q9NR19_PF13193_583 <unknown description>
+EVESALVEHEAVAEAAVVGHPHPVKGECLYCFVTLCDGHTFSPKLTEELKKQIREKIGPI
+ATPDYIQNAPGLPKTRSGK
+>Q96CM8_PF00501_79 <unknown description>
+ETTAQRVPEREALVVLHEDVRLTFAQLKEEVDKAASGLLSIGLCKGDRLGMWGPNSYAWV
+LMQLATAQAGIILVSVNPAYQAMELEYVLKKVGCKALVFPKQFKTQQYYNVLKQICPEVE
+NAQPGALKSQRLPDLTTVISVDAPLPGTLLLDEVVAAGSTRQHLDQLQYNQQFLSCHDPI
+NIQFTSGTTGSPKGATLSHYNIVNNSNILGERLKLHEKTPEQLRMILPNPLYHCLGSVAG
+TMMCLMYGATLILASPIFNGKKALEAISRERGTFLYGTPTMFVDILNQPDFSSYDISTMC
+GGVIAGSPAPPELIRAIINKINMKDLVVAYGTTENSPVTFAHFPEDTVEQKAESVGRIMP
+HTEARIMNMEAGTLAKLNTPGELCIRGYCVMLGYWGEPQKTEEAVDQDKWYWTGDVATMN
+EQGFCKIVGRSKDMIIR
+>Q96CM8_PF13193_524 <unknown description>
+ELEDFFHTHPKVQEVQVVGVKDDRMGEEICACIRLKDGEETTVEEIKAFCKGKISHFKIP
+KYIVFVTNYPLTISGK
+>Q4G176_PF00501_49 <unknown description>
+RALAFGDRIALVDQHGRHTYRELYSRSLRLSQEICRLCGCVGGDLREERVSFLCANDASY
+VVAQWASWMSGGVAVPLYRKHPAAQLEYVICDSQSSVVLASQEYLELLSPVVRKLGVPLL
+PLTPAIYTGAVEEPAEVPVPEQGWRNKGAMIIYTSGTTGRPKGVLSTHQNIRAVVTGLVH
+KWAWTKDDVILHVLPLHHVHGVVNALLCPLWVGATCVMMPEFSPQQVWEKFLSSETPRIN
+VFMAVPTIYTKLMEYYDRHFTQPHAQDFLRAVCEEKIRLMVSGSAALPLPVLEKWKNITG
+HTLLERYGMTEIGMALSGPLTTAVRLPGSVGTPLPGVQVRIVSENPQREACSYTIHAEGD
+ERGTKVTPGFEEKEGELLVRGPSVFREYWNKPEETKSAFTLDGWFKTGDTVVFKDGQYWI
+RGRTSVDIIK
+>Q4G176_PF13193_488 <unknown description>
+EVEWHLLAHPSITDVAVIGVPDMTWGQRVTAVVTLREGHSLSHRELKEWARNVLAPYAVP
+SELVLVEEIPRNQMGK
+>Q4L235_PF00501_9 <unknown description>
+KAASCYMDRVAVCFDECNNQLPVYYTYKTVVNAASELSNFLLLHCDFQGIREIGLYCQPG
+IDLPSWILGILQVPAAYVPIEPDSPPSLSTHFMKKCNLKYILVEKKQINKFKSFHETLLN
+YDTFTVEHNDLVLFRLHWKNTEVNLMLNDGKEKYEKEKIKSISSEHVNEEKAEEHMDLRL
+KHCLAYVLHTSGTTGIPKIVRVPHKCIVPNIQHFRVLFDITQEDVLFLASPLTFDPSVVE
+IFLALSSGASLLIVPTSVKLLPSKLASVLFSHHRVTVLQATPTLLRRFGSQLIKSTVLSA
+TTSLRVLALGGEAFPSLTVLRSWRGEGNKTQIFNVYGITEVSSWATIYRIPEKTLNSTLK
+CELPVQLGFPLLGTVVEVRDTNGFTIQEGSGQVFLGGRNRVCFLDDEVTVPLGTMRATGD
+FVTVKDGEIFFLGRKDSQIKR
+>Q4L235_PF00550_558 <unknown description>
+KLQYLWKSTLNLPEDLLRVPDESLFLNSGGDSLKSIRLLSEIEKLVGTSVPGLLEIILSS
+SILEIYNH
+>Q4L235_PF13360_825 <unknown description>
+NFIVVGCYNGLVYVLKSNSGEKYWMFTTEDAVKSSATMDPTTGLIYIGSHDQHAYALDIY
+RKKCVWKSKCGGTVFSSPCLNLIPHHLYFATLGGLLLAVNPATGNVIWKHSCG
+>Q4L235_PF13570_967 <unknown description>
+GEQVWQFSTSGPIFSSPCTSPSEQKIFFGSHDCFIYC
+>P33121_PF00501_115 <unknown description>
+DQPYEWLSYKQVAELSECIGSALIQKGFKTAPDQFIGIFAQNRPEWVIIEQGCFAYSMVI
+VPLYDTLGNEAITYIVNKAELSLVFVDKPEKAKLLLEGVENKLIPGLKIIVVMDAYGSEL
+VERGQRCGVEVTSMKAMEDLGRANRRKPKPPAPEDLAVICFTSGTTGNPKGAMVTHRNIV
+SDCSAFVKATENTVNPCPDDTLISFLPLAHMFERVVECVMLCHGAKIGFFQGDIRLLMDD
+LKVLQPTVFPVVPRLLNRMFDRIFGQANTTLKRWLLDFASKRKEAELRSGIIRNNSLWDR
+LIFHKVQSSLGGRVRLMVTGAAPVSATVLTFLRAALGCQFYEGYGQTECTAGCCLTMPGD
+WTAGHVGAPMPCNLIKLVDVEEMNYMAAEGEGEVCVKGPNVFQGYLKDPAKTAEALDKDG
+WLHTGDIGKWLPNGTLKIIDRKKHIFKL
+>P33121_PF13193_572 <unknown description>
+KIENIYMRSEPVAQVFVHGESLQAFLIAIVVPDVETLCSWAQKRGFEGSFEELCRNKDVK
+>O95573_PF00501_129 <unknown description>
+LGQYNWLSYEDVFVRAFNFGNGLQMLGQKPKTNIAIFCETRAEWMIAAQACFMYNFQLVT
+LYATLGGPAIVHALNETEVTNIITSKELLQTKLKDIVSLVPRLRHIITVDGKPPTWSEFP
+KGIIVHTMAAVEALGAKASMENQPHSKPLPSDIAVIMYTSGSTGLPKGVMISHSNIIAGI
+TGMAERIPELGEEDVYIGYLPLAHVLELSAELVCLSHGCRIGYSSPQTLADQSSKIKKGS
+KGDTSMLKPTLMAAVPEIMDRIYKNVMNKVSEMSSFQRNLFILAYNYKMEQISKGRNTPL
+CDSFVFRKVRSLLGGNIRLLLCGGAPLSATTQRFMNICFCCPVGQGYGLTESAGAGTISE
+VWDYNTGRVGAPLVCCEIKLKNWEEGGYFNTDKPHPRGEILIGGQSVTMGYYKNEAKTKA
+DFFEDENGQRWLCTGDIGEFEPDGCLKIIDRKKDLVKLQ
+>O60488_PF00501_79 <unknown description>
+LGNYKWMNYLEVNRRVNNFGSGLTALGLKPKNTIAIFCETRAEWMIAAQTCFKYNFPLVT
+LYATLGKEAVVHGLNESEASYLITSVELLESKLKTALLDISCVKHIIYVDNKAINKAEYP
+EGFEIHSMQSVEELGSNPENLGIPPSRPTPSDMAIVMYTSGSTGRPKGVMMHHSNLIAGM
+TGQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIGYSSPLTLSDQSSKIKKGS
+KGDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYIQKTLFKIGYDYKLEQIKKGYDAPL
+CNLLLFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCPIGQGYGLTESCGAGTVTE
+VTDYTTGRVGAPLICCEIKLKDWQEGGYTINDKPNPRGEIVIGGQNISMGYFKNEEKTAE
+DYSVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQ
+>Q9ULC5_PF00501_100 <unknown description>
+NQPYRWLSYKQVSDRAEYLGSCLLHKGYKSSPDQFVGIFAQNRPEWIISELACYTYSMVA
+VPLYDTLGPEAIVHIVNKADIAMVICDTPQKALVLIGNVEKGFTPSLKVIILMDPFDDDL
+KQRGEKSGIEILSLYDAENLGKEHFRKPVPPSPEDLSVICFTSGTTGDPKGAMITHQNIV
+SNAAAFLKCVEHAYEPTPDDVAISYLPLAHMFERIVQAVVYSCGARVGFFQGDIRLLADD
+MKTLKPTLFPAVPRLLNRIYDKVQNEAKTPLKKFLLKLAVSSKFKELQKGIIRHDSFWDK
+LIFAKIQDSLGGRVRVIVTGAAPMSTSVMTFFRAAMGCQVYEAYGQTECTGGCTFTLPGD
+WTSGHVGVPLACNYVKLEDVADMNYFTVNNEGEVCIKGTNVFKGYLKDPEKTQEALDSDG
+WLHTGDIGRWLPNGTLKIIDRKKNIFKL
+>Q9UKU0_PF00501_140 <unknown description>
+KQPYQWLSYQEVADRAEFLGSGLLQHNCKACTDQFIGVFAQNRPEWIIVELACYTYSMVV
+VPLYDTLGPGAIRYIINTADISTVIVDKPQKAVLLLEHVERKETPGLKLIILMDPFEEAL
+KERGQKCGVVIKSMQAVEDCGQENHQAPVPPQPDDLSIVCFTSGTTGNPKGAMLTHGNVV
+ADFSGFLKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDD
+MKALCPTIFPVVPRLLNRMYDKIFSQANTPLKRWLLEFAAKRKQAEVRSGIIRNDSIWDE
+LFFNKIQASLGGCVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGD
+WTSGHVGAPLPCNHIKLVDVEELNYWACKGEGEICVRGPNVFKGYLKDPDRTKEALDSDG
+WLHTGDIGKWLPAGTLKIIDRKKHIFKL
+>Q9UKU0_PF13193_597 <unknown description>
+KIENIYIRSQPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWA
+>Q08AH1_PF00501_72 <unknown description>
+PAFWWVNGQGDEVKWSFREMGDLTRRVANVFTQTCGLQQGDHLALMLPRVPEWWLVAVGC
+MRTGIIFIPATILLKAKDILYRLQLSKAKGIVTIDALASEVDSIASQCPSLKTKLLVSDH
+SREGWLDFRSLVKSASPEHTCVKSKTLDPMVIFFTSGTTGFPKMAKHSHGLALQPSFPGS
+RKLRSLKTSDVSWCLSDSGWIVATIWTLVEPWTAGCTVFIHHLPQFDTKVIIQTLLKYPI
+NHFWGVSSIYRMILQQDFTSIRFPALEHCYTGGEVVLPKDQEEWKRRTGLLLYENYGQSE
+TGLICATYWGMKIKPGFMGKATPPYDVQVIDDKGSILPPNTEGNIGIRIKPVRPVSLFMC
+YEGDPEKTAKVECGDFYNTGDRGKMDEEGYICFLGRSDDIIN
+>Q08AH1_PF13193_483 <unknown description>
+EVESALVEHPAVAESAVVGSPDPIRGEVVKAFIVLTPQFLSHDKDQLTKELQQHVKSVTA
+PYKYPRKVEFVSELPKTITGK
+>Q53FZ2_PF00501_72 <unknown description>
+EKAGKKPSNPAFWWINRNGEEMRWSFEELGSLSRKFANILSEACSLQRGDRVILILPRVP
+EWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKANCIITNDVLAPAVDAVASKCENL
+HSKLIVSENSREGWGNLKELMKHASDSHTCVKTKHNEIMAIFFTSGTSGYPKMTAHTHSS
+FGLGLSVNGRFWLDLTPSDVMWNTSDTGWAKSAWSSVFSPWIQGACVFTHHLPRFEPTSI
+LQTLSKYPITVFCSAPTVYRMLVQNDITSYKFKSLKHCVSAGEPITPDVTEKWRNKTGLD
+IYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKIVDVNGNVLPPGQEGDIGIQVLP
+NRPFGLFTHYVDNPSKTASTLRGNFYITGDRGYMDKDGYFWFVARADDVIL
+>Q53FZ2_PF13193_492 <unknown description>
+EVENALNEHPSVAESAVVSSPDPIRGEVVKAFVVLNPDYKSHDQEQLIKEIQEHVKKTTA
+PYKYPRKVEFIQELPKTISGK
+>P0C7M7_PF00501_69 <unknown description>
+GERPANPALWWVNGKGDEVKWSFRELGSLSRKAANVLTKPCGLQRGDRLAVILPRIPEWW
+LVNVACIRTGIIFMPGTIQLTAKDILYRLRASKAKCIVASEEVAPAVESIVLECPDLKTK
+LLVSPQSWNGWLSFQELFQFASEEHSCVETGSQEPMTIYFTSGTTGFPKMAQHSQSSLGI
+GFTLCGRYWLDLKSSDIIWNMSDTGWVKAAIGSVFSSWLCGACVFVHRMAQFDTDTFLDT
+LTTYPITTLCSPPTVYRMLVQKDLKRYKFKSLRHCLTGGEPLNPEVLEQWRVQTGLELYE
+GYGQTEVGMICANQKGQEIKPGSMGKGMLPYDVQIIDENGNVLPPGKEGEIALRLKPTRP
+FCFFSKYVDNPQKTAATIRGDFYVTGDRGVMDSDGYFWFVGRADDVIIS
+>P0C7M7_PF13193_486 <unknown description>
+EVESALIEHPAVVESAVVSSPDQIRGEVVKAFVVLAAPFKSYNPEKLTLELQDHVKKSTA
+PYKYPRKVEFVQELPKTITGK
+>Q6NUN0_PF00501_69 <unknown description>
+AGHRPPNPAFWWVNGTGAEIKWSFEELGKQSRKAANVLGGACGLQPGDRMMLVLPRLPEW
+WLVSVACMRTGTVMIPGVTQLTEKDLKYRLQASRAKSIITSDSLAPRVDAISAECPSLQT
+KLLVSDSSRPGWLNFRELLREASTEHNCMRTKSRDPLAIYFTSGTTGAPKMVEHSQSSYG
+LGFVASGRRWVALTESDIFWNTTDTGWVKAAWTLFSAWPNGSCIFVHELPRVDAKVILNT
+LSKFPITTLCCVPTIFRLLVQEDLTRYQFQSLRHCLTGGEALNPDVREKWKHQTGVELYE
+GYGQSETVVICANPKGMKIKSGSMGKASPPYDVQIVDDEGNVLPPGEEGNVAVRIRPTRP
+FCFFNCYLDNPEKTAASEQGDFYITGDRARMDKDGYFWFMGRNDDVINS
+>Q6NUN0_PF13193_486 <unknown description>
+EVESALAEHPAVLESAVVSSPDPIRGEVVKAFIVLTPAYSSHDPEALTRELQEHVKRVTA
+PYKYPRKVAFVSELPKTVSGK
+>Q6P461_PF00501_76 <unknown description>
+KVSAKGEEDKWSFERMTQLSKKAASILSDTCALSHGDRLMIILPPTPEAYWICLACVRLG
+ITFVPGSPQLTAKKIRYQLRMSKAQCIVANEAMAPVVNSAVSDCPTLKTKLLVSDKSYDG
+WLDFKKLIQVAPPKQTYMRTKSQDPMAIFFTKGTTGAPKMVEYSQYGLGMGFSQASRRWM
+DLQPTDVLWSLGDAFGGSLSLSAVLGTWFQGACVFLCHMPTFCPETVLNVLSRFPITTLS
+ANPEMYQELLQHKCFTSYRFKSLKQCVAAGGPISPGVIEDWKRITKLDIYEGYGQTETGL
+LCATSKTIKLKPSSLGKPLPPYIVQIVDENSNLLPPGEEGNIAIRIKLNQPASLYCPHMV
+SWEEYASARGHMLYLTGDRGIMDEDGYFWWSGRVDDV
+>Q9H6R3_PF16177_62 <unknown description>
+YKTHFAASVTDPERFWGKAAEQISWYKPWTKTLENKHSPSTRWFVEGMLNICYNA
+>Q9H6R3_PF00501_123 <unknown description>
+NGKGDKIAIIYDSPVTNTKATFTYKEVLEQVSKLAGVLVKHGIKKGDTVVIYMPMIPQAM
+YTMLACARIGAIHSLIFGGFASKELSSRIDHVKPKVVVTASFGIEPGRRVEYVPLVEEAL
+KIGQHKPDKILIYNRPNMEAVPLAPGRDLDWDEEMAKAQSHDCVPVLSEHPLYILYTSGT
+TGLPKGVIRPTGGYAVMLHWSMSSIYGLQPGEVWWAASDLGWVVGHSYICYGPLLHGNTT
+VLYEGKPVGTPDAGAYFRVLAEHGVAALFTAPTAIRAIRQQDPGAALGKQYSLTRFKTLF
+VAGERCDVETLEWSKNVFRVPVLDHWWQTETGSPITASCVGLGNSKTPPPGQAGKSVPGY
+NVMILDDNMQKLKARCLGNIVVKLPLPPGAFSGLWKNQEAFKHLYFEKFPGYYDTMDAGY
+MDEEGYLYVMSRVDDVINV
+>Q9H6R3_PF13193_571 <unknown description>
+IEESILSHGTVADCAVVGKEDPLKGHVPLALCVLRKDINATEEQVLEEIVKHVRQNIGPV
+AAFRNAVFVKQLPKTRSGK
+>P62736_PF00022_6 <unknown description>
+DSTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRG
+ILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKMTQIM
+FETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGR
+DLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELP
+DGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGGT
+TMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYD
+EAGPSIVHRKCF
+>Q562R1_PF00022_5 <unknown description>
+ELSALVVDNGSGMCKAGFGGDDAPRAVFPSMIGRPRHQGVMVGMGQKDCYVGDEAQSKRG
+VLTLKYPIEHGVVTNWDDMEKIWYHTFYNELRVAPDEHPILLTEAPLNPKINREKMTQIM
+FEAFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHIVPIYEGYALPHAILRLDLAGR
+DLTDYLMKILTERGYNFTTTAEREIVRDVKEKLCYVALDFEQEMVRAAASSSPERSYELP
+DGQVITIGNERFRCPEAIFQPSFLGIESSGIHETTFNSIMKCDVDIRKDLYANTVLSGGS
+TMYPGIADRMQKEIITLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYD
+EAGPPIVHRKCF
+>P60709_PF00022_3 <unknown description>
+DDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR
+GILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQI
+MFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAG
+RDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGG
+TTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKCF
+>P68032_PF00022_5 <unknown description>
+EETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR
+GILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREKMTQI
+MFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAG
+RDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGG
+TTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEY
+DEAGPSIVHRKCF
+>P63261_PF00022_3 <unknown description>
+EEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR
+GILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQI
+MFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAG
+RDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGG
+TTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKCF
+>Q01718_PF00001_41 <unknown description>
+ENLIVLLAVFKNKNLQAPMYFFICSLAISDMLGSLYKILENILIILRNMGYLKPRGSFET
+TADDIIDSLFVLSLLGSIFSLSVIAADRYITIFHALRYHSIVTMRRTVVVLTVIWTFCTG
+TGITMVIFSHHVPTVITFTSLFPLMLVFILCLYVHMFLLARSHTRKISTLPRANMKGAIT
+LTILLGVFIFCWAPFVLHVLLMTFCPSNPYCACYMSLFQVNGMLIMCNAVIDPFIY
+>P63267_PF00022_4 <unknown description>
+EETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR
+GILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKMTQI
+MFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAG
+RDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGG
+TTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKPEY
+DEAGPSIVHRKCF
+>Q9H568_PF00022_4 <unknown description>
+RTVIIDHGSGFLKAGTAGWNEPQMVFPNIVNYLPCKENPGPSYARRRVSLGIDICHPDTF
+SYPIERGRILNWEGVQYLWSFVLENHRREQEVPPVIITETPLREPADRKKMLEILFELLH
+VPSVLLADQLQMSLYASGLLTGVVVDSGYGLTRVQPFHQGRPLPASGKTLEFAGQDLSAY
+LLKSLFKEDCDRRCLFQLETVAVTQMNKCYVPQNLGEALDFRERQQSALDESNTYQLPDG
+SRVELTPMQRVAPEMFFSPQVFEQPGPSIPRAIVESVESCEISLRPLLVSHVMACGGNTL
+YPGFTKRLFRELMGDHVSSTKATVWEGSNRNFSVWLGASVVAHLSTYQSEWMSREEYGEH
+>Q8TC94_PF00022_49 <unknown description>
+TGAVVIDMGTGTCKVGFAGQASPTYTVATILGCQPKKPATSGQSGLQTFIGEAARVLPEL
+TLVQPLRSGIVVDWDAAELIWRHLLEHDLRVATHDHPLLFSDPPFSPATNREKLVEVAFE
+SLRSPAMYVASQSVLSVYAHGRVSGLVVDTGHGVTYTVPVFQGYNLLHATERLDLAGNNL
+TAFLAEMLLQAGLPLGQQDLDLVENIKHHYCYVASDFQKEQARPEQEYKRTLKLPDGRTV
+TLGKELFQCPELLFNPPEVPGLSPVGLSTMAKQSLRKLSLEMRADLAQNVLLCGGSSLFT
+GFEGRFRAELLRALPAETHVVVAAQPTRNFSVWIGGSILASLRAFQSCWVLREQYEEQGP
+YIVYRKC
+>P12814_PF00307_32 <unknown description>
+QQRKTFTAWCNSHLRKAGTQIENIEEDFRDGLKLMLLLEVISGERLAKPERGKMRVHKIS
+NVNKALDFIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFA
+>P12814_PF00307_145 <unknown description>
+SAKEGLLLWCQRKTAPYKNVNIQNFHISWKDGLGFCALIHRHRPELIDYGKLRKDDPLTN
+LNTAFDVAEKYLDIPKMLDAEDIVGTARPDEKAIMTYVSSFYHAFS
+>P12814_PF00435_275 <unknown description>
+LMEDYEKLASDLLEWIRRTIPWLENRVPENTMHAMQQKLEDFRDYRRLHKPPKVQEKCQL
+EINFNTLQTKLRLSNRPAFMPSEGRMVSDINNAWGCLEQVEKGYEEWLL
+>P12814_PF00435_394 <unknown description>
+HLAEKFRQKASIHEAWTDGKEAMLRQKDYETATLSEIKALLKKHEAFESDLAAHQDRVEQ
+IAAIAQELNELDYYDSPSVNARCQKICDQWDNLGALTQKRREALE
+>P12814_PF00435_510 <unknown description>
+LYLEYAKRAAPFNNWMEGAMEDLQDTFIVHTIEEIQGLTTAHEQFKATLPDADKERLAIL
+GIHNEVSKIVQTYHVNMAGTNPYTTITPQEINGKWDHVRQLVPRRDQALTE
+>P12814_PF00435_631 <unknown description>
+LRKQFGAQANVIGPWIQTKMEEIGRISIEMHGTLEDQLSHLRQYEKSIVNYKPKIDQLEG
+DHQLIQEALIFDNKHTNYTMEHIRVGWEQLLTTIARTINEVE
+>P12814_PF13833_790 <unknown description>
+TGMMDTDDFRACLISMGYNMGEAEFARIMSIVDPNRLGVVTFQAFIDFMSR
+>P12814_PF08726_844 <unknown description>
+DTDTADQVMASFKILAGDKNYITMDELRRELPPDQAEYCIARMAPYTGPDSVPGALDYMS
+FSTALYG
+>P35609_PF00307_39 <unknown description>
+QQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIA
+NVNKALDYIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFA
+>P35609_PF00307_152 <unknown description>
+SAKEGLLLWCQRKTAPYRNVNIQNFHTSWKDGLGLCALIHRHRPDLIDYSKLNKDDPIGN
+INLAMEIAEKHLDIPKMLDAEDIVNTPKPDERAIMTYVSCFYHAFA
+>P35609_PF00435_282 <unknown description>
+LMEEYERLASELLEWIRRTIPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQL
+EINFNTLQTKLRISNRPAFMPSEGKMVSDIAGAWQRLEQAEKGYEEWLL
+>P35609_PF00435_401 <unknown description>
+HLAEKFRQKASTHETWAYGKEQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQ
+IAAIAQELNELDYHDAVNVNDRCQKICDQWDRLGTLTQKRREALE
+>P35609_PF00435_517 <unknown description>
+LHLEFAKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQSLITAHEQFKATLPEADGERQSIM
+AIQNEVEKVIQSYNIRISSSNPYSTVTMDELRTKWDKVKQLVPIRDQSLQE
+>P35609_PF00435_638 <unknown description>
+LRRQFAAQANAIGPWIQNKMEEIARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEG
+DHQLIQEALVFDNKHTNYTMEHIRVGWELLLTTIARTINEVE
+>P35609_PF08726_824 <unknown description>
+DTDTAEQVIASFRILASDKPYILAEELRRELPPDQAQYCIKRMPAYSGPGSVPGALDYAA
+FSSALYG
+>Q08043_PF00307_46 <unknown description>
+QQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPRPDKGKMRFHKIA
+NVNKALDFIASKGVKLVSIGAEEIVDGNLKMTLGMIWTIILRFA
+>Q08043_PF00307_159 <unknown description>
+SAKEGLLLWCQRKTAPYRNVNVQNFHTSWKDGLALCALIHRHRPDLIDYAKLRKDDPIGN
+LNTAFEVAEKYLDIPKMLDAEDIVNTPKPDEKAIMTYVSCFYHAFA
+>Q08043_PF00435_288 <unknown description>
+KLMEEYEKLASELLEWIRRTVPWLENRVGEPSMSAMQRKLEDFRDYRRLHKPPRIQEKCQ
+LEINFNTLQTKLRLSHRPAFMPSEGKLVSDIANAWRGLEQVEKGYEDWLL
+>Q08043_PF00435_408 <unknown description>
+HLAEKFRQKASLHEAWTRGKEEMLSQRDYDSALLQEVRALLRRHEAFESDLAAHQDRVEH
+IAALAQELNELDYHEAASVNSRCQAICDQWDNLGTLTQKRRDALE
+>Q08043_PF00435_524 <unknown description>
+LQLEFARRAAPFNNWLDGAVEDLQDVWLVHSVEETQSLLTAHDQFKATLPEADRERGAIM
+GIQGEIQKICQTYGLRPCSTNPYITLSPQDINTKWDMVRKLVPSCDQTLQE
+>Q08043_PF00435_645 <unknown description>
+LRRQFAAQANAIGPWIQAKVEEVGRLAAGLAGSLEEQMAGLRQQEQNIINYKTNIDRLEG
+DHQLLQESLVFDNKHTVYSMEHIRVGWEQLLTSIARTINEVE
+>Q08043_PF08726_831 <unknown description>
+ETDTTEQVVASFKILAGDKNYITPEELRRELPAKQAEYCIRRMVPYKGSGAPAGALDYVA
+FSSALYG
+>O43707_PF00307_51 <unknown description>
+QQRKTFTAWCNSHLRKAGTQIENIDEDFRDGLKLMLLLEVISGERLPKPERGKMRVHKIN
+NVNKALDFIASKGVKLVSIGAEEIVDGNAKMTLGMIWTIILRFA
+>O43707_PF00307_164 <unknown description>
+SAKEGLLLWCQRKTAPYKNVNVQNFHISWKDGLAFNALIHRHRPELIEYDKLRKDDPVTN
+LNNAFEVAEKYLDIPKMLDAEDIVNTARPDEKAIMTYVSSFYHAFS
+>O43707_PF00435_293 <unknown description>
+HLMEDYEKLASDLLEWIRRTIPWLEDRVPQKTIQEMQQKLEDFRDYRRVHKPPKVQEKCQ
+LEINFNTLQTKLRLSNRPAFMPSEGKMVSDINNGWQHLEQAEKGYEEWLL
+>O43707_PF00435_413 <unknown description>
+HLAEKFRQKASIHEAWTDGKEAMLKHRDYETATLSDIKALIRKHEAFESDLAAHQDRVEQ
+IAAIAQELNELDYYDSHNVNTRCQKICDQWDALGSLTHSRREALE
+>O43707_PF00435_529 <unknown description>
+LHLEYAKRAAPFNNWMESAMEDLQDMFIVHTIEEIEGLISAHDQFKSTLPDADREREAIL
+AIHKEAQRIAESNHIKLSGSNPYTTVTPQIINSKWEKVQQLVPKRDHALLE
+>O43707_PF00435_649 <unknown description>
+HLRRQFASQANVVGPWIQTKMEEIGRISIEMNGTLEDQLSHLKQYERSIVDYKPNLDLLE
+QQHQLIQEALIFDNKHTNYTMEHIRVGWEQLLTTIARTINEVE
+>O43707_PF08726_841 <unknown description>
+DTDTADQVIASFKVLAGDKNFITAEELRRELPPDQAEYCIARMAPYQGPDAVPGALDYKS
+FSTALYG
+>P68133_PF00022_5 <unknown description>
+DETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR
+GILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREKMTQI
+MFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAG
+RDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVMSGG
+TTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWITKQEY
+DEAGPSIVHRKCF
+>Q8TDG2_PF00022_8 <unknown description>
+DVPAVIFDNGSGLCKAGLSGEIGPRHVISSVLGHCKFNVPLARLNQKYFVGQEALYKYEA
+LHLHYPIERGLVTGWDDMEKLWKHLFERELGVKPSQQPVLMTEPSLNPREIREKLAEMMF
+ETFSVPGFYLSNHAVAALYASACVTGLVVDSGDGVTCTVPIFEGYSLPHAVTKLCMAGRD
+ITEHLTRLLFASGFNFPCILNKAVVNNIKEKLCYIALEPEKELRKSRGEVLGAYRLPDGH
+VIHFGDELYQVPEVLFAPDQLGIHSPGLSKMVSSSIMKCDTDIQNKLYADIVLSGGTTLL
+PGLEERLMKEVEQLASKGTPIKITASPDRCFSAWIGASIMTSMSSFKQMWVTSADFKEYG
+TSVVQRRCF
+>Q8TDY3_PF00022_8 <unknown description>
+DSPAVIFDNGSGFCKAGLSGEFGPRHMVSSIVGHLKFQAPSAEANQKKYFVGEEALYKQE
+ALQLHSPFERGLITGWDDVERLWKHLFEWELGVKPSDQPLLATEPSLNPRENREKMAEVM
+FENFGVPAFYLSDQAVLALYASACVTGLVVDSGDAVTCTVPIFEGYSLPHAVTKLHVAGR
+DITELLMQLLLASGHTFPCQLDKGLVDDIKKKLCYVALEPEKELSRRPEEVLREYKLPDG
+NIISLGDPLHQAPEALFVPQQLGSQSPGLSNMVSSSITKCDTDIQKILFGEIVLSGGTTL
+FHGLDDRLLKELEQLASKDTPIKITAPPDRWFSTWIGASIVTSLSSFKQMWVTAADFKEF
+GTSVVQRRCF
+>Q9BYD9_PF00022_7 <unknown description>
+PVVIDNGSGMIKAGVAGCREPQFIYPNIIGRAKGQSRAAQGGLELCVGDQAQDWRSSLFI
+SYPVERGLITSWEDMEIMWKHIYDYNLKLKPCDGPVLITEPALNPLANRQQITEMFFEHL
+GVPAFYMSIQAVLALFAAGFTTGLVLNSGAGVTQSVPIFEGYCLPHGVQQLDLAGLDLTN
+YLMVLMKNHGIMLLSASDRKIVEDIKESFCYVAMNYEEEMAKKPDCLEKVYQLPDGKVIQ
+LHDQLFSCPEALFSPCHMNLEAPGIDKICFSSIMKCDTGLRNSFFSNIILAGGSTSFPGL
+DKRLVKDIAKVAPANTAVQVIAPPERKISVWMGGSILASLSAFQDMWITAAEFKEVGPNI
+VHQRCF
+>P42025_PF00022_9 <unknown description>
+NQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHMRVMAGALEGDLFIGPKAEEHRGL
+LTIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPSKNREKAAEVF
+FETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSIMRVDIAGR
+DVSRYLRLLLRKEGVDFHTSAEFEVVRTIKERACYLSINPQKDEALETEKVQYTLPDGST
+LDVGPARFRAPELLFQPDLVGDESEGLHEVVAFAIHKSDMDLRRTLFANIVLSGGSTLFK
+GFGDRLLSEVKKLAPKDIKIKISAPQERLYSTWIGGSILASLDTFKKMWVSKKEYEEDGS
+RAIHRKT
+>P61163_PF00022_9 <unknown description>
+NQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHVRVMAGALEGDIFIGPKAEEHRGL
+LSIRYPMEHGIVKDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPRKNRERAAEVF
+FETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSIMRIDIAGR
+DVSRFLRLYLRKEGYDFHSSSEFEIVKAIKERACYLSINPQKDETLETEKAQYYLPDGST
+IEIGPSRFRAPELLFRPDLIGEESEGIHEVLVFAIQKSDMDLRRTLFSNIVLSGGSTLFK
+GFGDRLLSEVKKLAPKDVKIRISAPQERLYSTWIGGSILASLDTFKKMWVSKKEYEEDGA
+RSIHRKT
+>P36896_PF01064_33 <unknown description>
+LCACTSCLQANYTCETDGACMVSIFNLDGMEHHVRTCIPKVELVPAGKPFYCLSSEDLRN
+THCCYTDYCNR
+>P36896_PF08515_178 <unknown description>
+TLQDLVYDLSTSGSGSGLPLFVQRTVAR
+>P36896_PF00069_210 <unknown description>
+QEIIGKGRFGEVWRGRWRGGDVAVKIFSSREERSWFREAEIYQTVMLRHENILGFIAADN
+KDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTIEGMIKLALSAASGLAHLHMEIVGTQGKP
+GIAHRDLKSKNILVKKNGMCAIADLGLAVRHDAVTDTIDIAPNQRVGTKRYMAPEVLDET
+INMKHFDSFKCADIYALGLVYWEIARRCNSGGVHEEYQLPYYDLVPSDPSIEEMRKVVCD
+QKLRPNIPNWWQSYEALRVMGKMMRECWYANGAARLTALRIK
+>Q8NER5_PF01064_26 <unknown description>
+LKCVCLLCDSSNFTCQTEGACWASVMLTNGKEQVIKSCVSLPELNAQVFCHSSNNVTKTE
+CCFTDFCNN
+>Q8NER5_PF08515_166 <unknown description>
+TLKDLIYDVTASGSGSGLPLLVQRTIAR
+>Q8NER5_PF07714_196 <unknown description>
+VLQEIVGKGRFGEVWHGRWCGEDVAVKIFSSRDERSWFREAEIYQTVMLRHENILGFIAA
+DNKDNGTWTQLWLVSEYHEQGSLYDYLNRNIVTVAGMIKLALSIASGLAHLHMEIVGTQG
+KPAIAHRDIKSKNILVKKCETCAIADLGLAVKHDSILNTIDIPQNPKVGTKRYMAPEMLD
+DTMNVNIFESFKRADIYSVGLVYWEIARRCSVGGIVEEYQLPYYDMVPSDPSIEEMRKVV
+CDQKFRPSIPNQWQSCEALRVMGRIMRECWYANGAARLTALRIKK
+>P37023_PF08515_174 <unknown description>
+LGDLLDSDCTTGSGSGLPFLVQRTVAR
+>P37023_PF07714_204 <unknown description>
+LVECVGKGRYGEVWRGLWHGESVAVKIFSSRDEQSWFRETEIYNTVLLRHDNILGFIASD
+MTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPHLALRLAVSAACGLAHLHVEIFGTQGK
+PAIAHRDFKSRNVLVKSNLQCCIADLGLAVMHSQGSDYLDIGNNPRVGTKRYMAPEVLDE
+QIRTDCFESYKWTDIWAFGLVLWEIARRTIVNGIVEDYRPPFYDVVPNDPSFEDMKKVVC
+VDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLTALRIKKT
+>Q04771_PF01064_33 <unknown description>
+YMCVCEGLSCGNEDHCEGQQCFSSLSINDGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVE
+CCQGDWCNRN
+>Q04771_PF08515_179 <unknown description>
+TLADLLDHSCTSGSGSGLPFLVQRTVAR
+>Q04771_PF07714_209 <unknown description>
+TLLECVGKGRYGEVWRGSWQGENVAVKIFSSRDEKSWFRETELYNTVMLRHENILGFIAS
+DMTSRHSSTQLWLITHYHEMGSLYDYLQLTTLDTVSCLRIVLSIASGLAHLHIEIFGTQG
+KPAIAHRDLKSKNILVKKNGQCCIADLGLAVMHSQSTNQLDVGNNPRVGTKRYMAPEVLD
+ETIQVDCFDSYKRVDIWAFGLVLWEVARRMVSNGIVEDYKPPFYDVVPNDPSFEDMRKVV
+CVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQNPSARLTALRIKKT
+>Q03154_PF01546_76 <unknown description>
+LLNSHTDVVPVFKEHWSHDPFEAFKDSEGYIYARGAQDMKCVSIQYLEAVRRLKVEGHRF
+PRTIHMTFVPDEEVGGHQGMELFVQRPEFHALRAGFALDEGIANPTDAFTVFYSERSPWW
+VRVTSTGRPGHASRFMEDTAAEKLHKVVNSILAFREKEWQRLQSNPHLKEGSVTSVNLTK
+LEGGVAYNVIPATMSASFDFRVAPDVDFKAFEEQLQSWCQAAGEGVTLEFAQKWMHPQVT
+PTDDSNPWWAAFSRVCKDMNLTLEPEIMPAATDNRYIRAVGVPALGFSPMNRTPVLLHDH
+DERLHEAVFLRGVDIYTRLL
+>Q03154_PF07687_189 <unknown description>
+SERSPWWVRVTSTGRPGHASRFMEDTAAEKLHKVVNSILAFREKEWQRLQSNPHLKEGSV
+TSVNLTKLEGGVAYNVIPATMSASFDFRVAPDVDFKAFEEQLQSWCQAAG
+>P45381_PF04952_11 <unknown description>
+IQKVAIFGGTHGNELTGVFLVKHWLENGAEIQRTGLEVKPFITNPRAVKKCTRYIDCDLN
+RIFDLENLGKKMSEDLPYEVRRAQEINHLFGPKDSEDSYDIIFDLHNTTSNMGCTLILED
+SRNNFLIQMFHYIKTSLAPLPCYVYLIEHPSLKYATTRSIAKYPVGIEVGPQPQGVLRAD
+ILDQMRKMIKHALDFIHHFNEGKEFPPCAIEVYKIIEKVDYPRDENGEIAAIIHPNLQDQ
+DWKPLHPGDPMFLTLDGKTIPLGGDCTVYPVFVNEAAYYEKKEAFAKTTK
+>Q96HD9_PF04952_11 <unknown description>
+LRRVAVTGGTHGNEMSGVYLARHWLHAPAELQRASFSAVPVLANPAATSGCRRYVDHDLN
+RTFTSSFLNSRPTPDDPYEVTRARELNQLLGPKASGQAFDFVLDLHNTTANMGTCLIAKS
+SHEVFAMHLCRHLQLQYPELSCQVFLYQRSGEESYNLDSVAKNGLGLELGPQPQGVLRAD
+IFSRMRTLVATVLDFIELFNQGTAFPAFEMEAYRPVGVVDFPRTEAGHLAGTVHPQLQDR
+DFQPLQPGAPIFQMFSGEDLLYEGESTVYPVFINEAAYYEKGVAFVQTEK
+>P07311_PF00708_11 <unknown description>
+DYEIFGKVQGVFFRKHTQAEGKKLGLVGWVQNTDRGTVQGQLQGPISKVRHMQEWLETRG
+SPKSHIDKANFNNEKVILKLDYSDFQI
+>O14672_PF01562_35 <unknown description>
+LSYNVDSLHQKHQRAKRAVSHEDQFLRLDFHAHGRHFNLRMKRDTSLFSDEFKVETSNKV
+LDYDTSHIYTGHIYGEEGSFSHGSVIDGRFEGFIQTRGGTFYVEPAERY
+>O14672_PF13574_243 <unknown description>
+IAQISSHVKAIDTIYQTTDFSGIRNISFMVKRIRINTTADEKDPTNPFRFPNIGVEKFLE
+LNSEQNHDDYCLAYVFTDRDFDDGVLGLAWVGAPSGSSGGICEKSKLYSDGKKKSLNTGI
+ITVQNYGSHVPPKVSHITFAHEVGHNFGSPHDSGTECTPGESKNLGQKENGNYIMYARAT
+SGDKLNNNKFSLCSIRNISQVLE
+>O14672_PF00200_466 <unknown description>
+EQGEECDCGYSDQCKDECCFDANQPEGRKCKLKPGKQCSPSQGPCCTAQCAFKSKSEKCR
+DDSDCAREGICNGFTALCPA
+>O75078_PF01562_59 <unknown description>
+GGEVRKQQLDTRVRQEPPGGPPVHLAQVSFVIPAFNSNFTLDLELNHHLLSSQYVERHFS
+REGTTQHSTGAGDHCYYQGKLRGNPHSFAALSTCQGLHGVFSDGNLTYIVEPQEVAGPWG
+APQGPLPHLIY
+>O75078_PF01421_239 <unknown description>
+KYVELIVINDHQLFEQMRQSVVLTSNFAKSVVNLADVIYKEQLNTRIVLVAMETWADGDK
+IQVQDDLLETLARLMVYRREGLPEPSDATHLFSGRTFQSTSSGAAYVGGICSLSHGGGVN
+EYGNMGAMAVTLAQTLGQNLGMMWNKHRSSAGDCKCPDIWLGCIMEDTGFYLPRKFSRCS
+IDEYNQFLQEGGGSCLFNKP
+>O75078_PF00200_453 <unknown description>
+EAGEECDCGSVQECSRAGGNCCKKCTLTHDAMCSDGLCCRRCKYEPRGVSCREAVNECDI
+AETCTGDSSQCPP
+>O75078_PF08516_531 <unknown description>
+DGYYCDHEQGRCYGGRCKTRDRQCQVLWGHAAADRFCYEKLNVEGTERGSCGRKGSGWVQ
+CSKQDVLCGFLLCVNISGAPRLGDLVGDISSVTFYHQGKELDCRGGHVQ
+>O75078_PF07974_677 <unknown description>
+CPGSGERRICSHHGVCSNEGKCICQPDWTGKDC
+>Q13444_PF01562_63 <unknown description>
+TSLPEPLRIKLELDGDSHILELLQNRELVPGRPTLVWYQPDGTRVVSEGHTLENCCYQGR
+VRGYAGSWVSICTCSGLRGLVVLTPERSYTLE
+>Q13444_PF01421_214 <unknown description>
+TVELVIVADHSEAQKYRDFQHLLNRTLEVALLLDTFFRPLNVRVALVGLEAWTQRDLVEI
+SPNPAVTLENFLHWRRAHLLPRLPHDSAQLVTGTSFSGPTVGMAIQNSICSPDFSGGVNM
+DHSTSILGVASSIAHELGHSLGLDHDLPGNSCPCPGPAPAKTCIMEASTDFLPGLNFSNC
+SRRALEKALLDGMGSCLFE
+>Q13444_PF00200_430 <unknown description>
+EPGEQCDCGFLDDCVDPCCDSLTCQLRPGAQCASDGPCCQNCQLRPSGWQCRPTRGDCDL
+PEFCPGDSSQCPPD
+>Q13444_PF08516_508 <unknown description>
+DGEPCAGGQAVCMHGRCASYAQQCQSLWGPGAQPAAPLCLQTANTRGNAFGSCGRNPSGS
+YVSCTPRDAICGQLQCQTGRTQPLLGSIRDLLWETIDVNGTELNCSWVHLDLGSD
+>P78536_PF01562_48 <unknown description>
+SNIQQHSVRKRDLQTSTHVETLLTFSALKRHFKLYLTSSTERFSQNFKVVVVDGKNESEY
+TVKWQDFFTGHVVGEPDSRVLAHIRDDDVIIRINTDGAEYNIEPLWRFVNDTKDKRML
+>P78536_PF13688_222 <unknown description>
+KNTCKLLVVADHRFYRYMGRGEESTTTNYLIELIDRVDDIYRNTSWDNAGFKGYGIQIEQ
+IRILKSPQEVKPGEKHYNMAKSYPNEEKDAWDVKMLLEQFSFDIAEEASKVCLAHLFTYQ
+DFDMGTLGLAYVGSPRANSHGGVCPKAYYSPVGKKNIYLNSGLTSTKNYGKTILTKEADL
+VTTHELGHNFGAEHDPDGLAECAPNEDQGGKYVMYPIAVSGDHENNKMFS
+>P78536_PF00200_484 <unknown description>
+DEGEECDPGIMYLNNDTCCNSDCTLKEGVQCSDRNSPCCKNCQFETAQKKCQEAINATCK
+GVSYCTGNSSECPP
+>P78536_PF16698_581 <unknown description>
+FCEREQQLESCACNETDNSCKVCCRDLSGRCVPYVDAEQKNLFLRKGKPCTVGFCDMNGK
+CE
+>Q9Y3Q7_PF01562_27 <unknown description>
+VTVPRKIKSNDSEVSERKMIYIITIDGQPYTLHLGKQSFLPQNFLVYTYNETGSLHSVSP
+YFMMHCHYQGYAAEFPNSFVTLSICSGLRGFLQFENISYGIEPVESSARFEHIIY
+>Q9Y3Q7_PF01421_184 <unknown description>
+QYLEIYIIVEKALYDYMGSEMMAVTQKIVQVIGLVNTMFTQFKLTVILSSLELWSNENQI
+STSGDADDILQRFLAWKRDYLILRPHDIAYLLVYRKHPKYVGATFPGTVCNKSYDAGIAM
+YPDAIGLEGFSVIIAQLLGLNVGLTYDDITQCFCLRATCIMNHEAVSASGRKIFSNCSMH
+DYRYFVSKFETKCLQK
+>Q9Y3Q7_PF00200_399 <unknown description>
+ESNEECDCGNKNECQFKKCCDYNTCKLKGSVKCGSGPCCTSKCELSIAGTPCRKSIDPEC
+DFTEYCNGTSSNCVPD
+>Q9Y3Q7_PF08516_479 <unknown description>
+NGRLCKLGTAYCYNGQCQTTDNQCAKIFGKGAQGAPFACFKEVNSLHERSENCGFKNSQP
+LPCERKDVLCGKLACVQPHKNANKSDAQSTVYSYIQDHVCVSIATG
+>Q9H013_PF01562_57 <unknown description>
+VREKHPLKAELRVMAEGRELILDLEKNEQLFAPSYTETHYTSSGNPQTTTRKLEDHCFYH
+GTVRETELSSVTLSTCRGIRGLITVSSNLSYVIEPLPDSKGQHLIY
+>Q9H013_PF01421_210 <unknown description>
+KYVELYLVADYLEFQKNRRDQDATKHKLIEIANYVDKFYRSLNIRIALVGLEVWTHGNMC
+EVSENPYSTLWSFLSWRRKLLAQKYHDNAQLITGMSFHGTTIGLAPLMAMCSVYQSGGVN
+MDHSENAIGVAATMAHEMGHNFGMTHDSADCCSASAADGGCIMAAATGHPFPKVFNGCNR
+RELDRYLQSGGGMCLSNMP
+>Q9H013_PF00200_425 <unknown description>
+EDGEECDCGEEEECNNPCCNASNCTLRPGAECAHGSCCHQCKLLAPGTLCREQARQCDLP
+EFCTGKSPHCPT
+>Q9H013_PF08516_502 <unknown description>
+DGTPCEGGQAYCYNGMCLTYQEQCQQLWGPGARPAPDLCFEKVNVAGDTFGNCGKDMNGE
+HRKCNMRDAKCGKIQCQSSEARPLESNAVPIDTTIIMNGRQIQCRGTHVYR
+>P35348_PF00001_43 <unknown description>
+GNILVILSVACHRHLHSVTHYYIVNLAVADLLLTSTVLPFSAIFEVLGYWAFGRVFCNIW
+AAVDVLCCTASIMGLCIISIDRYIGVSYPLRYPTIVTQRRGLMALLCVWALSLVISIGPL
+FGWRQPAPEDETICQINEEPGYVLFSALGSFYLPLAIILVMYCRVYVVAKRESRGLKSGL
+KTDKSDSEQVTLRIHRKNAPAGGSGMASAKTKTHFSVRLLKFSREKKAAKTLGIVVGCFV
+LCWLPFFLVMPIGSFFPDFKPSETVFKIVFWLGYLNSCINPIIY
+>P35368_PF00001_62 <unknown description>
+GNILVILSVACNRHLRTPTNYFIVNLAMADLLLSFTVLPFSAALEVLGYWVLGRIFCDIW
+AAVDVLCCTASILSLCAISIDRYIGVRYSLQYPTLVTRRKAILALLSVWVLSTVISIGPL
+LGWKEPAPNDDKECGVTEEPFYALFSSLGSFYIPLAVILVMYCRVYIVAKRTTKNLEAGV
+MKEMSNSKELTLRIHSKNFHEDTLSSTKAKGHNPRSSIAVKLFKFSREKKAAKTLGIVVG
+MFILCWLPFFIALPLGSLFSTLKPPDAVFKVVFWLGYFNSCLNPIIY
+>P25100_PF00001_113 <unknown description>
+GNLLVILSVACNRHLQTVTNYFIVNLAVADLLLSATVLPFSATMEVLGFWAFGRAFCDVW
+AAVDVLCCTASILSLCTISVDRYVGVRHSLKYPAIMTERKAAAILALLWVVALVVSVGPL
+LGWKEPVPPDERFCGITEEAGYAVFSSVCSFYLPMAVIVVMYCRVYVVARSTTRSLEAGV
+KRERGKASEVVLRIHCRGAATGADGAHGMRSAKGHTFRSSLSVRLLKFSREKKAAKTLAI
+VVGVFVLCWFPFFFVLPLGSLFPQLKPSEGVFKVIFWLGYFNSCVNPLIY
+>O43506_PF01562_57 <unknown description>
+APGWLSYSLRFGGQRYIVHMRVNKLLFAAHLPVFTYTEQHALLQDQPFIQDDCYYHGYVE
+GVPESLVALSTCSGGFLGMLQINDLVYEIKPISVSATFEHLVY
+>O43506_PF01421_207 <unknown description>
+RFVELVVVVDNIRYLFSQSNATTVQHEVFNVVNIVDSFYHPLEVDVILTGIDIWTASNPL
+PTSGDLDNVLEDFSIWKNYNLNNRLQHDVAHLFIKDTQGMKLGVAYVKGICQNPFNTGVD
+VFEDNRLVVFAITLGHELGHNLGMQHDTQWCVCELQWCIMHAYRKVTTKFSNCSYAQYWD
+STISSGLCI
+>O43506_PF00200_416 <unknown description>
+EEGEECDCGTIRQCAKDPCCLLNCTLHPGAACAFGICCKDCKFLPSGTLCRQQVGECDLP
+EWCNGTSHQCPDD
+>O43506_PF08516_493 <unknown description>
+DGISCNVNAFCYEKTCNNHDIQCKEIFGQDARSASQSCYQEINTQGNRFGHCGIVGTTYV
+KCWTPDIMCGRVQCENVGVIPNLIEHSTVQQFHLNDTTCWGTDYHLGMAIPDI
+>Q9UKJ8_PF01562_52 <unknown description>
+GRSAKAPGWLSYSLRFGGQKHVVHMRVKKLLVSRHLPVFTYTDDRALLEDQLFIPDDCYY
+HGYVEAAPESLVVFSACFGGFRGVLKISGLTYEIEPIRHSATFEHLVY
+>Q9UKJ8_PF01421_209 <unknown description>
+FLELVVVVNHDFFIYSQSNISKVQEDVFLVVNIVDSMYKQLGTYIILIGIEIWNQGNVFP
+MTSIEQVLNDFSQWKQISLSQLQHDAAHMFIKNSLISILGLAYVAGICRPPIDCGVDNFQ
+GDTWSLFANTVAHELGHTLGMQHDEEFCFCGERGCIMNTFRVPAEKFTNCSYADFMKTTL
+NQGSCLHNPP
+>Q9UKJ8_PF00200_415 <unknown description>
+EREEQCDCGSVQQCEQDACCLLNCTLRPGAACAFGLCCKDCKFMPSGELCRQEVNECDLP
+EWCNGTSHQCPED
+>Q9UKJ8_PF08516_492 <unknown description>
+DGIPCSDSAYCYQKRCNNHDQHCREIFGKDAKSASQNCYKEINSQGNRFGHCGINGTTYL
+KCHISDVFCGRVQCENVRDIPLLQDHFTLQHTHINGVTCWGIDYHLRMNISDI
+>O75077_PF01562_130 <unknown description>
+HVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLILNNGLLSSDYVEIHYENGKPQY
+SKGGEHCYYHGSIRGVKDSKVALSTCNGLHGMFEDDTFVYMIEPLELVHDEKSTGRPHII
+>O75077_PF01421_299 <unknown description>
+KYLELMIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDQ
+IDITTNPVQMLHEFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRTRGVGVNE
+YGLPMAVAQVLSQSLAQNLGIQWEPSSRKPKCDCTESWGGCIMEETGVSHSRKFSKCSIL
+EYRDFLQRGGGACLFNRP
+>O75077_PF00200_511 <unknown description>
+EAGEECDCGFHVECYGLCCKKCSLSNGAHCSDGPCCNNTSCLFQPRGYECRDAVNECDIT
+EYCTGDSGQCPP
+>O75077_PF08516_588 <unknown description>
+DGYACNQNQGRCYNGECKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRW
+IQCSKHDVFCGFLLCTNLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLD
+>Q9UKQ2_PF01562_29 <unknown description>
+EVVYPIRLHPLHKREAKEPEQQEQFETELKYKMTINGKIAVLYLKKNKNLLAPGYTETYY
+NSTGKEITTSPQIMDDCYYQGHILNEKVSDASISTCRGLRGYFSQGDQRYFIEPLSPIHR
+DGQEHAL
+>Q9UKQ2_PF01421_204 <unknown description>
+KYIEYYLVLDNGEFKRYNENQDEIRKRVFEMANYVNMLYKKLNTHVALVGMEIWTDKDKI
+KITPNASFTLENFSKWRGSVLSRRKRHDIAQLITATELAGTTVGLAFMSTMCSPYSVGVV
+QDHSDNLLRVAGTMAHEMGHNFGMFHDDYSCKCPSTICVMDKALSFYIPTDFSSCSRLSY
+DKFFEDKLSNCLFNAP
+>Q9UKQ2_PF00200_416 <unknown description>
+EMGEDCDCGTSEECTNICCDAKTCKIKATFQCALGECCEKCQFKKAGMVCRPAKDECDLP
+EMCNGKSGNCPDD
+>Q9UKQ2_PF08516_493 <unknown description>
+NGFPCHHGKGHCLMGTCPTLQEQCTELWGPGTEVADKSCYNRNEGGSKYGYCRRVDDTLI
+PCKANDTMCGKLFCQGGSDNLPW
+>Q9UKF5_PF01562_49 <unknown description>
+PGWLSYILPFGGQKHIIHIKVKKLLFSKHLPVFTYTDQGAILEDQPFVQNNCYYHGYVEG
+DPESLVSLSTCFGGFQGILQINDFAYEIKPLAFSTTFEHLVY
+>Q9UKF5_PF01421_199 <unknown description>
+IVEIVVVIDNYLYIRYERNDSKLLEDLYVIVNIVDSILDVIGVKVLLFGLEIWTNKNLIV
+VDDVRKSVHLYCKWKSENITPRMQHDTSHLFTTLGLRGLSGIGAFRGMCTPHRSCAIVTF
+MNKTLGTFSIAVAHHLGHNLGMNHDEDTCRCSQPRCIMHEGNPPITKFSNCSYGDFWEYT
+VERTKCLLE
+>Q9UKF5_PF00200_406 <unknown description>
+EEGEECDCGPLKHCAKDPCCLSNCTLTDGSTCAFGLCCKDCKFLPSGKVCRKEVNECDLP
+EWCNGTSHKCPDD
+>Q9UKF5_PF08516_483 <unknown description>
+DGIPCKERGYCYEKSCHDRNEQCRRIFGAGANTASETCYKELNTLGDRVGHCGIKNATYI
+KCNISDVQCGRIQCENVTEIPNMSDHTTVHWARFNDIMCWSTDYHLGMKGPDI
+>P08913_PF00001_65 <unknown description>
+GNVLVIIAVFTSRALKAPQNLFLVSLASADILVATLVIPFSLANEVMGYWYFGKAWCEIY
+LALDVLFCTSSIVHLCAISLDRYWSITQAIEYNLKRTPRRIKAIIITVWVISAVISFPPL
+ISIEKKGGGGGPQPAEPRCEINDQKWYVISSCIGSFFAPCLIMILVYVRIYQIAKRRTRV
+PPSRRGPDAVAAPPGGTERRPNGLGPERSAGPGGAEAEPLPTQLNGAPGEPAPAGPRDTD
+ALDLEESSSSDHAERPPGPRRPERGPRGKGKARASQVKPGDSLPRRGPGATGIGTPAAGP
+GEERVGAAKASRWRGRQNREKRFTFVLAVVIGVFVVCWFPFFFTYTLTAVGCSVPRTLFK
+FFFWFGYCNSSLNPVIY
+>P18089_PF00001_29 <unknown description>
+GNALVILAVLTSRSLRAPQNLFLVSLAAADILVATLIIPFSLANELLGYWYFRRTWCEVY
+LALDVLFCTSSIVHLCAISLDRYWAVSRALEYNSKRTPRRIKCIILTVWLIAAVISLPPL
+IYKGDQGPQPRGRPQCKLNQEAWYILASSIGSFFAPCLIMILVYLRIYLIAKRSNRRGPR
+AKGGPGQGESKQPRPDHGGALASAKLPALASVASAREVNGHSKSTGEKEEGETPEDTGTR
+ALPPSWAALPNSGQGQKEGVCGASPEDEAEEEEEEEEEEEECEPQAVPVSPASACSPPLQ
+QPQGSRVLATLRGQVLLGRGVGAIGGQWWRRRAQLTREKRFTFVLAVVIGVFVLCWFPFF
+FSYSLGAICPKHCKVPHGLFQFFFWIGYCNSSLNPVIY
+>P18825_PF00001_68 <unknown description>
+GNVLVVIAVLTSRALRAPQNLFLVSLASADILVATLVMPFSLANELMAYWYFGQVWCGVY
+LALDVLFCTSSIVHLCAISLDRYWSVTQAVEYNLKRTPRRVKATIVAVWLISAVISFPPL
+VSLYRQPDGAAYPQCGLNDETWYILSSCIGSFFAPCLIMGLVYARIYRVAKLRTRTLSEK
+RAPVGPDGASPTTENGLGAAAGAGENGHCAPPPADVEPDESSAAAERRRRRGALRRGGRR
+RAGAEGGAGGADGQGAGPGAAESGALTASRSPGPGGRLSRASSRSVEFFLSRRRRARSSV
+CRRKVAQAREKRFTFVLAVVMGVFVLCWFPFFFSYSLYGICREACQVPGPLFKFFFWIGY
+CNSSLNPVIY
+>Q9NZK5_PF08451_28 <unknown description>
+LSIDETRAHLLLKEKMMRLGGRLVLNTKEELANERLMTLKIAEMKEAMRTLIFPPSMHFF
+QAKHLIERSQVFNIL
+>Q9NZK5_PF00962_197 <unknown description>
+YTNQNVVWSKFETIFFTISGLIHYAPVFRDYVFRSMQEFYEDNVLYMEIRARLLPVYELS
+GEHHDEEWSVKTYQEVAQKFVETHPEFIGIKIIYSDHRSKDVAVIAESIRMAMGLRIKFP
+TVVAGFDLVGHEDTGHSLHDYKEALMIPAKDGVKLPYFFHAGETDWQGTSIDRNILDALM
+LNTTRIGHGFALSKHPAVRTYSWKKDIPIEVCPISNQVLKLVSDLRNHPVATLMATGHPM
+VISSDDPAMFGAKGLSYDFYEVFMGIGGMKADLRTLKQLAMNSIKYSTLLESEKNTFME
+>Q9UKF2_PF01562_36 <unknown description>
+GEFDSYEVTIPEKLSFRGEVQGVVSPVSYLLQLKGKKHVLHLWPKRLLLPRHLRVFSFTE
+HGELLEDHPYIPKDCNYMGSVKESLDSKATISTCMGGLRGVFNIDAKHYQIEPLKASPSF
+EHVVY
+>Q9UKF2_PF01421_203 <unknown description>
+KYLELILLFDQSRYRFVNNNLSQVIHDAILLTGIMDTYFQDVRMRIHLKALEVWTDFNKI
+RVGYPELAEVLGRFVIYKKSVLNARLSSDWAHLYLQRKYNDALAWSFGKVCSLEYAGSVS
+TLLDTNILAPATWSAHELGHAVGMSHDEQYCQCRGRLNCIMGSGRTGFSNCSYISFFKHI
+SSGATCLNNIP
+>Q9UKF2_PF00200_408 <unknown description>
+EDNEECDCGSTEECQKDRCCQSNCKLQPGANCSIGLCCHDCRFRPSGYVCRQEGNECDLA
+EYCDGNSSSCPND
+>Q9UKF2_PF08516_485 <unknown description>
+DGTPCKYEGRCFRKGCRSRYMQCQSIFGPDAMEAPSECYDAVNLIGDQFGNCEITGIRNF
+KKCESANSICGRLQCINVETIPDLPEHTTIISTHLQAENLMCWGTGYHLS
+>Q8TC27_PF01562_40 <unknown description>
+DSSEIEYEQISYIIPIDEKLYTVHLKQRYFLADNFMIYLYNQGSMNTYSSDIQTQCYYQG
+NIEGYPDSMVTLSTCSGLRGILQFENVSYGIEPLESAVEFQHVLY
+>Q8TC27_PF01421_187 <unknown description>
+YLEMHIVVDKTLYDYWGSDSMIVTNKVIEIVGLANSMFTQFKVTIVLSSLELWSDENKIS
+TVGEADELLQKFLEWKQSYLNLRPHDIAYLLIYMDYPRYLGAVFPGTMCITRYSAGVALY
+PKEITLEAFAVIVTQMLALSLGISYDDPKKCQCSESTCIMNPEVVQSNGVKTFSSCSLRS
+FQNFISNVGVKCLQNKP
+>Q8TC27_PF00200_400 <unknown description>
+EGNEICDCGTEAQCGPASCCDFRTCVLKDGAKCYKGLCCKDCQILQSGVECRPKAHPECD
+IAENCNGTSPECGPD
+>Q8TC27_PF08516_479 <unknown description>
+NGLSCKNNKFICYDGDCHDLDARCESVFGKGSRNAPFACYEEIQSQSDRFGNCGRDRNNK
+YVFCGWRNLICGRLVCTYPTRKPFHQENGDVIYAFVRDSVCITVDYKLPRTVPD
+>Q9BZ11_PF01562_56 <unknown description>
+LEEPVSKPDMGLVALEAEGQELLLELEKNHRLLAPGYIETHYGPDGQPVVLAPNHTDHCH
+YQGRVRGFPDSWVVLCTCSGMSGLITLSRNASYYLRPWPPRGS
+>Q9BZ11_PF01421_210 <unknown description>
+KYLELYIVADHTLFLTRHRNLNHTKQRLLEVANYVDQLLRTLDIQVALTGLEVWTERDRS
+RVTQDANATLWAFLQWRRGLWAQRPHDSAQLLTGRAFQGATVGLAPVEGMCRAESSGGVS
+TDHSELPIGAAATMAHEIGHSLGLSHDPDGCCVEAAAESGGCVMAAATGHPFPRVFSACS
+RRQLRAFFRKGGGACLSNAP
+>Q9BZ11_PF00200_426 <unknown description>
+EAGEECDCGPGQECRDLCCFAHNCSLRPGAQCAHGDCCVRCLLKPAGALCRQAMGDCDLP
+EFCTGTSSHCPPD
+>Q9BZ11_PF08516_503 <unknown description>
+DGSPCARGSGYCWDGACPTLEQQCQQLWGPGSHPAPEACFQVVNSAGDAHGNCGQDSEGH
+FLPCAGRDALCGKLQCQGGKPSLLAPHMVPVDSTVHLDGQEVTCRGA
+>Q96M93_PF00035_97 <unknown description>
+PVSALHQFAQMQRVQLDLKETVTTGNVMGPYFAFCAVVDGIQYKTGLGQNKKESRSNAAK
+LALDEL
+>Q96M93_PF02137_249 <unknown description>
+AIGTGEYNYSQDIKPDGRVLHDTHAVVTARRSLLRYFYRQLLLFYSKNPAMMEKSIFCTE
+PTSNLLTLKQNINICLYMNQLPKGSAQIKSQLRLNPHSISAFEANEELCLHVAVEGKIYL
+TVYCPKDGVNRISSMSSSDKLTRWEVLGVQGALLSHFIQPVYISSILIGDGNCSDTRGLE
+IAIKQRVDDALTSKLPMFYLVNRPHISLVPSAYPLQMNLEYKFLSLNWAQGDVSLEIVDG
+LSGKITESSPFKSGMSMASRLCKAAMLSRFNLLAKEAKKELLEAGTYHAAKCMSASYQEA
+KCKLKSYLQQHGYGSWIVK
+>Q8NCV1_PF00035_117 <unknown description>
+VSLLTEYAASLGIFLLFREDQPPGPCFPFSVSAELDGVVCPAGTANSKTEAKQQAALSAL
+CY
+>Q8NCV1_PF02137_261 <unknown description>
+ALGTGSSCCAGWLEFSGQQLHDCHGLVIARRALLRFLFRQLLLATQGGPKGKEQSVLAPQ
+PGPGPPFTLKPRVFLHLYISNTPKGAARDIYLPPTSEGGLPHSPPMRLQAHVLGQLKPVC
+YVAPSLCDTHVGCLSASDKLARWAVLGLGGALLAHLVSPLYSTSLILADSCHDPPTLSRA
+IHTRPCLDSVLGPCLPPPYVRTALHLFAGPPVAPSEPTPDTCRGLSLNWSLGDPGIEVVD
+VATGRVKANAALGPPSRLCKASFLRAFHQAARAVGKPYLLALKTYEAAKAGPYQEARRQL
+SLLLDQQGLGAWPSKP
+>Q6DHV7_PF00962_19 <unknown description>
+PKVELHAHLNGSISSHTMKKLIAQKPDLKIHDQMTVIDKGKKRTLEECFQMFQTIHQLTS
+SPEDILMVTKDVIKEFADDGVKYLELRSTPRRENATGMTKKTYVESILEGIKQSKQENLD
+IDVRYLIAVDRRGGPLVAKETVKLAEEFFLSTEGTVLGLDLSGDPTVGQAKDFLEPLLEA
+KKAGLKLALHLSEIPNQKKETQILLDLLPDRIGHGTFLNSGEGGSLDLVDFVRQHRIPLE
+LCLTSNVKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATHLSQEYQLAAETFNLTQS
+QVWDLSYESINYIFASDSTRSELRKK
+>Q99965_PF01562_28 <unknown description>
+TVPEKIRSIIKEGIESQASYKIVIEGKPYTVNLMQKNFLPHNFRVYSYSGTGIMKPLDQD
+FQNFCHYQGYIEGYPKSVVMVSTCTGLRGVLQFENVSYGIEPLESSVGFEHVIY
+>Q99965_PF01421_178 <unknown description>
+KYIEMHVIVEKQLYNHMGSDTTVVAQKVFQLIGLTNAIFVSFNITIILSSLELWIDENKI
+ATTGEANELLHTFLRWKTSYLVLRPHDVAFLLVYREKSNYVGATFQGKMCDANYAGGVVL
+HPRTISLESLAVILAQLLSLSMGITYDDINKCQCSGAVCIMNPEAIHFSGVKIFSNCSFE
+DFAHFISKQKSQCLHNQP
+>Q99965_PF00200_393 <unknown description>
+EAGEECDCGTEQDCALIGETCCDIATCRFKAGSNCAEGPCCENCLFMSKERMCRPSFEEC
+DLPEYCNGSSASCPEN
+>Q99965_PF08516_474 <unknown description>
+GHPCGLNQWICIDGVCMSGDKQCTDTFGKEVEFGPSECYSHLNSKTDVSGNCGISDSGYT
+QCEADNLQCGKLICKYVGKFLLQIPRATIIYANISGHLCIAVEFASD
+>Q9H2U9_PF01562_38 <unknown description>
+IQKRDTGHTHDDDILKTYEEELLYEIKLNRKTLVLHLLRSREFLGSNYSETFYSMKGEAF
+TRHPQIMDHCFYQGSIVHEYDSAASISTCNGLRGFFRINDQRYLIEPVKYSDEGEHLVF
+>Q9H2U9_PF01421_199 <unknown description>
+KYVELFIVADDTVYRRNGHPHNKLRNRIWGMVNFVNMIYKTLNIHVTLVGIEIWTHEDKI
+ELYSNIETTLLRFSFWQEKILKTRKDFDHVVLLSGKWLYSHVQGISYPGGMCLPYYSTSI
+IKDLLPDTNIIANRMAHQLGHNLGMQHDEFPCTCPSGKCVMDSDGSIPALKFSKCSQNQY
+HQYLKDYKPTCMLNIP
+>Q9H2U9_PF00200_411 <unknown description>
+DEGEECDCGPAQECTNPCCDAHTCVLKPGFTCAEGECCESCQIKKAGSICRPAKDECDFP
+EMCTGHSPACPKD
+>Q9H2U9_PF08516_488 <unknown description>
+NGFPCKNSEGYCFMGKCPTREDQCSELFDDEAIESHDICYKMNTKGNKFGYCKNKENRFL
+PCEEKDVRCGKIYCTGGELSSLLGEDKTYHLKDPQKNATVKCKTIFLYHD
+>P78325_PF01562_40 <unknown description>
+PRVRRALPSHLGLHPERVSYVLGATGHNFTLHLRKNRDLLGSGYTETYTAANGSEVTEQP
+RGQDHCFYQGHVEGYPDSAASLSTCAGLRGFFQVGSDLHLIEPLDEGGEGGRHAVY
+>P78325_PF01421_200 <unknown description>
+RYVELYVVVDNAEFQMLGSEAAVRHRVLEVVNHVDKLYQKLNFRVVLVGLEIWNSQDRFH
+VSPDPSVTLENLLTWQARQRTRRHLHDNVQLITGVDFTGTTVGFARVSAMCSHSSGAVNQ
+DHSKNPVGVACTMAHEMGHNLGMDHDENVQGCRCQERFEAGRCIMAGSIGSSFPRMFSDC
+SQAYLESFLERPQSVCLANAP
+>P78325_PF00200_417 <unknown description>
+ERGEQCDCGPPEDCRNRCCNSTTCQLAEGAQCAHGTCCQECKVKPAGELCRPKKDMCDLE
+EFCDGRHPECPED
+>P78325_PF08516_494 <unknown description>
+NGTPCSGGYCYNGACPTLAQQCQAFWGPGGQAAEESCFSYDILPGCKASRYRADMCGVLQ
+CKGGQQ
+>Q13443_PF01562_45 <unknown description>
+IITPWRLTRERREAPRPYSKQVSYVIQAEGKEHIIHLERNKDLLPEDFVVYTYNKEGTLI
+TDHPNIQNHCHYRGYVEGVHNSSIALSDCFGLRGLLHLENASYGIEPLQNSSHFEHIIY
+>Q13443_PF01421_212 <unknown description>
+RYVELFIVVDKERYDMMGRNQTAVREEMILLANYLDSMYIMLNIRIVLVGLEIWTNGNLI
+NIVGGAGDVLGNFVQWREKFLITRRRHDSAQLVLKKGFGGTAGMAFVGTVCSRSHAGGIN
+VFGQITVETFASIVAHELGHNLGMNHDDGRDCSCGAKSCIMNSGASGSRNFSSCSAEDFE
+KLTLNKGGNCLLNIP
+>Q13443_PF00200_423 <unknown description>
+DAGEECDCGTPKECELDPCCEGSTCKLKSFAECAYGDCCKDCRFLPGGTLCRGKTSECDV
+PEYCNGSSQFCQPD
+>Q13443_PF08516_501 <unknown description>
+NGYPCQNNKAYCYNGMCQYYDAQCQVIFGSKAKAAPKDCFIEVNSKGDRFGNCGFSGNEY
+KKCATGNALCGKLQCENVQEIPVFGIVPAIIQTPSRGTKCWGVDFQLGSDVPDPG
+>O75689_PF01412_8 <unknown description>
+AVLELLQRPGNARCADCGAPDPDWASYTLGVFICLSCSGIHRNIPQVSKVKSVRLDAWEE
+AQVEFMASHGNDAARARFESKVPSFYYRPTPSDCQLLREQWIRAKYERQEFI
+>O75689_PF00169_131 <unknown description>
+YREGFLWKRGRDNGQFLSRKFVLTEREGALKYFNRNDAKEPKAVMKIEHLNATFQPAKIG
+HPHGLQVTYLKDNSTRNIFIYHEDGKEIVDWFNALRAA
+>O75689_PF00169_254 <unknown description>
+LKEGYMEKTGPKQTEGFRKRWFTMDDRRLMYFKDPLDAFARGEVFIGSKESGYTVLHGFP
+PSTQGHHWPHGITIVTPDRKFLFACETESDQREWVAAFQKAV
+>Q9NPF8_PF01412_12 <unknown description>
+LELLRAPDTGNAHCADCGAADPDWASYKLGIFICLNCCGVHRNFPDISRVKSVRLDFWDD
+SIVEFMIHNGNLRVKAKFEARVPAFYYIPQANDCLVLKEQWIRAKYERREFMA
+>Q9NPF8_PF00169_135 <unknown description>
+REGFLWKRGRDNSQFLRRKFVLLAREGLLKYFTKEQGKSPKAVISIKDLNATFQTEKIGH
+PHGLQITYRRDGHTRNLFVYHESGKEIVDWFNALRAA
+>Q9NPF8_PF00169_258 <unknown description>
+KQGFMEKTGPKQKEPFKKRWFALDCHERRLLYYKNPLDAFEQGQVFLGNKEQGYEAYEDL
+PKGIRGNRWKAGLTIVTPERRFVLTCPSEKEQQEWLESLRGV
+>O00116_PF01565_206 <unknown description>
+PDIVLWPTCHDDVVKIVNLACKYNLCIIPIGGGTSVSYGLMCPADETRTIISLDTSQMNR
+ILWVDENNLTAHVEAGITGQELERQLKESGYCTGHEPDSLEFSTVGGWVSTRASGMKKNI
+YGNIEDLVVHIKMVTPRGII
+>O00116_PF02913_385 <unknown description>
+PEYQKYGSVAFPNFEQGVACLREIAKQRCAPASIRLMDNKQFQFGHALKPQVSSIFTSFL
+DGLKKFYITKFKGFDPNQLSVATLLFEGDREKVLQHEKQVYDIAAKFGGLAAGEDNGQRG
+YLLTYVIAYIRDLALEYYVLGESFETSAPWDRVVDLCRNVKERITRECKEKGVQFAPFST
+CRVTQTYDAGACIYFYFAFNYRGISDPLTVFEQTEAAAREEILANGGSLSHHHGVGKLRK
+QWLKESISDVGFGMLKSVKEYVDPNNIFGNR
+>Q9BUB4_PF02137_63 <unknown description>
+SMGTGTKCIGQSKMRKNGDILNDSHAEVIARRSFQRYLLHQLQLAATLKEDSIFVPGTQK
+GVWKLRRDLIFVFFSSHTPCGDASIIPMLEFEDQPCCPVFRNWAHNSSVEASSNLEAPGN
+ERKCEDPDSPVTKKMRLEPGTAAREVTNGAAHHQSFGKQKSGPISPGIHSCDLTVEGLAT
+VTRIAPGSAKVIDVYRTGAKCVPGEAGDSGKPGAAFHQVGLLRVKPGRGDRTRSMSCSDK
+MARWNVLGCQGALLMHLLEEPIYLSAVVIGKCPYSQEAMQRALIGRCQNVSALPKGFGVQ
+ELKILQSDLLFEQSRSAVQAKRADSPGRLVPCGAAISWSAVPEQPLDVTANGFPQGTTKK
+TIGSLQARSQISKVELFRSFQKLLSRIARDKWPHSLRVQKLDTYQEYKEAASSYQEAWST
+LRKQVFGSWIRNP
+>Q7Z6V5_PF00383_21 <unknown description>
+ETEKWMEEAMHMAKEALENTEVPVGCLMVYNNEVVGKGRNEVNQTKNATRHAEMVAIDQV
+LDWCRQSGKSPSEVFEHTVLYVTVEPCIMCAAALRLMKIPLVVYG
+>P00813_PF00962_10 <unknown description>
+PKVELHVHLDGSIKPETILYYGRRRGIALPANTAEGLLNVIGMDKPLTLPDFLAKFDYYM
+PAIAGCREAIKRIAYEFVEMKAKEGVVYVEVRYSPHLLANSKVEPIPWNQAEGDLTPDEV
+VALVGQGLQEGERDFGVKARSILCCMRHQPNWSPKVVELCKKYQQQTVVAIDLAGDETIP
+GSSLLPGHVQAYQEAVKSGIHRTVHAGEVGSAEVVKEAVDILKTERLGHGYHTLEDQALY
+NRLRQENMHFEICPWSSYLTGAWKPDTEHAVIRLKNDQANYSLNTDDPLIFKSTLDTDYQ
+MTKRDMGFTEEEFKRLNINAAKSSFLPEDEKRELLDLLYK
+>Q86TW2_PF03109_118 <unknown description>
+LHSQAPQSSMQEIRQVIREDLGKEIHDLFQSFDDTPLGTASLAQVHKAVLHDGRTVAVKV
+QHPKVRAQSSKDILLMEVLVLAVKQLFPEFEFMWLVDEAKKNLPLELDFLNEGRNAEKVS
+QMLRHFDFLKVPRIHWDLSTERVLLMEFVDGGQVNDRDYMERNKIDVNEISRHLGKMYSE
+MIFVNGFVHCDPHPGNVLVRKHPGTGKAEIVLLDHGLYQMLTEEFRLNYCHLWQSLIWTD
+MKRVKEY
+>Q7Z695_PF03109_169 <unknown description>
+KLHVRVTPHPWTHTERFLRQAFGDDWGSILSFENREPVGSGCVAQVYKAY
+>Q7Z695_PF03109_293 <unknown description>
+AQVPGHQPEATNLISVAVKVLHPGLLAQVHMDLLLMKIGSRVLGVLPGIKWLSLPEIVEE
+FEKLMVQQIDLRYEAQNLEHFQVNFRNVKAVKFPTPLRPFVTREVLVETYEESVPVSSYQ
+QAGIPVDLKRKIARLGINMLLKMIFVDNFVHADLHPGNILVQGANGLSSSQEAQLQQADI
+CDTLVVAVPSSLCPLRLVLLDAGIVAELQAPDLRNFRAVFMAVVMGQGQRVAEL
+>Q3MIX3_PF03109_170 <unknown description>
+LEDRALKRGFQEVDELFLEDFQALPHELFQEFDYQPIAAASLAQVHRAKLHDGTSVAVKV
+QYIDLRDRFDGDIHTLELLLRLVEVMHPSFGFSWVLQDLKGTLAQELDFENEGRNAERCA
+RELAHFPYVVVPRVHWDKSSKRVLTADFCAGCKVNDVEAIRSQGLAVHDIAEKLIKAFAE
+QIFYTGFIHSDPHPGNVLVRKGPDGKAELVLLDHGLYQFLEEKDRAALCQLWRAIILRDD
+AAMRA
+>Q6P093_PF07859_107 <unknown description>
+VIYFHGGGFCFGSSKQRAFDFLNRWTANTLDAVVVGVDYRLAPQHHFPAQFEDGLAAVKF
+FLLEKILTKYGVDPTRICIAGDSSGGNLATAVTQQVQNDAEIKHKIKMQVLLYPGLQITD
+SYLPSHRENEHGIVLTRDVAIKLVSLYFTKDE
+>Q6P093_PF07859_289 <unknown description>
+EKYRKDYVYTEPILGGLSYSLPGLTDSRALPLLANDSQLQNLPLTYILTCQHDLLRDDGL
+MYVTRLRNVGVQVVHEHIEDGIHGA
+>Q5VUY0_PF07859_115 <unknown description>
+IVYYHGGGGVMGSLKTHHGICSRLCKESDSVVLAVGYRKLPKHKFPVPVRDCLVATIHFL
+KSLDAYGVDPARVVVCGDSFGGAIAAVVCQQLVDRPDLPRIRAQILIYAILQALDLQTPS
+FQQRKNIPL
+>Q5VUY0_PF07859_310 <unknown description>
+AAYLEVSVVLDVMCSPLIAEDDIVSQLPETCIVSCEYDALRDNSLLYKKRLEDLGVPVTW
+HHMEDGFHGVL
+>Q5VUY2_PF07859_115 <unknown description>
+IIFYHGGATVFGSLDCYHGLCNYLARETESVLLMIGYRKLPDHHSPALFQDCMNASIHFL
+KALETYGVDPSRVVVCGESVGGAAVAAITQALVGRSDLPRIRAQVLIYPVVQAFCLQLPS
+FQQNQNVPLLSRKFMVTSLCNYLAIDLSWRDA
+>Q5VUY2_PF07859_311 <unknown description>
+AYLEAKHMLDVENSPLIADDEVIAQLPEAFLVSCENDILRDDSLLYKKRLEDQGVRVTWY
+HLYDGFHGS
+>Q08828_PF16214_40 <unknown description>
+FACPELEALFRGYTLRLEQAATLKALAVLSLLAGALALAELLGAPGPAPGLAKGSHPVHC
+VLFLALLVVTNVRSLQVPQLQQVGQLALLFSLTFALLCCPFALGGPARGSAGAAGGPATA
+EQGVWQLLLVTFVSYALLPVRSLLAIGFGLVVAASHLLVTATLVPAKRPRLWRTLGANAL
+LFVGVNMYGVFVRILTERSQRKAFLQARSCIEDRLRLEDENEKQERLLMSLLPRNVAMEM
+KEDF
+>Q08828_PF00211_295 <unknown description>
+YIQRHDNVSILFADIVGFTGLASQCTAQELVKLLNELFGKFDELATENHCRRIKILGDCY
+YCVSGLTQPKTDHAHCCVEMGLDMIDTITSVAEATEVDLNMRVGLHTGRVLCGVLGLRKW
+QYDVWSNDVTLANVMEAAGLPGKVHITKTTLACLNGDYEVEPGYGHERNSFLKTHNIETF
+FI
+>Q08828_PF00211_860 <unknown description>
+YYQSYSQVGVMFASIPNFNDFYIELDGNNMGVECLRLLNEIIADFDELMEKDFYKDIEKI
+KTIGSTYMAAVGLAPTSGTKAKKSISSHLSTLADFAIEMFDVLDEINYQSYNDFVLRVGI
+NVGPVVAGVIGARRPQYDIWGNTVNVASRMDSTGVQGRIQVTEEVHRLLRRCPYHFVCRG
+KVSVKGKGEMLTYFLEG
+>Q08462_PF16214_32 <unknown description>
+WLYESYYCMSQQHPLIVFLLLIVMGSCLALLAVFFALGLEVEDHVAFLITVPTALAIFFA
+IFILVCIESVFKKLLRLFSLVIWICLVAMGYLFMCFGGTVSPWDQVSFFLFIIFVVYTML
+PFNMRDAIIASVLTSSSHTIVLSVCLSATPGGKEHLVWQILANVIIFICGNLAGAYHKHL
+MELALQQTYQDTCNCIKSRIKLEFEKRQQERLLLSLLPAHIAMEMKAEII
+>Q08462_PF00211_282 <unknown description>
+YVKRHTNVSILYADIVGFTRLASDCSPGELVHMLNELFGKFDQIAKENECMRIKILGDCY
+YCVSGLPISLPNHAKNCVKMGLDMCEAIKKVRDATGVDINMRVGVHSGNVLCGVIGLQKW
+QYDVWSHDVTLANHMEAGGVPGRVHISSVTLEHLNGAYKVEEGDGDIRDPYLKQHLVKTY
+FV
+>Q08462_PF06327_495 <unknown description>
+TRYLESWGAAKPFAHLHHRDSMTTENGKISTTDVPMGQHNFQNRTLRTKSQKKRFEEELN
+ERMIQAIDGINAQKQWLKSEDIQRISLLFYNKVLEKEYRATALPAF
+>Q08462_PF00211_879 <unknown description>
+YHQSYDCVCVMFASIPDFKEFYTESDVNKEGLECLRLLNEIIADFDDLLSKPKFSGVEKI
+KTIGSTYMAATGLSAVPSQEHSQEPERQYMHIGTMVEFAFALVGKLDAINKHSFNDFKLR
+VGINHGPVIAGVIGAQKPQYDIWGNTVNVASRMDSTGVLDKIQVTEETSLVLQTLGYTCT
+CRGIINVKGKGDLKTYFVN
+>O60266_PF16214_42 <unknown description>
+NSGSCLCLPRFMRLTFVPESLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFS
+SDKLASLAVAGIGLVLDIILFVLCKKGLLPDRVTRRVLPYVLWLLITAQIFSYLGLNFAR
+AHAASDTVGWQVFFVFSFFITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQEELKGMQ
+LLREILANVFLYLCAIAVGIMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLS
+ILPKHVADEMLKDMKK
+>O60266_PF00211_310 <unknown description>
+MYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQLRIKILGDC
+YYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTVLGGVLGQKR
+WQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEP
+>O60266_PF00211_915 <unknown description>
+YSQTYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDFDSLLDNPKFRVITKI
+KTIGSTYMAASGVTPDVNTNGFASSNKEDKSERERWQHLADLADFALAMKDTLTNINNQS
+FNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGVMGNIQVVEETQVILR
+EYGFRFVRRGPIFVKGKGELLTFFLKG
+>Q8NFM4_PF16214_16 <unknown description>
+FYETYYSLSQQYPLLLLLLGIVLCALAALLAVAWASGRELTSDPSFLTTVLCALGGFSLL
+LGLASREQRLQRWTRPLSGLVWVALLALGHAFLFTGGVVSAWDQVSYFLFVIFTAYAMLP
+LGMRDAAVAGLASSLSHLLVLGLYLGPQPDSRPALLPQLAANAVLFLCGNVAGVYHKALM
+ERALRATFREALSSLHSRRRLDTEKKHQEHLLLSILPAYLAREMKAEIMAR
+>Q8NFM4_PF00211_265 <unknown description>
+YVKRHQGVSVLYADIVGFTRLASECSPKELVLMLNELFGKFDQIAKEHECMRIKILGDCY
+YCVSGLPLSLPDHAINCVRMGLDMCRAIRKLRAATGVDINMRVGVHSGSVLCGVIGLQKW
+QYDVWSHDVTLANHMEAGGVPGRVHITGATLALLAGAYAVED
+>Q8NFM4_PF06327_479 <unknown description>
+TRYLESWGAAKPFAHLSHGDSPVSTSTPLPEKTLASFSTQWSLDRSRTPRGLDDELDTGD
+AKFFQVIEQLNSQKQWKQSKDFNPLTLYFREKEMEKEYRLSAIPAF
+>Q8NFM4_PF00211_865 <unknown description>
+YHQSYECVCVLFASVPDFKEFYSESNINHEGLECLRLLNEIIADFDELLSKPKFSGVEKI
+KTIGSTYMAATGLNATSGQDAQQDAERSCSHLGTMVEFAVALGSKLDVINKHSFNNFRLR
+VGLNHGPVVAGVIGAQKPQYDIWGNTVNVASRMESTGVLGKIQVTEETAWALQSLGYTCY
+SRGVIKVKGKGQLCTYFLN
+>O95622_PF16214_1 <unknown description>
+MSGSKSVSPPGYAAQKTAAPAPRGGPEHRSAWGEADSRANGYPHAPGGSARGSTKKPGGA
+VTPQQQQRLASRWRSDDDDDPPLSGDDPLAGGFGFSFRSKSAWQERGGDDCGRGSRRQRR
+GAASGGSTRAPPAGGGGGSAAAAASAGGTEVRPRSVEVGLEERRGKGRAADELEAGAVEG
+GEGSGDGGSSADSGSGAGPGAVLSLGACCLALLQIFRSKKFPSDKLERLYQRYFFRLNQS
+SLTMLMAVLVLVCLVMLAFHAARPPLQLPYLAVLAAAVGVILIMAVLCNRAAFHQDHMGL
+ACYALIAVVLAVQVVGLLLPQPRSASEGIWWTVFFIYTIYTLLPVRMRAAVLSGVLLSAL
+HLAIALRTNAQDQFLLKQLVSNVLIFSCTNIVGVCTHYPAEVSQRQAFQETRECIQARLH
+SQRENQQQERLLLSVLPRHVAMEMKADINAKQEDMMFH
+>O95622_PF00211_461 <unknown description>
+YIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAENHCLRIKILGDCY
+YCVSGLPEARADHAHCCVEMGMDMIEAISLVREVTGVNVNMRVGIHSGRVHCGVLGLRKW
+QFDVWSNDVTLANHMEAGGKAGRIHITKATLNYLNGDYEVE
+>O95622_PF06327_669 <unknown description>
+HNPPHWGAERPFYNHLGGNQVSKEMKRMGFEDPKDKNAQESANPEDEVDEFLGRAIDARS
+IDRLRSEHVRKFLLTFREPDLEKKYSKQVDDRF
+>O95622_PF00211_1063 <unknown description>
+YYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIISEDRFRQLEKI
+KTIGSTYMAASGLNDSTYDKVGKTHIKALADFAMKLMDQMKYINEHSFNNFQMKIGLNIG
+PVVAGVIGARKPQYDIWGNTVNVASRMDSTGVPDRIQVTTDMYQVLAANTYQLECRGVVK
+VKGKGEMMTYFLNG
+>O43306_PF16214_16 <unknown description>
+KTAWGERNGQKRSRRRGTRAGGFCTPRYMSCLRDAEPPSPTPAGPPRCPWQDDAFIRRGG
+PGKGKELGLRAVALGFEDTEVTTTAGGTAEVAPDAVPRSGRSCWRRLVQVFQSKQFRSAK
+LERLYQRYFFQMNQSSLTLLMAVLVLLTAVLLAFHAAPARPQPAYVALLACAAALFVGLM
+VVCNRHSFRQDSMWVVSYVVLGILAAVQVGGALAADPRSPSAGLWCPVFFVYIAYTLLPI
+RMRAAVLSGLGLSTLHLILAWQLNRGDAFLWKQLGANVLLFLCTNVIGICTHYPAEVSQR
+QAFQETRGYIQARLHLQHENRQQERLLLSVLPQHVAMEMKEDINTKKEDMMFH
+>O43306_PF00211_371 <unknown description>
+YIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAENHCLRIKILGDCY
+YCVSGLPEARADHAHCCVEMGVDMIEAISLVREVTGVNVNMRVGIHSGRVHCGVLGLRKW
+QFDVWSNDVTLANHMEAGGRAGRIHITRATLQYLNGDYEVE
+>O43306_PF06327_583 <unknown description>
+RWVPDRAFSRTKDSKAFRQMGIDDSSKDNRGTQDALNPEDEVDEFLSRAIDARSIDQLRK
+DHVRRFLLTFQREDLEKKYSRKVDPRF
+>O43306_PF00211_971 <unknown description>
+YYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIISEERFRQLEKI
+KTIGSTYMAASGLNASTYDQVGRSHITALADYAMRLMEQMKHINEHSFNNFQMKIGLNMG
+PVVAGVIGARKPQYDIWGNTVNVSSRMDSTGVPDRIQVTTDLYQVLAAKGYQLECRGVVK
+VKGKGEMTTYFLNG
+>P51828_PF16214_21 <unknown description>
+LYEKYQLTSQHGPLLLTLLLVAATACVALIIIAFSQGDPSRHQAILGMAFLVLAVFAALS
+VLMYVECLLRRWLRALALLTWACLVALGYVLVFDAWTKAACAWEQVPFFLFIVFVVYTLL
+PFSMRGAVAVGAVSTASHLLVLGSLMGGFTTPSVRVGLQLLANAVIFLCGNLTGAFHKHQ
+MQDASRDLFTYTVKCIQIRRKLRIEKRQQENLLLSVLPAHISMGMKLAI
+>P51828_PF00211_271 <unknown description>
+YVKRHQNVSILYADIVGFTQLASDCSPKELVVVLNELFGKFDQIAKANECMRIKILGDCY
+YCVSGLPVSLPTHARNCVKMGLDMCQAIKQVREATGVDINMRVGIHSGNVLCGVIGLRKW
+QYDVWSHDVSLANRMEAAGVPGRVHITEATLKHLDKAYEVE
+>P51828_PF06327_485 <unknown description>
+TRYLESWGAARPFAHLNHRESVSSGETHVPNGRRPKSVPQRHRRTPDRSMSPKGRSEDDS
+YDDEMLSAIEGLSSTRPCCSKSDDFYTFGSIFLEKGFEREYRLAPIPR
+>P51828_PF00211_871 <unknown description>
+YHQSYDCVCVMFASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKI
+KTIGSTYMAAAGLSVASGHENQELERQHAHIGVMVEFSIALMSKLDGINRHSFNSFRLRV
+GINHGPVIAGVIGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCEC
+RGLINVKGKGELRTYFV
+>P40145_PF16214_159 <unknown description>
+NSFKSRDLERLYQRYFLGQRRKSEVVMNVLDVLTKLTLLVLHLSLASAPMDPLKGILLGF
+FTGIEVVICALVVVRKDTTSHTYLQYSGVVTWVAMTTQILAAGLGYGLLGDGIGYVLFTL
+FATYSMLPLPLTWAILAGLGTSLLQVILQVVIPRLAVISINQVVAQAVLFMCMNTAGIFI
+SYLSDRAQRQAFLETRRCVEARLRLETENQRQERLVLSVLPRFVVLEMINDMTNVED
+>P40145_PF00211_406 <unknown description>
+YIHRYENVSILFADVKGFTNLSTTLSAQELVRMLNELFARFDRLAHEHHCLRIKILGDCY
+YCVSGLPEPRQDHAHCCVEMGLSMIKTIRYVRSRTKHDVDMRIGIHSGSVLCGVLGLRKW
+QFDVWSWDVDIANKLESGGIPGRIHISKATLDCLNGDYNVEEGHGKERNEFLRKHNIETY
+LIK
+>P40145_PF06327_617 <unknown description>
+TFTEGSWSPELPFDNIVGKQNTLAALTRNSINLLPNHLAQALHVQSGPEEINKRIEHTID
+LRSGDKLRREHIKPFSLMFKDSSLEHKYSQMRDEVF
+>P40145_PF00211_974 <unknown description>
+YSQSYDAVGVMFASIPGFADFYSQTEMNNQGVECLRLLNEIIADFDELLGEDRFQDIEKI
+KTIGSTYMAVSGLSPEKQQCEDKWGHLCALADFSLALTESIQEINKHSFNNFELRIGISH
+GSVVAGVIGAKKPQYDIWGKTVNLASRMDSTGVSGRIQVPEETYLILKDQGFAFDYRGEI
+YVKGISEQEGKIKTYFLL
+>O60503_PF00211_386 <unknown description>
+KMQQIEEVSILFADIVGFTKMSANKSAHALVGLLNDLFGRFDRLCEETKCEKISTLGDCY
+YCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEKKEMVNMRVGVHTGTVLCGILGMRRF
+KFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLDDRYEMEDGKVIERLGQSVVADQLKG
+LKTYLI
+>O60503_PF00211_1050 <unknown description>
+YSKNHDSGGVIFASIVNFSEFYEENYEGGKECYRVLNELIGDFDELLSKPDYSSIEKIKT
+IGATYMAASGLNTAQAQDGSHPQEHLQILFEFAKEMMRVVDDFNNNMLWFNFKLRVGFNH
+GPLTAGVIGTTKLLYDIWGDTVNIASRMDTTGVECRIQVSEESYRVLSKMGYDFDYRGTV
+NVKGKGQMKTYL
+>Q96PN6_PF00211_43 <unknown description>
+LMFVDISGFTAMTEKFSSAMYMDRGAEQLVEILNYHISAIVEKVLIFGGDILKFAGDALL
+ALWRVERKQLKNIITVVIKCSLEIHGLFETQEWEEGLDIRVKIGLAAGHISMLVFGDETH
+SHFLVIGQAVDDVRLAQNMAQMNDVILSPNCWQLCDRSMIEIES
+>Q96PN6_PF00211_288 <unknown description>
+ELRPVTIVFVNLMFEDQDKAEEIGPAIQDAYMHITSVLKIFQGQINKVFMFDKGCSFLCV
+FGFPGEKVPDELTHALECAMDIFDFCSQVHKIQTVSIGVASGIVFCGIVGHTVRHEYTVI
+GQKVNLAARMM
+>P35612_PF00596_136 <unknown description>
+KISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEVTASSLIKVNILGEVV
+EKGSSCFPVDTTGFCLHSAIYAARPDVRCIIHLHTPATAAVSAMKWGLLPVSHNALLVGD
+MAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGVVALGDTVEEAFYKIFHLQAACEI
+QV
+>Q9UEY8_PF00596_140 <unknown description>
+KLASLYRLVDLFGWAHLANTYISVRISKEQDHIIIIPRGLSFSEATASNLVKVNIIGEVV
+DQGSTNLKIDHTGFSPHAAIYSTRPDVKCVIHIHTLATAAVSSMKCGILPISQESLLLGD
+VAYYDYQGSLEEQEERIQLQKVLGPSCKVLVLRNHGVVALGETLEEAFHYIFNVQLACEI
+QV
+>O15204_PF01562_43 <unknown description>
+EIVCPKKLHILHKREIKNNQTEKHGKEERYEPEVQYQMILNGEEIILSLQKTKHLLGPDY
+TETLYSPRGEEITTKPENMEHCYYKGNILNEKNSVASISTCDGLRGYFTHHHQRYQIKPL
+KSTDEKEHAV
+>O15204_PF01421_218 <unknown description>
+KYIDLYLVLDNAFYKNYNENLTLIRSFVFDVMNLLNVIYNTIDVQVALVGMEIWSDGDKI
+KVVPSASTTFDNFLRWHSSNLGKKIHDHAQLLSGISFNNRRVGLAASNSLCSPSSVAVIE
+AKKKNNVALVGVMSHELGHVLGMPDVPFNTKCPSGSCVMNQYLSSKFPKDFSTSCRAHFE
+RYLLSQKPKCLLQAP
+>Q8N7X0_PF00648_193 <unknown description>
+SYGKYVVKLYWMGCWRKITIDDFLPFDEDNNLLLPATTYEFELWPMLLSKAIIKLANIDI
+HVADRRELGEFTVIHALTGWLPEVISLHPGYMDKVWELLKE
+>P07327_PF08240_35 <unknown description>
+HEVRIKMVAVGICGTDDHVVSGTMVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLA
+IPQCGKCRICKNPESNYCLKNDVSNPQGTLQDGTSRFTCRRKPIHHFLGISTFSQYTVVD
+ENAVAK
+>P07327_PF00107_203 <unknown description>
+GVGLSAIMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGG
+VDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPDSQNLSMNPMLLLTGRTWKGAILGG
+FKSKE
+>P00325_PF08240_36 <unknown description>
+EVRIKMVAVGICHTDDHVVSGNLVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLFT
+PQCGKCRVCKNPESNYCLKNDLGNPRGTLQDGTRRFTCRGKPIHHFLGTSTFSQYTVVDE
+NAVAK
+>P00325_PF00107_203 <unknown description>
+GVGLSAVMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGG
+VDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPASQNLSINPMLLLTGRTWKGAVYGG
+FKS
+>P00326_PF08240_35 <unknown description>
+HEVRIKMVAAGICRSDEHVVSGNLVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLF
+TPQCGKCRICKNPESNYCLKNDLGNPRGTLQDGTRRFTCSGKPIHHFVGVSTFSQYTVVD
+ENAVAK
+>P00326_PF00107_203 <unknown description>
+GVGLSVVMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGG
+VDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPDSQNLSINPMLLLTGRTWKGAIFGG
+FKSKESVPK
+>P08319_PF08240_35 <unknown description>
+HEVRIQIIATSLCHTDATVIDSKFEGLAFPVIVGHEAAGIVESIGPGVTNVKPGDKVIPL
+YAPLCRKCKFCLSPLTNLCGKISNLKSPASDQQLMEDKTSRFTCKGKPVYHFFGTSTFSQ
+YTVVSDINLAK
+>P08319_PF00107_208 <unknown description>
+GVGLSAVMGCKAAGASRIIGIDINSEKFVKAKALGATDCLNPRDLHKPIQEVIIELTKGG
+VDFALDCAGGSETMKAALDCTTAGWGSCTFIGVAAGSKGLTIFPEELIIGRTINGTFFGG
+WKS
+>P28332_PF08240_35 <unknown description>
+KEVRIKVVATGLCGTEMKVLGSKHLDLLYPTILGHEGAGIVESIGEGVSTVKPGDKVITL
+FLPQCGECTSCLNSEGNFCIQFKQSKTQLMSDGTSRFTCKGKSIYHFGNTSTFCEYTVIK
+EISVAK
+>P28332_PF00107_203 <unknown description>
+GVGLSVVMGCKAAGAARIIGVDVNKEKFKKAQELGATECLNPQDLKKPIQEVLFDMTDAG
+IDFCFEAIGNLDVLAAALASCNESYGVCVVVGVLPASVQLKISGQLFFSGRSLKGSVFGG
+WKSRQ
+>P11766_PF08240_33 <unknown description>
+HEVRIKIIATAVCHTDAYTLSGADPEGCFPVILGHEGAGIVESVGEGVTKLKAGDTVIPL
+YIPQCGECKFCLNPKTNLCQKIRVTQGKGLMPDGTSRFTCKGKTILHYMGTSTFSEYTVV
+AD
+>P11766_PF00107_202 <unknown description>
+GVGLAVIMGCKVAGASRIIGVDINKDKFARAKEFGATECINPQDFSKPIQEVLIEMTDGG
+VDYSFECIGNVKVMRAALEACHKGWGVSVVVGVAASGEEIATRPFQLVTGRTWKGTAFGG
+WKSVESV
+>Q0VDE8_PF15202_1 <unknown description>
+MKYPLMPLVNDLTFSFLVFWFCLPVGLLLLLIIWLRFLLSQDSEENDSSVCLDWEPWSKG
+PAEFCWKGTLHGQEKERP
+>Q15848_PF01391_48 <unknown description>
+GHNGAPGRDGRDGTPGEKGEKGDPGLIGPKGDIGETGVPGAEGPRGFPGIQGRKGEPG
+>Q15848_PF00386_114 <unknown description>
+AFSVGLETYVTIPNMPIRFTKIFYNQQNHYDGSTGKFHCNIPGLYYFAYHITVYMKDVKV
+SLFKKDKAMLFTYDQYQENNVDQASGSVLLHLEVGDQVWLQVYGEGERNGLYADNDNDST
+FTGFLL
+>Q9Y2D8_PF11559_63 <unknown description>
+EQSISYLDQELTTFGFPSLYEESKGKETKRELNIVAVLNCMNELLVLQRKNLLAQENVET
+QNLKLGSDMDHLQSCYSKLKEQLETSRREMIGLQERDRQLQCKNRNLHQLLKNEKDEVQK
+LQNIIASRATQYNHDMKRKEREYNKLKERLHQ
+>P55263_PF00294_45 <unknown description>
+LDKYSLKPNDQILAEDKHKELFDELVKKFKVEYHAGGSTQNSIKVAQWMIQQPHKAATFF
+GCIGIDKFGEILKRKAAEAHVDAHYYEQNEQPTGTCAACITGDNRSLIANLAAANCYKKE
+KHLDLEKNWMLVEKARVCYIAGFFLTVSPESVLKVAHHASENNRIFTLNLSAPFISQFYK
+ESLMKVMPYVDILFGNETEAATFAREQGFETKDIKEIAKKTQALPKMNSKRQRIVIFTQG
+RDDTIMATESEVTAFAVLDQDQKEIIDTNGAGDAFVGGFLSQLVSDKPLTECIRAGHYAA
+SIIIRRTGCTFP
+>Q7Z4H4_PF00214_100 <unknown description>
+RTQAQLLRVGCVLGTCQVQNLSHRLWQLMGPAGRQDSAPVDPSSPHSYG
+>P35318_PF00214_1 <unknown description>
+MKLVSVALMYLGSLAFLGADTARLDVASEFRKKWNKWALSRGKRELRMSSSYPTGLADVK
+AGPAQTLIRPQDMKGASRSPEDSSPDAARIRVKRYRQSMNNFQGLRSFGCRFGTCTVQKL
+AHQIYQFTDKDKDNVAPRSKISPQGYGRRR
+>Q6IQ32_PF19627_1 <unknown description>
+MFQIPVENLDNIRKVRKKVKGILVDIGLDSCKELLKDLKGFDPGEKYFHNTSWGDVSLWE
+PSGKKVRYRTKPYCCGLCKYSTKVLTSFKNHLHRYHEDEIDQELVIPCPNCVFASQPKVV
+GRHFRMFHAPVRKVQNYTVNILGETKSSRSDVISFTCLKCNFSNTLYYSMKKHVLVAHFH
+YLINSYFGLRTEEMGEQPKTNDTVSIEKIPPPDKYYCKKCNANASSQDALMYHILT
+>Q6IQ32_PF19627_667 <unknown description>
+NAAQSVFVQASSSAADTNQVLKQAKQWKTCPVCNELFPSNVYQVHMEVAHKHSESKSGEK
+LEPEKLAACAPFLKWMREKTVRCLSCKCLVSEEELIHHLLMHGLGCLFCPCTFHDIKGLS
+EHSRNRHLGKKKLPMDYSNRGFQLDVDANGNLLFPHLDFITILPKEKLGEREVYLAILAG
+IHSKSLVPVYVKVRPQAEGTPGSTGKRVSTCPFCFGPFVTTEAYELHLKERHHIMPTVHT
+VLKSPAFKCIHCCGVYTGNMTLAAIAVHLVRCRSAPKDSSSDLQAQPGFIHNSELLLVSG
+EVMHDSSFSVKRKLPDGHL
+>Q9H2P0_PF19627_1 <unknown description>
+MFQLPVNNLGSLRKARKTVKKILSDIGLEYCKEHIEDFKQFEPNDFYLKNTTWEDVGLWD
+PSLTKNQDYRTKPFCCSACPFSSKFFSAYKSHFRNVHSEDFENRILLNCPYCTFNADKKT
+LETHIKIFHAPNASAPSSSLSTFKDKNKNDGLKPKQADSVEQAVYYCKKCTYRDPLYEIV
+RKHIYREHFQHVAAPYIAKAGEKSLNGAVPLGSNAREESSIHCKRCLFMPKSYEALVQHV
+IEDHERIGYQVTAMIGHTNVVVPRSKPLMLIAPKPQDKKSMGLPPRIGSLASGNVRSLPS
+QQMVNRLSIPKPNLNSTGVNMMSSVHLQQNNYGVKSVGQGYSVGQSMRLGLGGNAPVSIP
+QQSQSVKQLLPSGNGRSYGLGSEQRSQAPARYSLQSANASSLSSGQLKSPSLSQSQASRV
+LGQSSSKPAAAATGPPPGNTSSTQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANY
+IMKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEM
+GPKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNPPVPPKPQPKVQEK
+ADIPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKL
+TYKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPSLAPVKRTYE
+QMEFPLLKKRKLDDDSDSPSFFEEKPEEPVVLAL
+>Q9H2P0_PF00046_769 <unknown description>
+SFLTKYFNKQPYPTRREIEKLAASLWLWKSDIASHFSNKRKK
+>Q9BRR6_PF04587_74 <unknown description>
+RVAVGVNACVDVVLSGVKLLQALGLSPGNGKDHSILHSRNDLEEAFIHFMGKGAAAERFF
+SDKETFHDIAQVASEFPGAQHYVGGNAALIGQKFAANSDLKVLLCGPVGPKLHELLDDNV
+FVPPESLQEVDEFHLILEYQAGEEWGQLKAPHANRFIFSHDLSNGAMNMLEVFVSSLEEF
+QPDLVVLSGLHMMEGQSKELQRKRLLEVVTSISDIPTGIPVHLELASMTNRELMSSIVHQ
+QVFPAVTSLGLNEQELLFLTQSASGPHSSLSSWNGVPDVGMVSDILFWILKEHGRSKSRA
+SDLTRIHFHTLVYHILATVDGHWANQLAAVAAGARVAGTQACATETIDTSRVSLRAPQEF
+MTSHSEAGSRIVLNPNKPVVEWHREGISFHFTPVLVCKDPIRTVGLGDAISAEGLFY
+>Q9NRN7_PF01648_125 <unknown description>
+QVGIDIMKTSFPGRGSIPEFFHIMKRKFTNKEWETIRSFKDEWTQLDMFYRNWALKESFI
+KAIGVGLGFELQRLEFDLSPLNLDIGQVYKETRLFLDGEEEKEWAFEESKIDEHHFVAVA
+>P54922_PF03747_6 <unknown description>
+AAMVLSAAGDALGYYNGKWEFLQDGEKIHRQLAQLGGLDALDVGRWRVSDDTVMHLATAE
+ALVEAGKAPKLTQLYYLLAKHYQDCMEDMDGRAPGGASVHNAMQLKPGKPNGWRIPFNSH
+EGGCGAAMRAMCIGLRFPHHSQLDTLIQVSIESGRMTHHHPTGYLGALASALFTAYAVNS
+RPPLQWGKGLMELLPEAKKYIVQSGYFVEENLQHWSYFQTKWENYLKLRGILDGESAPTF
+PESFGVKERDQFYTSLSYSGWGGSSGHDAPMIAYDAVLAAGDSWKELAHRAFFHGGDSDS
+TAAIAGCWWGVMYGFKGV
+>Q3LIE5_PF00149_19 <unknown description>
+SFGVIADVQFADLEDGFNFQGTRRRYYRHSLLHLQGAIEDWNNESSMPCCVLQLGDIIDG
+YNAQYNASKKSLELVMDMFKRLKVPVHHTWGNHEFYNFSREYLTHSKLNTKFLEDQIVHH
+PETMPSEDYYAYHFVPFPKFRFILLDAYDLSVLGVDQSSPKYEQCMKILREHNPNTELNS
+PQGLSEPQFVQFNGGFSQEQLNWLNEVLTFSDTNQEKVVIVSHLPIYPDASDNVCLAWNY
+RDALAVIWSHECVVCFFAGHTH
+>Q9NX46_PF03747_25 <unknown description>
+GCLAGALLGDCVGSFYEAHDTVDLTSVLRHVQSLEPDPGTPGSERTEALYYTDDTAMARA
+LVQSLLAKEAFDEVDMAHRFAQEYKKDPDRGYGAGVVTVFKKLLNPKCRDVFEPARAQFN
+GKGSYGNGGAMRVAGISLAYSSVQDVQKFARLSAQLTHASSLGYNGAILQALAVHLALQG
+ESSSEHFLKQLLGHMEDLEGDAQSVLDARELGMEERPYSSRLKKIGELLDQASVTREEVV
+SELGNGIAAFESVPTAIYCFLRCMEPDPEIPSAFNSLQRTLIYSISLGGDTDTIATMAGA
+IAGAYYGMDQVPE
+>P08588_PF00001_75 <unknown description>
+GNVLVIVAIAKTPRLQTLTNLFIMSLASADLVMGLLVVPFGATIVVWGRWEYGSFFCELW
+TSVDVLCVTASIETLCVIALDRYLAITSPFRYQSLLTRARARGLVCTVWAISALVSFLPI
+LMHWWRAESDEARRCYNDPKCCDFVTNRAYAIASSVVSFYVPLCIMAFVYLRVFREAQKQ
+VKKIDSCERRFLGGPARPPSPSPSPVPAPAPPPGPPRPAAAAATAPLANGRAGKRRPSRL
+VALREQKALKTLGIIMGVFTLCWLPFFLANVVKAFHRELVPDRLFVFFNWLGYANSAFNP
+IIY
+>P07550_PF00001_50 <unknown description>
+GNVLVITAIAKFERLQTVTNYFITSLACADLVMGLAVVPFGAAHILMKMWTFGNFWCEFW
+TSIDVLCVTASIETLCVIAVDRYFAITSPFKYQSLLTKNKARVIILMVWIVSGLTSFLPI
+QMHWYRATHQEAINCYANETCCDFFTNQAYAIASSIVSFYVPLVIMVFVYSRVFQEAKRQ
+LQKIDKSEGRFHVQNLSQVEQDGRTGHGLRRSSKFCLKEHKALKTLGIIMGTFTLCWLPF
+FIVNIVHVIQDNLIRKEVYILLNWIGYVNSGFNPLIY
+>P13945_PF00001_54 <unknown description>
+GNLLVIVAIAWTPRLQTMTNVFVTSLAAADLVMGLLVVPPAATLALTGHWPLGATGCELW
+TSVDVLCVTASIETLCALAVDRYLAVTNPLRYGALVTKRCARTAVVLVWVVSAAVSFAPI
+MSQWWRVGADAEAQRCHSNPRCCAFASNMPYVLLSSSVSFYLPLLVMLFVYARVFVVATR
+QLRLLRGELGRFPPEESPPAPSRSLAPAPVGTCAPPEGVPACGRRPARLLPLREHRALCT
+LGLIMGTFTLCWLPFFLANVLRALGGPSLVPGPAFLALNWLGYANSAFNPLIY
+>Q16186_PF04683_29 <unknown description>
+GKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFCWKDRTSGNVEDDLIIFPDDCEFKRVPQC
+PSGRVYVLKFKAGSKRLFFWMQE
+>Q16186_PF16550_268 <unknown description>
+QSILATMNVPAGPAGGQQVDLASVLTPEIMAPILANADVQERLLPYLPSGESLPQTADEI
+QNTLTSPQFQQALGMFSAALASGQLGPLMCQFGLPAEAVEAANKGDVEAFAKAM
+>P12235_PF00153_7 <unknown description>
+SFLKDFLAGGVAAAVSKTAVAPIERVKLLLQVQHASKQISAEKQYKGIIDCVVRIPKEQG
+FLSFWRGNLANVIRYFPTQALNFAFKDKYKQLFL
+>P12235_PF00153_111 <unknown description>
+RYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKGAAQREFHGLGDCIIKIFKSDGL
+RGLYQGFNVSVQGIIIYRAAYFGVYDTAKGMLP
+>P12235_PF00153_208 <unknown description>
+VHIFVSWMIAQSVTAVAGLVSYPFDTVRRRMMMQSGRKGADIMYTGTVDCWRKIAKDEGA
+KAFFKGAWSNVLRGMGGAFVLVLYDEIKKY
+>P05141_PF00153_6 <unknown description>
+VSFAKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQITADKQYKGIIDCVVRIPKEQ
+GVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFL
+>P05141_PF00153_110 <unknown description>
+WLYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKAGAEREFRGLGDCLVKIYKSDG
+IKGLYQGFNVSVQGIIIYRAAYFGIYDTAKGMLP
+>P05141_PF00153_210 <unknown description>
+IVISWMIAQTVTAVAGLTSYPFDTVRRRMMMQSGRKGTDIMYTGTLDCWRKIARDEGGKA
+FFKGAWSNVLRGMGGAFVLVLYDEIKKY
+>P12236_PF00153_5 <unknown description>
+AISFAKDFLAGGIAAAISKTAVAPIERVKLLLQVQHASKQIAADKQYKGIVDCIVRIPKE
+QGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFL
+>P12236_PF00153_111 <unknown description>
+RYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKSGTEREFRGLGDCLVKITKSDGI
+RGLYQGFSVSVQGIIIYRAAYFGVYDTAKGMLP
+>P12236_PF00153_209 <unknown description>
+HIVVSWMIAQTVTAVAGVVSYPFDTVRRRMMMQSGRKGADIMYTGTVDCWRKIFRDEGGK
+AFFKGAWSNVLRGMGGAFVLVLYDELKKV
+>Q9H0C2_PF00153_18 <unknown description>
+SSFGKDLLAGGVAAAVSKTAVAPIERVKLLLQVQASSKQISPEARYKGMVDCLVRIPREQ
+GFFSFWRGNLANVIRYFPTQALNFAFKDKYKQLFMS
+>Q9H0C2_PF00153_122 <unknown description>
+WRWFLANLASGGAAGATSLCVVYPLDFARTRLGVDIGKGPEERQFKGLGDCIMKIAKSDG
+IAGLYQGFGVSVQGIIVYRASYFGAYDTVKGLLPK
+>Q9H0C2_PF00153_219 <unknown description>
+KTPFLVSFFIAQVVTTCSGILSYPFDTVRRRMMMQSGEAKRQYKGTLDCFVKIYQHEGIS
+SFFRGAFSNVLRGTGGALVLVLYDKIKEFFH
+>Q96IZ2_PF04750_6 <unknown description>
+TCIYHFLVLSWYTFLNYYISQEGKDEVKPKILANGARWKYMTLLNLLLQTIFYGVTCLDD
+VLKRTKGGKDIKFLTAFRDLLFTTLAFPVSTFVFLAFWILFLYNRDLIYPKVLDTVIPVW
+LNHAMHTFIFPITLAEVVLRPHSYPSKKTGLTLLAAASIAYISRILWLYFETGTWVYPVF
+AKLSLLGLAAFFSLSYVFIASIYLLGEKLNH
+>P10109_PF00111_73 <unknown description>
+NRDGETLTTKGKVGDSLLDVVVENNLDIDGFGACEGTLACSTCHLIFEDHIYEKLDAITD
+EENDMLDLAYGLTDRSRLGCQICL
+>Q8IUX7_PF00754_399 <unknown description>
+QIRASSMLRHGLGAQRGRLNMQTGATEDDYYDGAWCAEDDARTQWIEVDTRRTTRFTGVI
+TQGRDSSIHDDFVTTFFVGFSNDSQTWVMYTNGYEEMTFHGNVDKDTPVLSELPEPVVAR
+FIRIYPLTWNGSLCMRLEV
+>Q8IUX7_PF00246_570 <unknown description>
+MRQLMKVVNEECPTITRTYSLGKSSRGLKIYAMEISDNPGEHELGEPEFRYTAGIHGNEV
+LGRELLLLLMQYLCREYRDGNPRVRSLVQDTRIHLVPSLNPDGYEVAAQMGSEFGNWALG
+LWTEEGFDIFEDFPDLNSVLWGAEERKWVPYRVPNNNLPIPERYLSPDATVSTEVRAIIA
+WMEKNPFVLGANLNGGERLVSYPYDMARTPTQEQLLAAAMAAARGEDEDEVSEAQETPDH
+AIFRWLAISFASAHLTLTEPYRGGCQAQDYTGGMGIVNGAKWNPRTGTINDFSYLHTNCL
+ELSFYLGCDKFPHESELPREWENNKE
+>Q8IUX7_PF13620_908 <unknown description>
+IKGVVTDEQGIPIANATISVSGINHGVKTASGGDYWRILNPGEYRVTAHAEGYTPSAKTC
+NVD
+>Q96SZ5_PF07847_57 <unknown description>
+LLTQLRAEDLNIAPRKATLQPLPPNLPPVTYMHIYETDGFSLGVFLLKSGTSIPLHDHPG
+MHGMLKVLYGTVRISCMDKLDAGGGQRPRALPPEQQFEPPLQPREREAVRPGVLRSRAEY
+TEASGPCILTPHRDNLHQIDAVEGPAAFLDILAPPYDPDDGRDCHYYRVLEPVRPKEASS
+SACDLPREVWLLETPQADDFWCEGEPYPGPKV
+>Q6UXC1_PF00629_66 <unknown description>
+CDFEQDPCGWRDISTSGYSWLRDRAGAALEGPGPHSDHTLGTDLGWYMAVGTHRGKEAST
+AALRSPTLREAASSCKLRLWYHAASGDVAELRVELTHGAETLTLWQSTGPWGPGWQELAV
+TTGRIRGDFRVTFSATRNATHRGAVALDDLEF
+>Q6UXC1_PF00057_228 <unknown description>
+NCPPGHHHCQNKVCVEPQQLCDGEDNCGDLSDENPLTC
+>Q6UXC1_PF00629_271 <unknown description>
+TDFETGLGPWNRSEGWSRNHRAGGPERPSWPRRDHSRNSAQGSFLVSVAEPGTPAILSSP
+EFQASGTSNCSLVFYQYLSGSEAGCLQLFLQTLGPGAPRAPVLLRRRRGELGTAWVRDRV
+DIQSAYPFQILLAGQTGPGGVVGLDDLILSDHCR
+>Q6UXC1_PF00629_493 <unknown description>
+TDFESPEAGGWEDASVGRLQWRRVSAQESQGSSAAAAGHFLSLQRAWGQLGAEARVLTPL
+LGPSGPSCELHLAYYLQSQP
+>Q6UXC1_PF00629_577 <unknown description>
+CNFERDTCSWYPGHLSDTHWRWVESRGPDHDHTTGQGHFVLLDPTDPLAWGHSAHLLSRP
+QVPAAPTECLSFWYHLHGPQIGTLRLAMRREGEETHLWSRSGTQGNRWHEAWATLSHQPG
+SHAQYQLLFEGLRDGYHGTMALDDVAVRPGPCW
+>Q6UXC1_PF00629_734 <unknown description>
+CSFEDSDCGFSPGGQGLWRRQANASGHAAWGPPTDHTTETAQGHYMVVDTSPDALPRGQT
+ASLTSKEHRPLAQPACLTFWYHGSLRSPGTLRVYLEERGRHQVLSLSAHGGLAWRLGSMD
+VQAERAWRVVFEAVAAGVAHSYVALDDLLLQDGPCP
+>Q6UXC1_PF00629_894 <unknown description>
+CDFESGLCGWSHLAWPGLGGYSWDWGGGATPSRYPQPPVDHTLGTEAGHFAFFETGVLGP
+GGRAAWLRSEPLPATPASCLRFWYHMGFPEHFYKGELKVLLHSAQGQLAVWGAGGHRRHQ
+WLEAQVEVASAKEFQIVFEATLGGQPALGPIALDDVEYLAGQHCQ
+>Q8WTP8_PF00929_111 <unknown description>
+VAIDCEMVGTGPRGRVSELARCSIVSYHGNVLYDKYIRPEMPIADYRTRWSGITRQHMRK
+AVPFQVAQKEILKLLKGKVVVGHALHNDFQALKYVHPRSQTRDTTYVPNFLSEPGLHTRA
+RVSLKDLALQLLHKKIQVGQHGHSSVEDATTAMELY
+>P55197_PF13831_36 <unknown description>
+ENPLVYCDGHGCSVAVHQACYGIVQVPTGPWFCRKCE
+>P55197_PF13832_81 <unknown description>
+RCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTMEPIVLQSVPHDRYNKTCYIC
+DEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEEEGNGADNVQYCGYCKYH
+>P55198_PF13831_19 <unknown description>
+ENPLVYCDGHACSVAVHQACYGIVQVPTGPWFCRKCE
+>P55198_PF13832_64 <unknown description>
+RCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVLTMEPIVLQYVPHDRFNKTCYIC
+EEQGRESKAASGACMTCNRHGCRQAFHVTCAQMAGLLCEEEVLEVDNVKYCGYCKYH
+>Q8TED9_PF00169_228 <unknown description>
+LRKKRFGQWAKQLTVIREDQLLCYKSSKDRQPHLRLALDTCSIIYVPKDSRHKRHELRFT
+QGATEVLVLALQSREQAEEWLKVIREVS
+>Q8TED9_PF00169_423 <unknown description>
+GYLNVLVNQGWKERWCRLKCNTLYFHKDHMDLRTHVNAIALQGCEVAPGFGPRHPFAFRI
+LRNRQEVAILEASCSEDMGRWL
+>Q8N4X5_PF00169_186 <unknown description>
+KWLGQWAKQLCVIKDNRLLCYKSSKDHSPQLDVNLLGSSVIHKEKQVRKKEHKLKITPMN
+ADVIVLGLQSKDQAEQWLRVIQEVS
+>Q8N4X5_PF00169_365 <unknown description>
+NSQWKSRWCSVRDNHLHFYQDRNRSKVAQQPLSLVGCEVVPDPSPDHLYSFRILHKGEEL
+AKLEAKSSEEMGHWL
+>Q13015_PF15017_7 <unknown description>
+SQYSSFLFWRMPIPELDLSELEGLGLSDTATYKVKDSSVGKMIGQATAADQEKNPEGDGL
+LEYSTFNFWRAPI
+>P42568_PF03366_28 <unknown description>
+FTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFPRPKRVCKDPPYKVEESGYAGFILPIEV
+YFKNKEEPRKVRFDYDLFLH
+>P42568_PF17793_503 <unknown description>
+YLDELVELHRRLMTLRERHILQQIVNLIEETGHFHITNTTFDFDLCSLDKTTVRKLQSYL
+E
+>P43652_PF00273_31 <unknown description>
+NFNSTQKFIEDNIEYITIIAFAQYVQEATFEEMEKLVKDMVEYKDRCMADKTLPECSKLP
+NNVLQEKICAMEGLPQKHNFSHCCSKVDAQRRLCFFYNKKSDVGFLPPFPTLDPEEKCQA
+YESNRESLLNHFLYEVARRNPFVFAPTLLTVAVHFEEVAKSCCEEQNKVNCL
+>P43652_PF00273_222 <unknown description>
+HVCGALLKFGTKVVHFIYIAILSQKFPKIEFKELISLVEDVSSNYDGCCEGDVVQCIRDT
+SKVMNHICSKQDSISSKIKECCEKKIPERGQCIINSNKDDRPKDLSLREGKFTDSENVCQ
+ERDADPDTFFAKFTFEYSRRHPDLSIPELLRIVQIYKDLLRNCCNTENPPGCY
+>P43652_PF00273_414 <unknown description>
+QECKHFQNLGKDGLKYHYLIRLTKIAPQLSTEELVSLGEKMVTAFTTCCTLSEEFACVDN
+LADLVFGELCGVNENRTINPAVDHCCKTNFAFRRPCFESLKADKTYVPPPFSQDLFTFHA
+DMCQSQNEELQRKTDRFLVNLVKLKHELTDEELQSLFTNFANVVDKCCKAESPEVCF
+>Q8N556_PF00169_160 <unknown description>
+LLRKKRFGQWTKLLCVIKDTKLLCYKSSKDQQPQMELPLQGCNITYIPKDSKKKKHELKI
+TQQGTDPLVLAVQSKEQAEQWLKVIKEA
+>Q8N556_PF00169_358 <unknown description>
+SNSRWRERWCRVKDNKLIFHKDRTDLKTHIVSIPLRGCEVIPGLDSKHPLTFRLLRNGQE
+VAVLEASSSEDMGRWI
+>P51825_PF05110_15 <unknown description>
+YNDDRNLLRIREKERRNQEAHQEKEAFPEKIPLFGEPYKTAKGDELSSRIQNMLGNYEEV
+KEFLSTKSHTHRLDASENRLGKPKYPLIPDKGSSIPSSSFHTSVHHQSIHTPASGPLSVG
+NISHNPKMAQPRTEPMPSLHAKSCGPPDSQHLTQDRLGQEGFGSSHHKKGDRRADGDHCA
+SVTDSAPERELSPLISLPSPVPPLSPIHSNQQTLPRTQGSSKVHGSSNNSKGYCPAKSPK
+DLAVKVHDKETPQDSLVAPAQPPSQTFPPPSLPSKSVAMQQKPTAYVRPMDGQDQAPSES
+PELKPLPEDYRQQTFEKTDLKVPAKAKLTKLKMPSQSVEQTYSNEVHCVEEILKEMTHSW
+PPPLTAIHTPSTAEPSKFPFPTKDSQHVSSVTQNQKQYDTSSKTHSNSQQGTSSMLEDDL
+QLSDSEDSDSEQTPEKPPSSSAPPSAPQSLPEPVASAHSSSAESESTSDSDSSSDSESES
+SSSDSEENEPLETPAPEPEPPTTNKWQLDNWLT
+>P51825_PF18875_768 <unknown description>
+LMVKITLDLLSRIPQ
+>P51825_PF18876_956 <unknown description>
+NSKPGKPQVKFDKQQADLHMREAKKMKQKAELMTDRVGKAFKYLEAVLSFIECGIATESE
+SQSSKSAYSVYSETVDLIKFIMSLKSFSDATAPTQEKIFAVLCMRCQSILNMAMFRCKKD
+IAIKYSRTLNKHFESSSKVAQAPSPCIARSTGTPSPLSPMPSPASSVGSQSSAGSVGSSG
+VAATISTPVTIQNMTSSYVTITSHVLTAFDLWEQAEALTRKNKEFFARLSTNVCTLALNS
+SLVDLVHYTRQGFQQLQELTKT
+>P51816_PF05110_18 <unknown description>
+YEQDRSALKKREWERRNQEVQQEDDLFSSGFDLFGEPYKVAEYTNKGDALANRVQNTLGN
+YDEMKNLLTNHSNQNHLVGIPKNSVPQNPNNKNEPSFFPEQKNRIIPPHQDNTHPSAPMP
+PPSVVILNSTLIHSNRKSKPEWSRDSHNPSTVLASQASGQPNKMQTLTQDQSQAKLEDFF
+VYPAEQPQIGEVEESNPSAKEDSNPNSSGEDAFKEIFQSNSPEESEFAVQAPGSPLVASS
+LLAPSSGLSVQNFPPGLYCKTSMGQQKPTAYVRPMDGQDQAPDISPTLKPSIEFENSFGN
+LSFGTLLDGKPSAASSKTKLPKFTILQTSEVSLPSDPSCVEEILREMTHSWPTPLTSMHT
+AGHSEQSTFSIPGQESQHLTPGFTLQKWNDPTTRASTKSVSFKSMLEDDLKLSSDEDDLE
+PVKTLTTQCTATELYQAVEKAKPRNNPVNPPLATPQPPPAVQASGGSGSSSESESSSESD
+SDTESSTTDSESNEAPRVATPEPEPPSTNKWQLDKWLN
+>P51816_PF18875_801 <unknown description>
+LWVKIDLDLLSRVPG
+>P51816_PF18876_1056 <unknown description>
+TNVRRPKLTFDDSVHNADYYMQEAKKLKHKADALFEKFGKAVNYADAALSFTECGNAMER
+DPLEAKSPYTMYSETVELLRYAMRLKNFASPLASDGDKKLAVLCYRCLSLLYLRMFKLKK
+DHAMKYSRSLMEYFKQNASKVAQIPSPWVSNGKNTPSPVSLNNVSPINAMGNCNNGPVTI
+PQRIHHMAASHVNITSNVLRGYEHWDMADKLTRENKEFFGDLDTLMGPLTQHSSMTNLVR
+YVRQGLCWLRIDAHL
+>P51826_PF05110_20 <unknown description>
+YEPDRNALRRKERERRNQETQQDDGTFNSSYSLFSEPYKTNKGDELSNRIQNTLGNYDEM
+KDFLTDRSNQSHLVGVPKPGVPQTPVNKIDEHFVADSRAQNQPSSICSTTTSTPAAVPVQ
+QSKRGTMGWQKAGHPPSDGQQRATQQGS
+>P51826_PF05110_175 <unknown description>
+GVGRQQPRAKQVCNVEVGLQTQERPPAMAAKHSSSGHCVQNFPPSLASKPSLVQQKPTAY
+VRPMDGQDQAPDESPKLKSSSETSVHCTSYRGVPASKPEPARAKAKLSKFSIPKQGEESR
+SGETNSCVEEIIREMTWLPPLSAIQAPGKVEPTKFPFPNKDSQLVSSGHNNPKKGDAEPE
+SPDNGTSNTSMLEDDLKLSSDEEENEQQAAQRTALRALSDSAVVQQPNCRTSVPSSKGSS
+SSSSSGSSSSSSDSESSSGSDSETESSSSESEGSKPPHFSSPEAEPASSNKWQLDKWLN
+>P51826_PF18875_766 <unknown description>
+LWVKIDLTLLSRIP
+>P51826_PF18876_963 <unknown description>
+CKRQKLVFDDMPRSADYFMQEAKRMKHKADAMVEKFGKALNYAEAALSFIECGNAMEQGP
+MESKSPYTMYSETVELIRYAMRLKTHSGPNATPEDKQLAALCYRCLALLYWRMFRLKRDH
+AVKYSKALIDYFKNSSKAAQAPSPWGASGKSTGTPSPMSPNPSPASSVGSQGSLSNASAL
+SPSTIVSIPQRIHQMAANHVSITNSILHSYDYWEMADNLAKENREFFNDLDLLMGPVTLH
+SSMEHLVQYSQQGLHWLRNSAHL
+>Q9UHB7_PF05110_3 <unknown description>
+REDRNVLRMKERERRNQEIQQGEDAFPPSSPLFAEPYKVTSKEDKLSSRIQSMLGNYDEM
+KDFIGDRSIPKLVAIPKPTVPPSADEKSNPNFFEQRHGGSHQSSKWTPVGPAPSTSQSQK
+RSSGLQSGHSSQRTSAGSSSGTNSSGQRHDRESYNNSGSSSRKKGQHGSEHSKSRSSSPG
+KPQAVSSLNSSHSRSHGNDHHSKEHQRSKSPRDPDANWDSPSRVPFSSGQHSTQSFPPSL
+MSKSNSMLQKPTAYVRPMDGQESMEPKLSSEHYSSQSHGNSMTELKPSSKAHLTKLKIPS
+QPLDASASGDVSCVDEILKEMTHSWPPPLTAIHTPCKTEPSKFPFPTKESQQSNFGTGEQ
+KRYNPSKTSNGHQSKSMLKDDLKLSSSEDSDGEQDCDKTMPRSTPGSNSEPSHHNSEGAD
+NSRDDSSSHSGSESSSGSDSESESSSSDSEANEPSQSASPEPEPPPTNKWQLDNWLN
+>Q9UHB7_PF18875_714 <unknown description>
+LIVKIDLNLLTRIPG
+>Q9UHB7_PF18876_898 <unknown description>
+SSKPRRTKLVFDDRNYSADHYLQEAKKLKHNADALSDRFEKAVYYLDAVVSFIECGNALE
+KNAQESKSPFPMYSETVDLIKYTMKLKNYLAPDATAADKRLTVLCLRCESLLYLRLFKLK
+KENALKYSKTLTEHLKNSYNNSQAPSPGLGSKAVGMPSPVSPKLSPGNSGNYSSGASSAS
+ASGSSVTIPQKIHQMAASYVQVTSNFLYATEIWDQAEQLSKEQKEFFAELDKVMGPLIFN
+ASIMTDLVRYTRQGLHWLRQDAKL
+>Q8WV93_PF03969_80 <unknown description>
+DFLIKAHELKDDEHQRRVIQCLQKLHEDLKGYNIEAEGLFSKLFSRSKPPRGLYVYGDVG
+TGKTMVMDMFYAYVEMKRKKRVHFHGFMLDVHKRIHRLKQSLPKRKPGFMAKSYDPIAPI
+AEEISEEACLLCFDEFQVTDIADAMILKQLFENLFKNGVVVVATSNRPPEDLYKNGLQRA
+NFVPFIAVLKEYCNTVQLDSGIDYRKRELPAAGKLYYLTSEADVEAVMDKLFDELAQKQN
+DLTRPRILKVQGRELRLNKACGTVADCTFEELCERPLGASDYLELSKNFDTIFLRNIPQF
+TLANRTQGRRFITLIDNFYDLKVRIICSASTPISSLFLHQHHDSELE
+>Q9Y4W6_PF06480_150 <unknown description>
+TALFWGGVMFYLLLKRSGREITWKDFVNNYLSKGVVDRLEVVNKRFVRVTFTPGKTPVDG
+QYVWFNIGSVDTFERNLETLQQELGIEGENR
+>Q9Y4W6_PF00004_345 <unknown description>
+ILTGPPGTGKTLLAKATAGEANVPFITVSGSEFLEMFVGVGPARVRDLFALARKNAPCIL
+FIDEIDAVGRKRGRGNFGGQSEQENTLNQLLVEMDGFNTTTNVVILAGTNRPDILDPALL
+RPGRFDRQIFIG
+>Q9Y4W6_PF17862_508 <unknown description>
+KLASLTPGFSGADVANVCNEAALIAARHLSDSINQKHFE
+>Q9Y4W6_PF01434_562 <unknown description>
+VLQPEEKKTVAYHEAGHAVAGWYLEHADPLLKVSIIPRGKGLGYAQYLPKEQYLYTKEQL
+LDRMCMTLGGRVSEEIFFGRITTGAQDDLRKVTQSAYAQIVQFGMNEKVGQISFDLPRQG
+DMVLEKPYSEATARLIDDEVRILINDAYKRTVALLTEKKADVEKVALLLLEKEVLDKNDM
+VE
+>Q6ULP2_PF15045_700 <unknown description>
+DVWTELQDIHDAHGLRYQWGGSHSNKKLLSSLGIDTRNILFTGNKKQPVIVPMYAAGLGM
+LEPTKEP
+>Q5BKT4_PF04922_32 <unknown description>
+REPYMDEIFHLPQAQRYCEGHFSLSQWDPMITTLPGLYLVSIGVIKPAIWIFGWSEHVVC
+SIGMLRFVNLLFSVGNFYLLYLLFCKVQPRNKAASSIQRVLSTLTLAVFPTLYFFNFLYY
+TEAGSMFFTLFAYLMCLYGNHKTSAFLGFCGFMFRQTNIIWAVFCAGNVIAQKLTEAWKT
+ELQKKEDRLPPIKGPFAEFRKILQFLLAYSMSFKNLSMLLLLTWPYILLGFLFCAFVVVN
+GGIVIGDRSSHEACLHFPQLFYFFSFTLFFSFPHLLSPSKIKTFLSLVWKRRILFFVVTL
+VSVFLVWKFTYAHKYLLADNRHYTFYVWKRVFQRYETVKYLLVPAYIFAGWSIADSLKSK
+SIFWNLMFFICLFTVIVPQKLLEFRYFILPYVIYRL
+>Q5I7T1_PF04922_32 <unknown description>
+REPYMDEIFHLPQAQRYCEGHFSLSQWDPMITTLPGLYLVSVGVVKPAIWIFAWSEHVVC
+SIGMLRFVNLLFSVGNFYLLYLLFHKVQPRNKAASSIQRVLSTLTLAVFPTLYFFNFLYY
+TEAGSMFFTLFAYLMCLYGNHKTSAFLGFCGFMFRQTNIIWAVFCAGNVIAQKLTEAWKT
+ELQKKEDRLPPIKGPFAEFRKILQFLLAYSMSFKNLSMLFCLTWPYILLGFLFCAFVVVN
+GGIVIGDRSSHEACLHFPQLFYFFSFTLFFSFPHLLSPSKIKTFLSLVWKHGILFLVVTL
+VSVFLVWKFTYAHKYLLADNRHYTFYVWKRVFQRYAILKYLLVPAYIFAGWSIADSLKSK
+PIFWNLMFFICLFIVIVPQKLLEFRYFILPYVIYRL
+>P06280_PF16499_39 <unknown description>
+TPTMGWLHWERFMCNLDCQEEPDSCISEKLFMEMAELMVSEGWKDAGYEYLCIDDCWMAP
+QRDSEGRLQADPQRFPHGIRQLANYVHSKGLKLGIYADVGNKTCAGFPGSFGYYDIDAQT
+FADWGVDLLKFDGCYCDSLENLADGYKHMSLALNRTGRSIVYSCEWPLYMWPFQKPNYTE
+IRQYCNHWRNFADIDDSWKSIKSILDWTSFNQERIVDVAGPGGWNDPDMLVIGNFGLSWN
+QQVTQMALWAIMAAPLFMSNDLRHISPQAKALLQDKDVIAINQD
+>P06280_PF17450_325 <unknown description>
+GKQGYQLRQGDNFEVWERPLSGLAWAVAMINRQEIGGPRSYTIAVASLGKGVACNPACFI
+TQLLPVKRKLGFYEWTSRLRSHINPTG
+>Q9UPQ3_PF00071_73 <unknown description>
+KVGIVGNLASGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPEAQ
+FAMWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQDAISSANPRVIDD
+ARARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIV
+>Q9UPQ3_PF01412_611 <unknown description>
+ALQSIRNMRGNSHCVDCETQNPNWASLNLGALMCIECSGIHRNLGTHLSRVRSLDLDDWP
+VELIKVMSSIGNELANSVWEESSQGRTKPSVDSTREEKERWIRAKYEQKLFL
+>Q9UPQ3_PF12796_745 <unknown description>
+LRTAILLLAHGSRDEVNETCGEGDGRTALHLACRKGNVVLAQLLIWYGVDVTARDAHGNT
+ALAYARQASSQECIDVLLQYGC
+>Q96P47_PF00071_129 <unknown description>
+KVGIVGNLSSGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPELQ
+FAAWVDAVVFVFSLEDEISFQTVYNYFLRLCSFRNASEVPMVLVGTQDAISAANPRVIDD
+SRARKLSTDLKRCTYYETCATYGLNVERVFQDVAQKV
+>Q96P47_PF01412_665 <unknown description>
+VQAVRTVRGNSFCIDCDAPNPDWASLNLGALMCIECSGIHRHLGAHLSRVRSLDLDDWPP
+ELLAVMTAMGNALANSVWEGALGGYSKPGPDACREEKERWIRAKYEQKLFL
+>Q96P47_PF12796_798 <unknown description>
+LRLLVMLLAHGSKEEVNETYGDGDGRTALHLSSAMANVVFTQLLIWYGVDVRSRDARGLT
+PLAYARRAGSQECADILIQHGC
+>A6NIR3_PF01412_466 <unknown description>
+ALQSIQNMRGNAHCVDYETQNPKWASLNLGVLMCIECSGIHRSLGTRLSRVRSLELDDWP
+VELRKVMSSIGNDLANSIWEGSSQGQTKPSVKSTREEKERWIRSKYEEKLFL
+>A6NIR3_PF12796_595 <unknown description>
+TADEDLQTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARD
+AHGNTALTYARQASSQECINVLLQYGC
+>Q5VW22_PF01412_466 <unknown description>
+ALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSLGPHLSRVRSLELDDWP
+VELRKVMSSIVNDLANSIWEGSSQGQTKPSEKSTREEKERWIRSKYEEKLFL
+>Q5VW22_PF12796_595 <unknown description>
+TADEDLQTAILLLAHGSCEEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARD
+AHGNTALTYARQASSQECINVLLQYGC
+>Q5VTM2_PF01412_466 <unknown description>
+ALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSFGTRLSRVRSLELDDWP
+VELRKVMSSIGNELANSIWEGSSQGQTKPSIKSTREEKEWWIRSKYEEKLFL
+>P52594_PF01412_15 <unknown description>
+KMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLNPPHRVKSISMTTFT
+QQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEKYEKKRWY
+>O95081_PF01412_40 <unknown description>
+SQAGNRHCFECAQRGVTYVDITVGSFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVVFL
+QSRGNEVCRKIWLGLFDARTSLVPDSRDPQKVKEFLQEKYEKKRWY
+>Q8N302_PF17780_204 <unknown description>
+QTGFSYDENTGLYFDHSTGFYYDSENQLYYDPSTGIYYYCDVESGRYQFHS
+>Q8N302_PF00498_435 <unknown description>
+TIGREKDMEHTLRIPEVGVSKFHAEIYFDHDLQSYVLVDQGSQNGTIVNGKQILQPKTKC
+DPYVLEHGDEVKIG
+>Q8N302_PF01585_620 <unknown description>
+SNKGRKMLEKMGWKKGEGLGKDGGGMKTPIQLQLRRTHAGLGT
+>Q53H12_PF00781_62 <unknown description>
+KATVFLNPAACKGKARTLFEKNAAPILHLSGMDVTIVKTDYEGQAKKLLELMENTDVIIV
+AGGDGTLQEVVTGVLRRTDEATFSKIPIGFIPLGETSSLSHTLFAESGNKVQHITDATLA
+IVKGETVPLDVLQI
+>Q53H12_PF19712_206 <unknown description>
+MTGLRWGSFRDAGVKVSKYWYLGPLKIKAAHFFSTLKEWPQTHQASISYTGPTERPPNEP
+EETPVQRPSLYRRILRRLASYWAQPQDALSQEVSPEVWKDVQLSTIELSITTRNNQLDPT
+SKEDFLNICIEPDTISKGDFITIGSRKVRNPKLHVEGTECLQASQCTLLIPEGAGGSFSI
+DSEEYEAMPVEVKLLPRKLQFFCDPRKREQMLTS
+>O95394_PF02878_52 <unknown description>
+QTKSTIGVMVTASHNPEEDNGVKLVDPLGEMLAPSWEEHATCLANAE
+>O95394_PF02878_118 <unknown description>
+QQDAFVVIGRDTRPSSEKLSQSVIDGVTVLGGQFHDYGLLTTPQLHYMVY
+>O95394_PF00408_473 <unknown description>
+TPPGLQEAINDLVKKYKLSRAFVRPSGTEDVVRVYAEADSQESADHLAHEVSL
+>Q9UL18_PF16486_34 <unknown description>
+KPIKLLANYFEVDIPKIDVYHYEVDIKPDKCPRRVNREVVEYMVQHFKPQIFGDRKPVYD
+GKKNIYTVTALPIGNERVDFEVTIPGEGKDRIFKVSIKWLAIVSWRMLHEALVSGQIPVP
+LESVQALDVAM
+>Q9UL18_PF08699_174 <unknown description>
+PVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYK
+>Q9UL18_PF02170_240 <unknown description>
+NIDEQPKPLTDSQRVRFTKEIKGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLESG
+QTVECTVAQYFKQKYNLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQT
+STMI
+>Q9UL18_PF16488_372 <unknown description>
+DRQEEISRLMKNASYNLDPYIQEFGIKVKDDMTEVTGRVLPAPILQY
+>Q9UL18_PF16487_427 <unknown description>
+TPNQGVWDMRGKQFYNGIEIKVWAIACFAPQKQCREEVLKNFTDQLRKISKDAGMPIQGQ
+PCFCKYAQGADSVEPMFRHLKN
+>Q9UL18_PF02171_515 <unknown description>
+LIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINVKLGGINN
+ILVPHQRSAVFQQPVIFLGADVTHPPAGDGKKPSITAVVGSMDAHPSRYCATVRVQRPRQ
+EIIEDLSYMVRELLIQFYKSTRFKPTRIIFYRDGVPEGQLPQILHYELLAIRDACIKLEK
+DYQPGITYIVVQKRHHTRLFCADKNERIGKSGNIPAGTTVDTNITHPFEFDFYLCSHAGI
+QGTSRPSHYYVLWDDNRFTADELQILTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYH
+L
+>Q9UKV8_PF16486_36 <unknown description>
+RTIKLQANFFEMDIPKIDIYHYELDIKPEKCPRRVNREIVEHMVQHFKTQIFGDRKPVFD
+GRKNLYTAMPLPIGRDKVELEVTLPGEGKDRIFKVSIKWVSCVSLQALHDALSGRLPSVP
+FETIQALDVVM
+>Q9UKV8_PF08699_176 <unknown description>
+PVGRSFFTASEGCSNPLGGGREVWFGFHQSVRPSLWKMMLNIDVSATAFYK
+>Q9UKV8_PF02170_246 <unknown description>
+QQKPLTDSQRVKFTKEIKGLKVEITHCGQMKRKYRVCNVTRRPASHQTFPLQQESGQTVE
+CTVAQYFKDRHKLVLRYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMI
+>Q9UKV8_PF16488_374 <unknown description>
+DRQEEISKLMRSASFNTDPYVREFGIMVKDEMTDVTGRVLQPPSILY
+>Q9UKV8_PF16487_429 <unknown description>
+TPVQGVWDMRNKQFHTGIEIKVWAIACFAPQRQCTEVHLKSFTEQLRKISRDAGMPIQGQ
+PCFCKYAQGADSVEPMFRHLKN
+>Q9UKV8_PF02171_518 <unknown description>
+VVVILPGKTPVYAEVKRVGDTVLGMATQCVQMKNVQRTTPQTLSNLCLKINVKLGGVNNI
+LLPQGRPPVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDAHPNRYCATVRVQQHRQE
+IIQDLAAMVRELLIQFYKSTRFKPTRIIFYRDGVSEGQFQQVLHHELLAIREACIKLEKD
+YQPGITFIVVQKRHHTRLFCTDKNERVGKSGNIPAGTTVDTKITHPTEFDFYLCSHAGIQ
+GTSRPSHYHVLWDDNRFSSDELQILTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHL
+>Q9H9G7_PF16486_28 <unknown description>
+KPIKLLANCFQVEIPKIDVYLYEVDIKPDKCPRRVNREVVDSMVQHFKVTIFGDRRPVYD
+GKRSLYTANPLPVATTGVDLDVTLPGEGGKDRPFKVSIKFVSRVSWHLLHEVLTGRTLPE
+PLELDKPISTNPVHAVDVVL
+>Q9H9G7_PF08699_177 <unknown description>
+PVGRSFFSAPEGYDHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYK
+>Q9H9G7_PF02170_247 <unknown description>
+QPRPLTDSHRVKFTKEIKGLKVEVTHCGTMRRKYRVCNVTRRPASHQTFPLQLENGQTVE
+RTVAQYFREKYTLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMI
+>Q9H9G7_PF16488_375 <unknown description>
+DRQEEISRLVRSANYETDPFVQEFQFKVRDEMAHVTGRVLPAPMLQY
+>Q9H9G7_PF16487_430 <unknown description>
+TPSHGVWDMRGKQFHTGVEIKMWAIACFATQRQCREEILKGFTDQLRKISKDAGMPIQGQ
+PCFCKYAQGADSVEPMFRHLKN
+>Q9H9G7_PF02171_518 <unknown description>
+LIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVIKTSPQTLSNLCLKINVKLGGINN
+ILVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDAHPSRYCATVRVQRPRQ
+EIIQDLASMVRELLIQFYKSTRFKPTRIIFYRDGVSEGQFRQVLYYELLAIREACISLEK
+DYQPGITYIVVQKRHHTRLFCADRTERVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGI
+QGTSRPSHYHVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYH
+L
+>Q9HCK5_PF16486_26 <unknown description>
+KPIRLLANHFQVQIPKIDVYHYDVDIKPEKRPRRVNREVVDTMVRHFKMQIFGDRQPGYD
+GKRNMYTAHPLPIGRDRVDMEVTLPGEGKDQTFKVSVQWVSVVSLQLLLEALAGHLNEVP
+DDSVQALDVI
+>Q9HCK5_PF08699_166 <unknown description>
+PVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWNMMLNIDVSATAFYR
+>Q9HCK5_PF02170_233 <unknown description>
+INEQTKPLTDSQRVKFTKEIRGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLENGQ
+AMECTVAQYFKQKYSLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTS
+TMI
+>Q9HCK5_PF16488_364 <unknown description>
+DRQEEISRLVKSNSMVGGPDPYLKEFGIVVHNEMTELTGRVLPAPMLQY
+>Q9HCK5_PF16487_421 <unknown description>
+TPNQGVWDMRGKQFYAGIEIKVWAVACFAPQKQCREDLLKSFTDQLRKISKDAGMPIQGQ
+PCFCKYAQGADSVEPMFKHLK
+>Q9HCK5_PF02171_509 <unknown description>
+LIVVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINAKLGGINN
+VLVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDGHPSRYCATVRVQTSRQ
+EISQELLYSQEVIQDLTNMVRELLIQFYKSTRFKPTRIIYYRGGVSEGQMKQVAWPELIA
+IRKACISLEEDYRPGITYIVVQKRHHTRLFCADKTERVGKSGNVPAGTTVDSTITHPSEF
+DFYLCSHAGIQGTSRPSHYQVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYA
+RLVAFRARYHL
+>O95994_PF13899_54 <unknown description>
+WTQTYEEALYKSKTSNKPLMIIHHLDECPHSQALKKVFAENKEIQKLAEQFVLLNLVYET
+TDKHLSPDGQYVPRIMFVDP
+>Q8TD06_PF13899_43 <unknown description>
+TWVQTYEEGLFYAQKSKKPLMVIHHLEDCQYSQALKKVFAQNEEIQEMAQNKFIMLNLMH
+ETTDKNLSPDGQYVPRIMFVDP
+>Q86SQ6_PF00002_23 <unknown description>
+ACTAVMLLCLLASFVTYIVHQSAIRISRKGRHTLLNFCFHAALTFTVFAGGINRTKYPIL
+CQAVGIVLHYSTLSTMLWIGVTARNIYKQVTKKAPLCLDTDQPPYPRQPLLRFYLVSGGV
+PFIICGVTAATNIRNYGTEDEDTAYCWMAWEPSLGAFYGPAAIITLVTCVYFLGTYVQLR
+RHPGRRYELRTQPEEQRRLATPEGGRGIRPGTPPAHDAPGASVLQNEHSFQAQLRAAAFT
+LFLFTATWAFGALAVSQGHFLDMVFSCLYGAFCVTLGLFV
+>Q96PE1_PF13855_88 <unknown description>
+TLLLSNNKITGLRNGSFLGLSLLEKLDLRNNIISTVQPGAFLGLGELKRLDLSNNRI
+>Q96PE1_PF01825_710 <unknown description>
+AAWWSQEGPGEAGGWTSEGCQLRSSQPNVSALHCQHLGNVAVL
+>Q96PE1_PF00002_780 <unknown description>
+LLLCLFATIITYILNHSSIRVSRKGWHMLLNLCFHIAMTSAVFAGGITLTNYQMVCQAVG
+ITLHYSSLSTLLWMGVKARVLHKELTWRAPPPQEGDPALPTPSPMLRFYLIAGGIPLIIC
+GITAAVNIHNYRDHSPYCWLVWRPSLGAFYIPVALILLITWIYFLCAG
+>Q8IWK6_PF13855_85 <unknown description>
+TLILSNNKISELKNGSFSGLSLLERLDLRNNLISSIDPGAFWGLSSLKRLDLTNNRI
+>Q8IWK6_PF07679_247 <unknown description>
+TPSHRQVVFEGDSLPFQCMASYIDQDMQVLWYQDGRIVETDESQGIFVEKNMIHNCSLIA
+SALTISNIQAGSTGNWGCHVQTKRGNNTRTVDIV
+>Q8IWK6_PF01825_704 <unknown description>
+WDFDLLNGQGGWKSDGCHILYSDENITTIQCYSLSNYAVL
+>Q8IWK6_PF00002_768 <unknown description>
+IILLLCLLAVIVSYIYHHSLIRISLKSWHMLVNLCFHIFLTCVVFVGGITQTRNASICQA
+VGIILHYSTLATVLWVGVTARNIYKQVTKKAKRCQDPDEPPPPPRPMLRFYLIGGGIPII
+VCGITAAANIKNYGSRPNAPYCWMAWEPSLGAFYGPASFITFVNCMYFLSIFIQLKRHPE
+RKYELKEPTEEQQRLA
+>O14514_PF19188_41 <unknown description>
+EPCATLVQGKFFGYFSAAAVFPANASRCSWTLRNPDPRRYTLYMKVAKAPVPCSGPGRVR
+TYQFDSFLESTRTYLGVESFDEVLRLCDPSAPLAFLQASKQFLQMRRQQPPQHDGLRPRA
+GPPGPTDDFSVEYLVVGNRNPSRAACQMLCRWLDACLAGSRSSHPCGIMQTPCACLGG
+>O14514_PF00090_266 <unknown description>
+LWSLWGECTRDCGGGLQTRTRTCLPAPGVEGGGCEGVLEEGRQCNREAC
+>O14514_PF00090_359 <unknown description>
+EWSPWSVCSSTCGEGWQTRTRFCVSSSYSTQCSGPLREQRLCNNSAVC
+>O14514_PF00090_414 <unknown description>
+EWSPWSLCSSTCGRGFRDRTRTCRPPQFGGNPCEGPEKQTKFCNIALC
+>O14514_PF00090_472 <unknown description>
+EWSSWSACSASCSQGRQQRTRECNGPSYGGAECQGHWVETRDCFLQQC
+>O14514_PF00090_527 <unknown description>
+AWASWGSCSVTCGAGSQRRERVCSGPFFGGAACQGPQDEYRQCGTQRC
+>O14514_PF02793_579 <unknown description>
+EICDEDNFGAVIWKETPAGEVAAVRCPRNATGLILRRCELDEEGIAYWEPPTYIRCVS
+>O14514_PF16489_662 <unknown description>
+VSEVIQTLVEISQDGTSYSGDLLSTIDVLRNMTEIFRRAYYSPTPGDVQNFVQILSNLLA
+EENRDKWEEAQLAGPNAKELFRLVEDFVDVIGFRMKDLRDAYQVTDNLVLSIHKLPASGA
+TDISFPMKGWRATGDWAKVPEDRVTVSKSVFSTGLTEADEASVFVVGTVLYRNLGSFLAL
+QRNTTVLNSKVISVTVK
+>O14514_PF01825_883 <unknown description>
+TCILWDETDVPSSSAPPQLGPWSWRGCRTVPLDALRTRCLCDRLSTFAIL
+>O14514_PF00002_947 <unknown description>
+PSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILIGQTQTR
+NKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGHLRNRLIRKRFLCLGWGLPALVVA
+ISVGFTKAKGYSTMNYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSKDGITDK
+KLKERAGASLWSSCVVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVI
+>O60241_PF19188_38 <unknown description>
+SACSALASGVLYGAFSLQDLFPTIASGCSWTLENPDPTKYSLYLRFNRQEQVCAHFAPRL
+LPLDHYLVNFTCLRPSPEEAVAQAESEVGRPEEEEAEAAAGLELCSGSGPFTFLHFDKNF
+VQLCLSAEPSEAPRLLAPAALAFRFVEVLLINNNNSSQFTCGVLCRWSEECGRAAGRACG
+FAQPGCSCPGE
+>O60241_PF00090_314 <unknown description>
+EWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATC
+>O60241_PF00090_369 <unknown description>
+EWGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAAC
+>O60241_PF00090_424 <unknown description>
+EWGPWGPCSTSCANGTQQRSRKCSVAGPAWATCTGALTDTRECSNLEC
+>O60241_PF00090_479 <unknown description>
+GPWNAWSLCSKTCDTGWQRRFRMCQATGTQGYPCEGTGEEVKPCSEKRC
+>O60241_PF16489_615 <unknown description>
+MSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVVSFMVD
+AENKEKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQREPVSAV
+SSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATSGAAGSPGRGRGPGTVPP
+GPGHSHQRLLPADPDESSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTVR
+>O60241_PF01825_872 <unknown description>
+PHCASWDYSRADASSGDWDTENCQTLETQAAHTRCQCQHLSTFAVL
+>O60241_PF00002_932 <unknown description>
+PSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERSIILLNFCLSILASNILILVGQSRVL
+SKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVIGRMRTRLVRKRFLCLGWGLPALVVA
+VSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAVIVLVNMLIGIIVFNKLMARDGISDK
+SKKQRAGSERCPWASLLLPCSACGAVPSPLLSSASARNAMASLWSSCVVLPLLALTWMSA
+VLAMTDRRSVLFQALFAVFNSAQGFVI
+>O60242_PF19188_30 <unknown description>
+CSTLVKGVIYGSYSVSEMFPKNFTNCTWTLENPDPTKYSIYLKFSKKDLSCSNFSLLAYQ
+FDHFSHEKIKDLLRKNHSIMQLCNSKNAFVFLQYDKNFIQIRRVFPTNFPGLQKKGEEDQ
+KSFFEFLVLNKVSPSQFGCHVLCTWLESCLKSENGRTESCGIMYTKCTCPQH
+>O60242_PF00090_296 <unknown description>
+EWSQWSTCSVTCGQGSQVRTRTCVSPYGTHCSGPLRESRVCNNTALC
+>O60242_PF00090_350 <unknown description>
+EWSPWSLCSFTCGRGQRTRTRSCTPPQYGGRPCEGPETHHKPCNIALC
+>O60242_PF00090_405 <unknown description>
+EWSSWSQCSVTCSNGTQQRSRQCTAAAHGGSECRGPWAESRECYNPEC
+>O60242_PF00090_460 <unknown description>
+QWGHWSGCSKSCDGGWERRIRTCQGAVITGQQCEGTGEEVRRCNEQRC
+>O60242_PF02793_512 <unknown description>
+EICPEDYLMSMVWKRTPAGDLAFNQCPLNATGTTSRRCSLSLHGVAFWEQPSFARCIS
+>O60242_PF16489_595 <unknown description>
+MSQVTKTLLDLTQRKNFYAGDLLMSVEILRNVTDTFKRASYIPASDGVQNFFQIVSNLLD
+EENKEKWEDAQQIYPGSIELMQVIEDFIHIVGMGMMDFQNSYLMTGNVVASIQKLPAASV
+LTDINFPMKGRKGMVDWARNSEDRVVIPKSIFTPVSSKELDESSVFVLGAVLYKNLDLIL
+PTLRNYTVINSKIIVVTIR
+>O60242_PF01825_817 <unknown description>
+PYCVLWDDSKTNESLGTWSTQGCKTVLTDASHTKCLCDRLSTFAIL
+>O60242_PF00002_878 <unknown description>
+SVTLIVGSGLSCLALITLAVVYAALWRYIRSERSIILINFCLSIISSNILILVGQTQTHN
+KSICTTTTAFLHFFFLASFCWVLTEAWQSYMAVTGKIRTRLIRKRFLCLGWGLPALVVAT
+SVGFTRTKGYGTDHYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSRDGILDKK
+LKHRAGQMSEPHSGLTLKCAKCGVVSTTALSATTASNAMASLWSSCVVLPLLALTWMSAV
+LAMTDKRSILFQILFAVFDSLQGFVI
+>Q6QNK2_PF13385_103 <unknown description>
+EGVTFSFFWKTQGEQSRPIPSAYGGQVISNGFKVCSSGGRGSVELYTRDNSMTWEASFSP
+PGPYWTHVLFTWKSKEGLKVYVNGTLSTSDPSGKVSRDYGESNVNLVIGSEQDQAKCYEN
+GAFDEFIIWERALTPDEIA
+>Q6QNK2_PF01825_510 <unknown description>
+CAFLDFSSGEGVWSNHGCALTRGNLTYSVCRCTHLTNFAIL
+>Q6QNK2_PF00002_565 <unknown description>
+ALSSISYVGCSLSVLCLVATLVTFAVLSSVSTIRNQRYHIHANLSFAVLVAQVLLLISFR
+LEPGTTPCQVMAVLLHYFFLSAFAWMLVEGLHLYSMVIKVFGSEDSKHRYYYGMGWGFPL
+LICIISLSFAMDSYGTSNNCWLSLASGAIWAFVAPALFVIVVNIGILIAVTRVISQISAD
+NYKIHGDPSAFKLTAKAVAVLLPILGTSWVFGVLAVNGCAVVFQYMFATLNSLQGLFI
+>Q14246_PF07645_33 <unknown description>
+NNCRDSTLCPAYATCTNTVDSYYCACKQGFLSSN
+>Q14246_PF07645_80 <unknown description>
+DIDECSQSPQPCGPNSSCKNLSGRYKCSCLDGFSSPT
+>Q14246_PF07645_132 <unknown description>
+DINECLTSSVCPEHSDCVNSMGSYSCSCQVGFISRNSTC
+>Q14246_PF07645_172 <unknown description>
+DVDECADPRACPEHATCNNTVGNYSCFCNPGFES
+>Q14246_PF12662_241 <unknown description>
+SYFCTCHPGFAPSNGQLNFTDQGVECRDIDE
+>Q14246_PF01825_548 <unknown description>
+PICVSWSTDVKGGRWTSFGCVILEASETYTICSCNQMANLAVI
+>Q14246_PF00002_601 <unknown description>
+SLYIISHVGIIISLVCLVLAIATFLLCRSIRNHNTYLHLHLCVCLLLAKTLFLAGIHKTD
+NKMGCAIIAGFLHYLFLACFFWMLVEAVILFLMVRNLKVVNYFSSRNIKMLHICAFGYGL
+PMLVVVISASVQPQGYGMHNRCWLNTETGFIWSFLGPVCTVIVINSLLLTWTLWILRQRL
+SSVNAEVSTLKDTRLLTFKAFAQLFILGCSWVLGIFQIGPVAGVMAYLFTIINSLQGAFI
+>Q9UHX3_PF07645_67 <unknown description>
+DINECATLSKVSCGKFSDCWNTEGSYDCVCSPGYEPVSGAKTFKNESENTC
+>Q9UHX3_PF07645_119 <unknown description>
+DVDECQQNPRLCKSYGTCVNTLGSYTCQCLPGFKLKPED
+>Q9UHX3_PF07645_163 <unknown description>
+DVNECTSGQNPCHSSTHCLNNVGSYQCRCRPGWQPIPGSPNGPNNTVC
+>Q9UHX3_PF07645_212 <unknown description>
+DVDECSSGQHQCDSSTVCFNTVGSYSCRCRPGWKPRHGIPNNQKDTVC
+>Q9UHX3_PF01825_481 <unknown description>
+LCVFWEHGQNGCGHWATTGCSTIGTRDTSTICRCTHLSSFAVL
+>Q9UHX3_PF00002_535 <unknown description>
+VLTVITYMGLSVSLLCLLLAALTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLVAIDQTG
+HKVLCSIIAGTLHYLYLATLTWMLLEALYLFLTARNLTVVNYSSINRFMKKLMFPVGYGV
+PAVTVAISAASRPHLYGTPSRCWLQPEKGFIWGFLGPVCAIFSVNLVLFLVTLWILKNRL
+SSLNSEVSTLRNTRMLAFKATAQLFILGCTWCLGILQVGPAARVMAYLFTIINSLQGVFI
+>Q9BY15_PF07645_67 <unknown description>
+DINECTPPYSVYCGFNAVCYNVEGSFYCQCVPGYRLHSGNEQFSNSNENT
+>Q9BY15_PF16489_123 <unknown description>
+SKTTEGRKELQKIVDKFESLLTNQTLWRTEGRQEISSTATTILRDVESKVLETALKDPEQ
+KVLKIQNDSVAIETQAITDNCSEERKTFNLNVQMNSMDIRCSDIIQGDTQGPSAIAFISY
+SSLGNIINATFFEEMDKKDQVYLNSQVVSAAIG
+>Q9BY15_PF01825_304 <unknown description>
+CVYWKSTGQGSQWSRDGCFLIHVNKSHTMCNCSHLSSFAVL
+>Q9BY15_PF00002_355 <unknown description>
+VLTVITYVGLSVSLLCLLLAALTFLLCKAIRNTSTSLHLQLSLCLFLAHLLFLVGIDRTE
+PKVLCSIIAGALHYLYLAAFTWMLLEGVHLFLTARNLTVVNYSSINRLMKWIMFPVGYGV
+PAVTVAISAASWPHLYGTADRCWLHLDQGFMWSFLGPVCAIFSANLVLFILVFWILKRKL
+SSLNSEVSTIQNTRMLAFKATAQLFILGCTWCLGLLQVGPAAQVMAYLFTIINSLQGFFI
+>P48960_PF07645_64 <unknown description>
+DINECATPSKVSCGKFSDCWNTEGSYDCVCSPGYEPVSGAKTFKNESENTC
+>P48960_PF07645_116 <unknown description>
+DVDECQQNPRLCKSYGTCVNTLGSYTCQCLPGFKFIPEDPKVC
+>P48960_PF07645_160 <unknown description>
+DVNECTSGQNPCHSSTHCLNNVGSYQCRCRPGWQPIPGSPNGPNNTVC
+>P48960_PF07645_209 <unknown description>
+DVDECSSGQHQCDSSTVCFNTVGSYSCRCRPGWKPRHGIPNNQKDTVC
+>P48960_PF01825_495 <unknown description>
+CAFWKSDSDRGGHWATEGCQVLGSKNGSTTCQCSHLSSFAIL
+>P48960_PF00002_546 <unknown description>
+KLTLITRVGLALSLFCLLLCILTFLLVRPIQGSRTTIHLHLCICLFVGSTIFLAGIENEG
+GQVGLRCRLVAGLLHYCFLAAFCWMSLEGLELYFLVVRVFQGQGLSTRWLCLIGYGVPLL
+IVGVSAAIYSKGYGRPRYCWLDFEQGFLWSFLGPVTFIILCNAVIFVTTVWKLTQKFSEI
+NPDMKKLKKARALTITAIAQLFLLGCTWVFGLFIFDDRSLVLTYVFTILNCLQGAF
+>Q5T601_PF01390_154 <unknown description>
+GTFKINERFTNDLLNSSSAIYSKYANGIEIQLKKAYERIQGFESVQVTQFRNGSIVAGYE
+VVGSSSASELLSAI
+>Q5T601_PF01825_532 <unknown description>
+PHCVFWDFSHLQWNDAGCHLVNETQDIVTCQCTHLTSFSIL
+>Q5T601_PF00002_584 <unknown description>
+VVKWITYVGLGISIGSLILCLIIEALFWKQIKKSQTSHTRRICMVNIALSLLIADVWFIV
+GATVDTTVNPSGVCTAAVFFTHFFYLSLFFWMLMLGILLAYRIILVFHHMAQHLMMAVGF
+CLGYGCPLIISVITIAVTQPSNTYKRKDVCWLNWSNGSKPLLAFVVPALAIVAVNFVVVL
+LVLTKLWRPTVGERLSRDDKATIIRVGKSLLILTPLLGLTWGFGIGTIVDSQNLAWHVIF
+ALLNAFQGFFI
+>Q8IZF3_PF01825_348 <unknown description>
+QCVGWHSKKRRWDEKACQMMLDIRNEVKCRCNYTSVVMSFSIL
+>Q8IZF3_PF00002_400 <unknown description>
+VLDYITCIGLSVSILSLVLCLIIEATVWSRVVVTEISYMRHVCIVNIAVSLLTANVWFII
+GSHFNIKAQDYNMCVAVTFFSHFFYLSLFFWMLFKALLIIYGILVIFRRMMKSRMMVIGF
+AIGYGCPLIIAVTTVAITEPEKGYMRPEACWLNWDNTKALLAFAIPAFVIVAVNLIVVLV
+VAVNTQRPSIGSSKSQDVVIIMRISKNVAILTPLLGLTWGFGIATLIEGTSLTFHIIFAL
+LNAFQGFFI
+>Q8IZF2_PF01390_170 <unknown description>
+VTLNMRVRLNVGFQEDLMNTSSALYRSYKTDLETAFRKGYGILPGFKGVTVTGFKSGSVV
+VTYEVKTTPPSLELIHKANEQVVQSLNQTY
+>Q8IZF2_PF13927_282 <unknown description>
+IIFEGDTVSLVCEKEVLSSNVSWRYEEQQLEIQNSSRFSIYTALFNNMTSVSKLTIHNIT
+PGDAGEYVCK
+>Q8IZF2_PF01825_953 <unknown description>
+KCVFWNFRLANNTGGWDSSGCYVEEGDGDNVTCICDHLTSFSIL
+>Q8IZF2_PF00002_1012 <unknown description>
+LDIISYVGVGFSILSLAACLVVEAVVWKSVTKNRTSYMRHTCIVNIAASLLVANTWFIVV
+AAIQDNRYILCKTACVAATFFIHFFYLSVFFWMLTLGLMLFYRLVFILHETSRSTQKAIA
+FCLGYGCPLAISVITLGATQPREVYTRKNVCWLNWEDTKALLAFAIPALIIVVVNITITI
+VVITKILRPSIGDKPCKQEKSSLFQISKSIGVLTPLLGLTWGFGLTTVFPGTNLVFHIIF
+AILNVFQGLFI
+>Q9Y653_PF18587_29 <unknown description>
+REDFRFCSQRNQTHRSSLHYKPTPDLRISIENSEEALTVHAPFPAAHPASRSFPDPRGLY
+HFCLYWNRHAGRLHLLYGKRDFLLSDKASSLLCFQHQEESLAQGPPLLATSVTSWWSPQN
+ISLPSAASFTFSFH
+>Q9Y653_PF18619_173 <unknown description>
+SVDMCELKRDLQLLSQFLKHPQKASRRPSAAPASQQLQSLESKLTSVR
+>Q9Y653_PF01825_345 <unknown description>
+QCVFWVEDPTLSSPGHWSSAGCETVRRETQTSCFCNHLTYFAVL
+>Q9Y653_PF00002_403 <unknown description>
+LSLLSYVGCVVSALACLVTIAAYLCSRRKPRDYTIKVHMNLLLAVFLLDTSFLLSEPVAL
+TGSEAGCRASAIFLHFSLLTCLSWMGLEGYNLYRLVVEVFGTYVPGYLLKLSAMGWGFPI
+FLVTLVALVDVDNYGPIILAVHRTPEGVIYPSMCWIRDSLVSYITNLGLFSLVFLFNMAM
+LATMVVQILRLRPHTQKWSHVLTLLGLSLVLGLPWALIFFSFASGTFQLVVLYLFSIITS
+FQGFLI
+>Q8IZP9_PF01825_569 <unknown description>
+RCVFWDLGRNGGRGGWSDNGCSVKDRRLNETICTCSHLTSFGVL
+>Q8IZP9_PF00002_626 <unknown description>
+MALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLVFLLDSWI
+ALYKMQGLCISVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGV
+PAVVVTIILTISPDNYGLGSYGKFPNGSPDDFCWINNNAVFYITVVGYFCVIFLLNVSMF
+IVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFA
+IFNTLQGFFI
+>Q86Y34_PF01825_214 <unknown description>
+TCVFWDVTKGTTGDWSSEGCSTEVRPEGTVCCCDHLTFFALL
+>Q86Y34_PF00002_268 <unknown description>
+LTRISQAGCGVSMIFLAFTIILYAFLRLSRERFKSEDAPKIHVALGGSLFLLNLAFLVNV
+GSGSKGSDAACWARGAVFHYFLLCAFTWMGLEAFHLYLLAVRVFNTYFGHYFLKLSLVGW
+GLPALMVIGTGSANSYGLYTIRDRENRTSLELCWFREGTTMYALYITVHGYFLITFLFGM
+VVLALVVWKIFTLSRATAVKERGKNRKKVLTLLGLSSLVGVTWGLAIFTPLGLSTVYIFA
+LFNSLQGVFI
+>Q8IZF6_PF00354_41 <unknown description>
+TYVSLIDTIPELSRFTACIDLVFMDDNSRYWMAFSYITNNALLGREDIDLGLAGDHQQLI
+LYRLGKTFSIRHHLASFQWHTICLIWDGVKGKLELFLNKERILEVTDQPHNLTPHGTLFL
+GHFLKNESSEVKSMMRSFPGSLYYFQLWDHILENEEFMKCLDGNIVSWEE
+>Q8IZF6_PF01825_2684 <unknown description>
+HCAFWDFENNNGLGGWNSSGCKVKETNVNYTICQCDHLTHFGVL
+>Q8IZF6_PF00002_2743 <unknown description>
+LALITYTGCGISSIFLGVAVVTYIAFHKLRKDYPAKILINLCTALLMLNLVFLINSWLSS
+FQKVGVCITAAVALHYFLLVSFTWMGLEAVHMYLALVKVFNIYIPNYILKFCLVGWGIPA
+IMVAITVSVKKDLYGTLSPTTPFCWIKDDSIFYISVVAYFCLIFLMNLSMFCTVLVQLNS
+VKSQIQKTRRKMILHDLKGTMSLTFLLGLTWGFAFFAWGPMRNFFLYLFAIFNTLQGFFI
+>Q8IZF4_PF01825_188 <unknown description>
+TCVFWKEGARKQPWGGWSPEGCRTEQPSHSQVLCRCNHLTYFAVL
+>Q8IZF4_PF00002_248 <unknown description>
+LTYISLVGCSISIVASLITVLLHFHFRKQSDSLTRIHMNLHASVLLLNIAFLLSPAFAMS
+PVPGSACTALAAALHYALLSCLTWMAIEGFNLYLLLGRVYNIYIRRYVFKLGVLGWGAPA
+LLVLLSLSVKSSVYGPCTIPVFDSWENGTGFQNMSICWVRSPVVHSVLVMGYGGLTSLFN
+LVVLAWALWTLRRLRERADAPSVRACHDTVTVLGLTVLLGTTWALAFFSFGVFLLPQLFL
+FTILNSLYGFF
+>Q86SQ4_PF00431_41 <unknown description>
+CRVVLSNPSGTFTSPCYPNDYPNSQACMWTLRAPTGYIIQITFNDFDIEEAPNCIYDSLS
+LDNGESQTKFCGATAKGLSFNSSANEMHVSFSSDFSIQKKGFNASY
+>Q86SQ4_PF00354_159 <unknown description>
+ILPQTSDAYQVSVAKSISIPELSAFTLCFEATKVGHEDSDWTAFSYSNASFTQLLSFGKA
+KSGYFLSISDSKCLLNNALPVKEKEDIFAESFEQLCLVWNNSLGSIGVNFKRNYETVPCD
+STISKVIPGNGKLLLGSNQNEIVSLKGDIYNFRLWNFTMNAKILSNLSCNVKGNVVDWQN
+DFW
+>Q86SQ4_PF01825_801 <unknown description>
+PICAFWDLNKNKSFGGWNTSGCVAHRDSDASETVCLCNHFTHFGVL
+>Q86SQ4_PF00002_863 <unknown description>
+VLTFISYIGCGISAIFSAATLLTYVAFEKLRRDYPSKILMNLSTALLFLNLLFLLDGWIT
+SFNVDGLCIAVAVLLHFFLLATFTWMGLEAIHMYIALVKVFNTYIRRYILKFCIIGWGLP
+ALVVSVVLASRNNNEVYGKESYGKEKGDEFCWIQDPVIFYVTCAGYFGVMFFLNIAMFIV
+VMVQICGRNGKRSNRTLREEVLRNLRSVVSLTFLLGMTWGFAFFAWGPLNIPFMYLFSIF
+NSLQGLFI
+>Q96K78_PF01825_382 <unknown description>
+ACVYWNLSAKDWDTYGCQKDKGTDGFLRCRCNHTTNFAVL
+>Q96K78_PF00002_433 <unknown description>
+SLDILSNVGCALSVTGLALTVIFQIVTRKVRKTSVTWVLVNLCISMLIFNLLFVFGIENS
+NKNLQTSDGDINNIDFDNNDIPRTDTINIPNPMCTAIAALLHYFLLVTFTWNALSAAQLY
+YLLIRTMKPLPRHFILFISLIGWGVPAIVVAITVGVIYSQNGNNPQWELDYRQEKICWLA
+IPEPNGVIKSPLLWSFIVPVTIILISNVVMFITISIKVLWKNNQNLTSTKKVSSMKKIVS
+TLSVAVVFGITWILAYLMLVNDDSIRIVFSYIFCLFNTTQGLQ
+>O00468_PF03146_31 <unknown description>
+CPERALERREEEANVVLTGTVEEILNVDPVQHTYSCKVRVWRYLKGKDLVARESLLDGGN
+KVVISGFGDPLICDNQVSTGDTRIFFVNPAPPYLWPAHKNELMLNSSLMRITLRNL
+>O00468_PF07648_199 <unknown description>
+KSPCPSVVAPVCGSDASTYSNECELQRAQCSQQRRIRLLSRGPC
+>O00468_PF07648_272 <unknown description>
+CPATCRGAPEGTVCGSDGADYPGECQLLRRACARQENVFKKFDGPC
+>O00468_PF00050_346 <unknown description>
+PESCPARQAPVCGDDGVTYENDCVMGRSGAARGLLLQKVRSGQC
+>O00468_PF07648_413 <unknown description>
+RCSCDRVTCDGAYRPVCAQDGRTYDSDCWRQQAECRQQRAIPSKHQGPC
+>O00468_PF07648_489 <unknown description>
+ECLQACSSLYDPVCGSDGVTYGSACELEATACTLGREIQVARKGPC
+>O00468_PF07648_555 <unknown description>
+CPSECVALAQPVCGSDGHTYPSECMLHVHACTHQISLHVASAGPC
+>O00468_PF07648_619 <unknown description>
+VCPRCEHPPPGPVCGSDGVTYGSACELREAACLQQTQIEEARAGPC
+>O00468_PF07648_705 <unknown description>
+CDFSCQSVPGSPVCGSDGVTYSTECELKKARCESQRGLYVAAQGAC
+>O00468_PF00053_793 <unknown description>
+CQCNPHGSYGGTCDPATGQCSCRPGVGGLRCDRCEPGFWNFRG
+>O00468_PF00053_847 <unknown description>
+CSCDPQGAVRDDCEQMTGLCSCKPGVAGPKCGQCPDGRA
+>O00468_PF07648_927 <unknown description>
+TCPEANATKVCGSDGVTYGNECQLKTIACRQGLQISIQSLGPC
+>O00468_PF01390_1134 <unknown description>
+FQGVLELEGVEGQELFYTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFRSVRLRD
+LGPGKSVRAIVDVHFDPTTAFRAPDVARALLRQ
+>O00468_PF00008_1333 <unknown description>
+CDSQPCFHGGTCQDWALGGGFTCSCPAGRGG
+>O00468_PF00054_1400 <unknown description>
+FRALEPQGLLLYNGNARGKDFLALALLDGRVQLRFDTGSGPAVLTSAVPVEPGQWHRLEL
+SRHWRRGTLSVDGETPVLGESPSGTDGLNLDTDLFVGGVPEDQAAVALERTFVGAGLRGC
+IRLLDVNNQRL
+>O00468_PF00008_1553 <unknown description>
+CLPNPCHGGAPCQNLEAGRFHCQCPPGRVGP
+>O00468_PF00054_1668 <unknown description>
+FLARGPSGLLLYNGQKTDGKGDFVSLALRDRRLEFRYDLGKGAAVIRSREPVTLGAWTRV
+SLERNGRKGALRVGDGPRVLGESPVPHTVLNLKEPLYVGGAPDFSKLARAAAVSSGFDGA
+IQLVSLGGRQL
+>O00468_PF00054_1898 <unknown description>
+RTEATQGLVLWSGKATERADYVALAIVDGHLQLSYNLGSQPVVLRSTVPVNTNRWLRVVA
+HREQREGSLQVGNEAPVTGSSPLGATQLDTDGALWLGGLPELPVGPALPKAYGTGFVGCL
+RDVVVGRHPLH
+>O94910_PF02140_48 <unknown description>
+LRCPGSDVIMVENANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAG
+SDAFPDPCPGTYKYLEVQYDC
+>O94910_PF02191_139 <unknown description>
+LQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTDTLTEYASWEDYVAARHTT
+TYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSGETVINTANYHDTSPYRWG
+GKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGTWETGYDKRSASNAFMVCG
+VLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSLTFPNPYQFISSVDYNPRDNQLYV
+WNNYFVVRYSLEF
+>O94910_PF02793_473 <unknown description>
+LFCEPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTS
+>O94910_PF16489_545 <unknown description>
+AANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAGKNYNKMHKR
+ERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAFLLADNVREP
+ARFLAAKENVVLEVTVLNTEGQVQELVFPQEEYPRKNSIQLSAKTIKQNSRNGVVKVVFI
+LYNNLGLFLSTENATVKLAGEAGPGGPGGASLVVNSQVIAASINK
+>O94910_PF01825_796 <unknown description>
+NCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNFAVL
+>O94910_PF00002_854 <unknown description>
+LLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCINLFLAELLFLVGIDKTQ
+YEIACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYYYLGGYCFPALVV
+GIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTLHKMIRSSSVLKP
+DSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYLFTTFNAFQGVFI
+>O94910_PF02354_1108 <unknown description>
+RHSYCCIRSPPGGTHGSLKTSAMRSNTRYYTGTQSRIRRMWNDTVRKQTESSFMAGDINS
+TPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSPGSYREPKHPLG
+GREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGPEPPRGRNLADAAAFEKMIISELVHNN
+LRGSSSAAKGPPPPEPPVPPVPGGGGEEEAGGPGGADRAEIELLYKALEEPLLLPRAQSV
+LYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYPDSSPEGPSEALPPP
+PPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPGLEGPGPDGDGQMQLVT
+SL
+>Q9HBW9_PF07645_58 <unknown description>
+DDNECGNLTQSCGENANCTNTEGSYYCMCVPGFRSSS
+>Q9HBW9_PF16489_139 <unknown description>
+PVALLQEVYRNSVTDLSPTDIITYIEILAESSSLLGYKNNTISAKDTLSNSTLTEFVKTV
+NNFVQRDTFVVWDKLSVNHRRTHLTKLMHTVEQATLRISQSFQKTTEFDTNSTDIALKVF
+FFDSYNMKHIHPHMNMDGDYINIFPKRKAAYDSNGNVAVAFVYYKSIGPLLSSSDNFLLK
+PQNYDNSEEEERVISSVISVSMS
+>Q9HBW9_PF01825_370 <unknown description>
+CAFWNYSPDTMNGSWSSEGCELTYSNETHTSCRCNHLTHFAIL
+>Q9HBW9_PF00002_426 <unknown description>
+ILTRITQLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAELVFLVGINTNT
+NKLFCSIIAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKNFYIFGYLSPAVVV
+GFSAALGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKP
+EVSCFENIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVSNAFQGMFI
+>O00253_PF05039_80 <unknown description>
+EPRSSRRCVRLHESCLGQQVPCCDPCATCYCRFFNAFCYCR
+>Q8WXG9_PF03160_38 <unknown description>
+QTEFVVNETSTTVIRLIIERIGEPANVTAIVSLYGEDAGDFFDTYAAAFIPAGETNRTVY
+IAVCDDDLPEPDETFIFHL
+>Q8WXG9_PF03160_134 <unknown description>
+TVTILSNDNAFGIISFNMLPSIAVSEPKGRNESMPLTLIREKGTYGMVMVTFEVEGGPNP
+PDEDLSPVKGNITFPPGRATVIYNLTVLDDEVPENDEIFLIQL
+>Q8WXG9_PF03160_255 <unknown description>
+IIKKNDSPVRFLQSIYLVPEEDHILIIPVVRGKDNNGNLIGSDEYEVSISYAVTTGNSTA
+HAQQNLDFIDLQPNTTVVFPPFIHESHLKFQIVDDTIPEIAESFHIML
+>Q8WXG9_PF03160_415 <unknown description>
+EEITVVRNGGTHGNVSANWVLTRNSTDPSPVTADIRPSSGVLHFAQGQMLATIPLTVVDD
+DLPEEAEAYLLQI
+>Q8WXG9_PF03160_579 <unknown description>
+RRNDLIFPEQKTQVTTKLPIRNDAFLQNGAHFLVQL
+>Q8WXG9_PF03160_676 <unknown description>
+PLHRDGTDGQATVYWSLKPSGFNSKAVTPDDIGPFNGSVLFLSGQSDTTINITIKGDDIP
+EMNETVTLSL
+>Q8WXG9_PF03160_766 <unknown description>
+ILENDDPGGVFEFSPASRGPYVIKEGESVELHIIRSRGSLVKQFLHYRVEPRDSNEFYGN
+TGVLEFKPGEREIVITLLARLDGIPELDEHYWVVLS
+>Q8WXG9_PF03160_877 <unknown description>
+NITILKNDDPHGIIEFVSDGLIVMINESKGDAIYSAVYDVVRNRGNFGDVSVSWVVSPDF
+TQDVFPVQGTVVFGDQEFSKNITIYSLPDEIPEEMEEFTVIL
+>Q8WXG9_PF03160_995 <unknown description>
+LRIRRNDDPIYFAEPRVVRVQEGETANFTVLRNGSVDVTCMVQYATKDGKATARERDFIP
+VEKGETLIFEVGSRQQSISIFVNEDGIPETDEPFYIIL
+>Q8WXG9_PF03160_1108 <unknown description>
+TVIIEANDDPNGIFSLEPIDKAVEEGKTNAFWILRHRGYFGSVSVSWQLFQNDSALQPGQ
+EFYETSGTVNFMDGEEAKPIILHAFPDKIPEFNEFYFLKL
+>Q8WXG9_PF13385_1346 <unknown description>
+PSRNNTIANFTFSAWVMPNANTNGFIIAKDDGNGSIYYGVKIQTNESHVTLSLHYKTLGS
+NATYIAKTTVMKYLEESVWLHLLIILEDGIIEFYLDGNAMPRGIKSLKGEAITDGPGILR
+IGAGINGNDRFTGLMQDVRSYERKLTLEEI
+>Q8WXG9_PF03160_1504 <unknown description>
+KSDLHPISGYLEFRQGETNKSFIISARDDNDEEGEELFILKL
+>Q8WXG9_PF03160_1564 <unknown description>
+TIQKSDNANGLFGFTGACIPEIAEEGSTISCVVERTRGALDYVHVFYTISQIETDGINYL
+VDDFANASGTITFLPWQRSEVLNIYVLDDDIPELNEYFRVTL
+>Q8WXG9_PF03160_1728 <unknown description>
+PHITVEEEDGEIRLLVIRAQGLLGRVTAEFRTVSLTAFSPEDYQNVAGTLEFQPGERYKY
+IFINITDNSIPELEKSFKVEL
+>Q8WXG9_PF03160_1853 <unknown description>
+TIAASDHAHGVFEFSPESLFVSGTEPEDGYSTVTLNVIRHHGTLSPVTLHWNIDSDPDGD
+LAFTSGNITFEIGQTSANITVEILPDEDPELDKAFSVS
+>Q8WXG9_PF03160_1969 <unknown description>
+VLASDDPYGIFIFSEKNRPVKVEEATQNITLSIIRLKGLMGKVLVSYATLDDMEKPPYFP
+PNLARATQGRDYIPASGFALFGANQSEATIAISILDDDEPERSESVFIEL
+>Q8WXG9_PF03160_2109 <unknown description>
+IIIANDDAFGTLQLSAPIVRVAENHVGPIINVTRTGGAFADVSVKFKAVPITAIAGEDYS
+IASSDVVLLEGETSKAVPIYVINDIYPELEESFLVQLM
+>Q8WXG9_PF03160_2228 <unknown description>
+SDDPYGLFGFQITKLIVEEPEFNSVKVNLPIIRNSGTLGNVTVQWVATINGQLATGDLRV
+VSGNVTFAPGETIQTLLLEVLADDVPEIEEVIQVQL
+>Q8WXG9_PF03160_2494 <unknown description>
+SGQAVAGSDYEPVTRQWAIMQEGDEFANLTVSILPDDFPEMDESFLISL
+>Q8WXG9_PF03160_2597 <unknown description>
+EVQEQPQTLVELMIHRTGGSLGQVAVEWRVVGGTATEGLDFIGAGEILTFAEGETKKTVI
+LTILDDSEPEDDESIIVSL
+>Q8WXG9_PF03160_2693 <unknown description>
+VNILANDNVAGIVSFQTASRSVIGHEGEILQFHVIRTFPGRGNVTVNWKIIGQNLELNFA
+NFSGQLFFPEGSLNTTLFVHLLDDNIPEEKEVYQVIL
+>Q8WXG9_PF03160_2825 <unknown description>
+VLNFALSSRFVLLQEANITIQLFINREFGSLGAINVTYTTVPGMLSLKNQTVGNLAEPEV
+DFVPIIGFLILEEGETAAAINITILEDDVPELEEYFLVNLT
+>Q8WXG9_PF03160_2949 <unknown description>
+QVIIDANDGARGVIEWQQSRFEVNETHGSLTLVAQRSREPLGHVSLFVYAQNLEAQVGLD
+YIFTPMILHFADGERYKNVNIMILDDDIPEGDEKFQLIL
+>Q8WXG9_PF03160_3094 <unknown description>
+VQESVAVLYIVREPAQGLFGTVTVQFIVTEVNSSNESKDLTPSKGYIVLEEGVRFKALQI
+SAILDTEPEMDEYFVCTL
+>Q8WXG9_PF03160_3210 <unknown description>
+TSIDIEEANRTVYLNVSRTNGIDLAVSVQWETVSETAF
+>Q8WXG9_PF03736_3395 <unknown description>
+SFVLHQKLPVRGVLTVALFNKGGSVFLAISQANARLNSLLFRWS
+>Q8WXG9_PF03160_3584 <unknown description>
+HSDFIPSSGELIFEPGEREATIAVNILDDTVPEKEESFKVQL
+>Q8WXG9_PF03160_3641 <unknown description>
+TITILSNDDAYGIVAFAQNSLYKQVEEMEQDSLVTLNVERLKGTYGRITIAWEADGSISD
+IFPTSGVILFTEGQVLSTITLTILADNIPELSEVVIVTL
+>Q8WXG9_PF03160_3792 <unknown description>
+KENTTTLQLQIARDKGLLGDIAIHLRAQPNFLLHVDNQATENEDYVLQETIIIMKENIKE
+AHAEVSILPDDLPELEEGFIVTI
+>Q8WXG9_PF03160_3935 <unknown description>
+NVLQVPVVRLAGSFGAVNVYWKASPDSAGLEDFKPSHGILEFADKQVTAMIEITIIDDAE
+FELTETFNISL
+>Q8WXG9_PF03160_4021 <unknown description>
+TVVIPQNDSPFGVFGFEEKTVMIDESLSSDDPDSYVTLTVVRSPGGKGTVRLEWTIDEKA
+KHNLSPLNGTLHFDETESQKTIVLHTLQDTVLEEDRRFTIQL
+>Q8WXG9_PF03160_4257 <unknown description>
+ITVVASDSPYGRFAFSHEQLRVSEAQRVNITIIRSSGDFGHVRLWYKTMSGTAEAGLDFV
+PAAGELLFEAGEMRKSLHVEILDDDYPEGPEEFSLTI
+>Q8WXG9_PF03160_4389 <unknown description>
+IIMKNDNAEGIIEFDPKYTAFEVEEDVGLIMIPVVRLHGTYGYVTADFISQSSSASPGGV
+DYILHGSTVTFQHGQNLSFINISIIDDNESEFEEPIEILL
+>Q8WXG9_PF03160_4694 <unknown description>
+EDFLSTSGFFTIADGESEASFDVHLLPDEVPEIEEDYVIQL
+>Q8WXG9_PF03160_5006 <unknown description>
+QFSTSSRNIIVSEDTQMIRLHVQRLFGFHSDLIKVSYQTTAGSAKPLEDFEPVQNGELFF
+QKFQTEVDFEITIINDQLSEIEEFFYINLT
+>Q8WXG9_PF03160_5288 <unknown description>
+AVEEEDFEEQTLTLIFLDGERERKVSVQILDDDEPEGQEFFYVFL
+>Q8WXG9_PF03160_5486 <unknown description>
+IKILESDESQSLVYFSVGSRLAVAHKKATLISLQVARDSGTGLMMSVNFSTQELRSAETI
+GRTIISPAISGKDFVITEGTLVFEPGQRSTVLDV
+>Q8WXG9_PF00002_5915 <unknown description>
+ICISGLCLAVLSHIFCARYSMFAAKLLTHMMAASLGTQILFLASAYASPQLAEESCSAMA
+AVTHYLYLCQFSWMLIQSVNFWYVLVMNDEHTERRYLLFFLLSWGLPAFVVILLIVILKG
+IYHQSMSQIYGLIHGDLCFIPNVYAALFTAALVPLTCLVVVFVVFIHAYQVKPQWKAYDD
+VFRGRTNAAEIPLILYLFALISVTWLWGGLHMAYRHFWMLVLFVIFNSLQGLYV
+>P21549_PF00266_37 <unknown description>
+IMAAGGLQMIGSMSKDMYQIMDEIKEGIQYVFQTRNPLTLVISGSGHCALEAALVNVLEP
+GDSFLVGANGIWGQRAVDIGERIGARVHPMTKDPGGHYTLQEVEEGLAQHKPVLLFLTHG
+ESSTGVLQPLDGFGELCHRYKCLLLVDSVASLGGTPLYMDRQGIDILYSGSQKALNAPPG
+TSLISFSDKAKKKMYSRKTKPFSFYLDIKWLANFWGCDDQPRMYHHTIPVISLYSLRESL
+ALIAEQGLENSWRQHREAAAYLHGRLQALGLQLFVKDPALRLPTVTTVAVPAGYDWRDIV
+SYVIDHFDIEIMGGLGPSTGKVLRIGLLGCNATRENVDRV
+>Q9BYV1_PF00202_87 <unknown description>
+LLHQGHMEWLFDAEGSRYLDFFSGIVTVSVGHCHPKVNAVAQKQLGRLWHTSTVFFHPPM
+HEYAEKLAALLPEPLKVIFLVNSGSEANELAMLMARAHSNNIDIISFRGAYHGCSPYTLG
+LTNVGTYKMELPGGTGCQPTMCPDVFRGPWGGSHCRDSPVQTIRKCSCAPDCCQAKDQYI
+EQFKDTLSTSVAKSIAGFFAEPIQGVNGVVQYPKGFLKEAFELVRARGGVCIADEVQTGF
+GRLGSHFWGFQTHDVLPDIVTMAKGIGNGFPMAAVITTPEIAKSLAKCLQHFNTFGGNPM
+ACAIGSAVLEVIKEENLQENSQEVGTYMLLKFAKLRDEFEIVGDVRGKGLMIGIEMVQDK
+ISCRPLPREEVNQIHEDCKHMGLLVGRGSIFSQTFRIAPSMCITKPEVDFAVEVFRSAL
+>P30556_PF00001_45 <unknown description>
+GNSLVVIVIYFYMKLKTVASVFLLNLALADLCFLLTLPLWAVYTAMEYRWPFGNYLCKIA
+SASVSFNLYASVFLLTCLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLLAGLASLPAI
+IHRNVFFIENTNITVCAFHYESQNSTLPIGLGLTKNILGFLFPFLIILTSYTLIWKALKK
+AYEIQKNKPRNDDIFKIIMAIVLFFFFSWIPHQIFTFLDVLIQLGIIRDCRIADIVDTAM
+PITICIAYFNNCLNPLFY
+>P50052_PF00001_62 <unknown description>
+NIVVVTLFCCQKGPKKVSSIYIFNLAVADLLLLATLPLWATYYSYRYDWLFGPVMCKVFG
+SFLTLNMFASIFFITCMSVDRYQSVIYPFLSQRRNPWQASYIVPLVWCMACLSSLPTFYF
+RDVRTIEYLGVNACIMAFPPEKYAQWSAGIALMKNILGFIIPLIFIATCYFGIRKHLLKT
+NSYGKNRITRDQVLKMAAAVVLAFIICWLPFHVLTFLDALAWMGVINSCEVIAVIDLALP
+FAILLGFTNSCVNPFLY
+>Q5TGY3_PF15735_584 <unknown description>
+VKKRRRRKQKLASPQPSYAADANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSE
+>Q8N157_PF00400_645 <unknown description>
+RFMRELCGHLNIIYDLSWSKDDHYILTSSSDGTARIWK
+>Q8N157_PF00400_737 <unknown description>
+VRQFDVHKSFINSLCFDTEGHHMYSGDCTGVIVVWN
+>Q8N157_PF00400_852 <unknown description>
+TPCGTFLFAGSEDGIVYVWN
+>Q8N157_PF00018_1057 <unknown description>
+VALYDYTANRSDELTIHRGDIIRVFFKDNEDWWYGSIGKGQEGYFPA
+>P35869_PF00010_37 <unknown description>
+KRHRDRLNTELDRLASLLPFPQDVINKLDKLSVLRLSVSYLR
+>P35869_PF00989_114 <unknown description>
+EGEFLLQALNGFVLVVTTDALVFYASSTIQDYLGFQQSDVIHQSVYELIHTEDRAEFQRQ
+LHWALNPSQCTESGQGIEEATGLPQTVVCYNPDQIPPENSPLMERCFICRLRC
+>P35869_PF08447_299 <unknown description>
+GCDAKGRIVLGYTEAELCTRGSGYQFIHAADMLYCAESHIRMIKTGESGMIVFRLLTKNN
+RWTWVQSNARLLYKNGRPDYIIVT
+>O95433_PF09229_29 <unknown description>
+ERDASNWSTDKLKTLFLAVQVQNEEGKCEVTEVSKLDGEASINNRKGKLIFFYEWSVKLN
+WTGTSKSGVQYKGHVEIPNLSDENSVDEVEISVSLAKDEPDTNLVALMKEEGVKLLREAM
+GIYISTLKTE
+>O95433_PF08327_217 <unknown description>
+TSPEELYRVFTTQELVQAFTHAPATLEADRGGKFHMVDGNVSGEFTDLVPEKHIVMKWRF
+KSWPEGHFATITLTFIDKNGETELCMEGRGIPAPEEERTRQGWQRYYFEGIKQTF
+>Q9NZD4_PF09236_5 <unknown description>
+KANKDLISAGLKEFSVLLNQQVFNDPLVSEEDMVTVVEDWMNFYINYYRQQVTGEPQERD
+KALQELRQELNTLANPFLAKYRDFLKS
+>Q9GZX7_PF18772_6 <unknown description>
+MNRRKFLYQFKNVRWAKGRRETYLCYVVKRRDSATSFSLDFGYLRNKNGCHVELLFLRYI
+SDWDLDPGRCYRVTWFTSWSPCYDCARHVADFLRGNPNLSLRIFTARLYFCEDRKAEPEG
+LRRLHRAGVQIAIMTFKDYFYCWNTFVENHERTFKAWEGLHENSVRLSRQLRRIL
+>Q96BJ3_PF08910_10 <unknown description>
+QRWGASFRRGADFDSWGQLVEAIDEYQILARHLQKEAQAQHNNSEFTEEQKKTIGKIATC
+LELRSAALQSTQSQEEFKLEDLKKLEPILKNILTYNKEFPFDV
+>Q96BJ3_PF14186_157 <unknown description>
+LPRLPSEPGMTLLTIRIEKIGLKDAGQCIDPYITVSVKDLNGIDLTPVQDTPVASRKEDT
+YVHFNVDIELQKHVEKLTKGAAIFFEFKHYKPKKRFTSTKCFAFMEMDEIKPGPIVIELY
+KKPTDFKRKKLQLLTKKPLYLHLH
+>O95831_PF07992_136 <unknown description>
+LIGGGTAAFAAARSIRARDPGARVLIVSEDPELPYMRPPLSKELWFSDDPNVTKTLRFKQ
+WNGKERSIYFQPPSFYVSAQDLPHIENGGVAVLTGKKVVQLDVRDNMVKLNDGSQITYEK
+CLIATGGTPRSLSAIDRAGAEVKSRTTLFRKIGDFRSLEKISREVKSITIIGGGFLGSEL
+ACALGRKARALGTEVIQLFPEKGNMGKILPEYLSNWTMEKVRREGVKVMPNAIVQSVGVS
+SGKLLIKLKDGRKVETDHIVAAVGLEPNVELAKTGGLEIDSDFGGFRVNAELQARSNIWV
+AGDAACFYDIKLGRRRVEHHDHAVV
+>O95831_PF14721_465 <unknown description>
+AGENMTGAAKPYWHQSMFWSDLGPDVGYEAIGLVDSSLPTVGVFAKATAQDNPKSATEQS
+GTGIRSESETESEASEITIPPSTPAVPQAPVQGEDYGKGVIFYLRDKVVVGIVLWNIFNR
+MPIARKIIKD
+>Q96NN9_PF00355_71 <unknown description>
+AVCHVKDLENGQMREVELGWGKVLLVKDNGEFHALGHKCPHYGAPLVKGVLSRGRVRCPW
+HGACFNISTGDLEDFPGLDSLHKF
+>Q96NN9_PF07992_196 <unknown description>
+NVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRPKLSKSLDTQPEQLALRPKE
+FFRAYGIEVLTEAQVVTVDVRTKKVVFKDGFKLEYSKLLLAPGSSPKTLSCKGKEVENVF
+TIRTPEDANRVVRLARGRNVVVVGAGFLGMEVAAYLTEKAHSVSVVELEETPFRRFLGER
+VGRALMKMFENNRVKFYMQTEVSELRGQEGKLKEVVLKSSKVVRADVCVVGIGAVPATGF
+LRQSGIGLDSRGFIPVNKMMQTNVPGVFAAGDAVTFPLAWRNNRKVNIPHWQMAHAQG
+>Q96NN9_PF14759_512 <unknown description>
+YLWTAMFGKSLRYAGYGEGFDDVIIQGDLEELKFVAFYTKGDEVIAVASMNYDPIVSKVA
+EVLASGRAIRKR
+>Q9NVV5_PF04750_13 <unknown description>
+ILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLTDLSSLLTRGSGN
+QEQERQLKKLISLRDWMLAVLAFPVGVFVVAVFWIIYAYDREMIYPKLLDNFIPGWLNHG
+MHTTVLPFILIEMRTSHHQYPSRSSGLTAICTFSVGYILWVCWVHHVTGMWVYPFLEHIG
+PGARIIFFGSTTILMNFLYLLGEVLNN
+>O14862_PF02758_8 <unknown description>
+ILLLTGLDNITDEELDRFKFFLSDEFNIATGKLHTANRIQVATLMIQNAGAVSAVMKTIR
+IFQKLNYMLLAK
+>O14862_PF02760_150 <unknown description>
+QKRCLPVMVLKAKKPFTFETQEGKQEMFHATVATEKEFFFVKVFNTLLKDKFIPKRIIII
+ARYYRHSGFLEVNSASRVLDAESDQKVNVPLNIIRKAGETPKINTLQTQPLGTIVNGLFV
+VQKVTEKKKNILFDLSDNTGKMEVLGVRNEDTMKCKEGDKVRLTFFTL
+>Q12904_PF01588_157 <unknown description>
+LRIGCIITARKHPDADSLYVEEVDVGEIAPRTVVSGLVNHVPLEQMQNRMVILLCNLKPA
+KMRGVLSQAMVMCASSPEKIEILAPPNGSVPGD
+>Q13155_PF16780_1 <unknown description>
+MPMYQVKPYHGGGAPLRVELPTCMYRLPNVHGRSYGPAPGAGHV
+>Q13155_PF18569_118 <unknown description>
+ALKDIVINANPASPPLSLLVLHRLLCEHFRVLSTVHTHSSVKSVPENLLKCFGEQNKKQP
+RQDYQLGFTLIWKNVPKTQMKFSIQTMCPIE
+>Q13155_PF14497_255 <unknown description>
+VFRSMNSALGKSPWLAGNELTVADVVLWSVLQQIGG
+>Q16352_PF04732_11 <unknown description>
+SSSSYRKVFGDGSRLSARLSGAGGAGGFRSQSLSRSNVASSAACSSASSLGLGLAYRRPP
+ASDGLDLSQAAARTNEYKIIRT
+>Q16352_PF00038_93 <unknown description>
+NEKEQLQGLNDRFAVFIEKVHQLETQNRALEAELAALRQRHAEPSRVGELFQRELRDLRA
+QLEEASSARSQALLERDGLAEEVQRLRARCEEESRGREGAERALKAQQRDVDGATLARLD
+LEKKVESLLDELAFVRQVHDEEVAELLATLQASSQAAAEVDVTVAKPDLTSALREIRAQY
+ESLAAKNLQSAEEWYKSKFANLNEQAARSTEAIRASREEIHEYRRQLQARTIEIEGLRGA
+NESLERQILELEERHSAEVAGYQDSIGQLENDLRNTKSEMARHLREYQDLLNVKMALDIE
+IAAYRKLLEGEETR
+>Q9NZN9_PF00254_29 <unknown description>
+TGSRVIFHFRTMKCDEERTVIDDSRQVGQPMHIIIGNMFKLEVWEILLTSMRVHEVAEFW
+C
+>O00170_PF00254_29 <unknown description>
+QDGTKATFHYRTLHSDDEGTVLDDSRARGKPMELIIGKKFKLPVWETIVCTMREGEIAQF
+LC
+>O43918_PF03172_4 <unknown description>
+DAALRRLLRLHRTEIAVAVDSAFPLLHALADHDVVPEDKFQETLHLKEKEGCPQAFHALL
+SWLLTQDSTAILDFWRVLFKDYNLERYGRLQPILDSFPK
+>O43918_PF01342_204 <unknown description>
+GAVEGILIQQVFESGGSKKCIQVGGEFYTPSKFEDSGSGKN
+>O43918_PF00628_299 <unknown description>
+CAVCRDGGELICCDGCPRAFHLACLSPPLREIPSGTWRCSSCLQ
+>Q9UKB5_PF15298_181 <unknown description>
+IAWGPTGDEEALESNTFPGVYGPTTVSILQTRKTTVAATTTTTTTATPMTLQTKGFTESL
+DPRRRIPGGVSTTEPSTSPSNNGEVTQPPRILGEASGLAVHQIITITVSLIMVIAALITT
+LVLKNCCAQSGNTRRNSHQRKTNQQEESCQNLTDFPSARVPSSLDIFTAYNETLQCSHEC
+VRASVPVYTDETLHSTTGEYKSTFNGNR
+>Q96IF1_PF00412_338 <unknown description>
+CIKCNKGIYGQSNACQALDSLYHTQCFVCCSCGRTLRCKAFYSVNGSVYCEEDYL
+>Q96IF1_PF00412_403 <unknown description>
+CCVCGHLILEKILQAMGKSYHPGCFRCIVCNKCLDGIPFTVDFSNQVYCVTDYHKN
+>Q96IF1_PF00412_463 <unknown description>
+CAACGQPILPSEGCEDIVRVISMDRDYHFECYHCEDCRMQLSDEEGCCCFPLDGHLLCHG
+CHMQR
+>P14550_PF00248_17 <unknown description>
+IGLGTWKSEPGQVKAAVKYALSVGYRHIDCAAIYGNEPEIGEALKEDVGPGKAVPREELF
+VTSKLWNTKHHPEDVEPALRKTLADLQLEYLDLYLMHWPYAFERGDNPFPKNADGTICYD
+STHYKETWKALEALVAKGLVQALGLSNFNSRQIDDILSVASVRPAVLQVECHPYLAQNEL
+IAHCQARGLEVTAYSPLGSSDRAWRDPDEPVLLEEPVVLALAEKYGRSPAQILLRWQVQR
+KVICIPKSITPSRILQNIKVFDFTFSPEEMKQLNALN
+>O60218_PF00248_17 <unknown description>
+GLGTWKSPLGKVKEAVKVAIDAGYRHIDCAYVYQNEHEVGEAIQEKIQEKAVKREDLFIV
+SKLWPTFFERPLVRKAFEKTLKDLKLSYLDVYLIHWPQGFKSGDDLFPKDDKGNAIGGKA
+TFLDAWEAMEELVDEGLVKALGVSNFSHFQIEKLLNKPGLKYKPVTNQVECHPYLTQEKL
+IQYCHSKGITVTAYSPLGSPDRPWAKPEDPSLLEDPKIKEIAAKHKKTAAQVLIRFHIQR
+NVIVIPKSVTPARIVENIQVFDFKLSDEEMATILS
+>C9JRZ8_PF00248_56 <unknown description>
+VKEAVKVAIDAEYRHIDCAYFYENQHEVGEAIQEKIQEKAVMREDLFIVSKVWPTFFERP
+LVRKAFEKTLKDLKLSYLDVYLIHWPQGFKTGDDFFPKDDKGNMISGKGTFLDAWEAMEE
+LVDEGLVKALGVSNFNHFQIERLLNKPGLKYKPVTNQVECHPYLTQEKLIQYCHSKGITV
+TAYSPLGSPDRPWAKPEDPSLLEDPKIKEIAAKHKKTTAQVLIRFHIQRNVTVIPKSMTP
+AHIVENIQVFDFKLSDEEMATILS
+>Q04828_PF00248_19 <unknown description>
+LGFGTYAPAEVPKSKALEATKLAIEAGFRHIDSAHLYNNEEQVGLAIRSKIADGSVKRED
+IFYTSKLWCNSHRPELVRPALERSLKNLQLDYVDLYLIHFPVSVKPGEEVIPKDENGKIL
+FDTVDLCATWEAVEKCKDAGLAKSIGVSNFNRRQLEMILNKPGLKYKPVCNQVECHPYFN
+QRKLLDFCKSKDIVLVAYSALGSHREEPWVDPNSPVLLEDPVLCALAKKHKRTPALIALR
+YQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTSEEMKAIDGLN
+>P52895_PF00248_19 <unknown description>
+LGFGTYAPAEVPKSKALEAVKLAIEAGFHHIDSAHVYNNEEQVGLAIRSKIADGSVKRED
+IFYTSKLWSNSHRPELVRPALERSLKNLQLDYVDLYLIHFPVSVKPGEEVIPKDENGKIL
+FDTVDLCATWEAMEKCKDAGLAKSIGVSNFNHRLLEMILNKPGLKYKPVCNQVECHPYFN
+QRKLLDFCKSKDIVLVAYSALGSHREEPWVDPNSPVLLEDPVLCALAKKHKRTPALIALR
+YQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTSEEMKAIDGLN
+>P42330_PF00248_19 <unknown description>
+LGFGTYAPPEVPRSKALEVTKLAIEAGFRHIDSAHLYNNEEQVGLAIRSKIADGSVKRED
+IFYTSKLWSTFHRPELVRPALENSLKKAQLDYVDLYLIHSPMSLKPGEELSPTDENGKVI
+FDIVDLCTTWEAMEKCKDAGLAKSIGVSNFNRRQLEMILNKPGLKYKPVCNQVECHPYFN
+RSKLLDFCKSKDIVLVAYSALGSQRDKRWVDPNSPVLLEDPVLCALAKKHKRTPALIALR
+YQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTAEDMKAIDGLD
+>P17516_PF00248_19 <unknown description>
+LGFGTYAPPEVPRNRAVEVTKLAIEAGFRHIDSAYLYNNEEQVGLAIRSKIADGSVKRED
+IFYTSKLWCTFFQPQMVQPALESSLKKLQLDYVDLYLLHFPMALKPGETPLPKDENGKVI
+FDTVDLSATWEVMEKCKDAGLAKSIGVSNFNCRQLEMILNKPGLKYKPVCNQVECHPYLN
+QSKLLDFCKSKDIVLVAHSALGTQRHKLWVDPNSPVLLEDPVLCALAKKHKQTPALIALR
+YQLQRGVVVLAKSYNEQRIRENIQVFEFQLTSEDMKVLDGLN
+>P51857_PF00248_21 <unknown description>
+IGLGTYSEPKSTPKGACATSVKVAIDTGYRHIDGAYIYQNEHEVGEAIREKIAEGKVRRE
+DIFYCGKLWATNHVPEMVRPTLERTLRVLQLDYVDLYIIEVPMAFKPGDEIYPRDENGKW
+LYHKSNLCATWEAMEACKDAGLVKSLGVSNFNRRQLELILNKPGLKHKPVSNQVECHPYF
+TQPKLLKFCQQHDIVITAYSPLGTSRNPIWVNVSSPPLLKDALLNSLGKRYNKTAAQIVL
+RFNIQRGVVVIPKSFNLERIKENFQIFDFSLTEEEMKDIEALN
+>O43572_PF00615_291 <unknown description>
+MKSIEQDAVNTFTKYISPDAAKPIPITEAMRNDIIARICGEDGQVDPNCFVLAQSIVFSA
+MEQEHFSEFLRSHHFCK
+>O43572_PF00615_382 <unknown description>
+DILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLAAKKGQYDGQEAQNDAMILYDK
+YFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPLRQAWTTMEKVFLPGFLSSNLY
+YKY
+>Q02952_PF03832_605 <unknown description>
+EGVTPWASFKKMVTPKKRVRRPSESDKED
+>Q02952_PF03832_754 <unknown description>
+EGVSTWESFKRLVTPRKKSKSKLEEKSED
+>Q02952_PF03832_800 <unknown description>
+KEESWVSIKKFIPGRRKKRPDGKQEQA
+>Q02952_PF10522_1540 <unknown description>
+LELETKSSKLVQNIIQTAV
+>Q86UN6_PF14469_67 <unknown description>
+WMTHGEFTVEKGLKQIDEYFSKCVSKKCWAHGVEFVERKDLIHSFLYIYYVHWSISTADL
+PVARISAGTYFTMKVSKTKPPDAPIVVSYVGDHQALVHRPGMVRFRENWQKNLTDAKYSF
+M
+>Q9P0M2_PF10469_85 <unknown description>
+PNYFLSIPITNKEIIKGIKILQNAIIQQDERLAKAMVSDGSFHITLLVMQLLNEDEVNIG
+IDALLELKPFIEELLQGKHLTLPFQGIGTFGNQVGFVKLAEGDHVNSLLEIAETANRTFQ
+EKGILVGESRSFKPHLTFMKLSKSPWLRKNGVKKIDPDLYEKFISHRFGEEILYRIDLCS
+MLKKKQSNGYYHCESSI
+>Q9P0M2_PF10470_289 <unknown description>
+GEPDDAELVRLSKRLVENAVLKAVQQYLEETQNKNKPGEGSSVKTEAADQNGNDNENN
+>P0CW23_PF10470_12 <unknown description>
+EPEEVKLQNASKQIVQNAILQAVQQVSQESQRREER
+>Q92667_PF00013_611 <unknown description>
+EIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTLPYTQSVQICHIEGSQHHVDKALNL
+I
+>Q92667_PF00567_705 <unknown description>
+PDGITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPTPVEITVI
+CAAPGADGAWWRAQVVASYEETNEVEIRYVDYGGYKRVKVDVLRQIRSDFVTLPFQGAEV
+LL
+>O75969_PF10522_122 <unknown description>
+DEVSFYANRLTNLVIAMAR
+>O75969_PF05716_166 <unknown description>
+TPTKSLSKIASELVNETVSACSRNAAPDKAPGSGDRVSGSSQSPPNLKYKSTLKIKESTK
+ERQGPDDKPPSKKSFFYKEVFESRNGDYAREGGRFFPRERKRFRGQERPDDFTASVSEGI
+MTYANSVVSDMMVSIMKTLKIQVKDTTIATILLKKVLLKHAKEVVSDLIDSFLRNLHSVT
+GTLMTDTQFVSAVKRTVFSHGSQKATDIMDAMLRKLYNVMFAKKVPEHVRKAQDKAESYS
+LISMKGMGDPKNRNVNFAMKSETKLREKMYSEPKSEEETCAKTLGEHIIKEGLTLWHKTQ
+QKECKSLGFQHAAFEAPNTQRKPASDISFEYPEDIGNLSLPPYPPEKPENFMYDSDSWAE
+DLIVSALLLIQYHLAQGGRRDARSFVEAAGTTNFPANEPPVAPDESCLKSAPIVGDQEQA
+EKKDLRSVFFNFIRNLLSETIFKRDQSPEPKVPEQPVKEDRKLCERPLASSPPRLYEDDE
+TPGALSGLTKMAVSQIDGHMSGQMVEHLMNSVMKLCVIIAKSCDASLAELGDDKSGDASR
+LTSAFPDSLYECLPAKGTGSAEAVLQNAYQAIHNEMRGTSGQPPEGCAAPTVIVSNHNLT
+DTVQNKQLQAVLQWVAASELNVPILYFAGDDEGIQEKLLQLSAAAVDKGCSVGEVLQSVL
+RYEKERQLNEAVGNVTPLQLLDWLMVNL
+>Q5JQC9_PF10522_215 <unknown description>
+DDLSFYVNRLSSLVIQMA
+>Q5JQC9_PF05716_255 <unknown description>
+PGNKERISPRTPASKIASEMAYEAVELTAAEMRGTGEESREGGQKSFLYSELSNKSKSGD
+KQMSQRESKEFADSISKGLMVYANQVASDMMVSLMKTLKVHSSGKPIPASVVLKRVLLRH
+TKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNQWKQNATDIMEAMLKRLVSAL
+IGEEKETKSQSLSYASLKAGSHDPKCRNQSLEFSTMKAEMKERDKGKMKSDPCKSLTSAE
+KVGEHILKEGLTIWNQKQGNSCKVA
+>Q5JQC9_PF05716_525 <unknown description>
+NKDEKGEKINASTDSLAKDLIVSALKLIQYHLTQQTKGKDTCEEDCPGSTMGYMAQSTQY
+EKCGGGQSAKALSVKQLESHRAPGPSTCQKENQHLDSQKMDMSNIVLMLIQKLLNENPFK
+CEDPCEGENKCSEPRASKAASMSNRSDKAEEQCQEHQELDCTSGMKQANGQFIDKLVESV
+MKLCLIMAKYSNDGAALAELEEQAASANK
+>Q5JQC9_PF05716_756 <unknown description>
+GHEVIVNNQCSTNSLQKQLQAVLQWIAASQFNVPMLYFMGDKDGQLEKLPQVSAKAAEKG
+YSVGGLLQEVMKFAKERQPDEAVGKVARKQLLDWLLANL
+>P24588_PF03832_77 <unknown description>
+GAWASLKRLVTRRKRSESSKQQKPLE
+>O43823_PF04988_415 <unknown description>
+KETLRFISTKLPDKTVEFLQEYIVNRNKKIEKRRQELMEKETAKPKPDPFKGIGQEHFFK
+KIEAAHCLACDMLIPAQPQLLQRHLHSVDHNHNRRLAAEQFKKTSLHVAKSVLNNRHIVK
+MLEKYLKGEDPF
+>Q99996_PF10495_3704 <unknown description>
+KYLRAESFRKALIYQKKYLLLLLGGFQECEDATLALLARMGGQPAFTDLEVITNRPKGFT
+RFRSAVRVSIAISRMKFLVRRW
+>Q96JD6_PF00248_13 <unknown description>
+KASPGKVTEAVKEAIDAGYRHFDCAYFYHNEREVGAGIRCKIKEGAVRREDLFIATKLWC
+TCHKKSLVETACRKSLKALKLNYLDLYLIHWPMGFKPPHPEWIMSCSELSFCLSHPRVQD
+LPLDESNMVIPSDTDFLDTWEAMEDLVITGLVKNIGVSNFNHEQLERLLNKPGLRFKPLT
+NQIECHPYLTQKNLISFCQSRDVSVTAYRPLGGSCEGVDLIDNPVIKRIAKEHGKSPAQI
+LIRFQIQRNVIVIPGSITPSHIKENIQVFDFELTQHDMDNILSL
+>Q495B1_PF12796_19 <unknown description>
+LHEAARQNNVGRMQELIGRRVNTRARNHVGRVALHWAAGAGHEQAVRLLLEHEAAVDEED
+AVGALTEARLCFGMNALLLSAWFGHLRILQILVNSGAKIHC
+>Q495B1_PF13637_159 <unknown description>
+GRTAFHRAAEHGQLDALDFLVGSGCDHNVKDKEGNTALHLAAGRGHMAVLQRL
+>Q495B1_PF00023_225 <unknown description>
+GLTALHSAAGGSHPDCVQLLLRAGSTVNALT
+>Q495B1_PF12796_262 <unknown description>
+LHYAALSGSEDVSRVLIHAGGCANVVDHQGASPLHLAVRHNFPALVRLLINSDSDVNAVD
+NRQQTPLHLAAEHAWQDIADMLLIAGVDLNLRD
+>A6NHY2_PF12796_73 <unknown description>
+QNAAKSNNLDLMEKLFEKKVNINVVNNMNRTALHFAVGRNHLSAVDFLLKHKARVDVADK
+HGLTVIHLAAWSGSLEVMLMLVKAGADQRAK
+>A6NHY2_PF12796_171 <unknown description>
+LHFATQSNHVRIVEYLIQDLHLKDLNQPDEKGRKPFLLAAERGHVEMIEKLTFLNLHTSE
+KDKGGNTALHLAAKHGHSPAVQVLLAQWQDINE
+>A6NHY2_PF12796_272 <unknown description>
+LQIATRNGHASLVNFLLSENVDLHQKVEPKESPLHLVVINNHITVVNSLLSAQHDIDILN
+QKQQTPLHVAADRGNVELVETLLKAGCDLKAV
+>Q9P2G1_PF00023_47 <unknown description>
+NTPLHYAARHGMNKILGTFLGRDGNPNKRN
+>Q9P2G1_PF00023_146 <unknown description>
+NTPLHYAAASGMKACVELLVKHGGDLFAEN
+>Q9P2G1_PF01485_402 <unknown description>
+KRYLQFDIKAFVENNPAIKWCPTPGCDRAVRLTKQGSNTSGSDTLSFPLLRAPAVDCGKG
+HLFCWECLGEAHEPCDC
+>Q9P2G1_PF01485_515 <unknown description>
+NSKPCANCKSPIQKNEGCNHMQCAKCKYDFCWICLEEWKKHS
+>Q9P2G1_PF19422_586 <unknown description>
+EKKHKRFQELDRFMHYYTRFKNHEHSYQLEQRLLKTAKEKMEQLSRALKETEGGCPDTTF
+IEDAVHVLLKTRRILKCSYPYGFFLEPKSTKKEIFELMQTDLEMVTEDLAQKVNRPYLRT
+PRHKIIKA
+>Q9NWT8_PF08213_127 <unknown description>
+CKNVLKIRRRKMNHHKYRKLVKKTRFLRRKV
+>Q7Z591_PF12443_598 <unknown description>
+FQRLKAAHAALEEEYLKACREQHPAQPLAGSKGTPGRFDPRRELEAEIYRLGSCLEELKE
+HIDQTQQEPEPPGSDSALDSTPALPCLHQPTHLPAPSGQ
+>Q5T1N1_PF12443_327 <unknown description>
+SQQIQMEPIVHIHQELLTGIESEASLSKLSPTSQKGTSSSSSYIFQKISQGKQMCQKLKE
+QTDQLKTKVQEFSKRIKQDSPYHLQDKKLVLEKLQ
+>Q12802_PF10522_1246 <unknown description>
+DLIEEAASRIVDAVIEQVK
+>Q12802_PF00621_1998 <unknown description>
+VIYELMQTEFHHVRTLKIMSGVYSQGMMADLLFEQQMVEKLFPCLDELISIHSQFFQRIL
+ERKKESLVDKSEKNFLIKRIGDVLVNQFSGENAERLKKTYGKFCGQHNQSVNYFKDLYAK
+DKRFQAFVKKKMSSSVVRRLGIPECILLVTQRITKYPVLFQRILQCTKDNEVEQEDLAQS
+LSLVKDVIGA
+>Q12802_PF17838_2217 <unknown description>
+KSGQMFAKEDLKRKKLVRDGSVFLKNAAGRLKEVQAVLLTDILVFLQEKDQKYIFASLDQ
+KSTVISLKKLIVREVAHEEKGLFLISMGMTDPEMVEVHASSKEERNSWIQIIQDTIN
+>Q9ULX6_PF04988_414 <unknown description>
+KEHFKYVGTKLPKQTADFLQEYVTNKTKKTEELRKTVEDLDGLIQQIYRDQDLTQEIAME
+HFVKKVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNK
+LISKKLERYLKGENPF
+>P31749_PF00169_7 <unknown description>
+VKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQREAPLNNFSVAQCQLMKTE
+RPRPNTFIIRCLQWTTVIERTFHVETPEEREEWTTAIQTV
+>P31749_PF00069_151 <unknown description>
+EYLKLLGKGTFGKVILVKEKATGRYYAMKILKKEVIVAKDEVAHTLTENRVLQNSRHPFL
+TALKYSFQTHDRLCFVMEYANGGELFFHLSRERVFSEDRARFYGAEIVSALDYLHSEKNV
+VYRDLKLENLMLDKDGHIKITDFGLCKEGIKDGATMKTFCGTPEYLAPEVLEDNDYGRAV
+DWWGLGVVMYEMMCGRLPFYNQDHEKLFELILMEEIRFPRTLGPEAKSLLSGLLKKDPKQ
+RLGGGSEDAKEIMQHRFF
+>P31749_PF00433_429 <unknown description>
+VTSETDTRYFDEEFTAQMITITPPDQDDSMECVDSERRPHFPQFSY
+>P31751_PF00169_6 <unknown description>
+VIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAECQLMKT
+ERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMV
+>P31751_PF00069_154 <unknown description>
+YLKLLGKGTFGKVILVREKATGRYYAMKILRKEVIIAKDEVAHTVTESRVLQNTRHPFLT
+ALKYAFQTHDRLCFVMEYANGGELFFHLSRERVFTEERARFYGAEIVSALEYLHSRDVVY
+RDIKLENLMLDKDGHIKITDFGLCKEGISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDW
+WGLGVVMYEMMCGRLPFYNQDHERLFELILMEEIRFPRTLSPEAKSLLAGLLKKDPKQRL
+GGGPSDAKEVMEHRFF
+>P31751_PF00433_430 <unknown description>
+VTSEVDTRYFDDEFTAQSITITPPDRYDSLGLLELDQRTHFPQFSY
+>Q9Y243_PF00169_7 <unknown description>
+VKEGWVQKRGEYIKNWRPRYFLLKTDGSFIGYKEKPQDVDLPYPLNNFSVAKCQLMKTER
+PKPNTFIIRCLQWTTVIERTFHVDTPEEREEWTEAIQAV
+>Q9Y243_PF00069_150 <unknown description>
+YLKLLGKGTFGKVILVREKASGKYYAMKILKKEVIIAKDEVAHTLTESRVLKNTRHPFLT
+SLKYSFQTKDRLCFVMEYVNGGELFFHLSRERVFSEDRTRFYGAEIVSALDYLHSGKIVY
+RDLKLENLMLDKDGHIKITDFGLCKEGITDAATMKTFCGTPEYLAPEVLEDNDYGRAVDW
+WGLGVVMYEMMCGRLPFYNQDHEKLFELILMEDIKFPRTLSSDAKSLLSGLLIKDPNKRL
+GGGPDDAKEIMRHSFF
+>Q9Y243_PF00433_426 <unknown description>
+VTSETDTRYFDEEFTAQTITITPPEKYDEDGMDCMDNERRPHFPQFSY
+>Q9H8T0_PF00179_79 <unknown description>
+LLAEFTLVVKQKLPGVYVQPSYRSALMWFGVIFIRHGLYQDGVFKFTVYIPDNYPDGDCP
+RLVFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMYARRVFYKIDTASPLNPEA
+AVLYEKDIQLFKSKVVD
+>Q96B36_PF15798_127 <unknown description>
+GLFVMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPPTCSVPPASALPTQQYAKSLPV
+SVPVWGFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLREAEDTQVFGDLPRPRLNT
+SDFQK
+>Q8N8R7_PF14949_147 <unknown description>
+KALRSLQFTNPGRQTEFAPETGKREKRRLTKNATAGSDRQVIPAKSKVYDSQGLLIFSGM
+DLCDCLDEDCLGCFYACPACGSTKCGAECRCDRKWLYEQIEI
+>P00352_PF00171_29 <unknown description>
+WHDSVSGKKFPVFNPATEEELCQVEEGDKEDVDKAVKAARQAFQIGSPWRTMDASERGRL
+LYKLADLIERDRLLLATMESMNGGKLYSNAYLNDLAGCIKTLRYCAGWADKIQGRTIPID
+GNFFTYTRHEPIGVCGQIIPWNFPLVMLIWKIGPALSCGNTVVVKPAEQTPLTALHVASL
+IKEAGFPPGVVNIVPGYGPTAGAAISSHMDIDKVAFTGSTEVGKLIKEAAGKSNLKRVTL
+ELGGKSPCIVLADADLDNAVEFAHHGVFYHQGQCCIAASRIFVEESIYDEFVRRSVERAK
+KYILGNPLTPGVTQGPQIDKEQYDKILDLIESGKKEGAKLECGGGPWGNKGYFVQPTVFS
+NVTDEMRIAKEEIFGPVQQIMKFKSLDDVIKRANNTFYGLSAGVFTKDIDKAITISSALQ
+AGTVWVNCYGVVSAQCPFGGFKMSGNGRELGEYGFHEYTEVKTV
+>O94788_PF00171_46 <unknown description>
+WQNSESGRVFPVYNPATGEQVCEVQEADKADIDKAVQAARLAFSLGSVWRRMDASERGRL
+LDKLADLVERDRAVLATMESLNGGKPFLQAFYVDLQGVIKTFRYYAGWADKIHGMTIPVD
+GDYFTFTRHEPIGVCGQIIPWNFPLLMFAWKIAPALCCGNTVVIKPAEQTPLSALYMGAL
+IKEAGFPPGVINILPGYGPTAGAAIASHIGIDKIAFTGSTEVGKLIQEAAGRSNLKRVTL
+ELGGKSPNIIFADADLDYAVEQAHQGVFFNQGQCCTAGSRIFVEESIYEEFVRRSVERAK
+RRVVGSPFDPTTEQGPQIDKKQYNKILELIQSGVAEGAKLECGGKGLGRKGFFIEPTVFS
+NVTDDMRIAKEEIFGPVQEILRFKTMDEVIERANNSDFGLVAAVFTNDINKALTVSSAMQ
+AGTVWINCYNALNAQSPFGGFKMSGNGREMGEFGLREYSEVKTV
+>P47895_PF00171_40 <unknown description>
+WHESKSGKKFATCNPSTREQICEVEEGDKPDVDKAVEAAQVAFQRGSPWRRLDALSRGRL
+LHQLADLVERDRATLAALETMDTGKPFLHAFFIDLEGCIRTLRYFAGWADKIQGKTIPTD
+DNVVCFTRHEPIGVCGAITPWNFPLLMLVWKLAPALCCGNTMVLKPAEQTPLTALYLGSL
+IKEAGFPPGVVNIVPGFGPTVGAAISSHPQINKIAFTGSTEVGKLVKEAASRSNLKRVTL
+ELGGKNPCIVCADADLDLAVECAHQGVFFNQGQCCTAASRVFVEEQVYSEFVRRSVEYAK
+KRPVGDPFDVKTEQGPQIDQKQFDKILELIESGKKEGAKLECGGSAMEDKGLFIKPTVFS
+EVTDNMRIAKEEIFGPVQPILKFKSIEEVIKRANSTDYGLTAAVFTKNLDKALKLASALE
+SGTVWINCYNALYAQAPFGGFKMSGNGRELGEYALAEYTEVKTV
+>P30837_PF00171_45 <unknown description>
+WQDAVSKKTFPTVNPTTGEVIGHVAEGDRADVDRAVKAAREAFRLGSPWRRMDASERGRL
+LNRLADLVERDRVYLASLETLDNGKPFQESYALDLDEVIKVYRYFAGWADKWHGKTIPMD
+GQHFCFTRHEPVGVCGQIIPWNFPLVMQGWKLAPALATGNTVVMKVAEQTPLSALYLASL
+IKEAGFPPGVVNIITGYGPTAGAAIAQHVDVDKVAFTGSTEVGHLIQKAAGDSNLKRVTL
+ELGGKSPSIVLADADMEHAVEQCHEALFFNMGQCCCAGSRTFVEESIYNEFLERTVEKAK
+QRKVGNPFELDTQQGPQVDKEQFERVLGYIQLGQKEGAKLLCGGERFGERGFFIKPTVFG
+GVQDDMRIAKEEIFGPVQPLFKFKKIEEVVERANNTRYGLAAAVFTRDLDKAMYFTQALQ
+AGTVWVNTYNIVTCHTPFGGFKESGNGRELGEDGLKAYTEVKTV
+>O75891_PF00551_1 <unknown description>
+MKIAVIGQSLFGQEVYCHLRKEGHEVVGVFTVPDKDGKADPLGLEAEKDGVPVFKYSRWR
+AKGQALPDVVAKYQALGAELNVLPFCSQFIPMEIISAPRHGSIIYHPSLLPRHRGASAIN
+WTLIHGDKKGGFSIFWADDGLDTGDLLLQKECEVLPDDTVSTLYNRFLFPEGIKGMVQAV
+>O75891_PF02911_205 <unknown description>
+KKETAKINWDQPAEAIHNWIRGNDKVPGAWTEACEQKLTFFNSTLNTSGLVPEGDALPIP
+GAHRPGVVTKAGLILFGNDDKMLLVKNIQLEDGKMILASNFFKGA
+>O75891_PF00550_326 <unknown description>
+AVRSVWQRILPKVLEVEDSTDFFKSGAASVDVVRLVEEVKELCDGLELENEDVYMASTFG
+DFIQLL
+>O75891_PF00171_430 <unknown description>
+FVDAEGAKTSETINPTDGSVICQVSLAQVTDVDKAVAAAKDAFENGRWGKISARDRGRLM
+YRLADLMEQHQEELATIEALDAGAVYTLALKTHVGMSIQTFRYFAGWCDKIQGSTIPINQ
+ARPNRNLTLTRKEPVGVCGIIIPWNYPLMMLSWKTAACLAAGNTVVIKPAQVTPLTALKF
+AELTLKAGIPKGVVNVLPGSGSLVGQRLSDHPDVRKIGFTGSTEVGKHIMKSCAISNVKK
+VSLELGGKSPLIIFADCDLNKAVQMGMSSVFFNKGENCIAAGRLFVEDSIHDEFVRRVVE
+EVRKMKVGNPLDRDTDHGPQNHHAHLVKLMEYCQHGVKEGATLVCGGNQVPRPGFFFEPT
+VFTDVEDHMFIAKEESFGPVMIISRFADGDLDAVLSRANATEFGLASGVFTRDINKALYV
+SDKLQAGTVFVNTYNKTDVAAPFGGFKQSGFGKDLGEAALNEYLRVKTV
+>Q3SY69_PF00551_24 <unknown description>
+KLALIGQSLFGQEVYSHLRKEGHRVVGVFTVPDKDGKADPLALAAEKDGTPVFKLPKWRV
+KGKTIKEVAEAYRSVGAELNVLPFCTQFIPMDIIDSPKHGSIIYHPSILPRHRGASAINW
+TLIMGDKKAGFSVFWADDGLDTGPILLQRSCDVEPNDTVDALYNRFLFPEGIKAMVEAV
+>Q3SY69_PF02911_227 <unknown description>
+KKENAEISWDQSAEVLHNWIRGHDKVPGAWTEINGQMVTFYGSTLLNSSVPPGEPLEIKG
+AKKPGLVTKNGLVLFGNDGKALTVRNLQFEDGKMIPASQYFS
+>Q3SY69_PF00550_347 <unknown description>
+TIKVIWAGILSNVPIIEDSTDFFKSGASSMDVARLVEEIRQKCGGLQLQNEDVY
+>Q3SY69_PF00171_452 <unknown description>
+TDADDGKTYDTINPTDGSTICKVSYASLADVDKAVAAAKDAFENGEWGRMNARERGRLMY
+RLADLLEENQEELATIEALDSGAVYTLALKTHIGMSVQTFRYFAGWCDKIQGSTIPINQA
+RPNRNLTFTKKEPLGVCAIIIPWNYPLMMLAWKSAACLAAGNTLVLKPAQVTPLTALKFA
+ELSVKAGFPKGVINIIPGSGGIAGQRLSEHPDIRKLGFTGSTPIGKQIMKSCAVSNLKKV
+SLELGGKSPLIIFNDCELDKAVRMGMGAVFFNKGENCIAAGRLFVEESIHDEFVTRVVEE
+IKKMKIGDPLDRSTDHGPQNHKAHLEKLLQYCETGVKEGATLVYGGRQVQRPGFFMEPTV
+FTDVEDYMYLAKEESFGPIMVISKFQNGDIDGVLQRANSTEYGLASGVFTRDINKAMYVS
+EKLEAGTVFINTYNKTDVAAPFGGVKQSGFGKDLGEEALNEYLKTKTV
+>Q60I27_PF02493_358 <unknown description>
+YEGEWCRGRPHGKGTLKWPDG
+>Q60I27_PF02493_412 <unknown description>
+HWREGSMCGYGICEYS
+>Q60I27_PF02493_432 <unknown description>
+YKGYFQEGLRHGFGVLE
+>Q60I27_PF02493_459 <unknown description>
+YTGHWERGQRSGYGIEEDG
+>Q60I27_PF02493_483 <unknown description>
+YIGMWQAGQRHGPGVMV
+>Q60I27_PF02493_506 <unknown description>
+YQGTFQADKTVGPGILLSED
+>Q60I27_PF02493_529 <unknown description>
+YEGTFTRDLTLMGKGKVTFPNG
+>Q60I27_PF02204_836 <unknown description>
+SATECLQKIMTTVDPREKLEVLERTYGEIEGTVSRVLGREYKLPMDDLLPLLIYVVSRAR
+IQHLGAEIHLIRDMMDPNHTGGLYDFLLTALESCYEHIQKE
+>P30838_PF00171_3 <unknown description>
+KISEAVKRARAAFSSGRTRPLQFRIQQLEALQRLIQEQEQELVGALAADLHKNEWNAYYE
+EVVYVLEEIEYMIQKLPEWAADEPVEKTPQTQQDELYIHSEPLGVVLVIGTWNYPFNLTI
+QPMVGAIAAGNSVVLKPSELSENMASLLATIIPQYLDKDLYPVINGGVPETTELLKERFD
+HILYTGSTGVGKIIMTAAAKHLTPVTLELGGKSPCYVDKNCDLDVACRRIAWGKFMNSGQ
+TCVAPDYILCDPSIQNQIVEKLKKSLKEFYGEDAKKSRDYGRIISARHFQRVMGLIEGQK
+VAYGGTGDAATRYIAPTILTDVDPQSPVMQEEIFGPVLPIVCVRSLEEAIQFINQREKPL
+ALYMFSSNDKVIKKMIAETSSGGVAANDVIVHITLHSLPFGGVGNSGMGSYHGKKSFETF
+SHRR
+>P51648_PF00171_7 <unknown description>
+RVRQAFLSGRSRPLRFRLQQLEALRRMVQEREKDILTAIAADLCKSEFNVYSQEVITVLG
+EIDFMLENLPEWVTAKPVKKNVLTMLDEAYIQPQPLGVVLIIGAWNYPFVLTIQPLIGAI
+AAGNAVIIKPSELSENTAKILAKLLPQYLDQDLYIVINGGVEETTELLKQRFDHIFYTGN
+TAVGKIVMEAAAKHLTPVTLELGGKSPCYIDKDCDLDIVCRRITWGKYMNCGQTCIAPDY
+ILCEASLQNQIVWKIKETVKEFYGENIKESPDYERIINLRHFKRILSLLEGQKIAFGGET
+DEATRYIAPTVLTDVDPKTKVMQEEIFGPILPIVPVKNVDEAINFINEREKPLALYVFSH
+NHKLIKRMIDETSSGGVTGNDVIMHFTLNSFPFGGVGSSGMGAYHGKHSFDTFSH
+>P43353_PF00171_12 <unknown description>
+REAFHAGRTRPAEFRAAQLQGLGRFLQENKQLLHDALAQDLHKSAFESEVSEVAISQGEV
+TLALRNLRAWMKDERVPKNLATQLDSAFIRKEPFGLVLIIAPWNYPLNLTLVPLVGALAA
+GNCVVLKPSEISKNVEKILAEVLPQYVDQSCFAVVLGGPQETGQLLEHRFDYIFFTGSPR
+VGKIVMTAAAKHLTPVTLELGGKNPCYVDDNCDPQTVANRVAWFRYFNAGQTCVAPDYVL
+CSPEMQERLLPALQSTITRFYGDDPQSSPNLGRIINQKQFQRLRALLGCGRVAIGGQSDE
+SDRYIAPTVLVDVQEMEPVMQEEIFGPILPIVNVQSLDEAIEFINRREKPLALYAFSNSS
+QVVKRVLTQTSSGGFCGNDGFMHMTLASLPFGGVGASGMGRYHGKFSFDTFSHH
+>P48448_PF00171_17 <unknown description>
+VFIWKEPFGLVLIIAPWNYPLNLTLVLLVGALAAGSCVVLKPSEISQGTEKVLAEVLPQY
+LDQSCFAVVLGGPQETGQLLEHKLDYIFFTGSPRVGKIVMTAATKHLTPVTLELGGKNPC
+YVDDNCDPQTVANRVAWFCYFNAGQTCVAPDYVLCSPEMQERLLPALQSTITRFYGDDPQ
+SSPNLGHIINQKQFQRLRALLGCSRVAIGGQSNESDRYIAPTVLVDVQETEPVMQEEIFG
+PILPIVNVQSVDEAIKFINRQEKPLALYAFSNSSQVVNQMLERTSSGSFGGNEGFTYISL
+LSVPFGGVGHSGMGRYHGKFTFDTFSHHR
+>P30038_PF00171_81 <unknown description>
+QVSPFNHGHKVAKFCYADKSLLNKAIEAALAARKEWDLKPIADRAQIFLKAADMLSGPRR
+AEILAKTMVGQGKTVIQAEIDAAAELIDFFRFNAKYAVELEGQQPISVPPSTNSTVYRGL
+EGFVAAISPFNFTAIGGNLAGAPALMGNVVLWKPSDTAMLASYAVYRILREAGLPPNIIQ
+FVPADGPLFGDTVTSSEHLCGINFTGSVPTFKHLWKQVAQNLDRFHTFPRLAGECGGKNF
+HFVHRSADVESVVSGTLRSAFEYGGQKCSACSRLYVPHSLWPQIKGRLLEEHSRIKVGDP
+AEDFGTFFSAVIDAKSFARIKKWLEHARSSPSLTILAGGKCDDSVGYFVEPCIVESKDPQ
+EPIMKEEIFGPVLSVYVYPDDKYKETLQLVDSTTSYGLTGAVFSQDKDVVQEATKVLRNA
+AGNFYINDKSTGSIVGQQPFGGARASGTNDKPGGPHYILRWTSPQ
+>P20292_PF01124_12 <unknown description>
+LAIVTLISVVQNGFFAHKVEHESRTQNGRSFQRTGTLAFERVYTANQNCVDAYPTFLAVL
+WSAGLLCSQVPAAFAGLMYLFVRQKYFVGYLGERTQSTPGYIFGKRIILFLFLMS
+>P49419_PF00171_64 <unknown description>
+EVITTYCPANNEPIARVRQASVADYEETVKKAREAWKIWADIPAPKRGEIVRQIGDALRE
+KIQVLGSLVSLEMGKILVEGVGEVQEYVDICDYAVGLSRMIGGPILPSERSGHALIEQWN
+PVGLVGIITAFNFPVAVYGWNNAIAMICGNVCLWKGAPTTSLISVAVTKIIAKVLEDNKL
+PGAICSLTCGGADIGTAMAKDERVNLLSFTGSTQVGKQVGLMVQERFGRSLLELGGNNAI
+IAFEDADLSLVVPSALFAAVGTAGQRCTTARRLFIHESIHDEVVNRLKKAYAQIRVGNPW
+DPNVLYGPLHTKQAVSMFLGAVEEAKKEGGTVVYGGKVMDRPGNYVEPTIVTGLGHDASI
+AHTETFAPILYVFKFKNEEEVFAWNNEVKQGLSSSIFTKDLGRIFRWLGPKGSDCGIVNV
+NIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRR
+>Q9H2A2_PF00171_23 <unknown description>
+SYIDSYDPSTGEVYCRVPNSGKDEIEAAVKAAREAFPSWSSRSPQERSRVLNQVADLLEQ
+SLEEFAQAESKDQGKTLALARTMDIPRSVQNFRFFASSSLHHTSECTQMDHLGCMHYTVR
+APVGVAGLISPWNLPLYLLTWKIAPAMAAGNTVIAKPSELTSVTAWMLCKLLDKAGVPPG
+VVNIVFGTGPRVGEALVSHPEVPLISFTGSQPTAERITQLSAPHCKKLSLELGGKNPAII
+FEDANLDECIPATVRSSFANQGEICLCTSRIFVQKSIYSEFLKRFVEATRKWKVGIPSDP
+LVSIGALISKAHLEKVRSYVKRALAEGAQIWCGEGVDKLSLPARNQAGYFMLPTVITDIK
+DESCCMTEEIFGPVTCVVPFDSEEEVIERANNVKYGLAATVWSSNVGRVHRVAKKLQSGL
+VWTNCWLIRELNLPFGGMKSSGIGREGAKDSYDFFTEIKTI
+>P49189_PF00171_51 <unknown description>
+GTEKAFEPATGRVIATFTCSGEKEVNLAVQNAKAAFKIWSQKSGMERCRILLEAARIIRE
+REDEIATMECINNGKSIFEARLDIDISWQCLEYYAGLAASMAGEHIQLPGGSFGYTRREP
+LGVCVGIGAWNYPFQIASWKSAPALACGNAMVFKPSPFTPVSALLLAEIYSEAGVPPGLF
+NVVQGGAATGQFLCQHPDVAKVSFTGSVPTGMKIMEMSAKGIKPVTLELGGKSPLIIFSD
+CDMNNAVKGALMANFLTQGQVCCNGTRVFVQKEILDKFTEEVVKQTQRIKIGDPLLEDTR
+MGPLINRPHLERVLGFVKVAKEQGAKVLCGGDIYVPEDPKLKDGYYMRPCVLTNCRDDMT
+CVKEEIFGPVMSILSFDTEAEVLERANDTTFGLAAGVFTRDIQRAHRVVAELQAGTCFIN
+NYNVSPVELPFGGYKKSGFGRENGRVTIEYYSQLKTV
+>P24298_PF00155_86 <unknown description>
+LALCVNPDLLSSPNFPDDAKKRAERILQACGGHSLGAYSVSSGIQLIREDVARYIERRDG
+GIPADPNNVFLSTGASDAIVTVLKLLVAGEGHTRTGVLIPIPQYPLYSATLAELGAVQVD
+YYLDEERAWALDVAELHRALGQARDHCRPRALCVINPGNPTGQVQTRECIEAVIRFAFEE
+RLFLLADEVYQDNVYAAGSQFHSFKKVLMEMGPPYAGQQELASFHSTSKGYMGECGFRGG
+YVEVVNMDAAVQQQMLKLMSVRLCPPVPGQALLDLVVSPPAPTDPSFAQFQAEKQAVLAE
+LAAKAKLTEQVFNEAPGISCNPVQGAMYSFPRVQLPPRAVERAQELGLAPDMFFCLRLLE
+ETGICVVPGSGFGQREGTYHFRMTILPPLEKLRLLLEK
+>Q8TD30_PF00155_111 <unknown description>
+QVMALCTYPNLLDSPSFPEDAKKRARRILQACGGNSLGSYSASQGVNCIREDVAAYITRR
+DGGVPADPDNIYLTTGASDGISTILKILVSGGGKSRTGVMIPIPQYPLYSAVISELDAIQ
+VNYYLDEENCWALNVNELRRAVQEAKDHCDPKVLCIINPGNPTGQVQSRKCIEDVIHFAW
+EEKLFLLADEVYQDNVYSPDCRFHSFKKVLYEMGPEYSSNVELASFHSTSKGYMGECGYR
+GGYMEVINLHPEIKGQLVKLLSVRLCPPVSGQAAMDIVVNPPVAGEESFEQFSREKESVL
+GNLAKKAKLTEDLFNQVPGIHCNPLQGAMYAFPRIFIPAKAVEAAQAHQMAPDMFYCMKL
+LEETGICVVPGSGFGQREGTYHFRMTILPPVEKLKTVLQK
+>P02768_PF00273_31 <unknown description>
+VAHRFKDLGEENFKALVLIAFAQYLQQCPFEDHVKLVNEVTEFAKTCVADESAENCDKSL
+HTLFGDKLCTVATLRETYGEMADCCAKQEPERNECFLQHKDDNPNLPRLVRPEVDVMCTA
+FHDNEETFLKKYLYEIARRHPYFYAPELLFFAKRYKAAFTECCQAADKAACL
+>P02768_PF00273_223 <unknown description>
+KCASLQKFGERAFKAWAVARLSQRFPKAEFAEVSKLVTDLTKVHTECCHGDLLECADDRA
+DLAKYICENQDSISSKLKECCEKPLLEKSHCIAEVENDEMPADLPSLAADFVESKDVCKN
+YAEAKDVFLGMFLYEYARRHPDYSVVLLLRLAKTYETTLEKCCAAADPHECY
+>P02768_PF00273_414 <unknown description>
+QNCELFEQLGEYKFQNALLVRYTKKVPQVSTPTLVEVSRNLGKVGSKCCKHPEAKRMPCA
+EDYLSVVLNQLCVLHEKTPVSDRVTKCCTESLVNRRPCFSALEVDETYVPKEFNAETFTF
+HADICTLSEKERQIKKQTALVELVKHKPKATKEQLKAVMDDFAAFVEKCCKADDKETCF
+>P05091_PF00171_45 <unknown description>
+WHDAVSRKTFPTVNPSTGEVICQVAEGDKEDVDKAVKAARAAFQLGSPWRRMDASHRGRL
+LNRLADLIERDRTYLAALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGKTIPID
+GDFFSYTRHEPVGVCGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTALYVANL
+IKEAGFPPGVVNIVPGFGPTAGAAIASHEDVDKVAFTGSTEIGRVIQVAAGSSNLKRVTL
+ELGGKSPNIIMSDADMDWAVEQAHFALFFNQGQCCCAGSRTFVQEDIYDEFVERSVARAK
+SRVVGNPFDSKTEQGPQVDETQFKKILGYINTGKQEGAKLLCGGGIAADRGYFIQPTVFG
+DVQDGMTIAKEEIFGPVMQILKFKTIEEVVGRANNSTYGLAAAVFTKDLDKANYLSQALQ
+AGTVWVNCYDVFGAQSPFGGYKMSGSGRELGEYGLQAYTEVKTV
+>P04075_PF00274_69 <unknown description>
+ELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTADDRVNPCI
+GGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQGLDGLSER
+CAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQNGIVPIVEPEILPD
+GDHDLKRCQYVTEKVLAAVYKALSDHHIYLEGTLLKPNMVTPGHACTQKFSHEEIAMATV
+TALRRTVPPAVTGITFLSGGQSEEEASINLNAINKCPLLKPWALTFSYGRALQASALKAW
+GGKKENLKAAQEEYVKRALANSLACQGKYTPSGQAGAAASESLFVSNHAY
+>P05062_PF00274_15 <unknown description>
+ELSEIAQSIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFREILFSVDSSINQSI
+GGVILFHETLYQKDSQGKLFRNILKEKGIVVGIKLDQGGAPLAGTNKETTIQGLDGLSER
+CAQYKKDGVDFGKWRAVLRIADQCPSSLAIQENANALARYASICQQNGLVPIVEPEVIPD
+GDHDLEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHACTKKYTPEQVAMATV
+TALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSFSYGRALQASALAAW
+GGKAANKEATQEAFMKRAMANCQAAKGQYVHTGSSGAASTQSLFTACYTY
+>P09972_PF00274_15 <unknown description>
+ELSDIALRIVAPGKGILAADESVGSMAKRLSQIGVENTEENRRLYRQVLFSADDRVKKCI
+GGVIFFHETLYQKDDNGVPFVRTIQDKGIVVGIKVDKGVVPLAGTDGETTTQGLDGLSER
+CAQYKKDGADFAKWRCVLKISERTPSALAILENANVLARYASICQQNGIVPIVEPEILPD
+GDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHACPIKYTPEEIAMATV
+TALRRTVPPAVPGVTFLSGGQSEEEASFNLNAINRCPLPRPWALTFSYGRALQASALNAW
+RGQRDNAGAATEEFIKRAEVNGLAAQGKYEGSGEDGGAAAQSLYIANHAY
+>P15121_PF00248_16 <unknown description>
+LGLGTWKSPPGQVTEAVKVAIDVGYRHIDCAHVYQNENEVGVAIQEKLREQVVKREELFI
+VSKLWCTYHEKGLVKGACQKTLSDLKLDYLDLYLIHWPTGFKPGKEFFPLDESGNVVPSD
+TNILDTWAAMEELVDEGLVKAIGISNFNHLQVEMILNKPGLKYKPAVNQIECHPYLTQEK
+LIQYCQSKGIVVTAYSPLGSPDRPWAKPEDPSLLEDPRIKAIAAKHNKTTAQVLIRFPMQ
+RNLVVIPKSVTPERIAENFKVFDFELSSQDMTTL
+>Q2TAA5_PF15924_63 <unknown description>
+MVIAFFHPYCNAGGGGERVLWCALRALQKKYPEAVYVVYTGDVNVNGQQILEGAFRRFNI
+RLIHPVQFVFLRKRYLVEDSLYPHFTLLGQSLGSIFLGWEALMQCVPDVYIDSMGYAFTL
+PLFKYIGGCQVGSYVHYPTISTDMLSVVKNQNIGFNNAAFITRNPFLSKVKLIYYYLFAF
+IYGLVGSCSDVVMVNSSWTLNHILSLW
+>Q2TAA5_PF00534_302 <unknown description>
+LLVSVGQFRPEKNHPLQIRAFAKLLNKKMVESPPSLKLVLIGGCRNKDDELRVNQLRRLS
+EDLGVQEYVEFKINIPFDELKNYLSEATIGLHTMWNEHFGIGVVECMAAGTIILAHNSGG
+PKLDIVVPHEGDITGFLAESEEDYAETIAHILSMSAEKRLQIRKSAR
+>Q9BV10_PF03901_19 <unknown description>
+AVATVHLVICPYTKVEESFNLQATHDLLYHWQDLEQYDHLEFPGVVPRTFLGPVVIAVFS
+SPAVYVLSLLEMSKFYSQLIVRGVLGLGVIFGLWTLQKEVRRHFGAMVATMFCWVTAMQF
+HLMFYCTRTLPNVLALPVVLLALAAWLRHEWARFIWLSAFAIIVFRVELCLFLGLLLLLA
+LGNRKVSVVRALRHAVPAGILCLGLTVAVDSYFWRQLTWPEGKVLWYNTVLNKSSNWGTS
+PLLWYFYSALPRGLGCSLLFIPLGLVDRRTHAPTVLALGFMALYSLLPHKELRFIIYAFP
+MLNITAARGCSYLLNNYKKSWLYKAGSLLVIGHLVVNAAYSATALYVSHFNYPGGVAMQR
+LHQ
+>Q9NP73_PF04101_4 <unknown description>
+VFVTVGTTSFDDLIACVSAPDSLQKIESLGYNRLILQIGRGTVVPEPFSTESFTLDVYRY
+KDSLKEDIQKADLVISHAGAGSCLETLEKGKPLVVVINEKLMNNHQLELAKQLHKEGHLF
+>Q9NP73_PF02338_239 <unknown description>
+DASCLFRAISEQLFCSQVHHLEIRKACVSYMRENQQTFESYVEGSFEKYLERLGDPKESA
+GQLEIRALSLIYNRDFILYRFPGKPPTYVTDNGYEDKILLCYSSSGH
+>Q96F25_PF08660_39 <unknown description>
+ILVVAGSGGHTTEILRLLGSLSNAYSPRHYVIADTDEMSANKINSFELDRADRDPSNMYT
+KYYIHRIPRSREVQQSWPSTVFTTLHSMWLSFPLIHRVKPDLVLCNGPGTCVPICVSALL
+LGILGIKKVIIVYVESICRVETLSMSGKILFHLSDYFIVQWPALKEKYPKSVYLGRI
+>Q9BT22_PF00534_273 <unknown description>
+LRERPALLVSSTSWTEDEDFSILLAALEKFEQLTLDGHNLPSLVCVITGKGPLREYYSRL
+IHQKHFQHIQVCTPWLEAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCCLPVCAV
+NFKCLHELVKHEENGLVFEDSEELAAQLQMLFSNFPDPAGKLNQFRK
+>Q9H553_PF13439_26 <unknown description>
+VGGAERLVLDAALALQARGCSVKIWTAHYDPGHCFAESRELPVRCAGDWLPRGLGWGGRG
+AAVCAYVRMVFLALYVLFLADEEFDVVVCDQVSACIPVFRLARRRKKILFYCHFPDLLLT
+KRDSFLKRLYRAPIDWIEEYTTGMADCILVNSQFTAAVFKETFK
+>Q9H553_PF00534_216 <unknown description>
+LDDLVPKGKKFLLLSINRYERKKNLTLALEALVQLRGRLTSQDWERVHLIVAGGYDERVL
+ENVEHYQELKKMVQQSDLGQYVTFLRSFSDKQKISLLHSCTCVLYTPSNEHFGIVPLEAM
+YMQCPVIAVNSGGPLESIDHSVTGFLCEPDPVHFSEAIEKFIREPSLKATMGLAGRA
+>Q92685_PF05208_48 <unknown description>
+CLCLAEVGITFWVIHRVAYTEIDWKAYMAEVEGVINGTYDYTQLQGDTGPLVYPAGFVYI
+FMGLYYATSRGTDIRMAQNIFAVLYLATLLLVFLIYHQTCKVPPFVFFFMCCASYRVHSI
+FVLRLFNDPVAMVLLFLSINLLLAQRWGWGCCFFSLAVSVKMNVLLFAPGLLFLLLTQFG
+FRGALPKLGICAGLQVVLGLPFLLENPSGYLSRSFDLGRQFLFHWTVNWRFLPEALFLHR
+AFHLALLTAHLTLLLLFALCRWHRTGESILSLLRDPSKRKVPPQPLTPNQIVSTLFTSNF
+IGICFSRSLHYQFYVWYFHTLPYLLWAMPARWLTHLLRLLVLGLIELSWNTYPSTSCSS
+>Q9Y673_PF00535_68 <unknown description>
+SVVVPSYNEEKRLPVMMDEALSYLEKRQKRDPAFTYEVIVVDDGSKDQTSKVAFKYCQKY
+GSDKVRVITLVKNRGKGGAIRMGIFSSRGEKILMADADGATKFPDVEKLEKGLND
+>Q9Y672_PF03155_16 <unknown description>
+TVRWTVSLNSYSGAGKPPMFGDYEAQRHWQEITFNLPVKQWYFNSSDNNLQYWGLDYPPL
+TAYHSLLCAYVAKFINPDWIALHTSRGYESQAHKLFMRTTVLIADLLIYIPAVVLYCCCL
+KEISTKKKIANALCILLYPGLILIDYGHFQYNSVSLGFALWGVLGISCDCDLLGSLAFCL
+AINYKQMELYHALPFFCFLLGKCFKKGLKGKGFVLLVKLACIVVASFVLCWLPFFTEREQ
+TLQVLRRLFPVDRGLFEDKVANIWCSFNVFLKIKDILPRHIQLIMSFCSTFLSLLPACIK
+LILQPSSKGFKFTLVSCALSFFLFSFQVHEKSILLVSLPVCLVLSEIPFMSTWFLLVSTF
+SMLPLLLKDELLMPSVVTTMAFFIACVTSFSIFEKTSEEELQLKSFSISVRKYLPCFTFL
+SRIIQYLFLISVITMVLLTLMTVTLDPPQKLPDLFSVLVCFVSCLNFLFFLV
+>Q9BVK2_PF03155_22 <unknown description>
+TLLKCLLIPTYHSTDFEVHRNWLAITHSLPISQWYYEATSEWTLDYPPFFAWFEYILSHV
+AKYFDQEMLNVHNLNYSSSRTLLFQRFSVIFMDVLFVYAVRECCKCIDGKKVGKELTEKP
+KFILSVLLLWNFGLLIVDHIHFQYNGFLFGLMLLSIARLFQKRHMEGAFLFAVLLHFKHI
+YLYVAPAYGVYLLRSYCFTANKPDGSIRWKSFSFVRVISLGLVVFLVSALSLGPFLALNQ
+LPQVFSRLFPFKRGLCHAYWAPNFWALYNALDKVLSVIGLKLKFLDPNNIPKASMTSGLV
+QQFQHTVLPSVTPLATLICTLIAILPSIFCLWFKPQGPRGFLRCLTLCALSSFMFGWHVH
+EKAILLAILPMSLLSVGKAGDASIFLILTTTGHYSLFPLLFTAPELPIKILLMLLFTIYS
+ISSLKTLFRKEKPLFNWMETFYLLGLGPLEVCCEFVFPFTSWKVKYPFIPLLLTSVYCAV
+GITYAWFK
+>Q9H6U8_PF03901_61 <unknown description>
+AFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGEGFQTWEYSPAYAIRSYAYLLLH
+AWPAAFHARILQTNKILVFYFLRCLLAFVSCICELYFYKAVCKKFGLHVSRMMLAFLVLS
+TGMFCSSSAFLPSSFCMYTTLIAMTGWYMDKTSIAVLGVAAGAILGWPFSAALGLPIAFD
+LLVMKHRWKSFFHWSLMALILFLVPVVVIDSYYYGKLVIAPLNIVLYNVFTPHGPDLYGT
+EPWYFYLINGFLNFNVAFALALLVLPLTSLMEYLLQRFHVQNLGHPYWLTLAPMYIWFII
+FFIQPHKEERFLFPVYPLICLCGAVALSALQHSFLYFQKCYHFVFQRYRLEHYTVTSNWL
+ALGTVFLFGLLSFSRSVALFRGYHGPLDLYPEFYRIATDPTIHTVPEGRPVNVCVGKEWY
+RFPSSFLLP
+>Q13686_PF13532_99 <unknown description>
+FIFIPNPFLPGYQWHWVKQCLKLYSQKPNVCNLDKHMSKEETQDLWEQSKEFLRYKEATK
+RRPRSLLEKLRWVTVGYHYNWDSKKYSADHYTPFPSDLGFLSEQVAAACGFEDFRAEAGI
+LNYYRLDSTLGIHVDRSELDHSKPLLSFSFGQSAIFLLGGLQRDEAPTAMFMHSGDIMIM
+SGFSRLLNHAVPRVLPNPEGEGLPHCLEAPLPAVLPRDSMVEPCSMEDWQVCASYLKTAR
+VNMTVR
+>Q6NS38_PF13532_73 <unknown description>
+FGKAEADEIFQELEKEVEYFTGALARVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLSPK
+PWIPVLERIRDHVSGVTGQTFNFVLINRYKDGCDHIGEHRDDERELAPGSPIASVSFGAC
+RDFVFRHKDSRGKSPSRRVAVVRLPLAHGSLLMMNHPTNTHWYHSLPVRKKVLAPRVNLT
+FR
+>Q96Q83_PF13532_90 <unknown description>
+VCLYPGFVDVKEADWILEQLCQDVPWKQRTGIREDITYQQPRLTAWYGELPYTYSRITME
+PNPHWHPVLRTLKNRIEENTGHTFNSLLCNLYRNEKDSVDWHSDDEPSLGRCPIIASLSF
+GATRTFEMRKKPPPEENGDYTYVERVKIPLDHGTLLIMEGATQADWQHRVPKEYHSREPR
+VNLTFR
+>Q6P6C2_PF13532_153 <unknown description>
+ERLYPPGDVDEIPEWVHQLVIQKLVEHRVIPEGFVNSAVINDYQPGGCIVSHVDPIHIFE
+RPIVSVSFFSDSALCFGCKFQFKPIRVSEPVLSLPVRRGSVTVLSGYAADEITHCIRPQD
+IKE
+>Q3KRA9_PF13532_73 <unknown description>
+ERLPPWLQRYVDKVSNLSLFGGLPANHVLVNQYLPGEGIMPHEDGPLYYPTVSTISLGSH
+TVLDFYEPRRPEDDDPTEQPRPPPRPTTSLLLEPRSLLVLRGPAYTRLLHGIAAARVDAL
+DAASSPPNAAACPSARPGACLVRGTRVSLTIR
+>Q9BT30_PF13532_76 <unknown description>
+TEKSRWSEASRAILQRVQAAAFGPGQTLLSSVHVLDLEARGYIKPHVDSIKFCGATIAGL
+SLLSPSVMRLVHTQEPGEWLELLLEPGSLYILRGSARYDFSHEILRDEESFFGERRIPRG
+RRISVICR
+>Q96BT7_PF09004_1 <unknown description>
+MDSNHQSNYKLSKTEKKFLRKQIKAKHTLLRHEGIET
+>Q96BT7_PF13532_146 <unknown description>
+SEEEKMLLESVDWTEDTDNQNSQKSLKHRRVKHFGYEFHYENNNVDKDKPLSGGLPDICE
+SFLEKWLRKGYIKHKPDQMTINQYEPGQGIPAHIDTHSAFEDEIVSLSLGSEIVMDFKHP
+DGIAVPVMLPRRSLLVMTGESRYLWTHGITCRKFDTVQASESLKSGIITSDVGDLTLSKR
+GLRTSFTFR
+>Q96BT7_PF08241_412 <unknown description>
+DIGCGNGKYLGINKELYMIGCDRSQNLVDICRERQFQAFVCDALAVPVRSGSCDACISIA
+VIHHFATAERRVAALQEIVRLLRPGGKAL
+>Q6UXT8_PF15129_59 <unknown description>
+GSRSAEIFPRDSNLKDKFIKHFTGPVTFSPECSKHFHRLYYNTRECSTPAYYKRCARLLT
+RLAVSPLCSQ
+>Q6UX46_PF15129_33 <unknown description>
+DGQALLRLVVELVQELRKHHSAEHKGLQLLGRDCALGRAEAAGLGPSPEQRVEIVPRDLR
+MKDKFLKHLTGPLYFSPKCSKHFHRLYHNTRDCTIPAYYKRCARLLTRLAVSPVCME
+>Q6ZNB7_PF04116_120 <unknown description>
+AFLGVDFGYYWFHRMAHEVNIMWAGHQTHHSSEDYNLSTALRQSVLQIYTSWIFYSPLAL
+FIPPSVYAVHLQFNLLYQFWIHTEVINNLGPLELILNTPSHHRVHHGRNRYCIDKNYAGV
+LIIWDKIFGT
+>Q9UM73_PF00629_480 <unknown description>
+CNFEDGFCGWTQGTLSPHTPQWQVRTLKDARFQDHQDHALLLSTTDVPASESATVTSATF
+PAPIKSSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQGRMVWHVAAYEGLSLWQWMVLP
+LLDVSDRFWLQMVAWWGQGSRAIVAFDNISISLDC
+>Q9UM73_PF12810_732 <unknown description>
+DTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKDDMLYILVGQQGEDACPSTNQLIQ
+KVCIGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPVPLIIAAGGGGRAYGA
+KTDTFHPERLENNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSLQEGATGGHSCPQAMK
+KWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGVSFIS
+>Q9UM73_PF07714_1117 <unknown description>
+TLIRGLGHGAFGEVYEGQVSGMPNDPSPLQVAVKTLPEVCSEQDELDFLMEALIISKFNH
+QNIVRCIGVSLQSLPRFILLELMAGGDLKSFLRETRPRPSQPSSLAMLDLLHVARDIACG
+CQYLEENHFIHRDIAARNCLLTCPGPGRVAKIGDFGMARDIYRASYYRKGGCAMLPVKWM
+PPEAFMEGIFTSKTDTWSFGVLLWEIFSLGYMPYPSKSNQEVLEFVTSGGRMDPPKNCPG
+PVYRIMTQCWQHQPEDRPNFAIILER
+>Q8N6M5_PF03561_9 <unknown description>
+GGKILFATDDFFAPAENLIKSDSPCFKEHEYTEFGKWMDGWETRRKRIPGHDWCVLRLGI
+QGVIRGFDVDVSYFTGDYAPRVSIQAANLEEDKLPEIPERGTRTGAAATPEEFEAIAELK
+SDDWSYLVPMTELKPGNPASGHNYFLVNSQQRWTHIRLNIFPDGGIARLRVFGTG
+>Q8N6M5_PF03561_204 <unknown description>
+GGVCVGFSNAKFGHPNNIIGVGGAKSMADGWETARRLDRPPILENDENGILLVPGCEWAV
+FRLAHPGVITRIEIDTKYFEGNAPDSCKVDGCILTTQEEEAVIRQKWILPAHKWKPLLPV
+TKLSPNQSHLFDSLTLELQDVITHARLTIVPDGGVSRLRLRG
+>Q8TCU4_PF18727_539 <unknown description>
+QKTLADTHLTEETLKVTAIPEPADQKTATPTVLSSSHSHRGKPSIFY
+>Q8TCU4_PF18727_586 <unknown description>
+QQGLPDSHLTEEALKVSAAPGLADQTTGMSTLTSTSYSHREKPGTFY
+>Q8TCU4_PF18727_633 <unknown description>
+QQELPESNLTEEPLEVSAAPGPVEQKTGIPTVSSTSHSHVEDLLFFY
+>Q8TCU4_PF18727_680 <unknown description>
+RQTLPDGHLTDQALKVSAVSGPADQKTGTATVLSTPHSHREKPGIFY
+>Q8TCU4_PF18727_727 <unknown description>
+QQEFADSHQTEETLTKVSATPGPADQKTEIPAVQSSSYSQREKPSILY
+>Q8TCU4_PF18727_776 <unknown description>
+QDLADSHLPEEGLKVSAVAGPADQKTGLPTVPSSAYSHREKLLVFY
+>Q8TCU4_PF18727_822 <unknown description>
+QQALLDSHLPEEALKVSAVSGPADGKTGTPAVTSTSSASSSLGEKPSAFY
+>Q8TCU4_PF18727_872 <unknown description>
+QQTLPNSHLTEEALKVSIVPGPGDQKTGIPSAPSSFYSHREKPIIFS
+>Q8TCU4_PF18727_919 <unknown description>
+QQTLPDFLFPEEALKVSAVSVLAAQKTGTPTVSSNSHSHSEKSSVFY
+>Q8TCU4_PF18727_966 <unknown description>
+QQELPDSDLPRESLKMSAIPGLTDQKTVPTPTVPSGSFSHREKPSIFY
+>Q8TCU4_PF18727_1014 <unknown description>
+QQEWPDSYATEKALKVSTGPGPADQKTEIPAVQSSSYPQREKPSVLY
+>Q8TCU4_PF18727_1062 <unknown description>
+QVLSDSHLPEESLKVSAFPGPADQMTDTPAVPSTFYSQREKPGIFY
+>Q8TCU4_PF18727_1108 <unknown description>
+QQTLPESHLPKEALKISVAPGLADQKTGTPTVTSTSYSQHREKPSIFH
+>Q8TCU4_PF18727_1156 <unknown description>
+QQALPGTHIPEEAQKVSAVTGPGNQKTWIPRVLSTFYSQREKPGIFY
+>Q8TCU4_PF18727_1203 <unknown description>
+QQTLPGSHIPEEAQKVSPVLGPADQKTGTPTPTSASYSHTEKPGIFY
+>Q8TCU4_PF18727_1250 <unknown description>
+QQVLPDNHPTEEALKISVASEPVDQTTGTPAVTSTSYSQYREKPSIFY
+>Q8TCU4_PF18727_1298 <unknown description>
+QQSLPSSHLTEEAKNVSAVPGPADQKTVIPILPSTFYSHTEKPGVFY
+>Q8TCU4_PF18727_1345 <unknown description>
+QQVLPHSHPTEEALKISVASEPVDQTTGTPTVTSTSYSQHTEKPSIFY
+>Q8TCU4_PF18727_1393 <unknown description>
+QQSLPGSHLTEEAKNVSAVPGPGDRKTGIPTLPSTFYSHTEKPGSFY
+>Q8TCU4_PF18727_1440 <unknown description>
+QQVLPHSHLPEEALEVSVAPGPVDQTIGTPTVTSPSSSFGEKPIVIY
+>Q8TCU4_PF18727_1487 <unknown description>
+KQAFPEGHLPEESLKVSVAPGPVGQTTGAPTITSPSYSQHRAKSGSFY
+>Q8TCU4_PF18727_1539 <unknown description>
+LGSQIPEEALRVSSAPGPADQTTGIPTITSTSYSFGEKPIVNY
+>Q8TCU4_PF18727_1582 <unknown description>
+KQAFPDGHLPEEALKVSIVSGPTEKKTDIPAGPLGSSALGEKPITFY
+>Q8TCU4_PF18727_1629 <unknown description>
+RQALLDSPLNKEVVKVSAAPGPADQKTETLPVHSTSYSNRGKPVIFY
+>Q8TCU4_PF18727_1676 <unknown description>
+QQTLSDSHLPEEALKVPPVPGPDAQKTETPSVSSSLYSYREKPIVFY
+>Q8TCU4_PF18727_1723 <unknown description>
+QQALPDSELTQEALKVSAVPQPADQKTGLSTVTSSFYSHTEKPNISY
+>Q8TCU4_PF18727_1770 <unknown description>
+QQELPDSHLTEEALKVSNVPGPADQKTGVSTVTSTSYSHREKPIVSY
+>Q8TCU4_PF18727_1817 <unknown description>
+QRELPHFTEAGLKILRVPGPADQKTGINILPSNSYPQREHSVISY
+>Q8TCU4_PF18727_1863 <unknown description>
+QELPDLTEVTLKAIGVPGPADQKTGIQIASSSSYSNREKASIFH
+>Q8TCU4_PF18727_1907 <unknown description>
+QQELPDVTEEALNVFVVPGQGDRKTEIPTVPLSYYSRREKPSVI
+>Q8TCU4_PF18727_1952 <unknown description>
+QQELPDSHLTEEALKVSPVSIPAEQKTGIPIGLSSSYSHSHKEK
+>Q8TCU4_PF18727_1994 <unknown description>
+EKLKISTVHIPDDQKTEFPAATLSSYSQIEKPKI
+>Q8TCU4_PF18727_2059 <unknown description>
+QQQLPDRDQSKGILKISAVPELTDVNTGKPVSLSSSYFHREKSNIF
+>Q8TCU4_PF18727_2107 <unknown description>
+QELPGSHVTEDVLKVSTIPGPAGQKTVLPTALPSSFSHREKPDIFY
+>Q8TCU4_PF18727_2153 <unknown description>
+QKDLPDRHLTEDALKISSALGQADQITGLQTVPSGTYSHGENHKLV
+>Q8TCU4_PF15309_4032 <unknown description>
+FVRATLQESLQFHRPDFISRSGERIKRLKLIVQERKLQSMLQTERDALFNIDRERQGHQN
+RMCPLPKRVFLAIQKNKPISKKEMIQRSKRIYEQLPEVQKKREEEKRKSEYKSYRLRAQL
+YKKRVTNQLLGRK
+>Q96QP1_PF02816_1043 <unknown description>
+KGRQRNAFWVHHLHQEEILGRYVGKDYKEQKGLWHHFTDVERQMTAQHYVTEFNKRLYEQ
+NIPTQIFYIPSTILLILEDKTIKGCISVEPYILGEFVKLSNNTKVVKTEYKATEYGLAYG
+HFSYEFSNHRDVVVDLQGWVTGNGKGLIYLTDPQIHSVDQKVFTTNFGKRGIFYFFNNQH
+VECNEIC
+>Q86TB3_PF07679_15 <unknown description>
+LSTLLSQKVPEKSDAVLRCIISGQPKPEVTWYKNGQAIDGSGIISNYEFFENQYIHVLHL
+SCCTKNDAAVYQISAKNSFGMICCSASVEV
+>Q86TB3_PF07679_1803 <unknown description>
+NVKLSCQFAEIHEDSTICWTKDSKSIAQVQRSAGDNSTVSFAIVQASPKDQGLYYCCIKN
+SYGKVTAEFNLT
+>Q86TB3_PF02816_1926 <unknown description>
+GEGVHRKAFRSTVMHGLMPVFKPGHACVLKVHNAIAYGTRNNDELIQRNYKLAAQECYVQ
+NTARYYAKIYAAEAQPLEGFGEVPEIIPIFLIHRPENNIPYATVEEELIGEFVKYSIRDG
+KEINFLRRESEAGQKCCTFQHWVYQKTSGCLLVTDMQGVGMKLTDVGIATLAKGYKGFKG
+NCSMTFIDQFKALHQCNKYC
+>Q96L96_PF07679_77 <unknown description>
+PLFETTLKSRSVSEDSDVRFTCIVTGYPEPEVTWYKDDTELDRYCGLPKYEITHQGNRHT
+LQLYRCREEDAAIYQASAQNSKGIVSCSGVLEV
+>Q96L96_PF02816_1420 <unknown description>
+LRKASQAKVIYGLEPIFESGRTCIIKVSSLLVFGPSSETSLVGRNYDVTIQGCKIQNMSR
+EYCKIFAAEARAAPGFGEVPEIIPLYLIYRPANNIPYATLEEDLGKPLESYCSREWGCAE
+APTASGSSEAMQKCQTFQHWLYQWTNGSFLVTDLAGVDWKMTDVQIATKLRGYQGLKESC
+FPALLDRFASSHQCNAYC
+>P55789_PF04777_104 <unknown description>
+RHSWAVLHTLAAYYPDLPTPEQQQDMAQFIHLFSKFYPCEECAEDLRKRLCRNHPDTRTR
+ACFTQWLCHLHNEVNRKLGKPDFDCSKVDERWR
+>Q96Q42_PF00415_110 <unknown description>
+GVAYMWGENSAGQCAVANQQYVPEPNPVSIADSEASPLLAVRILQLACGEEHTLAL
+>Q96Q42_PF00415_171 <unknown description>
+IWAWGTGCQLGLITTAFPVTKPQKVEHLAGRVVLQVACGAFHSLAL
+>Q96Q42_PF00415_527 <unknown description>
+EVWTWGKGKEGQLGHGDVLPRLQPLCVKCLDGKEVIHLEAGGYHSLAL
+>Q96Q42_PF00415_579 <unknown description>
+QVYSWGSNTFGQLGHSDFPTTVPRLAKISSENGVWSIAAGRDYSLFL
+>Q96Q42_PF00621_695 <unknown description>
+LHELATTERRFYSKLSDIKSQILRPLLSLENLGTTTTVQLLQEVASRFSKLCYLIGQHGA
+SLSSFLHGVKEARSLVILKHSSLFLDSYTEYCTSITNFLVMGGFQLLAKPAIDFLNKNQE
+LLQDLSEVNDENTQLMEILNTLFFLPIRRLHNYAKVLLKLATCFEVASPEYQKLQDSSSC
+YECL
+>Q96Q42_PF02493_1049 <unknown description>
+YDGRWLSGKPHGRGVLKWPDGK
+>Q96Q42_PF02493_1072 <unknown description>
+YSGMFRNGLEDGYGEYRIPN
+>Q96Q42_PF02493_1100 <unknown description>
+YVGHWKEGKMCGQGVYSYASGE
+>Q96Q42_PF02493_1123 <unknown description>
+FEGCFQDNMRHGHGLLR
+>Q96Q42_PF02493_1151 <unknown description>
+FIGQWVMDKKAGYGVF
+>Q96Q42_PF02493_1175 <unknown description>
+YMGMWQDDVCQGNGV
+>Q96Q42_PF02493_1198 <unknown description>
+YEGNFHLNKMMGNGVLLSED
+>Q96Q42_PF02493_1221 <unknown description>
+YEGEFSDDWTLSGKGTLTMPNGD
+>Q96Q42_PF02204_1554 <unknown description>
+SAVECLQQISTTFTPSDKLKVIQQTFEEISQSVLASLHEDFLWSMDDLFPVFLYVVLRAR
+IRNLGSEVHLIEDLMDPYLQHGEQGIMFTTLKACYYQIQR
+>P35858_PF01462_40 <unknown description>
+ACPAACVCSYDDDADELSVFCSSRNLTRLPDGVP
+>P35858_PF13855_78 <unknown description>
+ALWLDGNNLSSVPPAAFQNLSSLGFLNLQGGQLGSLEPQALLGLENLCHLHLERNQL
+>P35858_PF13855_146 <unknown description>
+PALASLGLSNNRLSRLEDGLFEGLGSLWDLNLGWNSLAVLPDAAFRGLGSLRELVLAGNR
+L
+>P35858_PF13855_219 <unknown description>
+ELRELDLSRNALRAIKANVFVQLPRLQKLYLDRNLIAAVAPGAFLGLKALRWLDLSHNR
+>P35858_PF13855_292 <unknown description>
+LRVLRLSHNAIASLRPRTFKDLHFLEELQLGHNRIRQLAERSFEGLGQLEVLTLDHNQL
+>P35858_PF13855_387 <unknown description>
+KLHSLHLEGSCLGRIRPHTFTGLSGLRRLFLKDNGLVGIEEQSLWGLAELLELDLTSNQL
+>Q15699_PF00046_133 <unknown description>
+RRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRK
+>Q15699_PF03826_302 <unknown description>
+ERRSSSIAVLRMKAKEHTA
+>O95076_PF00046_154 <unknown description>
+RRNRTTFSTFQLEELEKVFQKTHYPDVYAREQLALRTDLTEARVQVWFQNRRAKWRK
+>Q9H161_PF00046_215 <unknown description>
+RRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTDLTEARVQVWFQNRRAKWRK
+>Q9H161_PF03826_387 <unknown description>
+DRKTSSIAALRMKAKEHSA
+>Q9UHK6_PF02515_3 <unknown description>
+LQGISVVELSGLAPGPFCAMVLADFGARVVRVDRPGSRYDVSRLGRGKRSLVLDLKQPRG
+AAVLRRLCKRSDVLLEPFRRGVMEKLQLGPEILQRENPRLIYARLSGFGQSGSFCRLAGH
+DINYLALSGVLSKIGRSGENPYAPLNLLADFAGGGLMCALGIIMALFDRTRTGKGQVIDA
+NMVEGTAYLSSFLWKTQKLSLWEAPRGQNMLDGGAPFYTTYRTADGEFMAVGAIEPQFYE
+LLIKGLGLKSDELPNQMSMDDWPEMKKKFADVFAEKTKAEWCQIFDGTDACVTPVLTFEE
+VVHHDHNKERGSFITSEEQDVSPRPAPLLLNTPAIPSFKRDPFIGEHT
+>Q9NP70_PF05111_11 <unknown description>
+MKDLILILCLLEMSFAVPFFPQQSGTPGMASLSLETMRQLGSLQRLNTLSQYSRYGFGKS
+FNSLWMHGLLPPHSSLPWMRPREHETQQYEYSLPVHPPPLPSQPSLKPQQPGLKPFLQSA
+AATTNQATALKEALQPPIHLGHLPLQEGELPLVQQQVAPSDKPPKPELPGVDFADPQGPS
+LPGMDFPDPQGPSLPGLDFADPQGSTIFQIARLISHGPMPQNKQSPLYPGMLYVPFGANQ
+LNAPARLGIMSSEEVAGGREDPMAYGAMFPGFGGMRPGFEGMPHNPAMGGDFTLEFDSPV
+AATKGPENEEGGAQGSPMPEANPDNLENPAFLTELEPAPHAGLLALPKDDIPGLPRSPSG
+KMKGLPSVTPAAADPLMTPELADVYRTYDADMTTSVDFQEEATMDTTMAPNSLQTSMPGN
+KAQEPEMMHDAWHFQEP
+>P02760_PF00061_42 <unknown description>
+GKWYNLAIGSTCPWLKKIMDRMTVSTLVLGEGATEAEISMTSTRWRKGVCEETSGAYEKT
+DTDGKFLYHKSKWNITMESYVVHTNYDEYAIFLTKKFSRHHGPTITAKLYGRAPQLRETL
+LQDFRVVAQGVGIPEDSIFTMAD
+>P02760_PF00014_231 <unknown description>
+CQLGYSAGPCMGMTSRYFYNGTSMACETFQYGGCMGNGNNFVTEKECLQTCR
+>P02760_PF00014_286 <unknown description>
+ACNLPIVRGPCRAFIQLWAFDAVKGKCVLFPYGGCQGNGNKFYSEKECREYC
+>P19021_PF01082_60 <unknown description>
+DIRMPGVTPKQSDTYFCMSMRIPVDEEAFVIDFKPRASMDTVHHMLLFGCNMPSSTGSYW
+FCDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDI
+>P19021_PF03712_197 <unknown description>
+AGMYLMMSVDTVIPAGEKVVNSDISCHYKNYPMHVFAYRVHTHHLGKVVSGYRVRNGQWT
+LIGRQSPQLPQAFYPVGHPVDVSFGDLLAARCVFTGEGRTEATHIGGTSSDEMCNLYIMY
+YMEAKHAVSFMTCTQNVAPDMFRT
+>P19021_PF01436_630 <unknown description>
+FCQPTDVAVDPGTGAIYVSDGYCNSRIVQF
+>P19021_PF01436_683 <unknown description>
+FTVPHSLALVPLLGQLCVADRENGRIQCF
+>P19021_PF01436_779 <unknown description>
+FDMPHDIVASEDGTVYIGDAHTNTVWKF
+>Q99217_PF02948_21 <unknown description>
+PHPGHPGYINFSYEVLTPLKWYQSIRPPYPSYGYEPMGGWLHHQIIPVLSQQHPPTHTLQ
+PHHHIPVVPAQQPVIPQQPMMPVPGQHSMTPIQHHQPNLPPPAQQPYQPQPVQPQPHQPM
+QPQPPVHPMQPLPPQPPLPPMFPMQPLPPMLPDLTLEAWPSTDKTKREEVD
+>Q99218_PF02948_21 <unknown description>
+PHPGHPGYINFSYEVLTPLKWYQSMIRPPYSSYGYEPMGGWLHHQIIPVVSQQHPLTHTL
+QSHHHIPVVPAQQPRVRQQALMPVPGQQSMTPTQHHQPNLPLPAQQPFQPQPVQPQPHQP
+MQPQPPVQPMQPLLPQPPLPPMFPLRPLPPILPDLHLEAWPATDKTKQEEVD
+>Q5JTC6_PF09422_89 <unknown description>
+GLSKSKTHDGLSEAAHGPEDVVSEGTGFSLPLPELPCQFPSSQSAHGALETGSRCKTSVA
+GATEKAVAEKFPSMPKPKKGLKGFFSSIRRHRKSKVTGAEQSEPGAKGPERVRARPHEHV
+SSAPQVPCFEETFQAPRKENANPQDAPGPKVSPTPEPSPPATEKMACKDPEKPMEACASA
+HVQPKPAPEASSLEEPHSPETGEKVVAGEVNPPNGPVGDPLSLLFGDVTSLKSFDSLTGC
+GDIIAEQDMDSMTDSMASGGQRANRDGTKRSSCLVTYQGGGEEMALPDDDDEEEEEEEEV
+ELEEEEEEVKEEEEDDDLEYLWETAQMYPRPNMNLGYHPTTSPGHHGYMLLDPVRSYPGL
+APGELLT
+>Q5JTC6_PF09422_455 <unknown description>
+TPQSDQQESAPNSDEGYYDSTTPGFEDDSGEALGLVRRDCLPRDSYSGDALYEFYEPDDS
+LENSPPGDDCLYDLHGRSSEM
+>Q8N7J2_PF09422_99 <unknown description>
+GLVRSRTHDGLAEVLVLESGRKEEPRGGGDSGGGGGGRPNPGPPRAAGPGGGSLASSSVA
+KSHSFFSLLKKNGRSENGKGEPVDASKAGGKQKRGLRGLFSGMRWHRKDKRAKAEAAEGR
+APGGGLILPGSLTASLECVKEETPRAAREPEEPSQDAPRDPAGEPAGGEEVPAPADRAPA
+RSCREAEGLAHPGDTGARGEDAAGHRRAEPGPGEVRTAEDASRTGAVPVKTVPLVDSEGG
+SGRAPAAPDPASVDPPSDPSADRICLMFSDVTSLKSFDSLTGCGDIIADQEEEAGPSCDK
+HVPGPGKPALSKKNPGVVAYQGGGEEMASPDEVDDTYLQEFWDMLSQTEEQGPEPQEGAA
+KVAAALETKVVPETPKDTRCVEAAKDASSVKRRRLNRIPIEPHPKEEPKHPEKEQQEGVP
+NSDEGYWDSTTPGPEEDSSSSGKKAGIPRDSYSGDALYDLYADPDGSPATLPGGKDNEET
+SSLSRLKPVSP
+>Q8N944_PF09422_230 <unknown description>
+LCRALCEDVASLQSFDSLTGCGEVFADESSVPSLELNEGPESPTQAAQGLESKVPRGPLQ
+GSVEQLASPAQNEASDFTRFWDSVNRSVRQQQRALLGPWLSGPQGTDRDQSRLDTAGLAE
+LPLCPCRDPRSGSKASSIDTGTPKSEQPESVSTSDEGYYDSFSPGLEEDKKEAESPGTPA
+ATFPRDSYSGDALYELFHDPSEGPLGPSPDDDLCVSE
+>Q6DCA0_PF01871_109 <unknown description>
+CCYCFDVLYCHLYGFPQPRLPRFTNDPYPLFVTWKTGRDKRLRGCIGTFSAMNLHSGLRE
+YTLTSALKDSRFPPLTREELPKLFCSVSLLTNFEDASDYLDWEVGVHGIRIEFINEKGVK
+RTATYLPEVAKEQDWDQIQTIDSLLRKGGFKAPITSEFRKTIKLTRYRSEK
+>Q9UKV5_PF13639_339 <unknown description>
+DDCAICWDSMQAARKLPCGHLFHNSCLRSWLEQDTSCPTCR
+>Q9UKV5_PF02845_458 <unknown description>
+NAMAHQIQEMFPQVPYHLVLQDLQLTRSVEITTDNILEGR
+>Q9UKV5_PF18442_574 <unknown description>
+SADERQRMLVQRKDELLQQARKRFLNK
+>Q86WK6_PF13855_110 <unknown description>
+PNLRYLDLSSNQLRTLDEFLFSDLQVLEVLLLYNNHIMAVDRCAFDDMAQLQKLYLSQNQ
+I
+>Q86WK6_PF07679_277 <unknown description>
+AWEAHLGDTLIIKCDTKQQGMTKVWVTPSNERVLDEVTNGTVSVSKDGSLLFQQVQVEDG
+GVYTCYAMGETFNETLSVELKV
+>Q86SJ2_PF13855_117 <unknown description>
+PNLKCLDLSSNKLKTVKNAVFQELKVLEVLLLYNNHISYLDPSAFGGLSQLQKLYLSGN
+>Q86SJ2_PF00047_299 <unknown description>
+EAQVGERLMVHCDSKTGNANTDFIWVGPDNRLLEPDKEMENFYVFHNGSLVIESPRFEDA
+GVYSCIAMN
+>Q86WK7_PF13855_86 <unknown description>
+QLRALHLDHNELDALGRGVFVNASGLRLLDLSSNTLRALGRHDLDGLGALEKLLLFNNRL
+>Q16671_PF01064_59 <unknown description>
+RCCFGIWNLTQDRAQVEMQGCRDSDEPGCESLHCDPSPRAHPSPGSTLFTCSCGTDFCNA
+N
+>Q16671_PF00069_211 <unknown description>
+EGGHAVVWAGQLQGKLVAIKAFPPRSVAQFQAERALYELPGLQHDHIVRFITASRGGPGR
+LLSGPLLVLELHPKGSLCHYLTQYTSDWGSSLRMALSLAQGLAFLHEERWQNGQYKPGIA
+HRDLSSQNVLIREDGSCAIGDLGLALVLPGLTQPPAWTPTQPQGPAAIMEAGTQRYMAPE
+LLDKTLDLQDWGMALRRADIYSLALLLWEILSRCPDLRPDSSPPPFQLAYEAELGNTPTS
+DELWALAVQERRRPYIPSTWRCFATDPDGLRELLEDCWDADPEARLTAECVQ
+>Q9Y4X0_PF01871_131 <unknown description>
+CCFCFDVLYCHLYGYQQPRTPRFTNEPYPLFVTWKIGRDKRLRGCIGTFSAMNLHSGLRE
+YTLTSALKDSRFPPMTRDELPRLFCSVSLLTNFEDVCDYLDWEVGVHGIRIEFINEKGSK
+RTATYLPEVAKEQGWDHIQTIDSLLRKGGYKAPITNEFRKTIKLTRYRSEK
+>Q8IY45_PF13516_63 <unknown description>
+VQTLDLRSCDISDAALLHL
+>Q8IY45_PF13516_124 <unknown description>
+RCCNLTDEGVVALA
+>Q8IY45_PF13516_165 <unknown description>
+NCPFLQCVDFSATQVSDSGVIALV
+>Q9BXJ7_PF14828_22 <unknown description>
+KLWVPNTDFDVAANWSQNRTPCAGGAVEFPADKMVSVLVQEGHAVSDMLLPLDGELVLAS
+GAGFGVSDVGSHLDCGAGEPAVFRDSDRFSWHDPHLWRSGDEAPGLFFVDAERVPCRHDD
+VFFPPSASFRVGLGPGASPVRVRSISALGRTFTRDEDLAVFLASRAGRLRFHGPGALSVG
+PEDCADPSGCVCGNAEAQPWICAALLQPLGGRCPQAACHSALRPQGQCCDLCGAVVLLTH
+GPAFDLERYRARILDTFLGLPQYHGLQVAVSKVPRSSRLREADTEIQVVLVENGPETGGA
+GRLARALLADVAENGEALGVLEATMRESGAHVWGSSAAGLAGGVAAAVLLALLVLLVAPP
+LLRRAGRLRWRRHEAAAPAGAPLGFRNPVFDVTASEELPLPRRLSLVPKAAADSTSHSYF
+VNPLF
+>Q8IY63_PF12240_605 <unknown description>
+YVEKVEKLQQALTQLQSACEKREQMERRLRTWLERELDALRTQQKHGNGQPANMPEYNAP
+ALLELVREKEERILALEADMTKWEQKYLEESTIRHFAMNAAATAAAERDTTIINHSRNGS
+YGESSLEAHIWQEEEEVVQANRRCQDMEYTIKNLHAKIIEKDAMIKVLQQRSRKDAGKTD
+SSSLRPARSVPSIAAATGTHSRQTSL
+>Q9Y2J4_PF12240_482 <unknown description>
+YVEKVERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQAGAPGGSSGSGGSP
+ELSALRLSEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRH
+SPQPSPSSSFNEGLLTGGHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRRDPGKAIQGS
+LRPAKSVPSVFAAAAAGTQGWQ
+>Q4VCS5_PF12240_599 <unknown description>
+YVDKVEKMQQALVQLQAACEKREQLEHRLRTRLERELESLRIQQRQGNCQPTNVSEYNAA
+ALMELLREKEERILALEADMTKWEQKYLEENVMRHFALDAAATVAAQRDTTVISHSPNTS
+YDTALEARIQKEEEEILMANKRCLDMEGRIKTLHAQIIEKDAMIKVLQQRSRKEPSKTEQ
+LSCMRPAKSLMSISNAGSGLLSHS
+>Q9H4A4_PF17900_158 <unknown description>
+TAGKKKPFVYTQGQAVLNRAFFPCFDTPAVKYKYSALIEVPDGFTAVMSASTWEKRGPNK
+FFFQMCQPIPSYL
+>Q9H4A4_PF01433_263 <unknown description>
+YNGVIEEFLATGEKLFGPYVWGRYDLLFMPPSFPFGGMENPCLTFVTPCLLAGDRSLADV
+IIHEISHSWFGNLVTNANWGEFWLNEGFTMYAQRRISTILFGAAYTCLEAATGRALLRQH
+MDITGEENPLNKLRVKIEPGVDPDDTYNETPYEKGFCFVSYLAHLVGDQDQFDSFLKAYV
+HEFKFRSILADDFLDFYLEYFPE
+>Q9H4A4_PF09127_530 <unknown description>
+WKTYQLVYFLDKILQKSPLPPGNVKKLGDTYPSISNARNAELRLRWGQIVLKNDHQEDFW
+KVKEFLHNQGKQKYTLPLYHAMMGGSEVAQTLAKETFASTASQLHSNVVNYVQQI
+>P23109_PF19326_113 <unknown description>
+PDFQRVQITGDYASGVTVEDFEIVCKGLYRALCIREKYMQKSFQRFPKTPSKYLRNIDGE
+AWVANESFYPVFTPPVKKGEDPFRTDNLPENLGYHLKMKDGVVYVYPNEAAVSKDEPKPL
+PYPNLDTFLDDMNFLLALIAQGPVKTYTHRRLKFLSSKFQVHQMLNEMDELKELKNNPHR
+DFYNCRKVDTHIHAAACMNQKHLLRFIKKSYQIDADRVVYSTKEKNLTLKELFAKLKMHP
+YDLTVDSLDVHAGRQTFQRFDKFNDKYNPVGASELRDLYLKTDNYINGEYFATIIKEVGA
+DLVEAKYQHAEPRLSIYGRSPDEWSKLSSWFVCNRIHCPNMTWMIQVPRIYDVFRSKNFL
+PHFGKMLENIFMPVFEATINPQADPELSVFLKHITGFDSVDDESKHSGHMFSSKSPKPQE
+WTLEKNPSYTYYAYYMYANIMVLNSLRKERGMNTFLFRPHCGEAGALTHLMTAFMIADDI
+SHGLNLKKSPVLQYLFFLAQIPIAMSPLSNNSLFLEYAKNPFLDFLQKGLMISLSTDDPM
+QFHFTKEPLMEEYAIAAQVFKLSTCDMCEVARNSVLQCGISHEEKVKFLGDNYLEEGPAG
+NDIRRTNVAQIRMAYRYETWCYELNLIAEGLK
+>Q01432_PF19326_128 <unknown description>
+PEFQRVTISGDYCAGITLEDYEQAAKSLAKALMIREKYARLAYHRFPRITSQYLGHPRAD
+TAPPEEGLPDFHPPPLPQEDPYCLDDAPPNLDYLVHMQGGILFVYDNKKMLEHQEPHSLP
+YPDLETYTVDMSHILALITDGPTKTYCHRRLNFLESKFSLHEMLNEMSEFKELKSNPHRD
+FYNVRKVDTHIHAAACMNQKHLLRFIKHTYQTEPDRTVAEKRGRKITLRQVFDGLHMDPY
+DLTVDSLDVHAGRQTFHRFDKFNSKYNPVGASELRDLYLKTENYLGGEYFARMVKEVARE
+LEESKYQYSEPRLSIYGRSPEEWPNLAYWFIQHKVYSPNMRWIIQVPRIYDIFRSKKLLP
+NFGKMLENIFLPLFKATINPQDHRELHLFLKYVTGFDSVDDESKHSDHMFSDKSPNPDVW
+TSEQNPPYSYYLYYMYANIMVLNNLRRERGLSTFLFRPHCGEAGSITHLVSAFLTADNIS
+HGLLLKKSPVLQYLYYLAQIPIAMSPLSNNSLFLEYSKNPLREFLHKGLHVSLSTDDPMQ
+FHYTKEALMEEYAIAAQVWKLSTCDLCEIARNSVLQSGLSHQEKQKFLGQNYYKEGPEGN
+DIRKTNVAQIRMAFRYETLCNELSFLSDAMKS
+>Q07075_PF17900_99 <unknown description>
+PVHYDLHVKPLLEEDTYTGTVSISINLSAPTRYLWLHLRETRITRLPELKRPSGDQVQVR
+RCFEYKKQEYVVVEAEEELTPSSGDGLYLLTMEFAGWLNGSLVGFYRTTYTENGQVKSIV
+ATDHEPTDARKSFPCFDEPNKKATYTISITHPKEYGALSNMPVAKEESVDDKWTRTTFEK
+SVPMSTYL
+>Q07075_PF01433_321 <unknown description>
+YAANITKSVFDYFEEYFAMNYSLPKLDKIAIPDFGTGAMENWGLITYRETNLLYDPKESA
+SSNQQRVATVVAHELVHQWFGNIVTMDWWEDLWLNEGFASFFEFLGVNHAETDWQMRDQM
+LLEDVLPVQEDDSLMSSHPIIVTVTTPDEITSVFDGISYSKGSSILRMLEDWIKPENFQK
+GCQMYLEKYQFKNAKTSDFWAALEEASRLPVKEVMDTW
+>Q07075_PF11838_616 <unknown description>
+FLKINPDHIGFYRVNYEVATWDSIATALSLNHKTFSSADRASLIDDAFALARAQLLDYKV
+ALNLTKYLKREENFLPWQRVISAVTYIISMFEDDKELYPMIEEYFQGQVKPIADSLGWND
+AGDHVTKLLRSSVLGFACKMGDREALNNASSLFEQWLNGTVSLPVNLRLLVYRYGMQNSG
+NEISWNYTLEQYQKTSLAQEKEKLLYGLASVKNVTLLSRYLDLLKDTNLIKTQDVFTVIR
+YISYNSYGKNMAWNWIQLNWDYLVNRYTLNNRNLGRIVTIAEPFNTELQLWQMESFFAKY
+PQAGAGEKPREQVLETVK
+>P49418_PF03114_14 <unknown description>
+QKRLNRAQEKVLQKLGKADETKDEQFEEYVQNFKRQEAEGTRLQRELRGYLAAIKGMQEA
+SMKLTESLHEVYEPDWYGREDVKMVGEKCDVLWEDFHQKLVDGSLLTLDTYLGQFPDIKN
+RIAKRSRKLVDYDSARHHLEALQSSKRKDESRISKAEEEFQKAQKVFEEFNVDLQEELPS
+LWSRRVGFYVNTFKNVSSLEAKFHKEIAVLCHKLYEVMT
+>P49418_PF14604_630 <unknown description>
+LHDFEAANSDELTLQRGDVVLVVPSDSEADQDAGWLVGVKESDWLQYRDLATYKGLFPEN
+FT
+>P28838_PF02789_37 <unknown description>
+VLGIYSKEKEDDVPQFTSAGENFDKLLAGKLRETLNISGPPLKAGKTRTFYGLHQDFPSV
+VLVGLGKKAAGIDEQENWHEGKENIRAAVAAGCRQIQDLELSSVEVDPCGDAQAAAEGAV
+LGLYEYDDLKQKK
+>P28838_PF00883_197 <unknown description>
+NLARQLMETPANEMTPTRFAEIIEKNLKSASSKTEVHIRPKSWIEEQAMGSFLSVAKGSD
+EPPVFLEIHYKGSPNANEPPLVFVGKGITFDSGGISIKASANMDLMRADMGGAATICSAI
+VSAAKLNLPINIIGLAPLCENMPSGKANKPGDVVRAKNGKTIQVDNTDAEGRLILADALC
+YAHTFNPKVILNAATLTGAMDVALGSGATGVFTNSSWLWNKLFEASIETGDRVWRMPLFE
+HYTRQVVDCQLADVNNIGKYRSAGACTAAAFLKEFVTHPKWAHLDIAGVMTNKDEVPYLR
+KGMTGRPTRTLI
+>P15144_PF17900_81 <unknown description>
+KPDSYRVTLRPYLTPNDRGLYVFKGSSTVRFTCKEATDVIIIHSKKLNYTLSQGHRVVLR
+GVGGSQPPDIDKTELVEPTEYLVVHLKGSLVKDSQYEMDSEFEGELADDLAGFYRSEYME
+GNVRKVVATTQMQAADARKSFPCFDEPAMKAEFNITLIHPKDLTALSNMLPKGPSTPLPE
+DPNWNVTEFHTTPKMSTYL
+>P15144_PF01433_316 <unknown description>
+YALNVTGPILNFFAGHYDTPYPLPKSDQIGLPDFNAGAMENWGLVTYRENSLLFDPLSSS
+SSNKERVVTVIAHELAHQWFGNLVTIEWWNDLWLNEGFASYVEYLGADYAEPTWNLKDLM
+VLNDVYRVMAVDALASSHPLSTPASEINTPAQISELFDAISYSKGASVLRMLSSFLSEDV
+FKQGLASYLHTFAYQNTIYLNLWDHLQEAVNNRSIQLPTTVRDIMNRW
+>P15144_PF11838_619 <unknown description>
+WVLLNLNVTGYYRVNYDEENWRKIQTQLQRDHSAIPVINRAQIINDAFNLASAHKVPVTL
+ALNNTLFLIEERQYMPWEAALSSLSYFKLMFDRSEVYGPMKNYLKKQVTPLFIHFRNNTN
+NWREIPENLMDQYSEVNAISTACSNGVPECEEMVSGLFKQWMENPNNNPIHPNLRSTVYC
+NAIAQGGEEEWDFAWEQFRNATLVNEADKLRAALACSKELWILNRYLSYTLNPDLIRKQD
+ATSTIISITNNVIGQGLVWDFVQSNWKKLFNDYGGGSFSFSNLIQAVTRRFSTEYELQQL
+EQFKKDNEETGFGSGTRALEQALEKTK
+>Q8N6M6_PF01433_434 <unknown description>
+HPFSRLDVLIVPANFPSLGMASPHIMFLSQSILTGGNHLCGTRLCHEIAHAWFGLAIGAR
+DWTEEWLSEGFATHLEDVFWATAQQLAPYEAREQQELRACLRWRRLQDEMQCSPEEMQVL
+RPSKDKTGHTSDSGASVIKHGLNPEKIFMQVHYLKGYFLLRFLAKRLGDETYFSFLRKFV
+HTFHGQLILSQDFLQMLLENIPEEKRLELSVENIYQDW
+>Q8N6M6_PF09127_709 <unknown description>
+DQLVLLLEHLLEQKTLSPRTLQSLQRTYHLQDQDAEVRHRWCELIVKHKFTKAYKSVERF
+LQEDQAMGVYLYGELMVSEDARQQQLARRCFERTKEQMDRSSAQVVAEML
+>Q6Q4G3_PF17900_103 <unknown description>
+VPLHYDLELWPQLRPDELPAGSLPFTGRVNITVRCTVATSRLLLHSLFQDCERAEVRGPL
+SPGTGNATVGRVPVDDVWFALDTEYMVLELSEPLKPGSSYELQLSFSGLVKEDLREGLFL
+NVYTDQGERRALLASQLEPTFARYVFPCFDEPALKATFNITMIHHPSYVALSNMPKLGQS
+EKEDVNGSKWTVTTFSTTPHMPTYL
+>Q6Q4G3_PF01433_343 <unknown description>
+FALNITGPIFSFLEDLFNISYSLPKTDIIALPSFDNHAMENWGLMIFDESGLLLEPKDQL
+TEKKTLISYVVSHEIGHQWFGNLVTMNWWNNIWLNEGFASYFEFEVINYFNPKLPRNEIF
+FSNILHNILREDHALVTRAVAMKVENFKTSEIQELFDIFTYSKGASMARMLSCFLNEHLF
+VSALKSYLKTFSYSNAEQDDLWRHFQMAIDDQSTVILPATIKNIMDSW
+>Q6Q4G3_PF11838_647 <unknown description>
+WVILNLNMTGYYRVNYDKLGWKKLNQQLEKDPKAIPVIHRLQLIDDAFSLSKNNYIEIET
+ALELTKYLAEEDEIIVWHTVLVNLVTRDLVSEVNIYDIYSLLKRYLLKRLNLIWNIYSTI
+IRENVLALQDDYLALISLEKLFVTACWLGLEDCLQLSKELFAKWVDHPENEIPYPIKDVV
+LCYGIALGSDKEWDILLNTYTNTTNKEEKIQLAYAMSCSKDPWILNRYMEYAISTSPFTS
+NETNIIEVVASSEVGRYVAKDFLVNNWQAVSKRYGTQSLINLIYTIGRTVTTDLQIVELQ
+QFFSNMLEEHQRIRVHANLQTIK
+>P30533_PF06400_18 <unknown description>
+LLLLFLGPWPAASHGGKYSREKNQPKPSPKRESGEEFRMEKLNQLWEKAQRLHLPPVRLA
+ELHADLKIQERDELAWKKLKLDGLDEDGEKEARLIRNLNVILAKYGLDGKKDA
+>P30533_PF06401_146 <unknown description>
+LDDPRLEKLWHKAKTSGKFSGEELDKLWREFLHHKEKVHEYNVLLETLSRTEEIHENVIS
+PSDLSDIKGSVLHSRHTELKEKLRSINQGLDRLRRVSHQGYSTEAEFEEPRVIDLWDLAQ
+SANLTDKELEAFREELKHFEAKIEKHNHYQKQLEIAHEKLRHAESVGDGERVSRSREKHA
+LLEGRTKELGYTVKKHLQDLSGRISRARHNEL
+>Q6UX39_PF15757_19 <unknown description>
+QLKPALGLPPTKLAPDQGTLPNQQQSNQVFPSLSLIPLTQMLTLGPDLHLLNPAAGMTPG
+TQTHPLTLGGLNVQQQLHPHVLPIFVTQLGAQGTILSSEELPQIFTSLIIHSLFPGGILP
+TSQAGANPDVQDGSLPAGGAGVNPATQGTPAGRLPTPSGTDDDFAVTTPAGIQRSTHAIE
+EATTESANG
+>P0DUB6_PF00128_86 <unknown description>
+TRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVP
+YSGWDFNDGKCKTGSGDIENYNDATQVRDCRLSGLLDLALGKDYVRSKIAEYMNHLIDIG
+VAGFRIDASKHMWPGDIKAILDKLHNLNSNWFPEGSKPFIYQEVIDLGGEPIKSSDYFGN
+GRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGA
+SI
+>P0DUB6_PF02806_424 <unknown description>
+WYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIY
+VSDDGKAHFSISNSAEDPFIAIHA
+>P0DTE7_PF00128_86 <unknown description>
+TRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVP
+YSGWDFNDGKCKTGSGDIENYNDATQVRDCRLSGLLDLALGKDYVRSKIAEYMNHLIDIG
+VAGFRIDASKHMWPGDIKAILDKLHNLNSNWFPEGSKPFIYQEVIDLGGEPIKSSDYFGN
+GRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGA
+SI
+>P0DTE7_PF02806_424 <unknown description>
+WYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIY
+VSDDGKAHFSISNSAEDPFIAIHA
+>P0DTE8_PF00128_86 <unknown description>
+TRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVP
+YSGWDFNDGKCKTGSGDIENYNDATQVRDCRLSGLLDLALGKDYVRSKIAEYMNHLIDIG
+VAGFRIDASKHMWPGDIKAILDKLHNLNSNWFPEGSKPFIYQEVIDLGGEPIKSSDYFGN
+GRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGA
+SI
+>P0DTE8_PF02806_424 <unknown description>
+WYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIY
+VSDDGKAHFSISNSAEDPFIAIHA
+>P19961_PF00128_86 <unknown description>
+TRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMSGNAVSAGTSSTCGSYFNPGSRDFPAVP
+YSGWDFNDGKCKTGSGDIENYNDATQVRDCRLVGLLDLALEKDYVRSKIAEYMNHLIDIG
+VAGFRLDASKHMWPGDIKAILDKLHNLNSNWFPAGSKPFIYQEVIDLGGEPIKSSDYFGN
+GRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGA
+SI
+>P19961_PF02806_424 <unknown description>
+WYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIY
+VSDDGKAHFSISNSAEDPFIAIHA
+>P04746_PF00128_86 <unknown description>
+TRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVP
+YSGWDFNDGKCKTGSGDIENYNDATQVRDCRLTGLLDLALEKDYVRSKIAEYMNHLIDIG
+VAGFRLDASKHMWPGDIKAILDKLHNLNSNWFPAGSKPFIYQEVIDLGGEPIKSSDYFGN
+GRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFVPSDRALVFVDNHDNQRGHGAGGA
+SI
+>P04746_PF02806_424 <unknown description>
+WYDNGSNQVAFGRGNRGFIVFNNDDWSFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIY
+VSDDGKAHFSISNSAEDPFIAIHA
+>Q86W34_PF07998_233 <unknown description>
+DNYYIPEITSVLLLRSCKTLTHEIGHIFGLRHCQWLACLMQGSNHLEEADRRPLNLCPIC
+LHKLQ
+>Q8IZ07_PF13637_41 <unknown description>
+GRTLLHLAVSLGHLESARVLLRHKADVTKENRQGWTVLHEAVSTGDPEMV
+>Q8IZ07_PF11904_156 <unknown description>
+RVDITLLGFENMSWIRGRRSFIFKGEDNWAELMEVNHDDKVVTTERFDLSQEMERLTLDL
+MKPKSREVERRLTSPVINTSLDTKNIAFERTKSGFWGWRTDKAEVVNGYEAKVYTVNNVN
+VITKIRTEHLTEEEKKRYKADRNPLESLLGTVEHQFGAQGDLTTECATANNPTAITPDEY
+FNEEFDLKDRDIGRPKELTIRTQKFKAMLWMCEEFPLSLVEQVIPIIDLMARTSAHFARL
+RDFIKLEFPPGFPVKIEIPLFHVLNARITFGNVNGCSTAEESVSQNVEGTQADSASHITN
+FEVDQSVFEIPESY
+>Q86YJ7_PF12796_39 <unknown description>
+VDIEQLDPRGRTPLHLATTLGHLECARVLLAHGADVGRENRSGWTVLQEAVSTRDLELVQ
+LVLRY
+>Q86YJ7_PF11904_163 <unknown description>
+RVDTTLLGFDHMTWQRGNRSFVFRGQDTSAVVMEIDHDRRVVYTETLALAGQDRELLLAA
+AQPTEEQVLSRLTAPVVTTQLDTKNISFERNKTGILGWRSEKTEMVNGYEAKVYGASNVE
+LITRTRTEHLSEQHKGKVKGCKTPLQSFLGIAEQHGGPQNGTLITQTLSQANPTAITAEE
+YFNPNFELGNRDMGRPMELTTKTQKFKAKLWLCEEHPLSLCEQVAPIIDLMAVSNALFAK
+LRDFITLRLPPGFPVKIEIPIFHILNARITFGNLNGCDEPVPSVRGSPSSETPSPGSDSS
+SVSSSSSTTSCRGCEISPALFEAPRGYS
+>Q8N6S4_PF12796_117 <unknown description>
+HECVFKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNAQ
+GWSPLAEAISYGDRQMITALLRK
+>Q8N6S4_PF11904_259 <unknown description>
+RLDTTLIDFTDMKCQRGDLSFIFNGDAAPSESFVVLDNEQKVYQRIHHEESEMETEEEVD
+ILMSSDIYSATLSTKSISFTRAQTGWLFREDKTERVGNFLADFYLVNGLVLESRKRREHL
+SEEDILRNKAIMESLSKGGNIMEQNFEPIRRQSLTPPPQNTITWEEYISAENGKAPHLGR
+ELVCKESKKTFKATIAMSQEFPLGIELLLNVLEVVAPFKHFNKLREFVQMKLPPGFPVKL
+DIPVFPTITATVTFQEFRY
+>Q6ZTN6_PF12796_24 <unknown description>
+AALHSHQHDIEQEDPRGRTPLELAVSLGNLESVRVLLRHNANVGKENRQGWAVLQEAVST
+GDPEMVQLVLQY
+>Q6ZTN6_PF11904_155 <unknown description>
+RVDTSLLGFEHMTWQRGRRSFIFKGQEAGALVMEVDHDRQVVHVETLGLTLQEPETLLAA
+MRPSEEHVASRLTSPIVSTHLDTRNVAFERNKCGIWGWRSEKMETVSGYEAKVYSATNVE
+LVTRTRTEHLSDQDKSRSKAGKTPFQSFLGMAQQHSSHTGAPVQQAASPTNPTAISPEEY
+FDPNFSLESRNIGRPIEMSSKVQRFKATLWLSEEHPLSLGDQVTPIIDLMAISNAHFAKL
+RDFITLRLPPGFPVKIEIPLFHVLNARITFSNLCGCDEPLSSVWVPAPSSAVAASGNPFP
+CEVDPTVFEVPNGYS
+>Q8IVF6_PF12796_38 <unknown description>
+IHRAAIKGDAAEVERCLTRRFRDLDARDRKDRTVLHLACAHGRVQVVTLLLHRRCQIDIC
+DRLNRTPLMKAVHSQEEACAIVLLECGANPNIED
+>Q8IVF6_PF12796_133 <unknown description>
+YGNTALHYAVYNKGTSLAERLLSHHANIEALNKEGNTPLLFAINSRRQHMVEFLLKNQAN
+IHAV
+>Q8IVF6_PF14915_327 <unknown description>
+NFMLKKDIAMLKEELYAIKNDSLRKEKKYIQEIKSITEINANFEKSVRLNEKMITKTVAR
+YSQQLNDLKAENARLNSELEKEKHNKERLEAEVESLHSSLATAINEYNEIVERKDLELVL
+WRADDVSRHEKMGSNISQLTDKNELLTEQVHKARVKFNTLKGKLRETRDALREKTLALGS
+VQLDLRQAQHRIKEMKQMHPNGEAKESQSIGKQNSLEERIRQQELENLLLERQLEDARKE
+GDNKEIVINIHRDCLENGKEDLLEERNKELMKEYNYLKEKLLQCEKEKAER
+>Q8IVF6_PF12001_864 <unknown description>
+KKKELTLKDVECKFSKMKTAYEEVTTELEEFKEAFAGAVKANNSMSKKLMKSDKKIAVIS
+TKLFTEKQRMKYFLSTLPTRPEPELPCVENLNSIELNRKYIPKTA
+>Q9BXX3_PF12796_42 <unknown description>
+IHKAASRGQVRKLEKMTKRKKTINLNIQDAQKRTALHWACVNGHEEVVTFLVDRKCQLDV
+LDGEHRTPLMKALQCHQEACANILIDSGADINLVD
+>Q9BXX3_PF00023_138 <unknown description>
+YGNTALHYAVYSEILSVVAKLLSHGAVIEVHN
+>Q9BXX3_PF12796_186 <unknown description>
+QIVEFLLIKNANANAVNKYKCTALMLAVCHGSSEIVGMLLQQNVDVFAADICGVTAEHYA
+VTCGFHHIHEQIMEYIR
+>Q9BXX3_PF14915_1104 <unknown description>
+NCMLKKEIAMLKLEIATLKHQYQEKENKYFEDIKILKEKNAELQMTLKLKEESLTKRASQ
+YSGQLKVLIAENTMLTSKLKEKQDKEILEAEIESHHPRLASAVQDHDQIVTSRKSQEPAF
+HIAGDACLQRKMNVDVSSTIYNNEVLHQPLSEAQRKSKSLKINLNYAGDALRENTLVSEH
+AQRDQRETQCQMKEAEHMYQNEQDNVNKHTEQQESLDQKLFQLQSKNMWLQQQLVHAHKK
+ADNKSKITIDIHFLERKMQHHLLKEKNEEIFNYNNHLKNRIYQYEKEKAE
+>P39687_PF14580_53 <unknown description>
+GLTSIANLPKLNKLKKLELSDNRVSGGLEVLAEKCPNLTHLNLSGNKIKDLSTIEPLKKL
+ENLKSLDLFNCEVTNLNDYRENVFKLLPQLTYLDG
+>Q92688_PF14580_18 <unknown description>
+AVRELVLDNCKSNDGKIEGLTAEFVNLEFLSLINVGLISVSNLPKLPKLKKLELSENRIF
+GGLDMLAEKLPNLTHLNLSGNKLKDISTLEPLKKLECLKSLDLFNCEVTNLNDYRESVFK
+LLPQLTYLD
+>O95626_PF14580_53 <unknown description>
+GLTSIANLPKLNKLKKLELSSNRASVGLEVLAEKCPNLIHLNLSGNKIKDLSTIEPLKKL
+ENLESLDLFTCEVTNLNNY
+>Q9BTT0_PF14580_33 <unknown description>
+EIEGLNDTFKELEFLSMANVELSSLARLPSLNKLRKLELSDNIISGGLEVLAEKCPNLTY
+LNLSGNKIKDLSTVEALQNLKNLKSLDLFNCEITNLEDYRESIFELLQQITYLD
+>A6NCL7_PF12796_140 <unknown description>
+LAECPHVDVNWQDSEGNTALITAAQAGHAIITNYLLNYFPGLDLERRNAFGFTALMKAAM
+QGRTDCIRALMLAGADVHARD
+>Q69YU3_PF12796_10 <unknown description>
+LRAVGQGKLRLARLLLEGGAYVNEGDAQGETALMAACRARYDDPQNKARMVRYLLEQGAD
+PNIADRLGRTALMHACAGGGGAAVASLLLAHGADPSVRD
+>A5PLL1_PF13637_13 <unknown description>
+SLIKAVHQSRLRLTRLLLEGGAYINESNDRGETPLMIACKTKHVD
+>A5PLL1_PF12796_59 <unknown description>
+QSVSKAKMVKYLLENNADPNIQDKSGKTALMHACLEKAGPEVVSLLLKSGADLSLQDHSS
+YSALVYAINSEDTETLKVLLSA
+>P0C6C1_PF12796_16 <unknown description>
+LKAVWLGRLRLTRLLLEGGAYINESNDKGETALMVACITKHVDQQSISKSKMVKYLLDNR
+ADPNIQDKSGKTALIHACIRRAGGEVVSLLLENGADPSLED
+>A6QL64_PF12796_36 <unknown description>
+IHRAVLHGNLEKLKYLLLTYYDANKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCD
+REDRTPLIKAVQLRQEACATLLLQNGANPNITD
+>A6QL64_PF12796_135 <unknown description>
+LHYAVYNEDTSMIEKLLSHGTNIEECSKCEYQPLLFAVSRRKVKMVEFLLKKKANVNAID
+YLGRSALIHAVTLGEKDIVILLLQHNIDVLSRD
+>A6QL64_PF14915_1511 <unknown description>
+NRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNGETLAKTIACYS
+GQLAALTDENTTLRSKLEKQRESRQRLETEMQSYHCRLNAARCDHDQSHSSKRDQELAFQ
+GTVDKCRHLQENLNSHVLILSLQLSKAESKSRVLKTELHYTGEALKEKALVFEHVQSELK
+QKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILN
+IQARCDARVQNLQAECRKHRLLLEEDNKMLVNELNHSKEKECQYEKEKAER
+>Q8N2N9_PF12796_24 <unknown description>
+IHRAVLRGNLEKLKYLLLTYYDANKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCD
+REDRTPLIKAVQLRQEACATLLLQNGADPNITD
+>Q8N2N9_PF12796_123 <unknown description>
+LHYAVYNEDTSMIEKLLSHGTNIEECSKNEYQPLLLAVSRRKVKMVEFLLKKKANVNAID
+YLGRSALILAVTLGEKDIVILLLQHNIDVFSR
+>Q8N2N9_PF14915_949 <unknown description>
+NRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNGETLAKTIACYS
+GQLAALTDENTTLRSKLEKQRESRQRLETEMQSYRCRLNAARCDHDQSHSSKRDQELAFQ
+GTVDKCRHLQENLNSHVLILSLQLSKAESKSRVLKTELHYTGEALKEKALVFEHVQSELK
+QKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILN
+IQARCDARVQNLQAECRKHRLLLEEDNKMLVNELNHSKEKECQYEKEKAER
+>Q5JPF3_PF12796_37 <unknown description>
+HRAVFYRDLEELKFVLLTRYDINKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCDR
+EDRTPLIKAVQLRQEACATLLLQNGADPNITD
+>Q5JPF3_PF12796_135 <unknown description>
+LHYAVYNEDTSMIEKLLSYGANIEECSEDEYPPLFLAVSQRKVKMVEFLLKKKANINAVD
+YLGRSALIHAVTLGEKDIVILLLQHNIDVFSR
+>Q5JPF3_PF14915_1715 <unknown description>
+NRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNEETLAETIACYS
+GQLAALTDENTTLRSKLEKQRESGQRLETEMQSYRCRLNAALCDHDQSHSSKRDQELAFQ
+GTVDKCCHLQENLNSHVLILSLQLSKAESKFRVLETELHYTGEALKEKALVFEHVQSELK
+QKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILN
+IQARCDARVENLQAECRKHRLLLEEDNKMLVNELNHSKEKKCQYEKEKAER
+>P54802_PF12971_30 <unknown description>
+AAVRALVARLLGPGPAADFSVSVERALAAKPGLDTYSLGGGGAARVRVRGSTGVAAAAGL
+HRYLRDFCGCHVAWSGSQLRLPRPLPA
+>P54802_PF05089_130 <unknown description>
+RYYQNVCTQSYSFVWWDWARWEREIDWMALNGINLALAWSGQEAIWQRVYLALGLTQAEI
+NEFFTGPAFLAWGRMGNLHTWDGPLPPSWHIKQLYLQHRVLDQMRSFGMTPVLPAFAGHV
+PEAVTRVFPQVNVTKMGSWGHFNCSYSCSFLLAPEDPIFPIIGSLFLRELIKEFGTDHIY
+GADTFNEMQPPSSEPSYLAAATTAVYEAMTAVDTEAVWLLQGWLFQHQPQFWGPAQIRAV
+LGAVPRGRLLVLDLFAESQPVYTRTASFQGQPFIWCMLHNFGGNHGLFGALEAVNGGPEA
+ARLFPNSTMVGTGMAPEGISQNEVVYSLMAELGWRK
+>P54802_PF12972_474 <unknown description>
+WVTSFAARRYGVSHPDAGAAWRLLLRSVYNCSGEACRGHNRSPLVRRPSLQMNTSIWYNR
+SDVFEAWRLLLTSAPSLATSPAFRYDLLDLTRQAVQELVSLYYEEARSAYLSKELASLLR
+AGGVLAYELLPALDEVLASDSRFLLGSWLEQARAAAVSEAEADFYEQNSRYQLTLWGPEG
+NILDYANKQLAGLVANYYTPRWRLFLEALVDSVAQGIPFQQHQFDKNVFQLEQAFVLSKQ
+RYPSQPRGDTVDLAKKIFL
+>Q9UJX6_PF00888_507 <unknown description>
+SIYGSKDLFINEYRSLLADRLLHQFSFSPEREIRNVELLKLRFGEAPMHFCEVMLKDMAD
+SRRINANIREEDEKRPAEEQPPFGVYAVILSSEFWPPFKDEKLEVPEDIRAALEAYCKKY
+EQLKAMRTLSWKHTLGLVTMDVELADRTLSVAVTPVQAVILLYFQDQASWTLEELSKAVK
+MPVALLRRRMSVWLQQGVLREEPP
+>Q9UJX6_PF08672_757 <unknown description>
+YIQAMLTNLESLSLDRIYNMLRMFVVTGPALAEIDLQELQGYLQKKVRDQQLVYSAGVYR
+L
+>Q96K21_PF01363_78 <unknown description>
+SRCYGCAVKFTLFKKEYGCKNCGRAFCSGCLSFSAAVPRTGNTQQKVCKQCHEVL
+>P10275_PF02166_6 <unknown description>
+GLGRVYPRPPSKTYRGAFQNLFQSVREVIQNPGPRHPEAASAAPPGASLLLLQQQQQQQQ
+QQQQQQQQQQQQQQQETSPRQQQQQQGEDGSPQAHRRGPTGYLVLDEEQQPSQPQSALEC
+HPERGCVPEPGAAVAASKGLPQQLPAPPDEDDSAAPSTLSLLGPTFPGLSSCSADLKDIL
+SEASTMQLLQQQQQEAVSEGSSSGRAREASGAPTSSKDNYLGGTSTISDNAKELCKAVSV
+SMGLGVEALEHLSPGEQLRGDCMYAPLLGVPPAVRPTPCAPLAECKGSLLDDSAGKSTED
+TAEYSPFKGGYTKGLEGESLGCSGSAAAGSSGTLELPSTLSLYKSGALDEAAAYQSRDYY
+NFPLALAGPPPPPPPPHPHARIKLENPLDYGSAWAAAAAQCRYGDLASLHGAGAAGPGSG
+SPSAAASSSWHTLFTAEEGQLYGP
+>P10275_PF00105_559 <unknown description>
+TCLICGDEASGCHYGALTCGSCKVFFKRAAEGKQKYLCASRNDCTIDKFRRKNCPSCRLR
+KCYEAGMT
+>P10275_PF00104_696 <unknown description>
+DSFAALLSSLNELGERQLVHVVKWAKALPGFRNLHVDDQMAVIQYSWMGLMVFAMGWRSF
+TNVNSRMLYFAPDLVFNEYRMHKSRMYSQCVRMRHLSQEFGWLQITPQEFLCMKALLLFS
+IIPVDGLKNQKFFDELRMNYIKELDRIIACKRKNPTSCSRRFYQLTKLLDSVQPIARELH
+QFTF
+>P16860_PF00212_99 <unknown description>
+RAPRSPKMVQGSGCFGRKMDRISSSSGLGC
+>P23582_PF00212_96 <unknown description>
+RKYKGANKKGLSKGCFGLKLDRIGSMSGLGC
+>Q9P2R3_PF00651_60 <unknown description>
+DLYEQEQYSDLKIKVGDRHISAHKFVLAARSDSWSLANLSSTKELDLSDANPEVTMTMLR
+WIYTDELEFREDDVFLTELMKLANRFQLQLLRERCEK
+>Q9P2R3_PF13637_268 <unknown description>
+LESIATTLVSHKADVDMVDKSGWSLLHKGIQRGDLFAATFLI
+>Q9P2R3_PF00023_325 <unknown description>
+TPLHLVALYSSKKHSADVMSEMAQIAEALLQAGANPNMQD
+>Q9P2R3_PF13857_477 <unknown description>
+LATNGAHVNHRNKWGETPLHTACRHGLANLTAELLQQGANPNLQTEEA
+>Q9P2R3_PF00023_544 <unknown description>
+QTPLHMAIAYNHPDVVSVILEQKANALHATNN
+>Q9P2R3_PF12796_598 <unknown description>
+WTGMHTIAAQLLGSGAAINDTMSDGQTLLHMAIQRQDSKSALFLLEHQADINVRTQDGET
+ALQLAIRNQLPLVVDAICTRGADMSV
+>Q9P2R3_PF12796_767 <unknown description>
+ARDGQTPLHLAASWGLEETVQCLLEFGANVNAQDAEGRTPIHVAISSQHGVIIQLLVSHP
+DIHLNVRD
+>Q9P2R3_PF12796_910 <unknown description>
+LHLAVQAGSEIIVRNLLLAGAKVNELTKHRQTALHLAAQQDLPTICSVLLENGVDFAAVD
+ENGNNALHLAVMHGRLNNIRVLLTECTVDA
+>Q9P2R3_PF01363_1108 <unknown description>
+SYCYECTARFGVTTRKHHCRHCGRLLCHKCSTKEIPIIKFDLNKPVRVCNICFDVL
+>P01160_PF00212_122 <unknown description>
+PRSLRRSSCFGGRMDRIGAQSGLGC
+>Q9UNK9_PF03372_248 <unknown description>
+MSYNILAQDLMQQSSELYLHCHPDILNWNYRFVNLMQEFQHWDPDILCLQEVQEDHYWEQ
+LEPSLRMMGFTCFYKRRTGCKTDGCAVCYKPTRFRLLCASPVEYFRPGLELLNRDNVGLV
+LLLQPLVPEGLGQVSVAPLCVANTHILYNPRRGDVKLAQMAILLAEVDKVARLSDGSHCP
+IILCGDLNSVPDSPLYNFIRDGELQYHGMPAWKVSGQEDFSHQLYQRKLQAPLWPSSLGI
+TDCCQYVTSCHPKRSERRKYGRDFLLRFRFCSIACQRPVGLVLMEGVTDTKPERPAGWAE
+SVLEEDASELEPAFSRTVGTIQHCLHLTSVYTHFLPQRGRPEVTTMPLGLGMTVDYIFFS
+AESCENGNRTDHRLYRDGTLKLLGRLSLLSEEILWAANGLPNPFCSSDH
+>Q5VTE6_PF19339_23 <unknown description>
+MFPHHSRSLGRDWTTPWENLQRCCWNRHISSCMRWPGHYSRAPYPYFSSRHFSLNWRPPC
+LFESRTQFQYCNWRPDNLSQTSLIHLSSYVMNAEGDEPSSKRRKHQ
+>Q5VTE6_PF19339_126 <unknown description>
+KHQGVIKRNWEYICSHDKEKTKILGDKNVDPKCE
+>Q5VTE6_PF03372_170 <unknown description>
+MSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFPNILKEIKHFDADVLCLQEVQEDHYGAE
+IRPSLESLGYHCEYKMRTGRKPDGCAICFKHSKFSLLSVNPVEFFRPDISLLDRDNVGLV
+LLLQPKIPYAACPAICVANTHLLYNPRRGDIKLTQLAMLLAEISSVAHQKDGSFCPIVMC
+GDFNSVPGSPLYSFIKEGKLNYEGLPIGKVSGQEQSSRGQRILSIPIWPPNLGISQNCVY
+EVQQVPKVEKTDSDLTQTQLKQTEVLVTAEKLSSNLQHHFSLSSVYSHYFPDTGIPEVTT
+CHSRSAITVDYIFYSAEKEDVAGHPGAEVALVGGLKLLARLSLLTEQDLWTVNGLPNENN
+SSDH
+>P03950_PF00074_29 <unknown description>
+RYTHFLTQHYDAKPQGRDDRYCESIMRRRGLTSPCKDINTFIHGNKRSIKAICENKNGNP
+HRENLRISKSSFQVTTCKLHGGSPWPPCQYRATAGFRNVVVACENGLPVHLD
+>O95841_PF00147_278 <unknown description>
+KDCQQAKEAGHSVSGIYMIKPENSNGPMQLWCENSLDPGGWTVIQKRTDGSVNFFRNWEN
+YKKGFGNIDGEYWLGLENIYMLSNQDNYKLLIELEDWSDKKVYAEYSSFRLEPESEFYRL
+RLGTYQGNAGDSMMWHNGKQFTTLDRDKDMYAGNCAHFHKGGWWYNACAHSNLNGVWYRG
+GHYRSKHQDGIFWAEYRGGSYSLRAVQMMIKP
+>Q9UKU9_PF00147_276 <unknown description>
+RDCLQALEDGHDTSSIYLVKPENTNRLMQVWCDQRHDPGGWTVIQRRLDGSVNFFRNWET
+YKQGFGNIDGEYWLGLENIYWLTNQGNYKLLVTMEDWSGRKVFAEYASFRLEPESEYYKL
+RLGRYHGNAGDSFTWHNGKQFTTLDRDHDVYTGNCAHYQKGGWWYNACAHSNLNGVWYRG
+GHYRSRYQDGVYWAEFRGGSYSLKKVVMMIRP
+>Q9Y5C1_PF00147_243 <unknown description>
+PAECTTIYNRGEHTSGMYAIRPSNSQVFHVYCDVISGSPWTLIQHRIDGSQNFNETWENY
+KYGFGRLDGEFWLGLEKIYSIVKQSNYVLRIELEDWKDNKHYIEYSFYLGNHETNYTLHL
+VAITGNVPNAIPENKDLVFSTWDHKAKGHFNCPEGYSGGWWWHDECGENNLNGKYNKPRA
+KSKPERRRGLSWKSQNGRLYSIKSTKMLIHP
+>Q9BY76_PF00147_185 <unknown description>
+PRDCQELFQVGERQSGLFEIQPQGSPPFLVNCKMTSDGGWTVIQRRHDGSVDFNRPWEAY
+KAGFGDPHGEFWLGLEKVHSITGDRNSRLAVQLRDWDGNAELLQFSVHLGGEDTAYSLQL
+TAPVAGQLGATTVPPSGLSVPFSTWDQDHDLRRDKNCAKSLSGGWWFGTCSHSNLNGQYF
+RSIPQQRQKLKKGIFWKTWRGRYYPLQATTMLIQP
+>Q86XS5_PF00147_161 <unknown description>
+TKTPSGLYIIHPEGSSYPFEVMCDMDYRGGGRTVIQKRIDGIIDFQRLWCDYLDGFGDLL
+GEFWLGLKKIFYIVNQKNTSFMLYVALESEDDTLAYASYDNFWLEDETRFFKMHLGRYSG
+NAGDAFRGLKKEDNQNAMPFSTSDVDNDGCRPACLVNGQSVKSCSHLHNKTGWWFNECGL
+ANLNGIHHFSGKLLATGIQWGTWTKNNSPVKIKSVSMKIR
+>Q8NI99_PF00147_258 <unknown description>
+QDCAEARQAGHEQSGVYELRVGRHVVSVWCEQQLEGGGWTVIQRRQDGSVNFFTTWQHYK
+AGFGRPDGEYWLGLEPVYQLTSRGDHELLVLLEDWGGRGARAHYDGFSLEPESDHYRLRL
+GQYHGDAGDSLSWHNDKPFSTVDRDRDSYSGNCALYQRGGWWYHACAHSNLNGVWHHGGH
+YRSRYQDGVYWAEFRGGAYSLRKAAMLIRP
+>O43827_PF00147_130 <unknown description>
+DCSSLYQKNYRISGVYKLPPDDFLGSPELEVFCDMETSGGGWTIIQRRKSGLVSFYRDWK
+QYKQGFGSIRGDFWLGNEHIHRLSRQPTRLRVEMEDWEGNLRYAEYSHFVLGNELNSYRL
+FLGNYTGNVGNDALQYHNNTAFSTKDKDNDNCLDKCAQLRKGGYWYNCCTDSNLNGVYYR
+LGEHNKHLDGITWYGWHGSTYSLKRVEMKIRP
+>Q15389_PF00147_284 <unknown description>
+RDCADVYQAGFNKSGIYTIYINNMPEPKKVFCNMDVNGGGWTVIQHREDGSLDFQRGWKE
+YKMGFGNPSGEYWLGNEFIFAITSQRQYMLRIELMDWEGNRAYSQYDRFHIGNEKQNYRL
+YLKGHTGTAGKQSSLILHGADFSTKDADNDNCMCKCALMLTGGWWFDACGPSNLNGMFYT
+AGQNHGKLNGIKWHYFKGPSYSLRSTTMMIRP
+>O15123_PF00147_280 <unknown description>
+FRDCAEVFKSGHTTNGIYTLTFPNSTEEIKAYCDMEAGGGGWTIIQRREDGSVDFQRTWK
+EYKVGFGNPSGEYWLGNEFVSQLTNQQRYVLKIHLKDWEGNEAYSLYEHFYLSSEELNYR
+IHLKGLTGTAGKISSISQPGNDFSTKDGDNDKCICKCSQMLTGGWWFDACGPSNLNGMYY
+PQRQNTNKFNGIKWYYWKGSGYSLKATTMMIRP
+>Q9Y264_PF00147_289 <unknown description>
+QDCAEIQRSGASASGVYTIQVSNATKPRKVFCDLQSSGGRWTLIQRRENGTVNFQRNWKD
+YKQGFGDPAGEHWLGNEVVHQLTRRAAYSLRVELQDWEGHEAYAQYEHFHLGSENQLYRL
+SVVGYSGSAGRQSSLVLQNTSFSTLDSDNDHCLCKCAQVMSGGWWFDACGLSNLNGVYYH
+APDNKYKMDGIRWHYFKGPSYSLRASRMMIRP
+>P01019_PF00079_102 <unknown description>
+NFLGFRIYGMHSELWGVVHGATVLSPTAVFGTLASLYLGALDHTADRLQAILGVPWKDKN
+CTSRLDAHKVLSALQAVQGLLVAQGRADSQAQLLLSTVVGVFTAPGLHLKQPFVQGLALY
+TPVVLPRSLDFTELDVAAEKIDRFMQAVTGWKTGCSLMGASVDSTLAFNTYVHFQGKMKG
+FSLLAEPQEFWVDNSTSVSVPMLSGMGTFQHWSDIQDNFSVTQVPFTESACLLLIQPHYA
+SDLDKVEGLTFQQNSLNWMKKLSPRTIHLTMPQLVLQGSYDLQDLLAQAELPAILHTELN
+LQKLSNDRIRVGEVLNSIFFELEADEREPTESTQQLNKPEVLEVTLNRPFLFAVYDQSAT
+ALHFLGRVANP
+>P16157_PF12796_18 <unknown description>
+RAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVELLHKEIILETTTKK
+GNTALHIAALAGQDEVVRELVNYGANVNAQ
+>P16157_PF12796_110 <unknown description>
+KGFTPLYMAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGT
+>P16157_PF13637_174 <unknown description>
+LPALHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLL
+>P16157_PF12796_233 <unknown description>
+NFTPQNGITPLHIASRRGNVIMVRLLLDRGAQIETKTKDELTPLHCAARNGHVRISEILL
+DHGAPIQAK
+>P16157_PF12796_303 <unknown description>
+KNGLSPIHMAAQGDHLDCVRLLLQYDAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGA
+KPNSR
+>P16157_PF12796_370 <unknown description>
+NGFTPLHIACKKNHVRVMELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLLQRGAS
+PNVS
+>P16157_PF12796_438 <unknown description>
+ETPLHMAARAGHTEVAKYLLQNKAKVNAKAKDDQTPLHCAARIGHTNMVKLLLENNANPN
+L
+>P16157_PF12796_503 <unknown description>
+GHTPLHIAAREGHVETVLALLEKEASQACMTKKGFTPLHVAAKYGKVRVAELLLERDAHP
+NA
+>P16157_PF12796_567 <unknown description>
+KNGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQVEVARSLLQYGG
+SAN
+>P16157_PF12796_639 <unknown description>
+LHLAAQEGHAEMVALLLSKQANGNLGNKSGLTPLHLVAQEGHVPVADVLIKHGVMVDATT
+RMGYTPLHVASHYGNIKLVKFLLQHQADVNAK
+>P16157_PF13637_735 <unknown description>
+YSPLHQAAQQGHTDIVTLLLKNGASPNEVSSDGTTPLAIA
+>P16157_PF00791_915 <unknown description>
+VSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLSTPPPLAEEEGLASR
+IIALGPTGAQFLSPVIVEIPHFASHGRGDRELVVLRSE
+>P16157_PF17809_1236 <unknown description>
+VPYMAKFVIFAKMNDPREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMSLFA
+ELSGNLVPVKKAAQQRSFHFQSFRENRLAMPVKVRDSSREPGGSLSFLRKAMKYEDTQHI
+LCHLNITMP
+>P16157_PF00531_1404 <unknown description>
+EMKMAVISEHLGLSWAELARELQFSVEDINRIRVENPNSLLEQSVALLNLWVIREGQNAN
+MENLYTALQSIDRGEIVNMLE
+>Q01484_PF12796_37 <unknown description>
+RAARAGNLDKVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKK
+GNTALHIASLAGQAEVVKVLVKEGANINAQ
+>Q01484_PF12796_128 <unknown description>
+QNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLEND
+>Q01484_PF13637_193 <unknown description>
+LPALHIAARKDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYGNVNVATLL
+L
+>Q01484_PF12796_263 <unknown description>
+ARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLERG
+A
+>Q01484_PF00023_331 <unknown description>
+NGLSPLHMAAQGDHVECVKHLLQHKAPVD
+>Q01484_PF12796_390 <unknown description>
+NPNARALNGFTPLHIACKKNRIKVMELLVKYGASIQAITESGLTPIHVAAFMGHLNIVLL
+LLQNGASPDVTN
+>Q01484_PF12796_468 <unknown description>
+LHMAARAGQVEVVRCLLRNGALVDARAREEQTPLHIASRLGKTEIVQLLLQHMAHPDAAT
+TNGYTPLHISAREGQVDVASVLLEAGA
+>Q01484_PF12796_562 <unknown description>
+KGFTPLHVAAKYGSLDVAKLLLQRRAAADSAGKNGLTPLHVAAHYDNQKVALLLLEKGAS
+PHAT
+>Q01484_PF12796_627 <unknown description>
+KNGYTPLHIAAKKNQMQIASTLLNYGAETNIVTKQGVTPLHLASQEGHTDMVTLLLDKGA
+NIHM
+>Q01484_PF13637_729 <unknown description>
+YTPLIVACHYGNVKMVNFLLKQGANVNAKTKNGYTPLHQAAQQGHTHIINVLL
+>Q01484_PF00791_970 <unknown description>
+VSFMVDARGGAMRGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRLATMPPMVEGEGLASR
+LIEVGPSGAQFLGKL
+>Q01484_PF00791_1048 <unknown description>
+TAPPPLNEGESLVSRILQLGPPGTKFLGPVIVEIPHFAALRGKERELVVLRSE
+>Q01484_PF17809_1324 <unknown description>
+VPYMAKFVVFAKSHDPIEARLRCFCMTDDKVDKTLEQQENFAEVARSRDVEVLEGKPIYV
+DCFGNLVPLTKSGQHHIFSFFAFKENRLPLFVKVRDTTQEPCGRLSFMKEPKSTRGLVHQ
+AICNLNITLP
+>Q01484_PF00531_3570 <unknown description>
+EERLAYIADHLGFSWTELARELDFTEEQIHQIRIENPNSLQDQSHALLKYWLERDGKHAT
+DTNLVECLTKINRMDIVHLME
+>Q12955_PF12796_48 <unknown description>
+AARAGHLEKALDYIKNGVDINICNQNGLNALHLASKEGHVEVVSELLQREANVDAATKKG
+NTALHIASLAGQAEVVKVLVTNGANVNAQ
+>Q12955_PF00023_139 <unknown description>
+NGFTPLYMAAQENHLEVVKFLLDNGAS
+>Q12955_PF12796_204 <unknown description>
+PALHIAARKDDTKAAALLLQNDNNADVESKSGFTPLHIAAHYGNINVATLLLNRAAAVDF
+>Q12955_PF12796_267 <unknown description>
+NDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVVEMLLDRAAP
+>Q12955_PF12796_332 <unknown description>
+KNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHCGHYKVAKVLLDKKA
+NPNAK
+>Q12955_PF13637_402 <unknown description>
+TPLHIACKKNRIKVMELLLKHGASIQAVTESGLTPIHVAAFMGHVNIV
+>Q12955_PF13606_466 <unknown description>
+GETALHMAARSGQAEVVRYLVQDGAQV
+>Q12955_PF12796_503 <unknown description>
+LHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLSAREGHEDVAAFLLDHGASLSITT
+KKGFTPLHVAAKYGKLEVANLLLQKSASPD
+>Q12955_PF13637_599 <unknown description>
+LTPLHVAAHYDNQKVALLLLDQGASPHAAAKNGYTPLHIAAKKNQMDIATTLL
+>Q12955_PF12796_669 <unknown description>
+HLAAQEGHVDMVSLLLGRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTK
+MGYTPLHVGCHYGNIKIVNFLLQHSAKVNAK
+>Q12955_PF13637_764 <unknown description>
+YTPLHQAAQQGHTHIINVLLQNNASPNELTVNGNTALGIARRLGYISVV
+>Q12955_PF00791_986 <unknown description>
+VSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASR
+LVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSE
+>Q12955_PF17809_1308 <unknown description>
+VPYMAKFVVFAKMNDPVESSLRCFCMTDDKVDKTLEQQENFEEVARSKDIEVLEGKPIYV
+DCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQT
+AVCNLNITLP
+>Q12955_PF00531_4093 <unknown description>
+RMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTD
+ALTSVLTKINRIDIVTLLE
+>Q7Z5J8_PF00514_732 <unknown description>
+DQYWRCILDAGTIPALINLLKSSKIKLQCKTVGLLSNIS
+>Q9NU02_PF12796_18 <unknown description>
+QCVRNKDKKQIEKLTKLGYPELINYTEPINGLSALHLASVSNDIDMVSFLLDLGAHPDVQ
+DRMGCTPTMRAAELGHELSMEILAKAKADMTIV
+>Q9NU02_PF12796_156 <unknown description>
+ACEDAHDVKDVCLTFLEKGANPNAINSSTGRTALMEASREGVVEIVRGILERGGEVNAFD
+NDRHHAAHFAAKGGFFDILKLLFAYNGDV
+>Q9NU02_PF00023_250 <unknown description>
+NGNTPLHYAAMGGFADCCKYIAQRGCDL
+>Q9NU02_PF13637_527 <unknown description>
+TPLMTACASGNIDVVKFLLEKGANVNATDNFLWTPLHFACHAGQQDIVELLV
+>Q8IWZ3_PF12796_296 <unknown description>
+ADVNSQSATGNTALTYACAGGFVDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVAR
+VLLDHGAGINT
+>Q8IWZ3_PF12796_371 <unknown description>
+FKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQ
+VNM
+>Q8IWZ3_PF12796_439 <unknown description>
+ESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLMEAAREGHEEMVALLLAQGANIN
+AQ
+>Q8IWZ3_PF12796_539 <unknown description>
+LMEASQEGHLELVKYLLASGANVHATTATGDTALTYACENGHTDVADVLLQAGADLEHES
+EGGRTPLMKAARAGHLCTVQFLISKGANVNR
+>Q8IWZ3_PF13637_637 <unknown description>
+TVVSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTNVVSYLL
+>Q8IWZ3_PF12796_1046 <unknown description>
+DIDAHTESNHDTALTLACAGGHEELVSVLIARDAKIEHRDKKGFTPLILAATAGHVGVVE
+ILLDKGGDIEAQ
+>Q8IWZ3_PF12796_1122 <unknown description>
+KDTPLSLACSGGRQEVVDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEI
+NSR
+>Q8IWZ3_PF12796_1194 <unknown description>
+LMLAAMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHR
+AKTGLTPLMEAASGGYAEVGRVLLDKGADVNA
+>Q8IWZ3_PF12796_1296 <unknown description>
+LTIAADKGHYKFCELLIHRGAHIDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAAD
+NRKITPLMSAFRKGHVKVVQYLVK
+>Q8IWZ3_PF00013_1699 <unknown description>
+KLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGERMITIRGGTESTRYAVQL
+IN
+>Q9HCJ1_PF07260_1 <unknown description>
+MVKFPALTHYWPLIRFLVPLGITNIAIDFGEQALNRGIAAVKEDAVEMLASYGLAYSLMK
+FFTGPMSDFKNVGLVFVNSKRDRTKAVLCMVVAGAIAAVFHTLIAYSDLGYYIINKLHHV
+DESVGSKTRRAFLYLAAFPFMDAMAWTHAGILLKHKYSFLVGCASISDVIAQVVFVAILL
+HSHLECREPLLIPILSLYMGALVRCTTLCLGYYKNIHDIIPDRSGPELGGDATIRKMLSF
+WWPLALILATQRISRPIVNLFVSRDLGGSSAATEAVAILTATYPVGHMPYGWLTEIRAVY
+PAFDKNNPSNKLVSTSNTVTAAHIKKFTFVCMALSLTLCFVMFWT
+>Q8NFD2_PF07714_28 <unknown description>
+VASGGFSQVFQARHRRWRTEYAIKCAPCLPPDAASSDVNYLIEEAAKMKKIKFQHIVSIY
+GVCKQPLGIVMEFMANGSLEKVLSTHSLCWKLRFRIIHETSLAMNFLHSIKPPLLHLDLK
+PGNILLDSNMHVKISDFGLSKWMEQSTRMQYIERSALRGMLSYIPPEMFLESNKAPGPKY
+DVYSFAIVIWELLTQKKPYSGFNMMMIIIRVAAGMRPSLQPVSDQWPSEAQQMVDLMKRC
+WDQDPKKRPCFLDIT
+>Q8NFD2_PF13637_364 <unknown description>
+TPLHFLVAQGSVEQVRLLLAHEVDVDCQTASGYTPLLIAAQ
+>Q8NFD2_PF12796_422 <unknown description>
+NRVDEDGWAPLHFAAQNGDDGTARLLLDHGACVDAQEREGWTPLHLAAQNNFENVARLLV
+SRQADPNL
+>Q8NFD2_PF12796_498 <unknown description>
+LHVAAYFGHVSLVKLLTSQGAELDAQQRNLRTPLHLAVERGKVRAIQHLLKSGAVPDALD
+QSGYGPLHTAAARGKYLICKMLLRYGASLE
+>Q8NFD2_PF00023_593 <unknown description>
+GWTPLHLAAYKGHLEIIHLLAESHANM
+>Q8NFD2_PF12796_631 <unknown description>
+HLAARHGEEAVVSALLQCGADPNAAEQSGWTPLHLAVQRSTFLSVINLLEHHANVHARNK
+VGWTPAHLAALKGNTAILKVLVEAGAQLDVQD
+>Q8NAG6_PF12796_18 <unknown description>
+EEPWAVEELLRCGADPNLVLEDGAAAVHLAAGARHPRGLRCLGALLRQGGDPNARSVEAL
+TPLHVAAAWGCRRGLELLLSQGADPALRD
+>Q8NAG6_PF03020_358 <unknown description>
+VSTVSDLELLKGLRALGENPHPITPFTRQLYHQQLE
+>Q86XL3_PF03020_78 <unknown description>
+DDLREEIVKAGLKCGPITSTTRFIFEKKLAQ
+>Q86XL3_PF01693_217 <unknown description>
+YVYENKKEALQAVKMIKGSRFKAFSTREDAEKF
+>Q15327_PF12796_126 <unknown description>
+KAALENKLPVVEKFLSDKNNPDVCDEYKRTALHRACLEGHLAIVEKLMEAGAQIEFRDML
+ESTAIHWASRGGNLDVLKLLLNKGAKISARD
+>Q15327_PF12796_221 <unknown description>
+TALHVAVRTGHYECAEHLIACEADLNAKDREGDTPLHDAVRLNRYKMIRLLIMYGADLNI
+KN
+>Q9Y2G4_PF13857_38 <unknown description>
+VTKHGRTPLHLAANKGHLPVVQILLKAGCDLDVQDDGDQTALHRA
+>Q9Y2G4_PF13637_86 <unknown description>
+GNTEIIAALIHEGCALDRQDKDGNTALHEASWHGFSQSAKLLI
+>Q9Y2G4_PF12796_140 <unknown description>
+AGNTALHLACQNSHSQSTRVLLLAGSRADLKNNAGDTCLHVAARYNHLSIIRLLLTAFCS
+VHE
+>Q9Y2G4_PF12796_205 <unknown description>
+QAGDTALHVAAALNHKKVAKILLEAGADTTIVNNAGQTPLETARYHNNPEVALLLT
+>Q92527_PF12796_29 <unknown description>
+LHRAASVGDLKKLKEYLQIKKYDVNMQDKKYRTPLHLACANGHTDVVLFLIEQQCKINVR
+DSENKSPLIKAVQCQNEDCATILLNFGADPDLRD
+>Q92527_PF12796_129 <unknown description>
+LHYAVCGQSLSLVEKLLEYEADLEAKNKDGYTPLLVAVINNNPKMVKFLLEKGADVNASD
+NYQRTALILAVSGEPPCLVKLLLQQGVEL
+>Q6ZW76_PF12796_39 <unknown description>
+LHTAASIGQYEVVKECVQRRELDLNKKNGGGWTPLMYASYIGHDTIVHLLLEAGVSVNVP
+TPEGQTPLMLASSCGNESIAYFLLQQGAELEMKD
+>Q6ZW76_PF12796_134 <unknown description>
+QGWTALFHCTSAGHQHMVRFLLDSGANANVREPICGFTPLMEAAAAGHEIIVQYFLNHGV
+KVDARD
+>Q6ZW76_PF00536_426 <unknown description>
+GPQDLAALLEQIGCLKYLQVFEEQDVDLRIFLTLTESDLKEIGITLFGPKRKMTSAIARW
+>Q68DC2_PF12796_72 <unknown description>
+DCSDEAGNTALQFAAAGGHEPLVRFLLRRGASVNSRNHYGWSALMQAARFGHVSVAHLLL
+DHGADVNAQN
+>Q68DC2_PF12796_150 <unknown description>
+VASRGGHLGVVKLLLEAGAFVDHHHPSGEQLGLGGSRDEPLDITALMAAIQHGHEAVVRL
+LMEWGADPNHAARTVGWSPLMLAALTGRLGVAQQLVEKGANPD
+>Q68DC2_PF12796_299 <unknown description>
+ALKMGNFQLVKEIADEDPSHVNLVNGDGATPLMLAAVTGQLALVQLLVERHADVDKQDSV
+HGWTALMQATYHGNKEIVKYLLNQGADVTLR
+>Q68DC2_PF00536_782 <unknown description>
+LKKLSLEKYQPIFEEQEVDMEAFLTLTDGDLKELGIKTDGSRQQILAAISEL
+>A6NFN9_PF13637_243 <unknown description>
+PIHAAAEAGQLLILKAFVNYSVLCLECKNAAGQTPLTIVFKHKHKDCVLYLL
+>Q8IV38_PF12796_31 <unknown description>
+LLSSKNVRVNCLDENGMTPLMHAAYKGKLDMCKLLLRHGADVNCHQHEHGYTALMFAALS
+GNKDITWVMLEAGAETD
+>Q8IV38_PF01753_320 <unknown description>
+CTTCGEKGASKRCSVCKMVIYCDQTCQKTHWFTHKKIC
+>Q9H8Y5_PF18826_208 <unknown description>
+VVLMAAAGHFAGAIFQGREVVTHKTFHRYTVRAKRGTAQGLRDARGGPSHSAGANLRRYN
+EATLYKDVRDLLAGPSWAKALEEAGTILLRAPRSGRSLFFGGKGAPLQRGDPRLWDIPLA
+TRRPTFQELQRVLHKLTTLHVYE
+>Q9H8Y5_PF00023_535 <unknown description>
+GFTLLHAAAAAGRGSVVRLLLEAGADPTVQD
+>Q9H8Y5_PF18716_683 <unknown description>
+VNTRRCWSCGASLQGLTPFHYLDFSFCSTRCLQDHRRQAGRP
+>Q9NQW6_PF16018_142 <unknown description>
+VKTRMQKLAEQRRRWDNDDMTDDIPESSLFSPMPSEEKAASPPRPLLSNASATPVGRRGR
+LANLAATICSWEDDVNHSFAKQNSVQEQ
+>Q9NQW6_PF16018_429 <unknown description>
+QERQKELACLRGRFDKGNIWSAEKGGNSKSKQLETKQETHCQSTPLKKHQGVSKTQSLPV
+TEKVTENQIPAKNS
+>Q9NQW6_PF08174_800 <unknown description>
+SKGSVTLSEIRLPLKADFVCSTVQKPDAANYYYLIILKAGAENMVATPLASTSNSLNGDA
+LTFTTTFTLQDVSNDFEINIEVYSLVQKKDPSGLDKKKKTSKSKAITPKRLLTSITTKSN
+IHSSVMASPGGLSAVRTSNFALVGSYTLSLSSVG
+>Q9NQW6_PF00169_987 <unknown description>
+RGFLTIFEDVSGFGAWHRRWCVLSGNCISYWTYPDDEKRKNPIGRINLANCTSRQIEPAN
+REFCARRNTFELITVRPQREDDRETLVSQCRDTLCVTKNWLSADTKEERDLWMQKLNQVL
+>Q99873_PF13649_92 <unknown description>
+VLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHVVTIIKGKVEEVEL
+PVEKVDIIISEWMGYCLFYESMLNTVLYARDKWLAPDG
+>P55345_PF00018_36 <unknown description>
+VAIADYAATDETQLSFLRGEKILILRQTTADWWWGERAGCCGYIPA
+>P55345_PF05175_130 <unknown description>
+LQNKESLTDKVILDVGCGTGIISLFCAHYARPRAVYAVEASEMAQHTGQLVLQNGFADII
+TVYQQKVEDVVLPEKVDVLVS
+>O60678_PF06325_255 <unknown description>
+KDKVVLDVGCGTGILSMFAAKAGAKKVLGVDQSEILYQAMDIIRLNKLEDTITLIKGKIE
+EVHLPVEKVDVIISE
+>O14744_PF17285_37 <unknown description>
+GFDFLCMPVFHPRFKREFIQEPAKNRPGPQTRSDLLLSGRDWNTLIVGKLSPWIRPDSKV
+EKIRRNSEAAMLQELNFGAYLGLPAFLLPLNQEDNTNLARVLTNHIHTGHHSSMFWMRVP
+LVAPEDLRDDIIENAPTTHTEEYSGEEKTWMWWHNFRTLCDYSKRIAVALEIGADLPSNH
+VIDRWLGEPIKAAILPTSIFLTNKKGFPVLSKMHQRLIFRLLKLEVQFIITGTNHHSEKE
+FCSYLQYLEYLSQN
+>O14744_PF05185_297 <unknown description>
+YELFAKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKYSQYQQAIYKCLLDRVPEEEKDT
+NVQVLMVLGAGRGPLVNASLRAAKQADRRIKLYAVEKNPNAVVTLENWQFEEWGSQVTVV
+SSDMREWVAPEKADIIVSELLGSFADNELSPECLDGAQHFLKDDGVSI
+>O14744_PF17286_467 <unknown description>
+EYTSFLAPISSSKLYNEVRACREKDRDPEAQFEMPYVVRLHNFHQLSAPQPCFTFSHPNR
+DPMIDNNRYCTLEFPVEVNTVLHGFAGYFETVLYQDITLSIRPETHSPGMFSWFPILFPI
+KQPITVREGQTICVRFWRCSNSKKVWYEWAVTAPVCSAIHNPTGRSYTI
+>Q96LA8_PF13649_86 <unknown description>
+VLDVGAGTGILSIFCAQAGARRVYAVEASAIWQQAREVVRFNGLEDRVHVLPGPVETVEL
+PEQVDAIVSEWMGYGLLHESMLSSVLHARTKWLKEGG
+>Q9NVM4_PF06325_65 <unknown description>
+KALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMADAAVKIVEKNGFSDKIKVINKHST
+>Q9NR22_PF06325_108 <unknown description>
+HVFKDKVVLDVGSGTGILSMFAAKAGAKKVFGIECSSISDYSEKIIKANHLDNIITIFKG
+KVEEVELPVEKVDIIISE
+>Q6P2P2_PF06325_175 <unknown description>
+CLGSKSVLDIGAGTGILSMFAKKAGAHSVYACELSKTMYELACDVVAANKMEAGIKLLHT
+>Q9NW15_PF04547_200 <unknown description>
+IRGYFGETIALYFGFLEYFTFALIPMAVIGLPYYLFVWEDYDKYVIFASFNLIWSTVILE
+LWKRGCANMTYRWGTLLMKRKFEEPRPGFHGVLGINSITGKEEPLYPSYKRQLRIYLVSL
+PFVCLCLYFSLYVMMIYFDMEVWALGLHENSGSEWTSVLLYVPSIIYAIVIEIMNRLYRY
+AAEFLTSWENHRLESAYQNHLILKVLVFNFLNCFASLFYIAFVLKDMKLLRQSLATLLIT
+SQILNQIMESFLPYWLQRKHGVRVKRKVQALKADIDATLYEQVILEKEMGTYLGTFDDYL
+ELFLQFGYVSLFSCVYPLAAAFAVLNNFTEVNSDALKMCRVFKRPFSEPSANIGVWQLAF
+ETMSVISVVTNCALIGMSPQVNAVFPESKADLILIVVAVEHALLALKFILAFAIPDKPRH
+IQMKLAR
+>Q5XXA6_PF16178_54 <unknown description>
+YFRDGRRKVDYILVYHHKRPSGNRTLVRRVQHSDTPSGARSVKQDHPLPGKGASLDAGSG
+EPPMDYHEDDKRFRREEYEGNLLEAGLELERDEDTKIHGVGFVKIHAPWNVLCREAEFLK
+LKMPTKKMYHINETRGLLKKINSVLQKITDPIQPKVAEHRPQTMKRLSYPFSREKQHLFD
+LSDKDSFFDSKTRSTIVYEILKRTTCTKAKYSMGITSLLANGVYAAAYPLHDGDYNGENV
+EFNDRKLLYEEWARYGVFYKYQPI
+>Q5XXA6_PF04547_320 <unknown description>
+VRKYFGEKIGLYFAWLGVYTQMLIPASIVGIIVFLYGCATMDENIPSMEMCDQRHNITMC
+PLCDKTCSYWKMSSACATARASHLFDNPATVFFSVFMALWAATFMEHWKRKQMRLNYRWD
+LTGFEEEEEAVKDHPRAEYEARVLEKSLKKESRNKEKRRHIPEESTNKWKQRVKTAMAGV
+KLTDKVKLTWRDRFPAYLTNLVSIIFMIAVTFAIVLGVIIYRISMAAALAMNSSPSVRSN
+IRVTVTATAVIINLVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLIFKAFLLKFVNSY
+TPIFYVAFFKGRFVGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLF
+EIGIPKMKKLIRYLKLKQQSPPDHEECVKRKQRYEVDYNLEPFAGLTPEYMEMIIQFGFV
+TLFVASFPLAPLFALLNNIIEIRLDAKKFVTELRRPVAVRAKDIGIWYNILRGIGKLAVI
+INAFVISFTSDFIPRLVYLYMYSKNGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEV
+QICRYKDYREPPWSENKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDI
+SQQIHKE
+>Q9BYT9_PF16178_157 <unknown description>
+FKDGKKRIDYILVYRKTNIQYDKRNTFEKNLRAEGLMLEKEPAIASPDIMFIKIHIPWDT
+LCKYAERLNIRMPFRKKCYYTDGRSKSMGRMQTYFRRIKNWMAQNPMVLDKSAFPDLEES
+DCYTGPFSRARIHHFIINNKDTFFSNATRSRIVYHMLERTKYENGISKVGIRKLINNGSY
+IAAFPPHEGAYKSSQPIKTHGPQNNRHLLYERWARWGMWYKHQPL
+>Q9BYT9_PF04547_384 <unknown description>
+IRLYFGEKIGLYFAWLGWYTGMLIPAAIVGLCVFFYGLFTMNNSQVSQEICKATEVFMCP
+LCDKNCSLQRLNDSCIYAKVTYLFDNGGTVFFAIFMAIWATVFLEFWKRRRSILTYTWDL
+IEWEEEEETLRPQFEAKYYKMEIVNPITGKPEPHQPSSDKVTRLLVSVSGIFFMISLVIT
+AVFGVVVYRLVVMEQFASFKWNFIKQYWQFATSAAAVCINFIIIMLLNLAYEKIAYLLTN
+LEYPRTESEWENSFALKMFLFQFVNLNSSIFYIAFFLGRFVGHPGKYNKLFDRWRLEECH
+PSGCLIDLCLQMGVIMFLKQIWNNFMELGYPLIQNWWSRHKIKRGIHDASIPQWENDWNL
+QPMNLHGLMDEYLEMVLQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLP
+ARATDIGIWLGILEGIGILAVITNAFVIAITSDYIPRFVYEYKYGPCANHVEPSENCLKG
+YVNNSLSFFDLSELGMGKSGYCRYRDYRGPPWSSKPYEFTLQYWHILAARLAFIIVFEHL
+VFGIKSFIAYLIPDVPKGLHDRIRRE
+>Q32M45_PF16178_111 <unknown description>
+YFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWE
+VLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEE
+NDCYTAPFSQQRIHHFIIHNKETFFNNATRSRIVHHILQRIKYEEGKNKIGLNRLLTNGS
+YEAAFPLHEGSYRSKNSIRTHGAENHRHLLYECWASWGVWYKYQPL
+>Q32M45_PF04547_339 <unknown description>
+VRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVTTLDHSQVSKEVCQATDIIMCP
+VCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYDWDL
+IDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICVVIA
+AVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALLLTN
+LEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLEECH
+PSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGPERKISFPQWEKD
+YNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRR
+PLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAGQKC
+MVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLVPYGYTLQFW
+HVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRRE
+>Q75V66_PF16178_72 <unknown description>
+FFRDGIRQIDFVLSYVDDVKKDAELKAERRKEFETNLRKTGLELEIEDKRDSEDGRTYFV
+KIHAPWEVLVTYAEVLGIKMPIKESDIPRPKHTPISYVLGPVRLPLSVKYPHPEYFTAQF
+SRHRQELFLIEDQATFFPSSSRNRIVYYILSRCPFGIEDGKKRFGIERLLNSNTYSSAYP
+LHDGQYWKPSEPPNPTNERYTLHQNWARFSYFYKEQPL
+>Q75V66_PF04547_292 <unknown description>
+IKNYYGEKIGIYFVFLGFYTEMLFFAAVVGLACFIYGLLSMEHNTSSTEICDPEIGGQMI
+MCPLCDQVCDYWRLNSTCLASKFSHLFDNESTVFFAIFMGIWVTLFLEFWKQRQARLEYE
+WDLVDFEEEQQQLQLRPEFEAMCKHRKLNAVTKEMEPYMPLYTRIPWYFLSGATVTLWMS
+LVVTSMVAVIVYRLSVFATFASFMESDASLKQVKSFLTPQITTSLTGSCLNFIVILILNF
+FYEKISAWITKMEIPRTYQEYESSLTLKMFLFQFVNFYSSCFYVAFFKGKFVGYPGKYTY
+LFNEWRSEECDPGGCLIELTTQLTIIMTGKQIFGNIKEAIYPLALNWWRRRKARTNSEKL
+YSRWEQDHDLESFGPLGLFYEYLETVTQFGFVTLFVASFPLAPLLALINNIVEIRVDAWK
+LTTQYRRTVASKAHSIGVWQDILYGMAVLSVATNAFIVAFTSDIIPRLVYYYAYSTNATQ
+PMTGYVNNSLSVFLIADFPNHTAPSEKRDFITCRYRDYRYPPDDENKYFHNMQFWHVLAA
+KMTFIIVMEHVVFLVKFLLAWMIPDVPKDVVERIKRE
+>Q4KMQ2_PF16178_61 <unknown description>
+FFNDGQRRIDFVLVYEDESRKETNKKGTNEKQRRKRQAYESNLICHGLQLEATRSVLDDK
+LVFVKVHAPWEVLCTYAEIMHIKLPLKPNDLKNRSSAFGTLNWFTKVLSVDESIIKPEQE
+FFTAPFEKNRMNDFYIVDRDAFFNPATRSRIVYFILSRVKYQVINNVSKFGINRLVNSGI
+YKAAFPLHDCKFRRQSEDPSCPNERYLLYREWAHPRSIYKKQPL
+>Q4KMQ2_PF04547_287 <unknown description>
+IRKYYGEKIGIYFAWLGYYTQMLLLAAVVGVACFLYGYLNQDNCTWSKEVCHPDIGGKII
+MCPQCDRLCPFWKLNITCESSKKLCIFDSFGTLVFAVFMGVWVTLFLEFWKRRQAELEYE
+WDTVELQQEEQARPEYEARCTHVVINEITQEEERIPFTAWGKCIRITLCASAVFFWILLI
+IASVIGIIVYRLSVFIVFSAKLPKNINGTDPIQKYLTPQTATSITASIISFIIIMILNTI
+YEKVAIMITNFELPRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGDPVYW
+LGKYRNEECDPGGCLLELTTQLTIIMGGKAIWNNIQEVLLPWIMNLIGRFHRVSGSEKIT
+PRWEQDYHLQPMGKLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVDAWKL
+TTQFRRLVPEKAQDIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSVPPYGD
+HTSYTMEGYINNTLSIFKVADFKNKSKGNPYSDLGNHTTCRYRDFRYPPGHPQEYKHNIY
+YWHVIAAKLAFIIVMEHVIYSVKFFISYAIPDVSKRTKSKIQRE
+>Q9HCE9_PF04547_237 <unknown description>
+ICDYFGVKIAMYFAWLGFYTSAMVYPAVFGSVLYTFTEADQTSRDVSCVVFALFNVIWST
+LFLEEWKRRGAELAYKWGTLDSPGEAVEEPRPQFRGVRRISPITRAEEFYYPPWKRLLFQ
+LLVSLPLCLACLVCVFLLMLGCFQLQELVLSVKGLPRLARFLPKVMLALLVSVSAEGYKK
+LAIWLNDMENYRLESAYEKHLIIKVVLFQFVNSYLSLFYIGFYLKDMERLKEMLATLLIT
+RQFLQNVREVLQPHLYRRLGRGELGLRAVWELARALLGLLSLRRPAPRRLEPQADEGGGG
+GSGGGGRRCLSGGCGAPEEEEEAALVERRRAGEGGEEGDGPPGGKEEDEDDEEEEDEEEE
+EDEEEGEEGGLLDCGLRLKKVSFAERGAGRRRPGPSPEALLEEGSPTMVEKGLEPGVFTL
+AEEDDEAEGAPGSPEREPPAILFRRAGGEGRDQGPDGGPDPEPGSNSDSTRRQRRQNRSS
+WIDPPEEEHSPQLTQAELESCMKKYEDTFQDYQEMFVQFGYVVLFSSAFPLAALCALVNN
+LIEIRSDAFKLCTGLQRPFGQRVESIGQWQKVMEAMGVLAIVVNCYLIGQCGQLQRLFPW
+LSPEAAIVSVVVLEHFALLLKYLIHVAIPDIPGWVAEEMAK
+>A1A5B4_PF04547_179 <unknown description>
+IRNYFGEKVALYFVWLGWYTYMLVPAALTGLLVFLSGFSLFEASQISKEICEAHDILMCP
+LGDHSRRYQRLSETCTFAKLTHLFDNDGTVVFAIFMALWATVFLEIWKRQRARVVLHWDL
+YVWDEEQEEMALQLINCPDYKLRPYQHSYLRSTVILVLTLLMICLMIGMAHVLVVYRVLA
+SALFSSSAVPFLEEQVTTAVVVTGALVHYVTIIIMTKINRCVALKLCDFEMPRTFSERES
+RFTIRFFTLQFFTHFSSLIYIAFILGRINGHPGKSTRLAGLWKLEECHASGCMMDLFVQM
+AIIMGLKQTLSNCVEYLVPWVTHKCRSLRASESGHLPRDPELRDWRRNYLLNPVNTFSLF
+DEFMEMMIQYGFTTIFVAAFPLAPLLALFSNLVEIRLDAIKMVWLQRRLVPRKAKDIGTW
+LQVLETIGVLAVIANGMVIAFTSEFIPRVVYKYRYSPCLKEGNSTVDCLKGYVNHSLSVF
+HTKDFQDPDGIEGSENVTLCRYRDYRNPPDYNFSEQFWFLLAIRLAFVILFEHVALCIKL
+IAAWFVPDIPQSVKNKV
+>P16066_PF01094_55 <unknown description>
+VGPAVELALAQVKARPDLLPGWTVRTVLGSSENALGVCSDTAAPLAAVDLKWEHNPAVFL
+GPGCVYAAAPVGRFTAHWRVPLLTAGAPALGFGVKDEYALTTRAGPSYAKLGDFVAALHR
+RLGWERQALMLYAYRPGDEEHCFFLVEGLFMRVRDRLNITVDHLEFAEDDLSHYTRLLRT
+MPRKGRVIYICSSPDAFRTLMLLALEAGLCGEDYVFFHLDIFGQSLQGGQGPAPRRPWER
+GDGQDVSARQAFQAAKIITYKDPDNPEYLEFLKQLKHLAYEQFNFTMEDGLVNTIPASFH
+DGLLLYIQAVTETLAHGGTVTDGENITQRMWNRSFQGVTGYLKIDSSGDRETDFSLWDMD
+P
+>P16066_PF07714_559 <unknown description>
+YKGNLVAVKRVNRKRIELTRKVLFELKHMRDVQNEHLTRFVGACTDPPNICILTEYCPRG
+SLQDILENESITLDWMFRYSLTNDIVKGMLFLHNGAICSHGNLKSSNCVVDGRFVLKITD
+YGLESFRDLDPEQGHTVYAKKLWTAPELLRMASPPVRGSQAGDVYSFGIILQEIALRSGV
+FHVEGLDLSPKEIIERVTRGEQPPFRPSLALQSHLEELGLLMQRCWAEDPQERPPFQQIR
+L
+>P16066_PF00211_867 <unknown description>
+VQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAVIDNFDVYKVETIGDA
+YMVVSGLPVRNGRLHACEVARMALALLDAVRSFRIRHRPQEQLRLRIGIHTGPVCAGVVG
+LKMPRYCLFGDTVNTASRMESNGEALKIHLSSETKAVLEEFGGFELELRGDVEMKGKGKV
+RTYWLL
+>P20594_PF01094_45 <unknown description>
+VGPAVALAVEALGRALPVDLRFVSSELEGACSEYLAPLSAVDLKLYHDPDLLLGPGCVYP
+AASVARFASHWRLPLLTAGAVASGFSAKNDHYRTLVRTGPSAPKLGEFVVTLHGHFNWTA
+RAALLYLDARTDDRPHYFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIV
+YICGPLEMLHEILLQAQRENLTNGDYVFFYLDVFGESLRAGPTRATGRPWQDNRTREQAQ
+ALREAFQTVLVITYREPPNPEYQEFQNRLLIRAREDFGVELGPSLMNLIAGCFYDGILLY
+AEVLNETIQEGGTREDGLRIVEKMQGRRYHGVTGLVVMDKNNDRETDFVLWAM
+>P20594_PF07714_540 <unknown description>
+TGHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYC
+PRGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLK
+ITDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFGIILQEIAL
+RSGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPAERPDF
+GQIK
+>P20594_PF00211_852 <unknown description>
+VQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNFDVYKVETIGDA
+YMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGVHTGPVCAGVVG
+LKMPRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELRGDVEMKGKGKM
+RTYWLL
+>P17342_PF01094_72 <unknown description>
+VRPAIEYALRSVEGNGTGRRLLPPGTRFQVAYEDSDCGNRALFSLVDRVAAARGAKPDLI
+LGPVCEYAAAPVARLASHWDLPMLSAGALAAGFQHKDSEYSHLTRVAPAYAKMGEMMLAL
+FRHHHWSRAALVYSDDKLERNCYFTLEGVHEVFQEEGLHTSIYSFDETKDLDLEDIVRNI
+QASERVVIMCASSDTIRSIMLVAHRHGMTSGDYAFFNIELFNSSSYGDGSWKRGDKHDFE
+AKQAYSSLQTVTLLRTVKPEFEKFSMEVKSSVEKQGLNMEDYVNMFVEGFHDAILLYVLA
+LHEVLRAGYSKKDGGKIIQQTWNRTFEGIAGQVSIDANGDRYGDFSVIAMT
+>Q9NXR5_PF12796_23 <unknown description>
+RFPLHRACRDGDLATLCSLLQQTPHAHLASEDSFYGWTPVHWAAHFGKLECLVQLVRAGA
+TLNV
+>Q9NXR5_PF12796_97 <unknown description>
+HIAAFGGHPQCLVWLIQAGANINKPDCEGETPIHKAARSGSLECISALVANGAHVDLRNA
+SGLTAADIAQTQGFQECAQFLLNLQNCHLN
+>Q6UB99_PF12796_162 <unknown description>
+NKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYYDVAKQLL
+AAGAEVNTK
+>Q6UB99_PF00023_234 <unknown description>
+DDTPLHDAANNGHYKVVKLLLRYGGNPQQS
+>Q6UB98_PF12796_179 <unknown description>
+NKRNERGETPLHMAAIRGDVKQVKELISLGANVNVKDFAGWTPLHEACNVGYYDVAKILI
+AAGADVNTQ
+>Q6UB98_PF00023_251 <unknown description>
+DDTPLHDSASSGHRDIVKLLLRHGGNPFQ
+>Q6P6B7_PF12796_35 <unknown description>
+PAGDTLLHCAARHGHRDVLAYLAEAWGMDIEATNRDYKRPLHEAASMGHRDCVRYLLGRG
+AAV
+>Q6P6B7_PF00023_105 <unknown description>
+WTPLMMACTRKNLGVIQELVEHGANPLLKN
+>Q6P6B7_PF12796_141 <unknown description>
+FHIASREGDPLILQYLLTVCPGAWKTESKIRRTPLHTAAMHGHLEAVKVLLKRCQYEPDY
+RDNCGVTALMDAIQCGHIDVARLLLDEHGACLSA
+>Q6P6B7_PF13637_274 <unknown description>
+HLTALHYAAKEGHTSTIQTLLSLGADINSKDEKNRSALHLACAGQHLACAKFLL
+>O75179_PF12796_238 <unknown description>
+LAEACSEGDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRG
+IKGDITPLMAAANGGHVKIVKLLLAHKADVNAQ
+>O75179_PF00023_334 <unknown description>
+GNTALTYACAGGYVDVVKVLLESGASIEDHN
+>O75179_PF00023_366 <unknown description>
+NGHTPLMEAGSAGHVEVARLLLENGAGINTHS
+>O75179_PF12796_398 <unknown description>
+NEFKESALTLACYKGHLEMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSG
+AQVNM
+>O75179_PF12796_468 <unknown description>
+ESPLTLAACGGHVELAALLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANIN
+AQ
+>O75179_PF12796_568 <unknown description>
+LMEAAQEGHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGADLEHES
+EGGRTPLMKAARAGHVCTVQFLISKGANVNRT
+>O75179_PF12796_662 <unknown description>
+NNDHTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVVCYLLDY
+>O75179_PF12796_1075 <unknown description>
+IDAQTESNHDTALTLACAGGHEELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVEI
+LLDNGADIEAQ
+>O75179_PF12796_1150 <unknown description>
+KDTPLSLACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEI
+NSR
+>O75179_PF12796_1222 <unknown description>
+LMLAAMNGHTAAVKLLLDMGSDINAQIETNRNTALTLACFQGRTEVVSLLLDRKANVEHR
+AKTGLTPLMEAASGGYAEVGRVLLDKGADVNA
+>O75179_PF12796_1324 <unknown description>
+LTIAADKGHYKFCELLIGRGAHIDVRNKKGNTPLWLAANGGHLDVVQLLVQAGADVDAAD
+NRKITPLMAAFRKGHVKVVRYLVK
+>O75179_PF00013_1729 <unknown description>
+KVSVPSTVISRVIGRGGCNINAIREFTGAHIDIDKQKDKTGDRIITIRGGTESTRQATQL
+IN
+>Q5VYY1_PF12796_11 <unknown description>
+QAAYQNDFGQVWRWVKEDSSYANVQDGFNGDTPLICACRRGHVRIVSFLLRRNANVNLKN
+QKERTCLHYAVKKKFTFIDYLL
+>Q5VYY1_PF13857_121 <unknown description>
+LLDAGVEVNATDCYGCTALHYACEMKNQSLIPLLLEARADPTIKNKHGESSLDIA
+>Q86SG2_PF12796_159 <unknown description>
+LVNKLLVAGATVDARDLLDRTPVFWACRGGHLVILKQLLNQGARVNARDKIGSTPLHVAV
+RTRHPDCLEHLIECGAHLNAQD
+>Q86SG2_PF13606_242 <unknown description>
+EGDTALHEAVRHGSYKAMKLLLLYGAEL
+>Q8TF21_PF12796_119 <unknown description>
+LHLAAKYGHPQCLKQLLQASCVVDVVDSSGWTALHHAAAGGCLSCSEVLCSFKAHLNPQD
+RSGATPLIIAAQMCHTDLCRLLLQQGAAANDQD
+>Q8TF21_PF00023_214 <unknown description>
+GRTALMLACEGASPETVEVLLQGGAQPGITD
+>Q9UPS8_PF12796_50 <unknown description>
+IHKAASAGNVAKVQQILLLRKNGLNDRDKMNRTALHLACANGHPEVVTLLVDRKCQLNVC
+DNENRTALMKAVQCQEEKCATILLEHGADPNLAD
+>Q9UPS8_PF00023_145 <unknown description>
+HGNTALHYAVYNEDISVATKLLLYDANIEAKNK
+>Q9UPS8_PF00023_180 <unknown description>
+LTPLLLAVSGKKQQMVEFLIKKKANVNAVDK
+>Q9UPS8_PF14915_914 <unknown description>
+NSMLQEEIAMLRLEIDTIKNQNQEKEKKCFEDLKIVKEKNEDLQKTIKQNEETLTQTISQ
+YNGRLSVLTAENAMLNSKLENEKQSKERLEAEVESYHSRLAAAIHDRDQSETSKRELELA
+FQRARDECSRLQDKMNFDVSNLKDNNEILSQQLFKTESKLNSLEIEFHHTRDALREKTLG
+LERVQKDLSQTQCQMKEMEQKYQNEQVKVNKYIGKQESVEERLSQLQSENMLLRQQLDDA
+HNKADNKEKTVINIQDQFHAIVQKLQAESEKQSLLLEERNKELISECNHLKERQYQYENE
+KAER
+>Q9UPS8_PF12001_1523 <unknown description>
+KSQMELRIKDLESELSKIKTSQEDFNKTELEKYKQLYLEELKVRKSLSSKLTKTNERLAE
+VNTKLLVEKQQSRSLFTTLTTRPVMEPPCVGNLNNSLDLNRKLIPRENL
+>Q96NW4_PF02204_266 <unknown description>
+RAKRELAQLNKCTSPQQKLVCLRKVVQLITQSPSQRVNLETMCADDLLSVLLYLLVKTEI
+PNWMANLSYIKNFRFSSLAKDELGYCLTSFEAAIEYIRQ
+>Q96NW4_PF00023_463 <unknown description>
+GHTPLHVAAVCGQASLIDLLVSKGAMVNATD
+>Q96NW4_PF12796_500 <unknown description>
+LHLACQKGYQSVTLLLLHYKASAEVQDNNGNTPLHLACTYGHEDCVKALVYYDVESCRLD
+IGNEKGDTPLHIAARWGYQGVIETLLQNGASTEIQ
+>Q96NW4_PF00023_743 <unknown description>
+DGSSPLHVAALHGRADLIPLLLKHGANAGARN
+>Q96NW4_PF12796_781 <unknown description>
+LHLACQQGHFQVVKCLLDSNAKPNKKDLSGNTPLIYACSGGHHELVALLLQHGASINASN
+NKGNTALHEAVIEKHVFVVELLLLHGASVQV
+>O15084_PF12796_42 <unknown description>
+LVQAIFNGDPDEVRALIFKKEDVNFQDNEKRTPLHAAAYLGDAEIIELLILSGARVNAKD
+SKWLTPLHRAVASCSEEAVQVLLKHSADVNARD
+>O15084_PF13637_170 <unknown description>
+GRTALHHAAFSGHGEMVKLLLSRGANINAFDKKDRRAIHWAAYMGHIEVVKLLV
+>O15084_PF13637_237 <unknown description>
+YTPLHAAASSGMISVVKYLLDLGVDMNEPNAYGNTPLHVACYNGQ
+>O15084_PF12796_306 <unknown description>
+LHFAAASTHGALCLELLVGNGADVNMKSKDGKTPLHMTALHGRFSRSQTIIQSGAVIDCE
+DKNGNTPLHIAARYGHELLINTLITSGADT
+>O15084_PF12796_406 <unknown description>
+LHLAALSGFSDCCRKLLSSGFDIDTPDDFGRTCLHAAAAGGNLECLNLLLNTGADFNKKD
+KFGRSPLHYAAANCNYQCLFALVGSGASVND
+>O15084_PF00023_501 <unknown description>
+GCTPLHYAATSDTDGKCLEYLLRNDANPGIRDK
+>O15084_PF12796_579 <unknown description>
+ATISPLHLAAYHGHHQALEVLVQSLLDLDVRNSSGRTPLDLAAFKGHVECVDVLINQGAS
+ILVKD
+>O15084_PF12796_648 <unknown description>
+RTPIHAAATNGHSECLRLLIGNAEPQNAVDIQDGNGQTPLMLSVLNGHTDCVYSLLNKGA
+NVDAKD
+>O15084_PF00023_716 <unknown description>
+GRTALHRGAVTGHEECVDALLQHGAKCLLRD
+>O15084_PF12796_748 <unknown description>
+RGRTPIHLSAACGHIGVLGALLQSAASMDANPATADNHGYTALHWACYNGHETCVELLLE
+Q
+>O15084_PF12796_818 <unknown description>
+AFSPLHCAVINDNEGAAEMLIDTLGASIVNATDSKGRTPLHAAAFTDHVECLQLLLSHNA
+QVNSV
+>O15084_PF12796_884 <unknown description>
+STGKTPLMMAAENGQTNTVEMLVSSASAELTLQDNSKNTALHLACSKGHETSALLILEKI
+TD
+>O15084_PF00023_957 <unknown description>
+QTPLHVAARNGLTMVVQELLGKGASVLAVD
+>Q8N6D5_PF13637_15 <unknown description>
+AAFWAARRGNLALLKLLLNSGRVDVDCRDSHGTTLLMVAAYAGHIDCVREL
+>Q8N6D5_PF12796_73 <unknown description>
+NLQRESGTTALFFAAQQGHNDVVRFLFGFGASTEFRTKDGGTALLAASQYGHMQVVETLL
+KHGANIHD
+>Q8N6D5_PF00023_144 <unknown description>
+DGATALFLAAQGGYLDVIRLLLASGAKVNQ
+>Q8N6D5_PF12796_182 <unknown description>
+LWIASQMGHSEVVRVMLLRGADRDAARNDGTTALLKAANKGYNDVIKELLKFSPTLGILK
+NGTSALHAAVLSGNIKTVALLLEAGADPSLR
+>Q8N283_PF12796_35 <unknown description>
+RVAALASRKSARPTKLDSNGQSPFHLAASKGLTECLTILLANGADINSKNEDGSTALHLA
+TISCQPQCVKVLLQHGANE
+>Q8N283_PF12796_119 <unknown description>
+ENRSPLHWAASSGCASSVLLLCDHEAFLDVLDNDGRTPLMIASLGGHAAICSQLLQRGAR
+VNVTD
+>Q8N283_PF00023_187 <unknown description>
+KSALILACEKGSAEVAELLLSHGADAGAVD
+>Q7Z713_PF13637_67 <unknown description>
+PLHKAAKVGSLECLSLLVASDAQIDLCNKNGQTAEDLAWSCGFPDCAKFL
+>Q53RE8_PF13637_35 <unknown description>
+IWSAALNGDLGRVKHLIQKAEDPSQPDSAGYTALHYASRNGHYAVCQFLL
+>Q53RE8_PF12796_90 <unknown description>
+CDAQTHGGATALHRASYCGHTEIARLLLSHGSNPRVVDDDGMTSLHKAAERGHGDICSLL
+LQH
+>Q6AI12_PF13637_14 <unknown description>
+LREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVVSYLL
+>Q8N8A2_PF12796_9 <unknown description>
+QPPLVQAIFSGDPEEIRMLIHKTEDVNTLDSEKRTPLHVAAFLGDAEIIELLILSGARVN
+AKD
+>Q8N8A2_PF12796_78 <unknown description>
+LHRAVASRSEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAVKCAEVIIPLLSSVNVSD
+RGGRTALHHAALNGHVEMVNLLLAKGANINAFD
+>Q8N8A2_PF12796_177 <unknown description>
+LHWAAYMGHLDVVALLINHGAEVTCKDKKGYTPLHAAASNGQINVVKHLLNLGVEIDEIN
+VYGNTALHIACYNGQDAVVNELIDYGANVNQ
+>Q8N8A2_PF12796_276 <unknown description>
+LHFAAASTHGALCLELLVNNGADVNIQSKDGKSPLHMTAVHGRFTRSQTLIQNGGEIDCV
+DKDGNTPLHVAARYGHELLINTLITSGAD
+>Q8N8A2_PF12796_376 <unknown description>
+LHLAALNAHSDCCRKLLSSGFEIDTPDKFGRTCLHAAAAGGNVECIKLLQSSGADFHKKD
+KCGRTPLHYAAANCHFHCIETLVTTGANVNETD
+>Q8N8A2_PF13637_511 <unknown description>
+ATLCLEFLLQNDANPSIRDKEGYNSIHYAAAYGHRQCLELLL
+>Q8N8A2_PF12796_567 <unknown description>
+TKSPLHLAAYNGHHQALEVLLQSLVDLDIRDEKGRTALDLAAFKGHTECVEALINQGASI
+FVKD
+>Q8N8A2_PF12796_633 <unknown description>
+TKRTPLHASVINGHTLCLRLLLEIADNPEAVDVKDAKGQTPLMLAVAYGHIDAVSLLLEK
+EANVDTV
+>Q8N8A2_PF12796_703 <unknown description>
+GCTALHRGIMTGHEECVQMLLEQEVSILCKDSRGRTPLHYAAARGHATWLSELL
+>Q8N8A2_PF12796_757 <unknown description>
+QMALSEEDCCFKDNQGYTPLHWACYNGNENCIEVLLEQKCFRKFIGNPFTPLHCAIINDH
+GNCASLLLG
+>Q8N8A2_PF12796_837 <unknown description>
+DKGRTPLHAAAFADHVECLQLLLRHSAPVNAVDNSGKTALMMAAENGQAGAVDILVNSAQ
+ADLTVKD
+>Q8N8A2_PF12796_907 <unknown description>
+NTPLHLACSKGHEKCALLILDKIQDESLINEKNNALQTPLHVAARNGLKVVVEELLAKGA
+CV
+>Q5TZF3_PF12796_64 <unknown description>
+EQAMQLLLEEDIVGRNLLYAACMAGQSDVIRALAKYGVNLNEKTTRGYTLLHCAAAWGRL
+ETLKALVELDVDIE
+>Q86W74_PF12796_16 <unknown description>
+LLQACIDGDFNYSKRLLESGFDPNIRDSRGRTGLHLAAARGNVDICQLLHKFGADLLATD
+YQGNTALHLCGHVDTIQFLVSNGLKID
+>Q8WVL7_PF12796_78 <unknown description>
+LLWAAEKNRLTTVRRLLSEKATHVNTRDEDEYTPLHRAAYSGHLDIVQELIAQGADVHAV
+TVDGWTPLHSACKWNNTRVASFLLQHDADINAQ
+>Q9ULJ7_PF12796_526 <unknown description>
+DSIRTLLDNGASVNQCDSNGRTLLANAAYSGSLDVVNLLVSRGADLEIEDAHGHTPLTLA
+ARQGHTKVVNCLIGCGANINHTD
+>Q9ULJ7_PF12796_618 <unknown description>
+AAWGGHTEVVSALLYAGVKVDCADADSRTALRAAAWGGHEDIVLNLLQHGAEVNKADNEG
+RTALIAAAYMGHREIVEHLLDHGAEVNHED
+>Q9ULJ7_PF12796_723 <unknown description>
+ASKGHASVVSLLIDRGAEVDHCDKDGMTPLLVAAYEGHVDVVDLLLEGGADVDHTDNNGR
+TPLLAAASMGHASVVNTLLFWGAAVD
+>Q9ULJ7_PF13637_814 <unknown description>
+GRTVLSIASAQGNVEVVRTLLDRGLDENHRDDAGWTPLHMAAFEGH
+>Q9ULJ7_PF12796_874 <unknown description>
+NEIDNDGRIPFILASQEGHYDCVQILLENKSNIDQRGYDGRNALRVAALEGHRDIVELLF
+SHGADVNCKD
+>Q9ULJ7_PF12796_951 <unknown description>
+YILALENQLTMAEYFLENGANVEASDAEGRTALHVSCWQGHMEMVQVLIAYHADVNAADN
+EKRSALQSAAWQGHVKVVQLLIEHGAVV
+>Q9ULJ7_PF13637_1047 <unknown description>
+TALCIAAQEGHIDVVQVLLEHGADPNHADQFGRTAMRVAAKNGHSQIIKLL
+>Q8NB46_PF13637_11 <unknown description>
+PLVQAIFSRDVEEVRSLLSQKENINVLDQERRTPLHAAAYVGDVPILQLLL
+>Q8NB46_PF12796_78 <unknown description>
+LHRAAASRNEKVLGLLLAHSADVNARDKLWQTPLHVAAANRATKCAEALAPLLSSLNVAD
+RSGRSALHHAVHSGHLETVNLLLNKGASLNV
+>Q8NB46_PF00023_176 <unknown description>
+PLHWAAFLGHLEVLKLLVARGADLGCKD
+>Q8NB46_PF12796_210 <unknown description>
+LHTAAASGQIEVVKYLLRMGAEIDEPNAFGNTALHIACYLGQDAVAIELVNAGANVNQPN
+DKGFTPLHVAAVSTNGALCLELLVNNGADVNY
+>Q8NB46_PF00023_339 <unknown description>
+GNTPLHVAARYGHELLISTLMTNGADTA
+>Q8NB46_PF13637_423 <unknown description>
+GRTCLHAAASGGNVECLNLLLSSGADLRRRDKFGRTPLHYAAANGSYQCAVTL
+>Q8NB46_PF13637_529 <unknown description>
+AFFCLEFLLDNGADPSLRDRQGYTAVHYAAAYGNRQNLELLL
+>Q8NB46_PF12796_589 <unknown description>
+LHLAAYNGHCEALKTLAETLVNLDVRDHKGRTALFLATERGSTECVEVLTAHGASALIKE
+RKRKWTPLHAAAASGHTDSLHLLIDSGERADIT
+>Q8NB46_PF12796_685 <unknown description>
+DAYGQTPLMLAIMNGHVDCVHLLLEKGSTADAADLRGRTALHRGAVTGCEDCLAALLDHD
+AF
+>Q8NB46_PF12796_753 <unknown description>
+KGRTPIHLASACGHTAVLRTLLQAALSTDPLDAGVDYSGYSPMHWASYTGHEDCLELLLE
+H
+>Q8NB46_PF12796_824 <unknown description>
+FTPLHCAVINNQDSTTEMLLGALGAKIVNSRDAKGRTPLHAAAFADNVSGLRMLLQHQAE
+VNATD
+>Q8NB46_PF12796_890 <unknown description>
+TGRTALMTAAENGQTAAVEFLLYRGKADLTVLDENKNTALHLACSKGHEKCALMILAETQ
+D
+>Q8NB46_PF00023_964 <unknown description>
+PLHIAARNGLASVVQALLSHGATVLAVD
+>Q8N9V6_PF12796_173 <unknown description>
+NSQTPLHLVIHRDNTTVALPCIYYLLEKGADLNAQTCNGSTPLHLAARDGLLDCVKVLVQ
+SGANVHAQD
+>Q6NXT1_PF12796_118 <unknown description>
+ANANDVETVQQLLEDGADPCAADDKGRTALHFASCNGNDQIVQLLLDHGADPNQRD
+>Q6NXT1_PF00023_176 <unknown description>
+GNTPLHLAACTNHVPVITTLLRGGARVDALD
+>Q3KP44_PF12796_27 <unknown description>
+DLTMVYQAASNGDVNALTAVIREDPSILECCDSEGCTPLMHAVSGRQADTVKLLLKMGAN
+INMQD
+>Q3KP44_PF12796_109 <unknown description>
+GCVSLLRNGAKHNIPDKNGRLPLHAATAEPDMRLLTVLLQQSNISEINHQDNEGMTPLHW
+AAFHNQPQHTQMLLKKGADPTLVD
+>Q3KP44_PF12796_262 <unknown description>
+DVDDRTPLHWAAAAGKAECVQSLLELGMDSNLRDINESTPLAYALYCGHTACVKLLSQES
+R
+>Q9BZ19_PF12796_223 <unknown description>
+ALYVASHRGHFDAVQYLLEHGASCLSRSPLGRTPLHVAAAMGRSDCIILLLQHGASIHDR
+D
+>A6NGH8_PF13637_169 <unknown description>
+RSPLHLAIAYGCYPVLSILTQNGADVNAINEASMTPLHMAANMLNKEMMETLI
+>A6NGH8_PF12796_259 <unknown description>
+SCIRLLLTHGAKVNAQDYKGQTAIHEACFGGREAIINLLLEFEANVNILTRNGESPIYM
+>A6NC57_PF12796_90 <unknown description>
+DLVARKCQLNLTDSENRTALIKAVQCQEEVCASILLEHGANPNVRDMYGNTALHYAIDNE
+NISMARKLLAYGADIEAR
+>A6NC57_PF12796_175 <unknown description>
+LLLAVNRKKEQMVAFLLKKKPDLTAIDNFGRTALILAARNGSTSVVYQLLQHNIDVFCQD
+ISGWTAEDYAVASKFQAIRGMISEYKANK
+>A6NC57_PF14915_561 <unknown description>
+NHLMRDEIARLRLEIDTIKHQNQETENKYFKDIEIIKENNEDLEKTLKRNEEALTKTITR
+YSKELNVLMDENTMLNSELQKEKQSMSRLETEMESYRCRLAAALCDHDQRQSSKRDLQLA
+FQSTVNEWCHLQEDTNSHIQILSQQLSKAESTSSGLETELHYEREALKEKTLHIEHMQGV
+LSRTQRRLEDIEHMYQNDQPILEKYVRKQQSVEDGLFQLQSQNLLYQQQCNDARKKADNQ
+EKTIINIQVKCEDTVEKLQAECRKLEENNKGLMKECTLLKERQCQYEKEKEER
+>C9JTQ0_PF12796_66 <unknown description>
+FVRLLLEQGAAVNLRDERGRTALSLACERGHLDAVQLLVQFSGDPEAADSAGNSPVMWAA
+ACGHGAVLEFLVR
+>E5RJM6_PF12796_45 <unknown description>
+LLQAVWRGPAGLVTQLLRQGASVEERDHAGRTPLHLAVLRGHAPLVRLLLQRGAPVGAVD
+RAGRTALHEAAWHGHSRVAELLLQRGASA
+>E5RJM6_PF13637_141 <unknown description>
+LTPLHWAAALGHTLLAARLLEAPGPGPAAAEAEDARGWTAAHWAAAGGRLAVLELL
+>E5RJM6_PF13637_206 <unknown description>
+ALLVAAAAGRGAALRFLLARGARVDARDGAGATALGLAAALGRSQDIEVLL
+>E5RJM6_PF12796_273 <unknown description>
+LHRAAARGHLLAVQLLVTQGAEVDARDTLGLTPLHHASREGHVEVAGCLLDRGAQVDATG
+WLRKTPLHLAAERGHGPTVGLLLSRGASPTLR
+>B4E2M5_PF12796_12 <unknown description>
+LHQAVAAGDYSLVKKILKKGLCDPNYKDVDWNDRTPLHWAAIKGQMEVIRLLIEYGARPC
+LVTSVGWTPAHFAAEAGHLNILKTLH
+>Q9H9E1_PF12796_164 <unknown description>
+YLATRIEQENVINHTDEEGFTPLMWAAAHGQIAVVEFLLQNGADPQ
+>Q9H9E1_PF12796_216 <unknown description>
+ESALSLACSKGYTDIVKMLLDCGVDVNEYDWNGGTPLLYAVHGNHVKCVKMLLESGADPT
+I
+>Q92625_PF13857_71 <unknown description>
+PNVNCVDSTGYTPLHHAALNGHKDVVEVLLRNDALTNVADSKGCY
+>Q92625_PF12796_117 <unknown description>
+LHLAAWKGDAQIVRLLIHQGPSHTRVNEQNNDNETALHCAAQYGHTEVVKVLLEELTDPT
+MRNNKFETPLDLAALYGRLEVVKMLLNAHPN
+>Q92625_PF12796_212 <unknown description>
+NTKKHTPLHLAARNGHKAVVQVLLDAGMDSNYQTEMGSALHEAALFGKTDVVQILLAAGT
+DVNIKD
+>Q92625_PF07647_699 <unknown description>
+QSVGEWLESIGLQQYESKLLLNGFDDVHFLGSNVMEEQDLRDIGISDPQHRRKLLQAARS
+L
+>Q92625_PF00536_769 <unknown description>
+GNSPPSVPSWLDSLGLQDYVHSFLSSGYSSIDTVKNLWELELVNVLKVQLLGHRKRIIAS
+LA
+>Q92625_PF00640_962 <unknown description>
+QDACAKMRKSTEHMKKIPTIILSITYKGVKFIDASNKNVIAEHEIRNISCAAQDPEDLCT
+FAYITKDLQTSHHYCHVFSTVDVNLTYEIILTLGQAFEVAYQLALQ
+>Q8N8V4_PF12796_38 <unknown description>
+LAAYHGNLEALEIICSRGGDPDRCDIWGNTPLHFAASNGHAHCVSFLVNFGANIFALDND
+LQTPLDAAASREQNECVALL
+>Q8N8V4_PF00536_351 <unknown description>
+FLLSQHLEEFLPIFKREQIDLEALLLCSDEDLQSIQMQLGPRKKVLNAINR
+>P01008_PF00079_86 <unknown description>
+ANSRFATTFYQHLADSKNDNDNIFLSPLSISTAFAMTKLGACNDTLQQLMEVFKFDTISE
+KTSDQIHFFFAKLNCRLYRKANKSSKLVSANRLFGDKSLTFNETYQDISELVYGAKLQPL
+DFKENAEQSRAAINKWVSNKTEGRITDVIPSEAINELTVLVLVNTIYFKGLWKSKFSPEN
+TRKELFYKADGESCSASMMYQEGKFRYRRVAEGTQVLELPFKGDDITMVLILPKPEKSLA
+KVEKELTPEVLQEWLDELEEMMLVVHMPRFRIEDGFSLKEQLQDMGLVDLFSPEKSKLPG
+IVAEGRDDLYVSDAFHKAFLEVNEEGSEAAASTAVVIAGRSLNPNRVTFKANRPFLVFIR
+EVPLNTIIFMGRVANP
+>Q9H6X2_PF00092_44 <unknown description>
+DLYFILDKSGSVLHHWNEIYYFVEQLAHKFISPQLRMSFIVFSTRGTTLMKLTEDREQIR
+QGLEELQKVLPGGDTYMHEGFERASEQIYYENRQGYRTASVIIALTDGELHEDLFFYSER
+EANRSRDLGAIVYCVGVKDFNETQLARIADSKDHVFPVNDGFQALQG
+>Q9H6X2_PF05587_218 <unknown description>
+KSCIEILAAEPSTICAGESFQVVVRGNGFRHARNVDRVLCSFKINDSVTLNEKPFSVEDT
+YLLCPAPILKEVGMKAALQVSMNDGLSFISSSVIITTTHCSD
+>Q9H6X2_PF05586_396 <unknown description>
+VRWGEKGSTEEGAKLEKAKNARVKMPEQEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLD
+ALWVLLRKGYDRVSVMRPQPGDTGRCINFTRVK
+>P58335_PF00092_44 <unknown description>
+DLYFVLDKSGSVANNWIEIYNFVQQLAERFVSPEMRLSFIVFSSQATIILPLTGDRGKIS
+KGLEDLKRVSPVGETYIHEGLKLANEQIQKAGGLKTSSIIIALTDGKLDGLVPSYAEKEA
+KISRSLGASVYCVGVLDFEQAQLERIADSKEQVF
+>P58335_PF05587_216 <unknown description>
+QSCTEILELQPSSVCVGEEFQIVLSGRGFMLGSRNGSVLCTYTVNETYTTSVKPVSVQLN
+SMLCPAPILNKAGETLDVSVSFNGGKSVISGSLIVTATECSN
+>P58335_PF05586_394 <unknown description>
+VRWGDKGSTEEGARLEKAKNAVVKIPEETEEPIRPRPPRPKPTHQPPQTKWYTPIKGRLD
+ALWALLRRQYDRVSLMRPQEGDEGRCINFSRV
+>A6NF34_PF00092_76 <unknown description>
+DLYFILDKSGSVNNNWIDLYMWVEETVARFQSPNIRMCFITYSTDGQTVLPLTSDKNRIK
+NGLDQLQKIVPDGHTFMQAGFRKAIQQIESFNSGNKVPSMIIAMTDGELVAHAFQDTLRE
+AQKARKLGANVYTLGVADYNLDQITAIADSPGHVFAVENGF
+>A6NF34_PF05587_249 <unknown description>
+KVCLDVTSVEPSSECVGEPYHVVIHGNGFQNLKKRDEVICRFIFNESTIIDEKPTSIDNN
+SMNCPGPKLEKPGEEYSIEVSLNKGKTFFKSNVSITSTTC
+>Q9UJ72_PF00191_22 <unknown description>
+DAQMLGGALQGFDCDKDMLINILTQRCNAQRMMIAEAYQSMYGRDLIGDMREQLSDHFKD
+VMA
+>Q9UJ72_PF00191_93 <unknown description>
+YDAHELWHAMKGVGTDENCLIEILASRTNGEIFQMREAYCLQYSNNLQEDIYSETSGHFR
+DTLMNL
+>Q9UJ72_PF00191_175 <unknown description>
+QDAMVLWEACQQKTGEHKTMLQMILCNKSYQQLRLVFQEFQNISGQDMVDAINECYDGYF
+QELLVAI
+>Q9UJ72_PF00191_251 <unknown description>
+YFAYRLYSAIHDFGFHNKTVIRILIARSEIDLLTIRKRYKERYGKSLFHDIRNFASGHYK
+KALLAI
+>P50995_PF00191_204 <unknown description>
+RDAEVLRKAMKGFGTDEQAIIDCLGSRSNKQRQQILLSFKTAYGKDLIKDLKSELSGNFE
+KTILAL
+>P50995_PF00191_279 <unknown description>
+YEIKEAIKGVGTDEACLIEILASRSNEHIRELNRAYKAEFKKTLEEAIRSDTSGHFQRLL
+ISL
+>P50995_PF00191_359 <unknown description>
+RDAQELYAAGENRLGTDESKFNAVLCSRSRAHLVAVFNEYQRMTGRDIEKSICREMSGDL
+EEGMLAV
+>P50995_PF00191_435 <unknown description>
+FFAERLNKAMRGAGTKDRTLIRIMVSRSETDLLDIRSEYKRMYGKSLYHDISGDTSGDYR
+KILLKI
+>P27216_PF00191_18 <unknown description>
+RDAKKLNKACKGMGTNEAAIIEILSGRTSDERQQIKQKYKATYGKELEEVLKSELSGNFE
+KTALAL
+>P27216_PF00191_90 <unknown description>
+YAARQLQKAMKGLGTDESVLIEVLCTRTNKEIIAIKEAYQRLFDRSLESDVKGDTSGNLK
+KILVSL
+>P27216_PF00191_173 <unknown description>
+QDAKDLYDAGEGRWGTDELAFNEVLAKRSYKQLRATFQAYQILIGKDIEEAIEEETSGDL
+QKAYLTL
+>P27216_PF00191_249 <unknown description>
+YFAERLYKSMKGAGTDEETLIRIVVTRAEVDLQGIKAKFQEKYQKSLSDMVRSDTSGDFR
+KLLVAL
+>P04083_PF00191_47 <unknown description>
+DVAALHKAIMVKGVDEATIIDILTKRNNAQRQQIKAAYLQETGKPLDETLKKALTGHLEE
+VVLAL
+>P04083_PF00191_118 <unknown description>
+FDADELRAAMKGLGTDEDTLIEILASRTNKEIRDINRVYREELKRDLAKDITSDTSGDFR
+NALLSL
+>P04083_PF00191_202 <unknown description>
+DARALYEAGERRKGTDVNVFNTILTTRSYPQLRRVFQKYTKYSKHDMNKVLDLELKGDIE
+KCLTAI
+>P04083_PF00191_277 <unknown description>
+FFAEKLHQAMKGVGTRHKALIRIMVSRSEIDMNDIKAFYQKMYGISLCQAILDETKGDYE
+KILVAL
+>P07355_PF00191_38 <unknown description>
+DALNIETAIKTKGVDEVTIVNILTNRSNAQRQDIAFAYQRRTKKELASALKSALSGHLET
+VILGL
+>P07355_PF00191_109 <unknown description>
+YDASELKASMKGLGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFR
+KLMVAL
+>P07355_PF00191_193 <unknown description>
+QDARDLYDAGVKRKGTDVPKWISIMTERSVPHLQKVFDRYKSYSPYDMLESIRKEVKGDL
+ENAFLNL
+>P07355_PF00191_269 <unknown description>
+YFADRLYDSMKGKGTRDKVLIRIMVSRSEVDMLKIRSEFKRKYGKSLYYYIQQDTKGDYQ
+KALLYL
+>P12429_PF00191_23 <unknown description>
+DAEAIQKAIRGIGTDEKMLISILTERSNAQRQLIVKEYQAAYGKELKDDLKGDLSGHFEH
+LMVAL
+>P12429_PF00191_94 <unknown description>
+FDAKQLKKSMKGAGTNEDALIEILTTRTSRQMKDISQAYYTVYKKSLGDDISSETSGDFR
+KALLTL
+>P12429_PF00191_177 <unknown description>
+QDAQILYKAGENRWGTDEDKFTEILCLRSFPQLKLTFDEYRNISQKDIVDSIKGELSGHF
+EDLLLAI
+>P12429_PF00191_255 <unknown description>
+AERLHRALKGIGTDEFTLNRIMVSRSEIDLLDIRTEFKKHYGYSLYSAIKSDTSGDYEIT
+LLKI
+>P09525_PF00191_21 <unknown description>
+DAQTLRKAMKGLGTDEDAIISVLAYRNTAQRQEIRTAYKSTIGRDLIDDLKSELSGNFEQ
+VIVG
+>P09525_PF00191_92 <unknown description>
+YDVQELRRAMKGAGTDEGCLIEILASRTPEEIRRISQTYQQQYGRSLEDDIRSDTSFMFQ
+RVLVSL
+>P09525_PF00191_175 <unknown description>
+QDAQDLYEAGEKKWGTDEVKFLTVLCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSF
+EDALLAI
+>P09525_PF00191_251 <unknown description>
+YFAEKLYKSMKGLGTDDNTLIRVMVSRAEIDMLDIRAHFKRLYGKSLYSFIKGDTSGDYR
+KVLLVL
+>P08758_PF00191_20 <unknown description>
+DAETLRKAMKGLGTDEESILTLLTSRSNAQRQEISAAFKTLFGRDLLDDLKSELTGKFEK
+LIVAL
+>P08758_PF00191_91 <unknown description>
+YDAYELKHALKGAGTNEKVLTEIIASRTPEELRAIKQVYEEEYGSSLEDDVVGDTSGYYQ
+RMLVVL
+>P08758_PF00191_174 <unknown description>
+QDAQALFQAGELKWGTDEEKFITIFGTRSVSHLRKVFDKYMTISGFQIEETIDRETSGNL
+EQLLLAV
+>P08758_PF00191_251 <unknown description>
+LAETLYYAMKGAGTDDHTLIRVMVSRSEIDLFNIRKEFRKNFATSLYSMIKGDTSGDYKK
+ALLLL
+>P08133_PF00191_24 <unknown description>
+QDAEALYTAMKGFGSDKEAILDIITSRSNRQRQEVCQSYKSLYGKDLIADLKYELTGKFE
+RLIVGL
+>P08133_PF00191_97 <unknown description>
+DAKEIKDAISGIGTDEKCLIEILASRTNEQMHQLVAAYKDAYERDLEADIIGDTSGHFQK
+MLVVL
+>P08133_PF00191_179 <unknown description>
+QDVQDLYEAGELKWGTDEAQFIYILGNRSKQHLRLVFDEYLKTTGKPIEASIRGELSGDF
+EKLMLAV
+>P08133_PF00191_255 <unknown description>
+YFAERLFKAMKGLGTRDNTLIRIMVSRSELDMLDIREIFRTKYEKSLYSMIKNDTSGEYK
+KTLLKL
+>P08133_PF00191_368 <unknown description>
+DAKALRKAMKGLGTDEDTIIDIITHRSNVQRQQIRQTFKSHFGRDLMTDLKSEISGDLAR
+LILGL
+>P08133_PF00191_439 <unknown description>
+YDAKQLKKAMEGAGTDEKALIEILATRTNAEIRAINEAYKEDYHKSLEDALSSDTSGHFR
+RILISL
+>P08133_PF00191_527 <unknown description>
+AEILEIADTPSGDKTSLETRFMTILCTRSYPHLRRVFQEFIKMTNYDVEHTIKKEMSGDV
+RDAFVAI
+>P08133_PF00191_603 <unknown description>
+FFADKLYKSMKGAGTDEKTLTRIMVSRSEIDLLNIRREFIEKYDKSLHQAIEGDTSGDFL
+KALLAL
+>P20073_PF00191_167 <unknown description>
+RDAEILRKAMKGFGTDEQAIVDVVANRSNDQRQKIKAAFKTSYGKDLIKDLKSELSGNME
+ELILAL
+>P20073_PF00191_239 <unknown description>
+YDAWSLRKAMQGAGTQERVLIEILCTRTNQEIREIVRCYQSEFGRDLEKDIRSDTSGHFE
+RLLVS
+>P20073_PF00191_323 <unknown description>
+DAQRLYQAGEGRLGTDESCFNMILATRSFPQLRATMEAYSRMANRDLLSSVSREFSGYVE
+SGLKT
+>P20073_PF00191_398 <unknown description>
+FFAERLYYAMKGAGTDDSTLVRIVVTRSEIDLVQIKQMFAQMYQKTLGTMIAGDTSGDYR
+RLLLAI
+>P13928_PF00191_26 <unknown description>
+DAETLYKAMKGIGTNEQAIIDVLTKRSNTQRQQIAKSFKAQFGKDLTETLKSELSGKFER
+LIVAL
+>P13928_PF00191_97 <unknown description>
+YEAKELHDAMKGLGTKEGVIIEILASRTKNQLREIMKAYEEDYGSSLEEDIQADTSGYLE
+RILVCL
+>P13928_PF00191_181 <unknown description>
+QDAQDLYAAGEKIRGTDEMKFITILCTRSATHLLRVFEEYEKIANKSIEDSIKSETHGSL
+EEAMLT
+>P13928_PF00191_257 <unknown description>
+YFAERLYYAMKGAGTRDGTLIRNIVSRSEIDLNLIKCHFKKMYGKTLSSMIMEDTSGDYK
+NALLSL
+>O76027_PF00191_46 <unknown description>
+DAQRLLRAITGQGVDRSAIVDVLTNRSREQRQLISRNFQERTQQDLMKSLQAALSGNLER
+IVMAL
+>O76027_PF00191_117 <unknown description>
+FDAQELRTALKASDSAVDVAIEILATRTPPQLQECLAVYKHNFQVEAVDDITSETSGILQ
+DLLLAL
+>O76027_PF00191_201 <unknown description>
+QDVQALQRAEGPSREETWVPVFTQRNPEHLIRVFDQYQRSTGQELEEAVQNRFHGDAQVA
+LLGL
+>O76027_PF00191_274 <unknown description>
+YFADKLHQALQETEPNYQVLIRILISRCETDLLSIRAEFRKKFGKSLYSSLQDAVKGDCQ
+SALLAL
+>P28039_PF03489_82 <unknown description>
+TCYLVIDKFGSDIIKLLSADMNADVVCHTLEFC
+>P28039_PF00657_257 <unknown description>
+IILLGDSAGAHFHISPEWITASQMSLNSFINLPTALTNELDWPQLSGATGFLDSTVGIKE
+KSIYLRLWKRNHCNHRDYQNISRNGASSRNLKKFIESLSRNKVLDYPAIVIYAMIGNDVC
+SGKSDPVPAMTTPEKLYSNVMQTLKHLNSHLPNGSHVILYGLPDGTFLWDNLHNRYHPLG
+QLNKDMTYAQLYSFLNCLQVSPCHGWMSSNKTLRTLTSERAEQLSNTLKKIAASEKFTNF
+NLFYMDFAFHEIIQEWQKRGGQPWQLIEPVDGFHPNEVALLLLADHF
+>P19801_PF02727_39 <unknown description>
+QELKAVHSFLWSKKELRLQPSSTTTMAKNTVFLIEMLLPKKYHVLRFLDKGERHPVREAR
+AVIFFGDQEHPNVTEFAVGPLPGPCYM
+>P19801_PF02728_141 <unknown description>
+RPISTAEYALLYHTLQEATKPLHQFFLNTTGFSFQDCHDRCLAFTDVAPRGVASGQRRSW
+LIIQRYVEGYFLHPTGLELLVDHGSTDAGHWAVEQVWYNGK
+>P19801_PF01179_301 <unknown description>
+RLVQPHGPRFRLEGNAVLYGGWSFAFRLRSSSGLQVLNVHFGGERIAYEVSVQEAVALYG
+GHTPAGMQTKYLDVGWGLGSVTHELAPGIDCPETATFLDTFHYYDADDPVHYPRALCLFE
+MPTGVPLRRHFNSNFKGGFNFYAGLKGQVLVLRTTSTVYNYDYIWDFIFYPNGVMEAKMH
+ATGYVHATFYTPEGLRHGTRLHTHLIGNIHTHLVHYRVDLDVAGTKNSFQTLQMKLENIT
+NPWSPRHRVVQPTLEQTQYSWERQAAFRFKRKLPKYLLFTSPQENPWGHKRTYRLQIHSM
+ADQVLPPGWQEEQAITWARYPLAVTKYRESELCSSSIYHQNDPWHPPVVFEQFLHNNENI
+ENEDLVAWVTVGFLHIPHSEDIPNTATPGNSVGFLLRPFNFFPEDPSL
+>O75106_PF02727_62 <unknown description>
+EELTAVMRFLTQRLGPGLVDAAQAQPSDNCIFSVELQLPPKAAALAHLDRGSPPPAREAL
+AIVLFGGQPQPNVSELVVGPLPHPSYM
+>O75106_PF02728_165 <unknown description>
+RPVLRAEFTQMWRHLKEVELPKAPIFLSSTFNYNGSTLAAVHATPRGLRSGDRATWMALY
+HNISGVGLFLHPVGLELLLDHRALDPAHWTVQQVFYLG
+>O75106_PF01179_310 <unknown description>
+FSPQGSQYSVQGNLVVSSLWSFTFGHGVFSGLRIFDVRFQGERIAYEVSVQECVSIYGAD
+SPKTMLTRYLDSSFGLGRNSRGLVRGVDCPYQATMVDIHILVGKGAVQLLPGAVCVFEEA
+QGLPLRRHHNYLQNHFYGGLASSALVVRSVSSVGNYDYIWDFVLYPNGALEGRVHATGYI
+NTAFLKGGEEGLLFGNRVGERVLGTVHTHAFHFKLDLDVAGLKNWVVAEDVVFKPVAAPW
+NPEHWLQRPQLTRQVLGKEDLTAFSLGSPLPRYLYLASNQTNAWGHQRGYRIQIHSPLGI
+HIPLESDMERALSWGRYQLVVTQRKEEESQSSSIYHQNDIWTPTVTFADFINNETLLGED
+LVAWVTASFLHIPHAEDIPNTVTLGNRVGFLLRPYNFFDEDPSI
+>Q16853_PF02727_66 <unknown description>
+EELTAVMRFLTQRLGPGLVDAAQARPSDNCVFSVELQLPPKAAALAHLDRGSPPPAREAL
+AIVFFGRQPQPNVSELVVGPLPHPSYM
+>Q16853_PF02728_169 <unknown description>
+RPVLFQEYLDIDQMIFNRELPQASGLLHHCCFYKHRGRNLVTMTTAPRGLQSGDRATWFG
+LYYNISGAGFFLHHVGLELLVNHKALDPARWTIQKVFYQGR
+>Q16853_PF01179_316 <unknown description>
+FYPQGPRFSVQGSRVASSLWTFSFGLGAFSGPRIFDVRFQGERLVYEISLQEALAIYGGN
+SPAAMTTRYVDGGFGMGKYTTPLTRGVDCPYLATYVDWHFLLESQAPKTIRDAFCVFEQN
+QGLPLRRHHSDLYSHYFGGLAETVLVVRSMSTLLNYDYVWDTVFHPSGAIEIRFYATGYI
+SSAFLFGATGKYGNQVSEHTLGTVHTHSAHFKVDLDVAGLENWVWAEDMVFVPMAVPWSP
+EHQLQRLQVTRKLLEMEEQAAFLVGSATPRYLYLASNHSNKWGHPRGYRIQMLSFAGEPL
+PQNSSMARGFSWERYQLAVTQRKEEEPSSSSVFNQNDPWAPTVDFSDFINNETIAGKDLV
+AWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDEDPS
+>P21397_PF01593_23 <unknown description>
+ISGLSAAKLLTEYGVSVLVLEARDRVGGRTYTIRNEHVDYVDVGGAYVGPTQNRILRLSK
+ELGIETYKVNVSERLVQYVKGKTYPFRGAFPPVWNPIAYLDYNNLWRTIDNMGKEIPTDA
+PWEAQHADKWDKMTMKELIDKICWTKTARRFAYLFVNINVTSEPHEVSALWFLWYVKQCG
+GTTRIFSVTNGGQERKFVGGSGQVSERIMDLLGDQVKLNHPVTHVDQSSDNIIIETLNHE
+HYECKYVINAIPPTLTAKIHFRPELPAERNQLIQRLPMGAVIKCMMYYKEAFWKKKDYCG
+CMIIEDEDAPISITLDDTKPDGSLPAIMGFILARKADRLAKLHKEIRKKKICELYAKVLG
+SQEALHPVHYEEKNWCEEQYSGGCYTAYFPPGIMTQYGRVIRQPVGRIFFAGTETATKWS
+GYMEGAVEAGERAAREVL
+>P27338_PF01593_14 <unknown description>
+ISGMAAAKLLHDSGLNVVVLEARDRVGGRTYTLRNQKVKYVDLGGSYVGPTQNRILRLAK
+ELGLETYKVNEVERLIHHVKGKSYPFRGPFPPVWNPITYLDHNNFWRTMDDMGREIPSDA
+PWKAPLAEEWDNMTMKELLDKLCWTESAKQLATLFVNLCVTAETHEVSALWFLWYVKQCG
+GTTRIISTTNGGQERKFVGGSGQVSERIMDLLGDRVKLERPVIYIDQTRENVLVETLNHE
+MYEAKYVISAIPPTLGMKIHFNPPLPMMRNQMITRVPLGSVIKCIVYYKEPFWRKKDYCG
+TMIIDGEEAPVAYTLDDTKPEGNYAAIMGFILAHKARKLARLTKEERLKKLCELYAKVLG
+SLEALEPVHYEEKNWCEEQYSGGCYTTYFPPGILTQYGRVLRQPVDRIYFAGTETATHWS
+GYMEGAVEAGERAAREIL
+>Q06278_PF00111_10 <unknown description>
+YVNGRKVIEKNVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYNPITKRIRHH
+PANACLIPI
+>Q06278_PF01799_88 <unknown description>
+TVEGIGSTHTRIHPVQERIAKCHGTQCGFCTPGMVMSIYTLLRNHPEPTLDQLTDALGGN
+LCRCTGYRPIIDAC
+>Q06278_PF00941_241 <unknown description>
+MMWFSPVTLKELLEFKFKYPQAPVIMGNTSVGPEVKFKGVFHPVIISPDRIEELSVVNHA
+YNGLTLGAGLSLAQVKDILADVVQKLPEEKTQMYHALLKHLGTLAGSQIRNMASLGGHII
+SRHPDSDLNPILAVGNCTLNLLSKEGKRQIPLNEQFLSKCPNADLKPQEILVSVNIPY
+>Q06278_PF03450_427 <unknown description>
+AFRQAQRQENALAIVNSGMRVFFGEGDGIIRELCISYGGVGPATICAKNSCQKLIGRHWN
+EQMLDIACRLILNEVSLLGSAPGGKVEFKRTLIISFLFKFYLEV
+>Q06278_PF01315_594 <unknown description>
+TGEAIYCDDMPLVDQELFLTFVTSSRAHAKIVSIDLSEALSMPGVVDIMTAEHLSDVNSF
+CFFTEAEKFLATDKVFCVGQLVCAVLADSEVQAKRAAKRVKIVYQDL
+>Q06278_PF02738_717 <unknown description>
+FKPERKLEYGNVDEAFKVVDQILEGEIHMGGQEHFYMETQSMLVVPKGEDQEMDVYVSTQ
+FPKYIQDIVASTLKLPANKVMCHVRRVGGAFGGKVLKTGIIAAVTAFAANKHGRAVRCVL
+ERGEDMLITGGRHPYLGKYKAGFMNDGRILALDMEHYSNAGASLDESLFVIEMGLLKMDN
+AYKFPNLRCRGWACRTNLPSNTAFRGFGFPQAALITESCITEVAAKCGLSPEKVRIINMY
+KEIDQTPYKQEINAKNLIQCWRECMAMSSYSLRKVAVEKFNAENYWKKKGLAMVPLKFPV
+GLGSRAAGQAAALVHIYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELRMPMSNVHLRGTS
+TETVPNANISGGSVVADLNGLAVKDACQTLLKRLEPIISKNPKGTWKDWAQTAFDESINL
+SAVGYFRGYESDMNWEKGEGQPFEYFVYGAACSEVEIDCLTGDHKNIRTDIVMDVGCSIN
+PAIDIGQIEGAFIQGMGLYTIEELNYSPQGILHTRGPDQYKIPAICDMP
+>O60641_PF07651_22 <unknown description>
+VARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMADTLFERATNSSWVVVFKALVT
+THHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDMSTFIRRYSRYLNEKAFSYRQM
+AFDFARVKKGADGVMRTMAPEKLLKSMPILQGQIDALLEFDVHPNELTNGVINAAFMLLF
+KDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRFLTRMTRVSEFLKVAEQVGIDK
+GDIPDLTQAPSSLMETLEQHLN
+>Q63HQ0_PF15745_26 <unknown description>
+GGGSKYFRTCSRGEHLTIEFENLVESDEGESPGSSHRPLTEEEIVDLRERHYDSIAEKQK
+DLDKKIQKELALQEEKLRLEEEALYAAQREAARAAKQRKLLEQERQRIVQQYHPSNNGEY
+QSSGPEDDFESCLRNMKSQYEVFRSSRLSSDATVLTPNTESSCDLMTKTKSTSGNDDSTS
+LDLEWEDEEGMNRMLPMRERSKTEEDILRAALKYSNKKTGSNPTSASDDSNGLEWENDFV
+SAEMDDNGNSEYSGFVNPVLELSDSGIRHSDTDQQTR
+>Q10567_PF01602_14 <unknown description>
+EIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQTDNLELKKLVYLYL
+MNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITEYLCEPLRKCLKDE
+DPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMVVANAVAALSEIAESHPS
+SNLLDLNPQSINKLLTALNECTEWGQIFILDCLANYMPKDDREAQSICERVTPRLSHANS
+AVVLSAVKVLMKFMEMLSKDLDYYGTLLKKLAPPLVTLLSAEPELQYVALRNINLIVQKR
+PEILKHEMKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKA
+VRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRKYPNKYESVIATLC
+ENLDSLDEPEARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQVQLQLLTAIVKLFL
+KKPTETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLST
+>Q10567_PF02883_721 <unknown description>
+AVWLPAMKAKGLEISGTFTRQVGSISMDLQLTNKALQVMTDFAIQFNRNSFGLAPAAPLQ
+VHAPLSPNQTVEISLPLSTVGSVMKMEPLNNLQVAVKNNIDVFYFS
+>Q10567_PF09066_836 <unknown description>
+VEDGKMDRQMFLATWKDIPNENEAQFQIRDCPLNAEAASSKLQSSNIFTVAKRNVEGQDM
+LYQSLKLTNGIWVLAELRIQPGNPSCTDLELSLKCRAPEVSQHVYQAYETIL
+>O43747_PF01602_23 <unknown description>
+EREMIQKECAAIRSSFREEDNTYRCRNVAKLLYMHMLGYPAHFGQLECLKLIASQKFTDK
+RIGYLGAMLLLDERQDVHLLMTNCIKNDLNHSTQFVQGLALCTLGCMGSSEMCRDLAGEV
+EKLLKTSNSYLRKKAALCAVHVIRKVPELMEMFLPATKNLLNEKNHGVLHTSVVLLTEMC
+ERSPDMLAHFRKLVPQLVRILKNLIMSGYSPEHDVSGISDPFLQVRILRLLRILGRNDDD
+SSEAMNDILAQVATNTETSKNVGNAILYETVLTIMDIKSESGLRVLAINILGRFLLNNDK
+NIRYVALTSLLKTVQTDHNAVQRHRSTIVDCLKDLDVSIKRRAMELSFALVNGNNIRGMM
+KELLYFLDSCEPEFKADCASGIFLAAEKYAPSKRWHIDTIMRVLTTAGSYVRDDAVPNLI
+QLITNSVEMHAYTVQRLYKAILGDYSQQPLVQVAAWCIGEYGDLLVSGQCEEEEPIQVTE
+DEVLDILESVLISNMSTSVTRGYALTAIMKLSTRFTCTVNRIKKVVSIYGSSIDVELQQR
+AVEYNALFKKY
+>O43747_PF02883_705 <unknown description>
+PSITAYSKNGLKIEFTFERSNTNPSVTVITIQASNSTELDMTDFVFQAAVPKTFQLQLLS
+PSSSIVPAFNTGTITQVIKVLNPQKQQLRMRIKLTYNHKGSAMQDLAEVNNFP
+>O75843_PF01602_24 <unknown description>
+EREVIQKECAHIRASFRDGDPVHRHRQLAKLLYVHMLGYPAHFGQMECLKLIASSRFTDK
+RVGYLGAMLLLDERHDAHLLITNSIKNDLSQGIQPVQGLALCTLSTMGSAEMCRDLAPEV
+EKLLLQPSPYVRKKAILTAVHMIRKVPELSSVFLPPCAQLLHERHHGILLGTITLITELC
+ERSPAALRHFRKVVPQLVHILRTLVTMGYSTEHSISGVSDPFLQVQILRLLRILGRNHEE
+SSETMNDLLAQVATNTDTSRNAGNAVLFETVLTIMDIRSAAGLRVLAVNILGRFLLNSDR
+NIRYVALTSLLRLVQSDHSAVQRHRPTVVECLRETDASLSRRALELSLALVNSSNVRAMM
+QELQAFLESCPPDLRADCASGILLAAERFAPTKRWHIDTILHVLTTAGTHVRDDAVANLT
+QLIGGAQELHAYSVRRLYNALAEDISQQPLVQVAAWCIGEYGDLLLAGNCEEIEPLQVDE
+EEVLALLEKVLQSHMSLPATRGYALTALMKLSTRLCGDNNRIRQVVSIYGSCLDVELQQR
+AVEYDTLFRKY
+>O75843_PF02883_670 <unknown description>
+LKVFEREGVQLNLSFIRPPENPALLLITITATNFSEGDVTHFICQAAVPKSLQLQLQAPS
+GNTVPARGGLPITQLFRILNPNKAPLRLKLRLTYDHFHQSVQEIFEVNNLP
+>Q9BXS5_PF00928_157 <unknown description>
+VSWRSEGIKYRKNEVFLDVIESVNLLVSANGNVLRSEIVGSIKMRVFLSGMPELRLGLND
+KVLFDNTGRGKSKSVELEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTHVKPL
+IWIESVIEKHSHSRIEYMIKAKSQFKRRSTANNVEIHIPVPNDADSPKFKTTVGSVKWVP
+ENSEIVWSIKSFPGGKEYLMRAHFGLPSVEAEDKEGKPPISVKFEIPYFTTSGIQVRYLK
+IIEKSGYQALPWVRYITQNGDYQLR
+>Q9Y6Q5_PF01217_4 <unknown description>
+SAVFILDVKGKPLISRNYKGDVAMSKIEHFMPLLVQREEEGALAPLLSHGQVHFLWIKHS
+NLYLVATTSKNANASLVYSFLYKTIEVFCEYFKELEEESIRDNFVIVYELLDELMDFGFP
+QTTDSKILQEYI
+>Q9Y6Q5_PF00928_157 <unknown description>
+VSWRSEGIKYKKNEVFIDVIESVNLLVNANGSVLLSEIVGTIKLKVFLSGMPELRLGLND
+RVLFELTGRSKNKSVELEDVKFHQCVRLSRFDNDRTISFIPPDGDFELMSYRLSTQVKPL
+IWIESVIEKFSHSRVEIMVKAKGQFKKQSVANGVEISVPVPSDADSPRFKTSVGSAKYVP
+ERNVVIWSIKSFPGGKEYLMRAHFGLPSVEKEEVEGRPPIGVKFEIPYFTVSGIQVRYMK
+IIEKSGYQALPWVRYITQSGDYQLR
+>P61966_PF01217_1 <unknown description>
+MMRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLARKPKMCSFLEWRDLKVVYK
+RYASLYFCCAIEGQDNELITLELIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLMG
+GDVQDTSKKSVLKAIEQADL
+>Q96PC3_PF01217_1 <unknown description>
+MIHFILLFSRQGKLRLQKWYITLPDKERKKITREIVQIILSRGHRTSSFVDWKELKLVYK
+RYASLYFCCAIENQDNELLTLEIVHRYVELLDKYFGNVCELDIIFNFEKAYFILDEFIIG
+GEIQETSKKIAVKAIEDSDM
+>O95782_PF01602_31 <unknown description>
+KRINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDIDFGHMEAVNLLSSNKYTE
+KQIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMCLALHCIANVGSREMGEAFAAD
+IPRILVAGDSMDSVKQSAALCLLRLYKASPDLVPMGEWTARVVHLLNDQHMGVVTAAVSL
+ITCLCKKNPDDFKTCVSLAVSRLSRIVSSASTDLQDYTYYFVPAPWLSVKLLRLLQCYPP
+PEDAAVKGRLVECLETVLNKAQEPPKSKKVQHSNAKNAILFETISLIIHYDSEPNLLVRA
+CNQLGQFLQHRETNLRYLALESMCTLASSEFSHEAVKTHIDTVINALKTERDVSVRQRAA
+DLLYAMCDRSNAKQIVSEMLRYLETADYAIREEIVLKVAILAEKYAVDYSWYVDTILNLI
+RIAGDYVSEEVWYRVLQIVTNRDDVQGYAAKTVFEALQAPACHENMVKVGGYILGEFGNL
+IAGDPRSSPPVQFSLLHSKFHLCSVATRALLLSTYIKFINLFPETKATIQGVLRAGSQLR
+NADVELQQRAVEYLTLSS
+>O95782_PF02883_729 <unknown description>
+NNGVLFENQLLQIGVKSEFRQNLGRMYLFYGNKTSVQFQNFSPTVVHPGDLQTQLAVQTK
+RVAAQVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGGAP
+>O95782_PF02296_842 <unknown description>
+FFQPTEMAAQDFFQRWKQLSLPQQEAQKIFKANHPMDAEVTKAKLLGFGSALLDNVDPNP
+ENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTSKEPVSRHLCEL
+>O94973_PF01602_31 <unknown description>
+KRINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDIDFGHMEAVNLLSSNRYTE
+KQIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMGLALHCIASVGSREMAEAFAGE
+IPKVLVAGDTMDSVKQSAALCLLRLYRTSPDLVPMGDWTSRVVHLLNDQHLGVVTAATSL
+ITTLAQKNPEEFKTSVSLAVSRLSRIVTSASTDLQDYTYYFVPAPWLSVKLLRLLQCYPP
+PDPAVRGRLTECLETILNKAQEPPKSKKVQHSNAKNAVLFEAISLIIHHDSEPNLLVRAC
+NQLGQFLQHRETNLRYLALESMCTLASSEFSHEAVKTHIETVINALKTERDVSVRQRAVD
+LLYAMCDRSNAPQIVAEMLSYLETADYSIREEIVLKVAILAEKYAVDYTWYVDTILNLIR
+IAGDYVSEEVWYRVIQIVINRDDVQGYAAKTVFEALQAPACHENLVKVGGYILGEFGNLI
+AGDPRSSPLIQFHLLHSKFHLCSVPTRALLLSTYIKFVNLFPEVKPTIQDVLRSDSQLRN
+ADVELQQRAVEYLRLST
+>O94973_PF02883_713 <unknown description>
+NNGVLFENQLLQIGLKSEFRQNLGRMFIFYGNKTSTQFLNFTPTLICSDDLQPNLNLQTK
+PVDPTVEGGAQVQQVVNIECVSDFTEAPVLNIQFRYGGTFQNVSV
+>O94973_PF02296_826 <unknown description>
+FFQPTEMASQDFFQRWKQLSNPQQEVQNIFKAKHPMDTEVTKAKIIGFGSALLEEVDPNP
+ANFVGAGIIHTKTTQIGCLLRLEPNLQAQMYRLTLRTSKEAVSQRLCEL
+>P63010_PF01602_14 <unknown description>
+EIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQTDNLELKKLVYLYL
+MNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITEYLCEPLRKCLKDE
+DPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANAVAALSEISESHPN
+SNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSICERVTPRLSHANS
+AVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQYVALRNINLIVQKR
+PEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKA
+VRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRKYPNKYESIIATLC
+ENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQVQLTLLTAIVKLFL
+KKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLST
+>P63010_PF02883_727 <unknown description>
+VWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIPSTPLAI
+HTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFS
+>P63010_PF09066_841 <unknown description>
+VEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDM
+LYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSIL
+>Q92481_PF03299_230 <unknown description>
+FCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLE
+KIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSD
+LHSRKNMLLATKQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPA
+ICAALTALQNYLTEA
+>Q92754_PF03299_224 <unknown description>
+FCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLD
+KIGLNLPAGRRKAAHVTLLTSLVEGEAVHLARDFAYVCEAEFPSKPVAEYLTRPHLGGRN
+EMAARKNMLLAAQQLCKEFTELLSQDRTPHGTSRLAPVLETNIQNCLSHFSLITHGFGSQ
+AICAAVSALQNYIKEA
+>Q7Z6R9_PF03299_211 <unknown description>
+FCSVPGRLSLLSSTSKYKVTIAEVKRRLSPPECLNASLLGGILRRAKSKNGGRCLREKLD
+RLGLNLPAGRRKAANVTLLTSLVEGEALHLARDFGYTCETEFPAKAVGEHLARQHMEQKE
+QTARKKMILATKQICKEFQDLLSQDRSPLGSSRPTPILDLDIQRHLTHFSLITHGFGTPA
+ICAALSTFQTVLSEM
+>Q6VUC0_PF03299_218 <unknown description>
+FCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRCLRERLE
+KIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAAAEYLCRQHADPGE
+LHSRKSMLLAAKQICKEFADLMAQDRSPLGNSRPALILEPGVQSCLTHFSLITHGFGGPA
+ICAALTAFQNYLL
+>Q96CW1_PF01217_2 <unknown description>
+IGGLFIYNHKGEVLISRVYRDDIGRNAVDAFRVNVIHARQQVRSPVTNIARTSFFHVKRS
+NIWLAAVTKQNVNAAMVFEFLYKMCDVMAAYFGKISEENIKNNFVLIYELLDEILDFGYP
+QNSE
+>Q96CW1_PF00928_160 <unknown description>
+GWRREGIKYRRNELFLDVLESVNLLMSPQGQVLSAHVSGRVVMKSYLSGMPECKFGMNDK
+IVIEKQGKGTADETSKSGKQSIAIDDCTFHQCVRLSKFDSERSISFIPPDGEFELMRYRT
+TKDIILPFRVIPLVREVGRTKLEVKVVIKSNFKPSLLAQKIEVRIPTPLNTSGVQVICMK
+GKAKYKASENAIVWKIKRMAGMKESQISAEIELLPTNDKKKWARPPISMNFEVPFAPSGL
+KVRYLKVFEPKLNYSDHDVIKWVRYIGRSGIYETRC
+>P53680_PF01217_1 <unknown description>
+MIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEFRNFKIIYR
+RYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLA
+GEIRETSQTKVLKQLLMLQSL
+>O00203_PF01602_43 <unknown description>
+KNEDLKQMLESNKDSAKLDAMKRIVGMIAKGKNASELFPAVVKNVASKNIEIKKLVYVYL
+VRYAEEQQDLALLSISTFQRALKDPNQLIRASALRVLSSIRVPIIVPIMMLAIKEASADL
+SPYVRKNAAHAIQKLYSLDPEQKEMLIEVIEKLLKDKSTLVAGSVVMAFEEVCPDRIDLI
+HKNYRKLCNLLVDVEEWGQVVIIHMLTRYARTQFVSPWKEGDELEDNGKNFYESDDDQKE
+KTDKKKKPYTMDPDHRLLIRNTKPLLQSRNAAVVMAVAQLYWHISPKSEAGIISKSLVRL
+LRSNREVQYIVLQNIATMSIQRKGMFEPYLKSFYVRSTDPTMIKTLKLEILTNLANEANI
+STLLREFQTYVKSQDKQFAAATIQTIGRCATNILEVTDTCLNGLVCLLSNRDEIVVAESV
+VVIKKLLQMQPAQHGEIIKHMAKLLDSITVPVARASILWLIGENCERVPKIAPDVLRKMA
+KSFTSEDDLVKLQILNLGAKLYLTNSKQTKLLTQYILNLGKYDQNYDIRDRTRFIRQLIV
+>O00203_PF14797_672 <unknown description>
+AKKFYSESEEEEDSSDSSSDSESESGSESGEQGESGEEGDSNEDSSEDSSSEQDSESGRE
+SGLENKRTAKRNSKAKGKSDSEDGEKENEKSKTSDSSNDESSSIEDSSSDSESES
+>O00203_PF14796_814 <unknown description>
+KDVSLLDLDDFNPVSTPVALPTPALSPSLMADLEGLHLSTSSSVISVSTPAFVPTKTHVL
+LHRMSGKGLAAHYFFPRQPCIFGDKMVSIQITLNNTTDRKIENIHIGEKKLPIGMKMHVF
+NPIDSLEPEGSITVSMGIDFCDSTQ
+>Q13367_PF01602_36 <unknown description>
+YKRHDDLKEMLDTNKDSLKLEAMKRIVAMIARGKNASDLFPAVVKNVACKNIEVKKLVYV
+YLVRYAEEQQDLALLSISTFQRGLKDPNQLIRASALRVLSSIRVPIIVPIMMLAIKEAAS
+DMSPYVRKTAAHAIPKLYSLDSDQKDQLIEVIEKLLADKTTLVAGSVVMAFEEVCPERID
+LIHKNYRKLCNLLIDVEEWGQVVIISMLTRYARTQFLSPTQNESLLEENAEKAFYGSEED
+EAKGAGSEETAAAAAPSRKPYVMDPDHRLLLRNTKPLLQSRSAAVVMAVAQLYFHLAPKA
+EVGVIAKALVRLLRSHSEVQYVVLQNVATMSIKRRGMFEPYLKSFYIRSTDPTQIKILKL
+EVLTNLANETNIPTVLREFQTYIRSMDKDFVAATIQAIGRCATNIGRVRDTCLNGLVQLL
+SNRDELVVAESVVVIKKLLQMQPAQHGEIIKHLAKLTDNIQVPMARASILWLIGEYCEHV
+PRIAPDVLRKMAKSFTAEEDIVKLQVINLAAKLYLTNSKQTKLLTQYVLSLAKYDQNYDI
+RDRARFTRQLIVPS
+>Q13367_PF14796_822 <unknown description>
+TKEISLLDLEDFTPPSVQPVSPPAIVSTSLAADLEGLTLTDSTLVPSLLSPVSGVGRQEL
+LHRVAGEGLAVDYTFSRQPFSGDPHMVSVHIHFSNSSDTPIKGLHVGTPKLPAGISIQEF
+PEIESLAPGESATAVMGINFCDSTQ
+>O14617_PF01602_32 <unknown description>
+EAKYISQCIDEIKQELKQDNIAVKANAVCKLTYLQMLGYDISWAAFNIIEVMSASKFTFK
+RIGYLAASQSFHEGTDVIMLTTNQIRKDLSSPSQYDTGVALTGLSCFVTPDLARDLANDI
+MTLMSHTKPYIRKKAVLIMYKVFLKYPESLRPAFPRLKEKLEDPDPGVQSAAVNVICELA
+RRNPKNYLSLAPLFFKLMTSSTNNWVLIKIIKLFGALTPLEPRLGKKLIEPLTNLIHSTS
+AMSLLYECVNTVIAVLISLSSGMPNHSASIQLCVQKLRILIEDSDQNLKYLGLLAMSKIL
+KTHPKSVQSHKDLILQCLDDKDESIRLRALDLLYGMVSKKNLMEIVKKLMTHVDKAEGTT
+YRDELLTKIIDICSQSNYQYITNFEWYISILVELTRLEGTRHGHLIAAQMLDVAIRVKAI
+RKFAVSQMSALLDSAHLLASSTQRNGICEVLYAAAWICGEFSEHLQEPHHTLEAMLRPRV
+TTLPGHIQAVYVQNVVKLYASILQQKEQAGEAEGAQAVTQLMVDRLPQFVQSADLEVQER
+ASCILQLVKH
+>O14617_PF06375_661 <unknown description>
+DEEELARRREARKQEQANNPFYIKSSPSPQKRYQDTPGVEHIPVVQIDLSVPLKVPGLPM
+SDQYVKLEEERRHRQKLEKDKRRKKRKEKEKKGKRRHSSLPTESDEDIAPAQQVDIVTEE
+MPENALPSDEDDKDPNDPYRALDIDLD
+>Q9Y2T2_PF01217_1 <unknown description>
+MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY
+RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG
+FPLATESN
+>Q9Y2T2_PF00928_165 <unknown description>
+IPWRRAGVKYTNNEAYFDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMN
+PRLLDDVSFHPCIRFKRWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKE
+NSSCGRFDITIGPKQNMGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLTWDVG
+KITPQKLPSLKGLVNLQSGAPKPEENPSLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKG
+VKYVTKAGKFQVR
+>P53677_PF01217_1 <unknown description>
+MIHSLFLINSSGDIFLEKHWKSVVSRSVCDYFFEAQERATEAENVPPVIPTPHHYLLSVY
+RHKIFFVAVIQTEVPPLFVIEFLHRVVDTFQDYFGVCSEPVIKDNVVVVYEVLEEMLDNG
+FPLATESN
+>P53677_PF00928_165 <unknown description>
+VPWRRTGVKYTNNEAYFDVIEEIDAIIDKSGSTITAEIQGVIDACVKLTGMPDLTLSFMN
+PRLLDDVSFHPCVRFKRWESERILSFIPPDGNFRLLSYHVSAQNLVAIPVYVKHNISFRD
+SSSLGRFEITVGPKQTMGKTIEGVTVTSQMPKGVLNMSLTPSQGTHTFDPVTKMLSWDVG
+KINPQKLPSLKGTMSLQAGASKPDENPTINLQFKIQQLAISGLKVNRLDMYGEKYKPFKG
+IKYMTKAGKFQVR
+>Q92572_PF01217_1 <unknown description>
+MIKAILIFNNHGKPRLSKFYQPYSEDTQQQIIRETFHLVSKRDENVCNFLEGGLLIGGSD
+NKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHVDKVHNIL
+AEMVMGGMVLETNMNEIVTQIDAQNKL
+>P59780_PF01217_1 <unknown description>
+MIQAILVFNNHGKPRLVRFYQRFPEEIQQQIVRETFHLVLKRDDNICNFLEGGSLIGGSD
+YKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHMDKVHYIL
+QEVVMGGMVLETNMNEIVAQIEAQNRL
+>P50583_PF00293_22 <unknown description>
+NAIEFLLLQASDGIHHWTPPKGHVEPGEDDLETALRETQEEAGIEAGQLTIIEGFKRELN
+YVARNKPKTVIYWLAEVKDYDVEIRLSHEHQAYRWLGLEEACQLAQFK
+>Q9Y6B7_PF01602_11 <unknown description>
+KELKKALCNPHIQADRLRYRNVIQRVIRYMTQGLDMSGVFMEMVKASATVDIVQKKLVYL
+YMCTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEYIQQPILNGLR
+DKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCLRSLEEILKQE
+GGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLLDSFLKSSSPG
+VVMGATKLFLILAKMFPHVQTDVLVRVKGPLLAACSSESRELCFVALCHVRQILHSLPGH
+FSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVSADFAQAAIFA
+IGGIARTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVCQALPGCEENI
+QDSEGKQALIWLLGVHGERIPNAPYVLEDFVENVKSETFPAVKMELLTALLRLFLSRPAE
+CQDMLGRLLYYCIEEEKDMAVRDRGLFYYRLL
+>Q9Y6B7_PF09066_622 <unknown description>
+PNRQLTADYFEKTWLSLKVAHQQVLPWRGEFHPDTLQMALQVVNIQTIAMSRAGSRPWKA
+YLSAQDDTGCLFLTELLLEPGNSEMQISVKQNEARTETLNSFISVLETVI
+>Q9UPM8_PF01602_52 <unknown description>
+EEKLIQQELSSLKATVSAPTTTLKMMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLL
+EKRVGYLAVSLFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPCEMIPAVLP
+LIEDKLQHSKEIVRRKAVLALYKFHLIAPNQVQHIHIKFRKALCDRDVGVMAASLHIYLR
+MIKENSSGYKDLTGSFVTILKQVVGGKLPVEFNYHSVPAPWLQIQLLRILGLLGKDDQRT
+SELMYDVLDESLRRAELNHNVTYAILFECVHTVYSIYPKSELLEKAAKCIGKFVLSPKIN
+LKYLGLKALTYVIQQDPTLALQHQMTIIECLDHPDPIIKRETLELLYRITNAQNITVIVQ
+KMLEYLHQSKEEYVIVNLVGKIAELAEKYAPDNAWFIQTMNAVFSVGGDVMHPDIPNNFL
+RLLAEGFDDETEDQQLRLYAVQSYLTLLDMENVFYPQRFLQVMSWVLGEYSYLLDKETPE
+EVIAKLYKLLMNDSVSSETKAWLIAAVTKLTSQAHSSNTVERLIHEFTISLDTCMRQHAF
+ELKHL
+>Q9UPM8_PF14807_1033 <unknown description>
+PLKISSDDFGKLWLSFANDVKQNVKMSESQAALPSALKTLQQKLRLHIIEIIGNEGLLAC
+QLLPSIPCLLHCRVHADVLALWFRSSCSTLPDYLLYQCQK
+>O00189_PF01217_34 <unknown description>
+YRKLTGLPGDESPVVMHHHGRHFIHIRHSGLYLVVTTSENVSPFSLLELLSRLATLLGDY
+CGSLGEGTISRNVALVYELLDEVLDYGYVQTTSTEML
+>O00189_PF00928_176 <unknown description>
+SSRSDQSQKNEVFLDVVERLSVLIASNGSLLKVDVQGEIRLKSFLPSGSEMRIGLTEEFC
+VGKSELRGYGPGIRVDEVSFHSSVNLDEFESHRILRLQPPQGELTVMRYQLSDDLPSPLP
+FRLFPSVQWDRGSGRLQVYLKLRCDLLSKSQALNVRLHLPLPRGVVSLSQELSSPEQKAE
+LAEGALRWDLPRVQGGSQLSGLFQMDVPGPPGPPSHGLSTSASPLGLGPASLSFELPRHT
+CSGLQVRFLRLAFRPCGNANPHKWVRHLSHSDAYVIRI
+>Q9Y587_PF01217_1 <unknown description>
+MIKFFLMVNKQGQTRLSKYYEHVDINKRTLLETEVIKSCLSRSNEQCSFIEYKDFKLIYR
+QYAALFIVVGVNDTENEMAIYEFIHNFVEVLDEYFSRVSELDIMFNLDKVHIILDEMVLN
+GCIVETNRARILAPLLILDKM
+>Q9H0R1_PF00928_197 <unknown description>
+AWKTGTYKGKPQVSISITEKVKSMQYDKQGIADTWQVVGTVTCKCDLEGIMPNVTISLSL
+PTNGSPLQDILVHPCVTSLDSAILTSSSIDAMDDSAFSGPYKFPFTPPLESFNLCFYTSQ
+VPVPPILGFYQMKEEEVQLRITINLKLHESVKNNFEFCEAHIPFYNRGPITHLEYKTSFG
+QLEVFREKSLLIWIIGQKFPKSMEISLSGTVTFGAKSHEKQPFDPICTGETAYLKLHFRI
+LDYTLTGCYADQHSVQVFASGKPKISAHRKLISSDYYIW
+>Q9NUS5_PF15001_1 <unknown description>
+MVHAFLIHTLRAPNTEDTGLCRVLYSCVFGAEKSPDDPRPHGAERDRLLRKEQILAVARQ
+VESMCRLQQQASGRPPMDLQPQSSDEQVPLHEAPRGAFRLAAENPFQEPRTVVWLGVLSL
+GFALVLDAHENLLLAEGTLRLLTRLLLDHLRLLAPSTSLLLRADRIEGILTRFLPHGQLL
+FLNDQFVQGLEKEF
+>O43299_PF14764_320 <unknown description>
+LQKACLVEAVLVLDVLCRQDPSFLYRSLSCLKALHGRVRGDPASVRVLLPLAHFFLSHGE
+AAAVDSEAVYQHLFTRIPVEQFHSPMLAFEFIQFCRDNLHLFSGHLSTLRLSFPNLFK
+>O14727_PF00619_6 <unknown description>
+RNCLLQHREALEKDIKTSYIMDHMISDGFLTISEEEKVRNEPTQQQRAAMLIKMILKKDN
+DSYVSFYNALLHEGYKDLAALLHD
+>O14727_PF00931_130 <unknown description>
+KKLVNAIQQKLSKLKGEPGWVTIHGMAGCGKSVLAAEAVRDHSLLEGCFPGGVHWVSVGK
+QDKSGLLMKLQNLCTRLDQDESFSQRLPLNIEEAKDRLRILMLRKHPRSLLILDDVWDSW
+VLKAFDSQCQILLTTRDKSVTDSVMGPKYVVPVESSLGKEKGLEILSLFVNMKKADLPEQ
+AHSIIKECKGSPLVVSLIGALLRDFPNRWEYYLKQLQNKQFKRIRKSSSYDYEALDEAMS
+ISVEM
+>O14727_PF17908_453 <unknown description>
+LQDLHKKIITQFQRYHQPHTLSPDQEDCMYWYNFLAYHMASAKMHKELCALMFSLDWIKA
+KTELVGPAHLIHEFVEYRHILDEKDCAVSENFQEFLSLNGHLLGRQPFPNIVQLGLCEPE
+TSEVYQQAKLQAKQE
+>O14727_PF00400_612 <unknown description>
+RPHTDAVYHACFSEDGQRIASCGADKTLQVF
+>O14727_PF00400_648 <unknown description>
+EKLLEIKAHEDEVLCCAFSTDDRFIATCSVDKKVKIWN
+>O14727_PF00400_689 <unknown description>
+GELVHTYDEHSEQVNCCHFTNSSHHLLLATGSSDCFLKLWD
+>O14727_PF00400_735 <unknown description>
+CRNTMFGHTNSVNHCRFSPDDKLLASCSADGTLKLWD
+>O14727_PF00400_875 <unknown description>
+VADCRGHLSWVHGVMFSPDGSSFLTSSDDQTIRLW
+>O14727_PF00400_1001 <unknown description>
+QHKKTVWHIQFTADEKTLISSSDDAEIQVWN
+>O14727_PF00400_1078 <unknown description>
+EKDFVCHQGTVLSCDISHDATKFSSTSADKTAKIWS
+>O14727_PF00400_1119 <unknown description>
+PLHELRGHNGCVRCSAFSVDSTLLATGDDNGEIRIWN
+>O14727_PF00400_1175 <unknown description>
+THGGWVTDLCFSPDGKMLISAGGYIKWWN
+>Q02410_PF00640_461 <unknown description>
+FAANYLGSTQLLSDKTPSKNVRMMQAQEAVSRIKMAQKLAKSRKKAPEGESQPMTEVDLF
+ISTQRIKVLNADTQETMMDHPLRTISYIADIGNIVVLMARRRMPRSNSQENVEASHPSQD
+GKRQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRA
+>Q02410_PF00595_657 <unknown description>
+VFIEKQKGEILGVVIVESGWGSILPTVIIANMMHGGPAEKSGKLNIGDQIMSINGTSLVG
+LPLSTCQSIIKGLKNQSRVKLNI
+>Q02410_PF00595_755 <unknown description>
+LRYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATPHEKIVHILSNAVGE
+IHMKT
+>Q99767_PF00640_372 <unknown description>
+FAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKRMQKAAKIKKKANSEGDAQTLTEVDL
+FISTQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPRSASQDCIETTPGAQ
+EGKKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRA
+>Q99767_PF00595_569 <unknown description>
+LQLEKHKGEILGVVVVESGWGSILPTVILANMMNGGPAARSGKLSIGDQIMSINGTSLVG
+LPLATCQGIIKGLKNQTQVKLNI
+>Q99767_PF00595_663 <unknown description>
+KRPDLKYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIVQALSN
+SVGEIHMKT
+>O96018_PF00640_221 <unknown description>
+FGARYLGSTQLVSERNPPTSTRMAQAREAMDRVKAPDGETQPMTEVDLFVSTKRIKVLTA
+DSQEAMMDHALHTISYTADIGCVLVLMARRRLARRPAPQDHGRRLYKMLCHVFYAEDAQL
+IAQAIGQAFAAAYSQFLR
+>O96018_PF00595_394 <unknown description>
+EVHLEKRRGEGLGVALVESGWGSLLPTAVIANLLHGGPAERSGALSIGDRLTAINGTSLV
+GLPLAACQAAVRETKSQTSVTLSI
+>O96018_PF00595_496 <unknown description>
+QLGFCVEDGIICSLLRGGIAERGGIRVGHRIIEINGQSVVATPHARIIELLTEAYGEVHI
+K
+>O00213_PF00397_255 <unknown description>
+LPAGWMRVQDTSGTYYWHIPTGTTQWEPP
+>O00213_PF00640_370 <unknown description>
+FAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMSGGWGEGKDLLLQLEDE
+TLKLVEPQSQALLHAQPIISIRVWGVGRDSGRERDFAYVARDKLTQMLKCHVFRCEAPAK
+NIATSLHEICSKIMAERRN
+>O00213_PF00640_578 <unknown description>
+WTPSHVSVAPATLTILHQQTEAVLGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMF
+WCEPNAASLSEAVQAACMLRYQKCLD
+>Q92870_PF00397_293 <unknown description>
+LPPGWKRVSDIAGTYYWHIPTGTTQWERP
+>Q92870_PF00640_420 <unknown description>
+FAVRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLILENDM
+LSLVDPMDRSVLHSQPIVSIRVWGVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIA
+TSLHEICSKIMAERKN
+>Q92870_PF00640_591 <unknown description>
+FHVQYLGMLPVDKPVGMDILNSAIENLMTSSNKEDWLSVNMNVADATVTVISEKNEEEVL
+VECRVRFLSFMGVGKDVHTFAFIMDTGNQRFECHVFWCEPNAGNVSEAVQAACMLRYQKC
+L
+>O95704_PF00640_119 <unknown description>
+FAVRSLGWVEVPEEDLAPGKSSIAVNNCIQQLAQTRSRSQPPDGAWGEGQNMLMILKKDA
+MSLVNPLDHSLIHCQPLVHIRVWGVGSSKGRDRDFAFVASDKDSCMLKCHVFCCDVPAKA
+IASALHGLCAQILSERV
+>Q92624_PF13424_400 <unknown description>
+QRLLQEAHDLHLSSLQLAKKAFGEFNVQTAKHYGNLGRLYQSMRKFKEAEEMHIKAIQIK
+EQ
+>Q92624_PF13374_475 <unknown description>
+GHLASLYNYDMNQYENAEKLYLRSIAIGKKLFGE
+>Q9UM13_PF03256_6 <unknown description>
+KTPPGADPKQLERTGTVREIGSQAVWSLSSCKPGFGVDQLRDDNLETYWQSDGSQPHLVN
+IQFRRKTTVKTLCIYADYKSDESYTPSKISVRVGNNFHNLQEIRQLELVEPSGWIHVPLT
+DNHKKPTRTFMIQIAVLANHQNGRDTHMRQIKIYTPVEESSIGKFPRCTTIDFMMYR
+>Q9NYG5_PF12861_1 <unknown description>
+MKVKIKCWNGVATWLWVANDENCGICRMAFNGCCPDCKVPGDDCPLVWGQCSHCFHMHCI
+LKWLHAQQVQQHCPMCRQEWKFKE
+>Q9BS18_PF05839_1 <unknown description>
+MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLNELPEPEQDNGGTTESVKEQEMKWTDL
+ALQYLHENVPPIG
+>P60006_PF15243_2 <unknown description>
+STLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDNNLVPIGKPASEH
+YDDEEEEDDEDDEDSEEDSEDDEDMQDMD
+>Q96DE5_PF17256_27 <unknown description>
+DLAPPRKALFTYPKGAGEMLEDGSERFLCESVFSYQVASTLKQVKHDQQVARMEKLAGLV
+EELEADEWRFKPIEQLLGFT
+>Q9H1A4_PF19521_1 <unknown description>
+MSNFYEERTTMIAARDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS
+LQEVTIHEKQKESWQLRKGVSEIGEDVDYDEELYVAGNMVIWSKGSKSQALAVYKAFTVD
+SPVQQALWCDFIISQD
+>Q9H1A4_PF12859_150 <unknown description>
+CICILQSSCINMHSIEGKDYIASLPFQVANVWPTKYGLLFERSASSHEVPPGSPREP
+>Q9H1A4_PF18122_1736 <unknown description>
+ETISAFTSDPALLSFAEYFCKPTVNMGQKQEILDLFSSVLYECVTQETPEMLPAYIAMDQ
+AIRRLGRREMSETSELWQIKLVLEFFSSRSHQERLQNHPKRGLFMNSEFLPVVKCTIDNT
+LDQWLQVGGDMCVHAYLSGQPLEESQLSMLACFLVYHSVP
+>Q9UJX5_PF12894_27 <unknown description>
+VWSPKRDLIALANTAGEVLLHRLASFHRVWSFPPNENTGKEVTCLAWRPDGKLLAFALAD
+TKKIVLCDVEKPESLHSFSVEAPVSCMHWME
+>Q9UJX5_PF12896_234 <unknown description>
+FQLETNLLYSFLPEVTRMARKFTHISALLQYINLSLTCMCEAWEEILMQMDSRLTKFVQE
+KNTTTSVQDEFMHLLLWGKASAELQTLLMNQLTVKGLKKLGQSIESSYSSIQKLVISHLQ
+SGSESLLYHLSELKGMASWKQKYEPLGLDAAGIEEAITAVGSFILKANELLQVIDSSMKN
+FKAFFRWLYVAMLRMTE
+>Q9UJX4_PF12862_254 <unknown description>
+HYLSYLNNLRVQDVFSSTHSLLHYFDRLILTGAESKSNGEEGYGRSLRYAALNLAALHCR
+FGHYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQK
+>Q9UJX4_PF12862_459 <unknown description>
+QQNNTESFAVALCHLAELHAEQGCFAAASEVLK
+>Q9UJX3_PF13432_316 <unknown description>
+FYSKRYSRALYLGAKAIQLNSNSVQALLLKGAALRNMGRVQEAIIHFREAIRLAP
+>Q9UJX3_PF13432_448 <unknown description>
+KAELLSREQKYEDGIALLRNALANQSDCVLHRILGDFLVAVNEYQEAMDQYSIALSLDPN
+D
+>Q8J025_PF14921_51 <unknown description>
+QCHHMLKHLHNGARITVQMPPTIEGHWVSTGCEVRSGPEFITRSYRFYHNNTFKAYQFYY
+GSNRCTNPTYTLIIRGKIRLRQASWIIRGGTEADYQLHNVQVICHTEAVAEKLGQQVNRT
+CPGFLADGGPWVQDVAYDLWREENGCECTKAVNFAMHELQLIRVEKQYLHHNLDHLVEEL
+FLGDIHTDATQRMFYRPSSYQPPLQNAKNHDHACIACRIIYRSDEHHPPILP
+>Q8J025_PF14921_290 <unknown description>
+GLHGEWVSQRCEVRPEVLFLTRHFIFHDNNNTWEGHYYHYSDPVCKHPTFSIYARGRYSR
+GVLSSRVMGGTEFVFKVNHMKVTPMDAATASLLNVFNGNECGAEGSWQVGIQQDVTHTNG
+CVALGIKLPHTEYEIFKMEQDARGRYLLFNGQRPSDGSSPDRPEKRATSYQMPLVQC
+>Q8NCL9_PF14921_47 <unknown description>
+STAILPPRLNGPWISTGCEVRPGPEFLTRAYTFYPSRLFRAHQFYYEDPFCGEPAHSLLV
+KGKVRLRRASWVTRGATEADYHLHKVGIVFHSRRALVDVTGRLNQTRAGRDCARRLPPAR
+AWLPGALYELRSARAQGDCLEALGLTMHELSLVRVQRRLQPQPRASPRLVEELYLGDIHT
+DPAERRHYRPTGYQRPLQSALHHVQPCPACGLIARSDVHHPPVLP
+>Q8NCL9_PF14921_277 <unknown description>
+PLHLGGWWVSSGCEVRPAVLFLTRLFTFHGHSRSWEGYYHHFSDPACRQPTFTVYAAGRY
+TRGTPSTRVRGGTELVFEVTRAHVTPMDQVTTAMLNFSEPSSCGGAGAWSMGTERDVTAT
+NGCLPLGIRLPHVEYELFKMEQDPLGQSLLFIGQRPTDGSSPDTPEKRPTSYQAPLVL
+>O95996_PF16689_6 <unknown description>
+APYEQLVRQVEALKAENSHLRQELRDNSSHLSKLETETSGMKEVLKHLQGKL
+>O95996_PF11414_126 <unknown description>
+ATIRLLEELDRERCFLLNEIEKEEKEKLWYYSQLQGLSKRLDELPHVETQFSMQMDLIRQ
+QLEFEAQHIRSLMEERFGT
+>O95996_PF18797_357 <unknown description>
+SQPDQGLARKEMRVLHVLEQIRAYCETCWDWLQARDGGPEGGGAGSAPIPIEPQICQATC
+AVMKLSFDEEYRRAM
+>O95996_PF00514_616 <unknown description>
+DYRQVLRDHNCLQTLLQHLTSHSLTIVSNACGTLWNLSA
+>O95996_PF16629_698 <unknown description>
+RPAKHQAAATAVSPGSCVPSLYVRKQRALEAELDARHLAQALEHLEKQGPPAAEAATKKP
+LPPLRHLDGLAQDYASDSGCFDDDDAPSSLAAAAATGEPASPAALSLFLGSPFLQGQALA
+RTPPTRRGGKEAEKDTSGEAAVAAKAKAKLALAVARIDQLVEDISALHTSSDDSFSLSSG
+DPGQEAPREGRAQSCSPCRGPEGGRREAGSRAHPLLRLKAAHASLSNDSLNSGSASDGYC
+PREHMLP
+>O95996_PF05923_1055 <unknown description>
+LQKLAAQEGPLSLSRCSSLSSL
+>O95996_PF05923_1147 <unknown description>
+SSENYVQETPLVLSRCSSVSSL
+>O95996_PF05923_1260 <unknown description>
+SVRFTVEKPDENFSCASSLSAL
+>O95996_PF05924_1336 <unknown description>
+ADQELELLRECLGAAVPARLR
+>O95996_PF05923_1390 <unknown description>
+TDSAEGTPVNFSSAASLSD
+>O95996_PF05924_1621 <unknown description>
+SPRAAEELLQRCISSALPRRRP
+>O95996_PF05956_1786 <unknown description>
+AVLRGRTVIYVPSPAPRAQPKGTPGPRATPRKVAPPCLAQPAAPAKVPSPGQQRSRSLHR
+PAKTSELATLSQPPRSATPPARLAKTPSSSSSQTSPASQPLPRKRPPVTQAAGALPGPGA
+SPVPKTPARTLLAKQHKTQRSPVRIPFMQRPARRGPPPLARAVPEPGPRGRAGTEAGPGA
+RGGRLGLVRVASALSSGSESSDRSGFRRQLTFIKESPGLRRRRSELSSAESAASAPQGAS
+PRRGRPALPAVFLCSSRCEELRAAPRQGPAPARQRPPAARPSPGERPARRTTSESPSRLP
+VRAPAARPETVKRYASLPHISVARRPDGAVP
+>P25054_PF16689_4 <unknown description>
+ASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSI
+>P25054_PF11414_130 <unknown description>
+STGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSLQTDMTRRQL
+EYEARQIRVAMEEQLGT
+>P25054_PF18797_393 <unknown description>
+SQPDDKRGRREIRVLHLLEQIRAYCETCWEWQEAHEPGMDQDKNPMPAPVEHQICPAVCV
+LMKLSFDEEHRHAM
+>P25054_PF00514_515 <unknown description>
+NKATLCSMKGCMRALVAQLKSESEDLQQVIASVLRNLS
+>P25054_PF00514_649 <unknown description>
+NEDHRQILRENNCLQTLLQHLKSHSLTIVSNACGTLWNLSA
+>P25054_PF16629_732 <unknown description>
+NRPAKYKDANIMSPGSSLPSLHVRKQKALEAELDAQHLSETFDNIDNLSPKASHRSKQRH
+KQSLYGDYVFDTNRHDDNRSDNFNTGNMTVLSPYLNTTVLPSSSSSRGSLDSSRSEKDRS
+LERERGIGLGNYHPATENPGTSSKRGLQISTTAAQIAKVMEEVSAIHTSQEDRSSGSTTE
+LHCVTDERNALRRSSAAHTHSNTYNFTKSENSNRTCSMPYAKLEYKRSSNDSLNSVSSSD
+GYGKRGQMKPSIESYSEDDESKFCSYGQYPADLAHKIHSANHMDDNDG
+>P25054_PF05972_1020 <unknown description>
+ELDTPINYSLKYSDE
+>P25054_PF16630_1036 <unknown description>
+LNSGRQSPSQNERWARPKHIIEDEIKQSEQRQSRNQSTTYPVYTESTDDKHLKFQPHFGQ
+QECVSPYRSRGANGSETNRVGSNHGINQNVSQSLCQEDDY
+>P25054_PF05972_1155 <unknown description>
+EEERPTNYSIKYNEE
+>P25054_PF05972_1172 <unknown description>
+HVDQPIDYSLKYATD
+>P25054_PF05923_1260 <unknown description>
+QTYCVEDTPICFSRCSSLSSL
+>P25054_PF16633_1282 <unknown description>
+SAEDEIGCNQTTQEADSANTLQIAEIKEKIGTRSAEDPVSEVPAVSQHPRTKSSRLQGSS
+LSSESARHKAVEFSSGAKSPSKSGAQT
+>P25054_PF05923_1372 <unknown description>
+PPEHYVQETPLMFSRCTSVSSL
+>P25054_PF05923_1486 <unknown description>
+DTLLHFATESTPDGFSCSSSLSAL
+>P25054_PF05924_1567 <unknown description>
+SDDDDIEILEECIISAMPTKSS
+>P25054_PF05923_1637 <unknown description>
+DMPRVYCVEGTPINFSTATSLSDL
+>P25054_PF16634_1662 <unknown description>
+IESPPNELAAGEGVRGGAQSGEFEKRDTIPTEGRSTDEAQGGKTSSVTIPELDD
+>P25054_PF05924_1717 <unknown description>
+KAEEGDILAECINSAMPKGKS
+>P25054_PF16635_1746 <unknown description>
+IMDQVQQASASSSAPNKNQLDGKKKKPTSPVKPIPQNTEYRTRVRKNADSKNNLNAERVF
+SDNKDSKKQNLKNNSKVFNDKLPNNEDRVRGSFA
+>P25054_PF05923_1841 <unknown description>
+DSPHHYTPIEGTPYCFSRNDSLSSL
+>P25054_PF16636_1867 <unknown description>
+FDDDDVDLSREKAELRKAKENKESEAKVTSHTELTSNQQSANKTQAIAKQPINRGQPKPI
+LQKQSTFPQSSKDIPDRGAAT
+>P25054_PF05923_1950 <unknown description>
+KLQNFAIENTPVCFSHNSSLSSL
+>P25054_PF05923_2008 <unknown description>
+APKSFHVEDTPVCFSRNSSLSSL
+>P25054_PF05924_2031 <unknown description>
+SIDSEDDLLQECISSAMPKKK
+>P25054_PF05956_2224 <unknown description>
+ISRGRTMIHIPGVRNSSSSTSPVSKKGPPLKTPASKSPSEGQTATTSPRGAKPSVKSELS
+PVARQTSQIGGSSKAPSRSGSRDSTPSRPAQQPLSRPIQSPGRNSISPGRNGISPPNKLS
+QLPRTSSPSTASTKSSGSGKMSYTSPGRQMSQQNLTKQTGLSKNASSIPRSESASKGLNQ
+MNNGNGANKKVELSRMSSTKSSGSESDRSERPVLVRQSTFIKEAPSPTLRRKLEESASFE
+SLSPSSRPASPTRSQAQTPVLSPSLPDMSLSTHSSVQAGGWRKLPPNLSPTIEYNDGRPA
+KRHDIARSHSESPSRLPINRSGTWKREHSKHSSSLPRVSTWRRTGSSSSILS
+>P25054_PF05937_2670 <unknown description>
+RSGRSPTGNTPPVIDSVSEKANPNIKDSKDNQAKQNVGNGSVPMRTVGLENRLNSFIQVD
+APDQKGTEIKPGQNNPVPVSETNESSIVERTPFSSSSSSKHSSPSGTVAARVTPFNYNPS
+PRKSSADSTSARPSQIPTPVNNNTKKRDSKTDSTESSGTQSPKRHSGSYLVTSV
+>Q9ULZ1_PF15360_25 <unknown description>
+LMPLPDGNGLEDGNVRHLVQPRGSRNGPGPWQGGRRKFRRQRPRLSHKGPMPF
+>P27695_PF03372_66 <unknown description>
+SWNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPAELQELPGLSHQYWSAPSDK
+EGYSGVGLLSRQCPLKVSYGIGDEEHDQEGRVIVAEFDSFVLVTAYVPNAGRGLVRLEYR
+QRWDEAFRKFLKGLASRKPLVLCGDLNVAHEEIDLRNPKGNKKNAGFTPQERQGFGELLQ
+AVPLADSFRHLYPNTPYAYTFWTYMMNARSKNVGWRLDYFLLSHSLLPALCDSKIRSKAL
+GSDH
+>Q9UBZ4_PF03372_5 <unknown description>
+VSWNINGIRRPLQGVANQEPSNCAAVAVGRILDELDADIVCLQETKVTRDALTEPLAIVE
+GYNSYFSFSRNRSGYSGVATFCKDNATPVAAEEGLSGLFATQNGDVGCYGNMDEFTQEEL
+RALDSEGRALLTQHKIRTWEGKEKTLTLINVYCPHADPGRPERLVFKMRFYRLLQIRAEA
+LLAAGSHVIILGDLNTAHRPIDHWDAVNLECFEEDPGRKWMDSLLSNLGCQSASHVGPFI
+DSYRCFQPKQEGAFTCWSAVTGARHLNYGSRLDYVLGDRTLVIDTFQASFLLPEVMGSDH
+>Q9UBZ4_PF06839_467 <unknown description>
+PLCGGHREPCVMRTVKKPGPNLGRRFYMCARPRGPPTDPSSRCNFFLWS
+>Q96BI3_PF06105_4 <unknown description>
+AVFFGCTFVAFGPAFALFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVTDRS
+DARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYVSGL
+SFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFDACE
+RRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTVSMGLWAFITAGGSLRS
+>Q8WW43_PF06105_2 <unknown description>
+TAAVFFGCAFIAFGPALALYVFTIATEPLRIIFLIAGAFFWLVSLLISSLVWFMARVIID
+NKDGPTQKYLLIFGAFVSVYIQEMFRFAYYKLLKKASEGLKSINPGETAPSMRLLAYVSG
+LGFGIMSGVFSFVNTLSDSLGPGTVGIHGDSPQFFLYSAFMTLVIILLHVFWGIVFFDGC
+EKKKWGILLIVLLTHLLVSAQTFISSYYGINLASAFIILVLMGTWAFLAAGGSCRSL
+>Q9BZZ5_PF05918_4 <unknown description>
+VEELYRNYGILADATEQVGQHKDAYQVILDGVKGGTKEKRLAAQFIPKFFKHFPELADSA
+INAQLDLCEDEDVSIRRQAIKELPQFATGENLPRVADILTQLLQTDDSAEFNLVNNALLS
+IFKMDAKGTLGGLFSQILQGEDIVRERAIKFLSTKLKTLPDEVLTKEVEELILTESKKVL
+EDVTGEEFVLFMKILSGLKSLQTVSGRQQLVELVAEQADLEQTFNPSDPDCVDRLLQCTR
+QAVPLFSKNVHSTRFVTYFCEQVLPNLGTLTTPVEGLDIQLEVLKLLAEMSSFCGDMEKL
+ETNLRKLFDKLLEYMPLPPEEAENGENAGNEEPKLQFSYVECLLYSFHQLGRKLPDFLTA
+KLNAEKLKDFKIRLQYFARGLQVYIRQLRLALQGKTGEALKTEENKIKVVALKITNNINV
+LIKDLFHIPPSYKSTVTLSWKPVQKVEIGQKRASEDTTSGSPPKKSSAGPKRDARQIYNP
+PSGKYSSNLGNFNYEQRGAFRGSRGGRGWGTR
+>P35414_PF00001_45 <unknown description>
+GNGLVLWTVFRSSREKRRSADIFIASLAVADLTFVVTLPLWATYTYRDYDWPFGTFFCKL
+SSYLIFVNMYASVFCLTGLSFDRYLAIVRPVANARLRLRVSGAVATAVLWVLAALLAMPV
+MVLRTTGDLENTTKVQCYMDYSMVATVSSEWAWEVGLGVSSTTVGFVVPFTIMLTCYFFI
+AQTIAGHFRKERIEGLRKRRRLLSIIVVLVVTFALCWMPYHLVKTLYMLGSLLHWPCDFD
+LFLMNIFPYCTCISYVNSCLNPFLY
+>Q96LR9_PF05461_30 <unknown description>
+RLHGQVLRLREVARRLERLRRRSLVANVAGSSLSATGALAAIVGLSLSPVTLGTSLLVSA
+VGLGVATAGGAVTITSDLS
+>Q8IW19_PF17913_21 <unknown description>
+GETVIGRGPLLGITDKRVSRRHAILEVAGGQLRIKPIHTNPCFYQSSEKSQLLPLKPNLW
+CYLNPGDSFSLLVDKYIFRI
+>Q8IW19_PF10283_376 <unknown description>
+RTSCMYGANCYRKNPVHFQHFSHPGD
+>Q8IW19_PF10283_418 <unknown description>
+RPECPYGPSCYRKNPQHKIEYRHNT
+>P51693_PF02177_54 <unknown description>
+AQVAGLCGRLTLHRDLRTGRWEPDPQRSRRCLRDPQRVLEYCRQMYPELQIARVEQATQA
+IPMERWCGGSRSGSCAHPHHQVVPFRCLPGEFVSEALLVPE
+>P51693_PF12924_155 <unknown description>
+GCRFLHQERMDQCESSTRRHQEAQEACSSQGLILHGSGMLLPCGSDRFRGVEYVCCP
+>P51693_PF12925_286 <unknown description>
+PTPRPTDGVDIYFGMPGEISEHEGFLRAKMDLEERRMRQINEVMREWAMADNQSKNLPKA
+DRQALNEHFQSILQTLEEQVSGERQRLVETHATRVIALINDQRRAALEGFLAALQADPPQ
+AERVLLALRRYLRAEQKEQRHTLRHYQHVAAVDPEKAQQMRFQVHTHLQVIEERVNQSLG
+LL
+>P51693_PF10515_597 <unknown description>
+IVLSMLLLRRKKPYGAISHGVVEVDPMLTLEEQQLRELQRHGYENPTYRFLE
+>Q06481_PF02177_49 <unknown description>
+EPQIAMFCGKLNMHVNIQTGKWEPDPTGTKSCFETKEEVLQYCQEMYPELQITNVMEANQ
+RVSIDNWCRRDKKQCKSRFVTPFKCLVGEFVSDVLLVPE
+>Q06481_PF12924_148 <unknown description>
+KCQFFHKERMEVCENHQHWHTVVKEACLTQGMTLYSYGMLLPCGVDQFHGTEYVCCP
+>Q06481_PF00014_309 <unknown description>
+VCSQEAMTGPCRAVMPRWYFDLSKGKCVRFIYGGCGGNRNNFESEDYCMAVCK
+>Q06481_PF12925_366 <unknown description>
+PTPLPTNDVDVYFETSADDNEHARFQKAKEQLEIRHRNRMDRVKKEWEEAELQAKNLPKA
+ERQTLIQHFQAMVKALEKEAASEKQQLVETHLARVEAMLNDRRRMALENYLAALQSDPPR
+PHRILQALRRYVRAENKDRLHTIRHYQHVLAVDPEKAAQMKSQVMTHLHVIEERRNQSLS
+LL
+>Q06481_PF10515_697 <unknown description>
+IVISLVMLRKRQYGTISHGIVEVDPMLTPEERHLNKMQNHGYENPTYKYLE
+>Q9HDC9_PF20067_80 <unknown description>
+GVLHPNTKLRQAERLFENQLVGPESIAHIGDVMFTGTADGRVVK
+>Q9HDC9_PF03088_201 <unknown description>
+NDLTVTQDGRKIYFTDSSSKWQRRDYLLLVMEGTDDGRLLEYDTVTREVKVLLDQLRFPN
+GVQLSPAEDFVLVAETTMARIRRVYVSG
+>P02647_PF01442_70 <unknown description>
+LLDNWDSVTSTFSKLREQLGPVTQEFWDNLEKETEGLRQEMSKDLEEVKAKVQPYLDDFQ
+KKWQEEMELYRQKVEPLRAELQEGARQKLHELQEKLSPLGEEMRDRARAHVDALRTHLAP
+YSDELRQRLAARLEALKENGGARLAEYHAKATEHLSTLSEKAKPALEDLRQGLLPVLESF
+KVSFL
+>P02652_PF04711_1 <unknown description>
+MKLLAATVLLLTICSLEGALVRRQAKEPCVESLVSQYFQTVTDYGKDLMEKVKSPELQAE
+AKSYFEKSKEQLTPLIKKAGTELVNFLSYFVELGTQ
+>P06727_PF01442_61 <unknown description>
+LFQDKLGEVNTYAGDLQKKLVPFATELHERLAKDSEKLKEEIGKELEELRARLLPHANEV
+SQKIGDNLRELQQRLEPYADQLRTQVSTQAEQLRRQLTPYAQRMERVLRENADSLQASLR
+PHADELKAKIDQNVEELKGRLTPYADEFKVKIDQTVEELRRSLAPYAQDTQEKLNHQLEG
+LTFQMKKNA
+>P06727_PF01442_246 <unknown description>
+KKNAEELKARISASAEELRQRLAPLAEDVRGNLRGNTEGLQKSLAELGGHLDQQVEEFRR
+RVEPYGENFNKALVQQMEQLRQKLGPHAGDVEGHLSFLEKDLRDKVNSFFSTFKEKESQD
+KTLSLPELEQQQEQQQEQQQEQVQML
+>Q6Q788_PF01442_60 <unknown description>
+LEQDLNNMNKFLEKLRPLSGSEAPRLPQDPVGMRRQLQEELEEVKARLQPYMAEAHELVG
+WNLEGLRQQLKPYTMDLMEQVALRVQELQEQLRVVGEDTKAQLLGGVDEAWALLQGLQSR
+VVHHTGRFKELFHPYAESLVSGIGRHVQELHRSVAPHAPASPARLSRCVQVLSRKLTLKA
+KALHARIQQNLDQLREELSRA
+>Q6Q788_PF01442_272 <unknown description>
+PDPQMLSEEVRQRLQAFRQDTYLQIAAFTRAIDQETEEVQQQLAPPPPGHSAFAPEFQQT
+DSGKVLSKLQARLDDLWE
+>P08519_PF00051_28 <unknown description>
+CYHGDGQSYRGTYSTTVTGRTCQAWSSMTPHQHNRTTENYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_142 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_256 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_370 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_484 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_598 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_712 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPY
+CYTRDPGVRWEYCNLTQC
+>P08519_PF00051_826 <unknown description>
+CYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDPVAAPY
+CYTRDPSVRWEYCNLTQC
+>P08519_PF00051_940 <unknown description>
+CYHGNGQSYQGTYFITVTGRTCQAWSSMTPHSHSRTPAYYPNAGLIKNYCRNPDPVAAPW
+CYTTDPSVRWEYCNLTRC
+>P08519_PF00051_1054 <unknown description>
+CYYHYGQSYRGTYSTTVTGRTCQAWSSMTPHQHSRTPENYPNAGLTRNYCRNPDAEIRPW
+CYTMDPSVRWEYCNLTQC
+>P08519_PF00051_1168 <unknown description>
+CYHGDGQSYRGSFSTTVTGRTCQSWSSMTPHWHQRTTEYYPNGGLTRNYCRNPDAEISPW
+CYTMDPNVRWEYCNLTQC
+>P08519_PF00051_1274 <unknown description>
+CYHGDGQSYRGSFSTTVTGRTCQSWSSMTPHWHQRTTEYYPNGGLTRNYCRNPDAEIRPW
+CYTMDPSVRWEYCNLTQC
+>P08519_PF00051_1388 <unknown description>
+CYRGDGQSYRGTLSTTITGRTCQSWSSMTPHWHRRIPLYYPNAGLTRNYCRNPDAEIRPW
+CYTMDPSVRWEYCNLTRC
+>P08519_PF00051_1502 <unknown description>
+CYHGDGRSYRGISSTTVTGRTCQSWSSMIPHWHQRTPENYPNAGLTENYCRNPDSGKQPW
+CYTTDPCVRWEYCNLTQC
+>P08519_PF00051_1616 <unknown description>
+CYHGNGQSYRGTFSTTVTGRTCQSWSSMTPHRHQRTPENYPNDGLTMNYCRNPDADTGPW
+CFTMDPSIRWEYCNLTRC
+>P08519_PF00051_1720 <unknown description>
+CMFGNGKGYRGKKATTVTGTPCQEWAAQEPHRHSTFIPGTNKWAGLEKNYCRNPDGDING
+PWCYTMNPRKLFDYCDIPLC
+>P08519_PF00089_1820 <unknown description>
+IVGGCVAHPHSWPWQVSLRTRFGKHFCGGTLISPEWVLTAAHCLKKSSRPSSYKVILGAH
+QEVNLESHVQEIEVSRLFLEPTQADIALLKLSRPAVITDKVMPACLPSPDYMVTARTECY
+ITGWGETQGTFGTGLLKEAQLLVIENEVCNHYKYICAEHLARGTDSCQGDSGGPLVCFEK
+DKYILQGVTSWGLGCARPNKPGVYARVSRFVTWI
+>P04114_PF01347_46 <unknown description>
+FKHLRKYTYNYEAESSSGVPGTADSRSATRINCKVELEVPQLCSFILKTSQCTLKEVYGF
+NPEGKALLKKTKNSEEFAAAMSRYELKLAIPEGKQVFLYPEKDEPTYILNIKRGIISALL
+VPPETEEAKQVLFLDTVYGNCSTHFTVKTRKGNVATEISTERDLGQCDRFKPIRTGISPL
+ALIKGMTRPLSTLISSSQSCQYTLDAKRKHVAEAICKEQHLFLPFSYKNKYGMVAQVTQT
+LKLEDTPKINSRFFGEGTKKMGLAFESTKSTSPPKQAEAVLKTLQELKKLTISEQNIQRA
+NLFNKLVTELRGLSDEAVTSLLPQLIEVSSPITLQALVQCGQPQCSTHILQWLKRVHANP
+LLIDVVTYLVALIPEPSAQQLREIFNMARDQRSRATLYALSHAVNNYHKTNPTGTQELLD
+IANYLMEQIQDDCTGDEDYTYLILRVIGNMGQTMEQLTPELKSSILKCVQSTKPSLMIQK
+AAIQALRKMEPKDKDQEVLLQTFLDDASPGDKRLAAYLMLMRSPSQADINKIVQILPWEQ
+NEQVKNFVASHI
+>P04114_PF09172_632 <unknown description>
+KFSRNYQLYKSVSLPSLDPASAKIEGNLIFDPNNYLPKESMLKTTLTAFGFASADLIEIG
+LEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGQVPDGVSKVLVDHFGYTKDDKHEQDMV
+NGIMLSVEKLIKDLKSKEVPEARAYLRILGEELGFASLHDLQLLGKLLLMGARTLQGIPQ
+MIGEVIRKGSKNDFFLHYIFMENAFELPTGAGLQLQISSSGVIAPGAKAGVKLEVANMQA
+ELVAKPSVSVEFVTNMGIIIPDFARSGVQMNTNFFHESGLEAHVALKAGKLKFIIPSPKR
+PVKLLS
+>P04114_PF06448_960 <unknown description>
+RQSWSVCKQVFPGLNYCTSGAYSNASSTDSASYYPLTGDTRLELELRPTGEIEQYSVSAT
+YELQREDRALVDTLKFVTQAEGAKQTEATMTFKYNRQSMTLSSEVQIPDFDV
+>P04114_PF12491_4494 <unknown description>
+QFRYKLQDFSDQLSDYYEKFIAESKRLIDLSIQNYHTFLIYITELLKKLQSTTVMN
+>P02654_PF04691_27 <unknown description>
+TPDVSSALDKLKEFGNTLEDKARELISRIKQSELSAKMREWFSETFQKVKEKLKID
+>P02655_PF05355_24 <unknown description>
+QQPQQDEMPSPTFLTQVKESLSSYWESAKTAAQNLYEKTYLPAVDEKLRDLYSKSTAAMS
+TYTGIFTDQVLSVLKGE
+>P02656_PF05778_22 <unknown description>
+EAEDASLLSFMQGYMKHATKTAKDALSSVQESQVAQQARGWVTDGFSSLKDYWSTVKDKF
+SEFWDLDPE
+>P55056_PF15119_32 <unknown description>
+QEGTLSPPPKLKMSRWSLVRGRMKELLETVVNRTRDGWQWFWSPSTFRGFMQTYYDDHLR
+DLGPLTKAWFLESKDSLLKKTHSLCPRLVCGDK
+>P05090_PF08212_37 <unknown description>
+FDVNKYLGRWYEIEKIPTTFENGRCIQANYSLMENGKIKVLNQELRADGTVNQIEGEATP
+VNLTEPAKLEVKFSWFMPSAPYWILATDYENYALVYSCTCIIQLFHVDFAWILARNPNLP
+PETVDSLKNILTSNNIDVKKMTVTDQ
+>P02649_PF01442_81 <unknown description>
+LMDETMKELKAYKSELEEQLTPVAEETRARLSKELQAAQARLGADMEDVCGRLVQYRGEV
+QAMLGQSTEELRVRLASHLRKLRKRLLRDADDLQKRLAVYQAGAREGAERGLSAIRERLG
+PLVEQGRVRAATVGSLAGQPLQERAQAWGERLRARMEEMGSRTRDRLDEVKEQVAEVRAK
+LEEQAQQIRLQAEAFQARLKSWFEPLVE
+>Q13790_PF15148_62 <unknown description>
+PLSCQFLHPKSLPGFSHMAPLPKFLVSLALRNALEEAGCQADVWALQLQLYRQGGVNATQ
+VLIQHLRGLQKGRSTERNVSVEALASALQLLAREQQSTGRVGRSLPTEDCENEKEQAVHN
+VVQLLPGVGTFYNLGTALYYATQNCLGKARERGRDGAIDLGYDLLMTMAGMSGGPMGLAI
+SAALKPALRSGVQQLIQYY
+>P02749_PF00084_23 <unknown description>
+CPKPDDLPFSTVVPLKTFYEPGEEITYSCKPGYVSRGGMRKFICPLTGLW
+>P02749_PF00084_89 <unknown description>
+ILENGAVRYTTFEYPNTISFSCNTGFYLNGADSAKCTEEGKWSPELPVC
+>P02749_PF00084_142 <unknown description>
+CPPPSIPTFATLRVYKPSAGNNSLYRDTAVFECLPQHAMFGNDTITCTTHGNWTKLPEC
+>P02749_PF00084_205 <unknown description>
+CPFPSRPDNGFVNYPAKPTLYYKDKATFGCHDGYSLDGPEEIECTKLGNWSAMPSC
+>P02749_PF09014_261 <unknown description>
+KASCKVPVKKATVVYQGERVKIQEKFKNGMLHGDKVSFFCKNKEKKCSYTEDAQCIDGTI
+EVPKCFKEHSSLAFWKTDASDVKPC
+>O14791_PF05461_88 <unknown description>
+LTDNEAWNGFVAAAELPRNEADELRKALDNLARQMIMKDKNWHDKGQQYRNWFLKEFPRL
+KSELEDNIRRLRALADGVQKVHKGTTIANVVSGSLSISSGILTLVGMGLAPFTEGGSLVL
+LEPGMELGITAALTGITSSTMDYGKKWWTQAQAHDLVIKSLDKLKEVREFLGENISNFLS
+LAGNTYQLTRGIGKDIRALRRARANLQSVPHASASRPRVTEPISAESGEQVERVNEPSIL
+EMSRGVKLTDVAPVSFFLVLDVVYLVYESKHLHEGAKSETAEELKKVAQELEEKLNILN
+>Q9BQE5_PF05461_29 <unknown description>
+LTDDEAWNGFVAAAELPRDEADELRKALNKLASHMVMKDKNRHDKDQQHRQWFLKEFPRL
+KRELEDHIRKLRALAEEVEQVHRGTTIANVVSNSVGTTSGILTLLGLGLAPFTEGISFVL
+LDTGMGLGAAAAVAGITCSVVELVNKLRARAQARNLDQSGTNVAKVMKEFVGGNTPNVLT
+LVDNWYQVTQGIGRNIRAIRRARANPQLGAYAPPPHVIGRISAEGGEQVERVVEGPAQAM
+SRGTMIVGAATGGILLLLDVVSLAYESKHLLEGAKSESAEELKKRAQELEGKLNFLTK
+>O95236_PF05461_29 <unknown description>
+LTNNEAWKRFVTAAELPRDEADALYEALKKLRTYAAIEDEYVQQKDEQFREWFLKEFPQV
+KRKIQESIEKLRALANGIEEVHRGCTISNVVSSSTGAASGIMSLAGLVLAPFTAGTSLAL
+TAAGVGLGAASAVTGITTSIVEHSYTSSAEAEASRLTATSIDRLKVFKEVMRDITPNLLS
+LLNNYYEATQTIGSEIRAIRQARARARLPVTTWRISAGSGGQAERTIAGTTRAVSRGARI
+LSATTSGIFLALDVVNLVYESKHLHEGAKSASAEELRRQAQELEENLMELTQ
+>Q9BPW4_PF05461_53 <unknown description>
+LTSDEAWKRFVRVAELPREEADALYEALKNLTPYVAIEDKDMQQKEQQFREWFLKEFPQI
+RWKIQESIERLRVIANEIEKVHRGCVIANVVSGSTGILSVIGVMLAPFTAGLSLSITAAG
+VGLGIASATAGIASSIVENTYTRSAELTASRLTATSTDQLEALRDILRDITPNVLSFALD
+FDEATKMIANDVHTLRRSKATVGRPLIAWRYVPINVVETLRTRGAPTRIVRKVARNLGKA
+TSGVLVVLDVVNLVQDSLDLHKGAKSESAESLRQWAQELEENLNELTH
+>Q9BWW9_PF05461_54 <unknown description>
+CQSWKINNLMSTVHSDEAGMLSYFLFEELMRCDKDSMPDGNLSEEEKLFLSYFPLHKFEL
+EQNIKELNTLADQVDTTHELLTKTSLVASSSGAVSGVMNILGLALAPVTAGGSLMLSATG
+TGLGAAAAITNIVTNVLENRSNSAARDKASRLGPLTTSHEAFGGINWSEIEAAGFCVNKC
+VKAIQGIKDLHAYQMAKSNSGFMAMVKNFVAKRHIPFWTARGVQRAFEGTTLAMTNGAWV
+MGAAGAGFLLMKDMSSFLQSWKHLEDGARTETAEELRALAKKLEQELDRLTQ
+>Q9BWW8_PF05461_26 <unknown description>
+EDVELQDGDLSPEEKIFLREFPRLKEDLKGNIDKLRALADDIDKTHKKFTKANMVATSTA
+VISGVMSLLGLALAPATGGGSLLLSTAGQGLATAAGVTSIVSGTLERSKNKEAQARAEDI
+LPTYDQEDREDEEEKADYVTAAGKIIYNLRNTLKYAKKNVRAFWKLRANPRLANATKRLL
+TTGQVSSRSRVQVQKAFAGTTLAMTKNARVLGGVMSAFSLGYDLATLSKEWKHLKEGART
+KFAEELRAKALELERKLTELTQ
+>O95445_PF11032_1 <unknown description>
+MFHQIWAALLYFYGIILNSIYQCPEHSQLTTLGVDGKEFPEVHLGQWYFIAGAAPTKEEL
+ATFDPVDNIVFNMAAGSAPMQLHLRATIRMKDGLCVPRKWIYHLTEGSTDLRTEGRPDMK
+TELFSSSCPGGIMLNETGQGYQRFLLYNRSPHPPEKCVEEFKSLTSCLDSKAFLLTPRNQ
+EACELS
+>Q53RT3_PF13975_115 <unknown description>
+KGKIGKVPVRFLVDSGAQVSVVHPNLWEEVTDGDLDTLQPFENVVKVANGAEMKILGVWD
+TAVSLGKLKLKAQFLVANASAEEAIIGTDVLQ
+>Q13794_PF15150_1 <unknown description>
+MPGKKARKNAQPSPARAPAELEVECATQLRRFGDKLNFRQKLLNLISKLFCSGT
+>Q7Z2E3_PF17913_5 <unknown description>
+CWLVRQDSRHQRIRLPHLEAVVIGRGPETKITDKKCSRQQVQLKAECNKGYVKVKQVGVN
+PTSIDSVVIGKDQEVKLQPGQVLHMVNELYPYIVEFE
+>Q7Z2E3_PF11969_174 <unknown description>
+SMQDPKMQVYKDEQVVVIKDKYPKARYHWLVLPWTSISSLKAVAREHLELLKHMHTVGEK
+VIVDFAGSSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKH
+>Q7Z2E3_PF16278_283 <unknown description>
+NTEYFLESQAVIEMVQEAGRVTVRDGMPELLKLPLRCHECQQLLPSIPQLKEHLRKHWTQ
+>P07741_PF00156_32 <unknown description>
+VLKDPASFRAAIGLLARHLKATHGGRIDYIAGLDSRGFLFGPSLAQELGLGCVLIRKRGK
+LPGPTLWASYSLEYGKAELEIQKDALEPGQRVVVVDDLLATGGTMNAACELLGRLQAEVL
+ECVSLVE
+>Q8IXF9_PF00230_64 <unknown description>
+LFLLFLAHGVTLDGASANPTVSLQEFLMAEQSLPGTLLKLAAQGLGMQAACTLMRLCWAW
+ELSDLHLLQSLMAQSCSSALRTSVPHGALVEAACAFCFHLTLLHLRHSPPAYSGPAVALL
+VTVTAYTAGPFTSAFFNPALAASVTFACSGHTLLEYVQVYWLGPLTGMVLAVLLH
+>A6NM10_PF00230_77 <unknown description>
+FLLFLAHGVTLDGASANPTVSLQEFLMAEESLPGTLLKLAAQGLGMQAACTLTRLCWAWE
+LSDLHLLQSLMAQSCSSALRTSVPHGALVEAACAFCFHLTLLHLRHSPPAYSGPAVALLV
+TVTAYTAGPFTSAFFNPALAASVTFACSGHTLLEYVQVYWLGPLTGMVLAVLLH
+>Q96PS8_PF00230_20 <unknown description>
+LARQCLAEFLGVFVLMLLTQGAVAQAVTSGETKGNFFTMFLAGSLAVTIAIYVGGNVSGA
+HLNPAFSLAMCIVGRLPWVKLPIYILVQLLSAFCASGATYVLYHDALQNYTGGNLTVTGP
+KETASIFATYPAPYLSLNNGFLDQVLGTGMLIVGLLAILDRRNKGVPAGLEPVVVGMLIL
+ALGLSMGANCGIPLNPARDLGPRLFTYVAGWGPEVFSAGNGWWWVPVVAPLVGATVGTAT
+Y
+>Q8NBQ7_PF00230_77 <unknown description>
+WTLTLVYFFSLVHGLTLVGTSSNPCGVMMQMMLGGMSPETGAVRLLAQLVSALCSRYCTS
+ALWSLGLTQYHVSERSFACKNPIRVDLLKAVITEAVCSFLFHSALLHFQEVRTKLRIHLL
+AALITFLVYAGGSLTGAVFNPALALSLHFMCFDEAFPQFFIVYWLAPSLGILLMI
+>P29972_PF00230_5 <unknown description>
+FKKKLFWRAVVAEFLATTLFVFISIGSALGFKYPVGNNQTAVQDNVKVSLAFGLSIATLA
+QSVGHISGAHLNPAVTLGLLLSCQISIFRALMYIIAQCVGAIVATAILSGITSSLTGNSL
+GRNDLADGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSAPLAIGLSVALGHLLAI
+DYTGCGINPARSFGSAVITHNFSNHWIFWVGPFIGGALAVLIY
+>P41181_PF00230_3 <unknown description>
+ELRSIAFSRAVFAEFLATLLFVFFGLGSALNWPQALPSVLQIAMAFGLGIGTLVQALGHI
+SGAHINPAVTVACLVGCHVSVLRAAFYVAAQLLGAVAGAALLHEITPADIRGDLAVNALS
+NSTTAGQAVTVELFLTLQLVLCIFASTDERRGENPGTPALSIGFSVALGHLLGIHYTGCS
+MNPARSLAPAVVTGKFDDHWVFWIGPLVGAILGSLLY
+>Q92482_PF00230_20 <unknown description>
+RLLRQALAECLGTLILVMFGCGSVAQVVLSRGTHGGFLTINLAFGFAVTLGILIAGQVSG
+AHLNPAVTFAMCFLAREPWIKLPIYTLAQTLGAFLGAGIVFGLYYDAIWHFADNQLFVSG
+PNGTAGIFATYPSGHLDMINGFFDQFIGTASLIVCVLAIVDPYNNPVPRGLEAFTVGLVV
+LVIGTSMGFNSGYAVNPARDFGPRLFTALAGWGSAVFTTGQHWWWVPIVSPLLGSIAGVF
+VY
+>P55087_PF00230_32 <unknown description>
+QAFWKAVTAEFLAMLIFVLLSLGSTINWGGTEKPLPVDMVLISLCFGLSIATMVQCFGHI
+SGGHINPAVTVAMVCTRKISIAKSVFYIAAQCLGAIIGAGILYLVTPPSVVGGLGVTMVH
+GNLTAGHGLLVELIITFQLVFTIFASCDSKRTDVTGSIALAIGFSVAIGHLFAINYTGAS
+MNPARSFGPAVIMGNWENHWIYWVGPIIGAVLAGGLY
+>P55064_PF00230_6 <unknown description>
+CSVAFLKAVFAEFLATLIFVFFGLGSALKWPSALPTILQIALAFGLAIGTLAQALGPVSG
+GHINPAITLALLVGNQISLLRAFFYVAAQLVGAIAGAGILYGVAPLNARGNLAVNALNNN
+TTQGQAMVVELILTFQLALCIFASTDSRRTSPVGSPALSIGLSVTLGHLVGIYFTGCSMN
+PARSFGPAVVMNRFSPAHWVFWVGPIVGAVLAAILY
+>Q13520_PF00230_23 <unknown description>
+ISRALFAEFLATGLYVFFGVGSVMRWPTALPSVLQIAITFNLVTAMAVQVTWKASGAHAN
+PAVTLAFLVGSHISLPRAVAYVAAQLVGATVGAALLYGVMPGDIRETLGINVVRNSVSTG
+QAVAVELLLTLQLVLCVFASTDSRQTSGSPATMIGISVALGHLIGIHFTGCSMNPARSFG
+PAIIIGKFTVHWVFWVGPLMGALLASLIY
+>A0A075B734_PF00230_35 <unknown description>
+RKMVREFLAEFMSTYVMMVFGLGSVAHMVLNKTYGSYLGVNLGFGFGVTMGVHVAGRISG
+AHMNAAVTFTNCALGRVPWRKFPVHVLGQFLGSFLAAATIYSLFYTAILHFSGGELMVTG
+PFATAGIFATYLPDHMTLWRGFLNEEWLTRMLQLCLFTITDQENNPALPGTHALVISILV
+VIIRVSHGINTGYAINPSRDPPPSIFTFIAGWGKQVFSDGENWWWVPVVAPLLGASLGGI
+IY
+>O14520_PF00230_30 <unknown description>
+QRKMVREFLAEFMSTYVMMVFGLGSVAHMVLNKKYGSYLGVNLGFGFGVTMGVHVAGRIS
+GAHMNAAVTFANCALGRVPWRKFPVYVLGQFLGSFLAAATIYSLFYTAILHFSGGQLMVT
+GPVATAGIFATYLPDHMTLWRGFLNEAWLTGMLQLCLFAITDQENNPALPGTEALVIGIL
+VVIIGVSLGMNTGYAINPSRDLPPRIFTFIAGWGKQVFSNGENWWWVPVVAPLLGAYLGG
+IIY
+>O94778_PF00230_34 <unknown description>
+FVQPCLVELLGSALFIFIGCLSVIENGTDTGLLQPALAHGLALGLVIATLGNISGGHFNP
+AVSLAAMLIGGLNLVMLLPYWVSQLLGGMLGAALAKAVSPEERFWNASGAAFVTVQEQGQ
+VAGALVAEIILTTLLALAVCMGAINEKTKGPLAPFSIGFAVTVDILAGGPVSGGCMNPAR
+AFGPAVVANHWNFHWIYWLGPLLAGLLVGL
+>O43315_PF00230_20 <unknown description>
+SSLAKETLSEFLGTFILIVLGCGCVAQAILSRGRFGGVITINVGFSMAVAMAIYVAGGVS
+GGHINPAVSLAMCLFGRMKWFKLPFYVGAQFLGAFVGAATVFGIYYDGLMSFAGGKLLIV
+GENATAHIFATYPAPYLSLANAFADQVVATMILLIIVFAIFDSRNLGAPRGLEPIAIGLL
+IIVIASSLGLNSGCAMNPARDLSPRLFTALAGWGFEVFRAGNNFWWIPVVGPLVGAVIGG
+LIY
+>O60306_PF16399_20 <unknown description>
+AEFVTQLACKYWAPHIKKKSPFDIKVIEDIYEKEIVKSRFAIRKIMLLEFSQYLENYLWM
+NYSPEVSSKAYLMSICCMVNEKFRENVPAWEIFKKKPDHFPFFFKHILKAALAETDGEFS
+LHEQTVLLLFLDHCFNSLEVDLIRSQVQQLISLPMWMGLQLARLELELKKTPKLRKFWNL
+IKKNDEKMDPEAREQAYQERRFLSQLIQKFISVLKSVPLSEPVTMDKVHYCERFIELMID
+LEALLPTRRWFNTILDDSHLLVHCYLSNLVRREEDGHLFSQLLDMLKFYTGFEINDQTGN
+ALTENEMTTIHYDRITSLQRAAFAHFPELYDFALSNVAEVDTRESLVKFFGPLSSNTLHQ
+VASYLCLLPTLPKNEDTTFDKEFLLELLVSRHERRISQIQQLNQMPLYPTEKIIWDENIV
+PTEYYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIRQDIEDSVSRMKPWQSEY
+GGVVFGGWARMAQPIVAFTVVEVAKPNIGENWPTRVRADVTINLNVRDHIKDEWEGLRKH
+DVCFLITVRPTKPYGTKFDRRRPFIEQVGLVYVRGCEIQGMLDDKGRVIEDGPEPRPNLR
+GESRTFRVFLDPNQYQQDMTNTIQNGAEDVYETFNIIMRRKPKENNFKAVLETIRNLMNT
+DCVVPDWLHDIILGYGDPSSAHYSKMPNQIATLDFNDTFLSIEHLKASFPGHNVKVTVED
+PALQIPPFRITFPVRSGKGKKRKDADVEDEDTEEAKTLIVEPHVIPNRGPYPYNQPKRNT
+IQF
+>O60306_PF13086_802 <unknown description>
+FTHTQIEAIRAGMQPGLTMVVGPPGTGKTDVAVQIISNIYHNFPEQRTLIVTHSNQALNQ
+LFEKIMALDIDERHLLRLGHGEEELETEKDFSRYGRVNYVLARRIELLEEVKRLQKSLGV
+PGDASYTCETAGYFFLYQVMSRWEEYISKVKNKGSTLPDVTEVSTFFPFHEYFANAPQPI
+FKGRSYEEDMEIAEGCFRHIKKIFTQLEEFRASELLRSGLDRSKYLLVKEAKIIAMTCTH
+AALKRHDLVKLGFKYDNILMEEAAQILEIETFIPLLLQNPQDGFSRLKRWIMIGDHHQLP
+PVIKN
+>O60306_PF13087_1115 <unknown description>
+MEQSLFTRFVRVGVPTVDLDAQGRARASLCNLYNWRYKNLGNLPHVQLLPEFSTANAGLL
+YDFQLINVEDFQGVGESEPNPYFYQNLGEAEYVVALFMYMCLLGYPADKISILTTYNGQK
+HLIRDIINRRCGNNPLIGRPNKVTTVDRFQGQQNDYILLSLVRTRAVGHLRDVRRLVVAM
+SRARLGLYIFA
+>Q5H913_PF00025_11 <unknown description>
+CLRTTEETRRNVTIPIIGLNNSGKTVLVEAFQKLLPSKTDHCMKSELTTLLLDEYELSIY
+DLNGDLKGREAWPNYYAQAHGLVFVLDSSDIRRMQEVKIILTHLLSDKRVAGKPILILAN
+KQDKKKALMPCDIIDYLLLKKLVKENKCPCRVEPCSAIRNLERRNHQPIVEGLRWLL
+>Q3SXY8_PF00025_19 <unknown description>
+VRKVTLLMVGLDNAGKTATAKGIQGEYPEDVAPTVGFSKINLRQGKFEVTIFDLGGGIRI
+RGIWKNYYAESYGVIFVVDSSDEERMEETKEAMSEMLRHPRISGKPILVLANKQDKEGAL
+GEADVIECLSLEKLVNEHKCLCQIEPCSAISGYGK
+>Q9Y2Y0_PF11527_20 <unknown description>
+EFDAVVGYLEDIIMDDEFQLLQRNFMDKYYLEFEDTEENKLIYTPIFNEYISLVEKYIEE
+QLLQRIPEFNMAAFTTTLQHHKDEVAGDIFDMLLTFTDFLAFKEMFLDYRAEKE
+>Q66PJ3_PF10500_7 <unknown description>
+RKRSRSRSRSRGRGSEKRKKKSRKDTSRNCSASTSQGRKASTAPGAEASPSPCITERSKQ
+KARRRTRSSSSSSSSSSSSSSSSSSSSSSSSSDGRKKRGKYKDKRRKKKKKRKKLKKKGK
+EKAEAQQVEALPGPSLDQWHRSAGEEEDGPVLTDEQKSRIQAMKPMTKEEWDARQSIIRK
+VVDPETGRTRLIKGDGEVLEEIVTKERHREINKQATRGDCLAFQMRAGL
+>Q8N6S5_PF15062_138 <unknown description>
+ENLKNEDDVDTGLLGFWTLLIISLTAGFSCCSFSWTVTYFDSFEPGMFPPTPLSPARFKK
+LTGHSFHMGYSMAILNG
+>P10398_PF02196_20 <unknown description>
+TVKVYLPNKQRTVVTVRDGMSVYDSLDKALKVRGLNQDCCVVYRLIKGRKTVTAWDTAIA
+PLDGEELIVE
+>P10398_PF00130_99 <unknown description>
+HNFVRKTFFSLAFCDFCLKFLFHGFRCQTCGYKFHQHCSSKVPTVCV
+>P10398_PF07714_311 <unknown description>
+QLLKRIGTGSFGTVFRGRWHGDVAVKVLKVSQPTAEQAQAFKNEMQVLRKTRHVNILLFM
+GFMTRPGFAIITQWCEGSSLYHHLHVADTRFDMVQLIDVARQTAQGMDYLHAKNIIHRDL
+KSNNIFLHEGLTVKIGDFGLATVKTRWSGAQPLEQPSGSVLWMAAEVIRMQDPNPYSFQS
+DVYAYGVVLYELMTGSLPYSHIGCRDQIIFMVGRGYLSPDLSKISSNCPKAMRRLLSDCL
+KFQREERPLFPQILA
+>Q96P48_PF00536_10 <unknown description>
+SVAEWLRALHLEQYTGLFEQHGLVWATECQGLSDTRLMDMGMLLPGHRRRILAGLL
+>Q96P48_PF00169_328 <unknown description>
+VIKAGWLDKNPPQGSYIYQKRWVRLDTDHLRYFDSNKDAYSKRFISVACISHVAAIGDQK
+FEVITNNRTFAFRAESDVERKEWMQALQQAM
+>Q96P48_PF01412_537 <unknown description>
+VAERIWAAAPNRFCADCGAPQPDWASINLCVVICKRCAGEHRGLGAGVSKVRSLKMDRKV
+WTETLIELFLQLGNGAGNRFWAANVPPSEALQPSSSPSTRRCHLEAKYREGKYRR
+>Q96P48_PF00169_745 <unknown description>
+SHSGFLYKTASAGKLLQDRRAREEFSRRWCVLGDGVLSYFENERAVTPNGEIRASEIVCL
+AVPPPDTHGFEHTFEVYTEGERLYLFGLESAEQAHEWVKCIAKA
+>Q96P48_PF00620_971 <unknown description>
+PVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLESLRQDARSVHLKEGEQHVDDVSSA
+LKRFLRDLPDGLFTRAQRLTWLEASEIEDEEEKVSRYRELLVRLPPVNRATVKALISHLY
+CVQCFSDTNQMNVHNLAIVFGPTLFQTD
+>Q96P48_PF00788_1174 <unknown description>
+FICTVYLEEKKAETEQHIKVPASMTAEELTLEILDRRNVGIREKDYWTCFEVNEREEAER
+PLHFAEKVLPILHGLGTDSHLVVKKHQ
+>Q96P48_PF00169_1291 <unknown description>
+GLGLPSGGFHDRYFILNSSCLRLYKEVRSQRPWSGAPETSHRPEKEWPIKSLKVYLGVKK
+KLRPPTCWGFTVVHETEKHEKQQWYLCCDTQMELREWFATF
+>Q8WZ64_PF00536_10 <unknown description>
+DIKDFLMSINLEQYLLHFHESGFTTVKDCAAINDSLLQKIGISPTGHRRRILKQLQ
+>Q8WZ64_PF00169_484 <unknown description>
+VKSGWLDKLSPQGKRMFQKRWVKFDGLSISYYNNEKEMYSKGIIPLSAISTVRVQGDNKF
+EVVTTQRTFVFRVEKEEERNDWISILLNAL
+>Q8WZ64_PF00169_602 <unknown description>
+KIFTVLSGNSVWLCKNEQDFKSGLGITIIPMNVANVKQVDRTVKQSFEIITPYRSFSFTA
+ETEKEKQDWIEAVQQSI
+>Q8WZ64_PF01412_688 <unknown description>
+AEKIWFNESNRSCADCKAPDPDWASINLCVVICKKCAGQHRSLGPKDSKVRSLKMDASIW
+SNELIELFIVIGNKRANDFWAGNLQKDEELHMDSPVEKRKNFITQKYKEGKFRK
+>Q8WZ64_PF00169_908 <unknown description>
+KLSSEKKLLEETNKKWCVLEGGFLSYYENDKSTTPNGTININEVICLAIHKEDFYLNTGP
+IFIFEIYLPSERVFLFGAETSQAQRKWTEAIA
+>Q8WZ64_PF00620_1129 <unknown description>
+PIIVNSCIAFVTQYGLGCKYIYQKNGDPLHISELLESFKKDARSFKLRAGKHQLEDVTAV
+LKSFLSDIDDALLTKELYPYWISALDTQDDKERIKKYGAFIRSLPGVNRATLAAIIEHLY
+RVQKCSEINHMNAHNLALVFSSCLFQTKGQ
+>Q8WZ64_PF00788_1330 <unknown description>
+IEVYVERKEPDCSIIIRISPVMEAEELTNDILAIKNIIPTKGDIWATFEVIENEELERPL
+HYKENVLEQVLRWSSLAEPGSAYLVVK
+>Q8WZ64_PF00169_1436 <unknown description>
+IKEGILKIKEEPSKILSGNKFQDRYFVLRDGFLFLYKDVKSSKHDKMFSLSSMKFYRGVK
+KKMKPPTSWGLTAYSEKHHWHLCCDSSRTQTEWMTSIFI
+>Q8WWN8_PF07647_8 <unknown description>
+DIAVWLATVHLEQYADTFRRHGLATAGAARGLGHEELKQLGISATGHRKRILR
+>Q8WWN8_PF00169_290 <unknown description>
+LSGWLDKLSPQGNYVFQRRFVQFNGRSLMYFGSDKDPFPKGVIPLTAIEMTRSSKDNKFQ
+VITGQRVFVFRTESEAQRDMWCSTLQSCLK
+>Q8WWN8_PF01412_491 <unknown description>
+VAEKIWSNRANRQCADCGSSRPDWAAVNLGVVICKQCAGQHRALGSGISKVQSLKLDTSV
+WSNEIVQLFIVLGNDRANRFWAGTLPPGEGLHPDATPGPRGEFISRKYRLGLFRK
+>Q8WWN8_PF00620_920 <unknown description>
+PIIVDACISFVTQHGLRLEGVYRKGGARARSLRLLAEFRRDARSVKLRPGEHFVEDVTDT
+LKRFFRELDDPVTSARLLPRWREAAELPQKNQRLEKYKDVIGCLPRVNRRTLATLIGHLY
+RVQKCAALNQMCTRNLALLFAPSVFQTD
+>Q8WWN8_PF00788_1120 <unknown description>
+IMEVYIEQQLPDNCVTLKVSPTLTAEELTNQVLEMRGTAAGMDLWVTFEIREHGELERPL
+HPKEKVLEQALQWCQLPEPCSASLLLKKV
+>Q8WWN8_PF00169_1243 <unknown description>
+RFQERFFLLRGRCLLLLKEKKSSKPEREWPLEGAKVYLGIRKKLKPPTPWGFTLILEKMH
+LYLSCTDEDEMWDWTTSILKAQ
+>P25098_PF00615_55 <unknown description>
+FEKIFSQKLGYLLFRDFCLNHLEEARPLVEFYEEIKKYEKLETEEERVARSREIFDSYIM
+KELLACSHPFSKSATEHVQGHLGKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRF
+>P25098_PF00069_192 <unknown description>
+SVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERIMLSLVSTGDC
+PFIVCMSYAFHTPDKLSFILDLMNGGDLHYHLSQHGVFSEADMRFYAAEIILGLEHMHNR
+FVVYRDLKPANILLDEHGHVRISDLGLACDFSKKKPHASVGTHGYMAPEVLQKGVAYDSS
+ADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMAVELPDSFSPELRSLLEGLLQR
+DVNRRLGCLGRGAQEVKESPFF
+>P25098_PF00169_560 <unknown description>
+IMHGYMSKMGNPFLTQWQRRYFYLFPNRLEWRGEGEAPQSLLTMEEIQSVEETQIKERKC
+LLLKIRGGKQFILQCDSDPELVQWKKELRDA
+>P35626_PF00615_55 <unknown description>
+FDKIFNQKIGFLLFKDFCLNEINEAVPQVKFYEEIKEYEKLDNEEDRLCRSRQIYDAYIM
+KELLSCSHPFSKQAVEHVQSHLSKKQVTSTLFQPYIEEICESLRGDIFQKFMESDKFTRF
+>P35626_PF00069_192 <unknown description>
+SVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERIMLSLVSTGDC
+PFIVCMTYAFHTPDKLCFILDLMNGGDLHYHLSQHGVFSEKEMRFYATEIILGLEHMHNR
+FVVYRDLKPANILLDEHGHARISDLGLACDFSKKKPHASVGTHGYMAPEVLQKGTAYDSS
+ADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTVNVELPDTFSPELKSLLEGLLQR
+DVSKRLGCHGGGSQEVKEHSFF
+>P35626_PF00169_560 <unknown description>
+IMHGYMLKLGNPFLTQWQRRYFYLFPNRLEWRGEGESRQNLLTMEQILSVEETQIKDKKC
+ILFRIKGGKQFVLQCESDPEFVQWKKELNE
+>Q92747_PF00400_46 <unknown description>
+HELKEHNGHITGIDWAPKSDRIVTCGADRNAYVWS
+>Q92747_PF00400_140 <unknown description>
+PIRSTVLSLDWHPNNVLLAAGSCDFKCRVF
+>O15143_PF00400_43 <unknown description>
+TKVHELKEHNGQVTGIDWAPESNRIVTCGTDRNAYVW
+>O15143_PF00400_144 <unknown description>
+TVLSLDWHPNNVLLAAGSCDFKCRIF
+>Q8IWT0_PF01951_31 <unknown description>
+YEYLDHTADVQLHAWGDTLEEAFEQCAMAMFGYMTDTGTVEPLQTVEVETQGDDLQSLLF
+HFLDEWLYKFSADEFFIPREVKVLSIDQRNFKLRSIGWGEEFSLSKHPQGTEVKAITYSA
+MQVYNEENPEVFVIIDI
+>Q7LC44_PF19284_46 <unknown description>
+HRHLLAEVSKQVERELKGLHRSVGKLESNLDGYVPTSDSQRWKKSIKACLCRCQETIANL
+ERWVKREMHVWREVFYRLERWADRLESTGGKYPVGSESARHTVSVGVGG
+>Q7LC44_PF18162_278 <unknown description>
+TLSREAIQRELDLPQKQGEPLDQFLWRKRDLYQTLYVDADEEEIIQYVVGTLQPKLKRFL
+RHPLPKTLEQLIQRGMEVQDDLE
+>O15033_PF00630_54 <unknown description>
+YLDPRSCKVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVEIPV
+TQEVLQEPNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPY
+>O15033_PF00632_513 <unknown description>
+LICKALFDTTNQLFTRFSDNNQALVHPNPNRPAHLRLKMYEFAGRLVGKCLYESSLGGAY
+KQLVRARFTRSFLAQIIGLRMHYKYFETDDPEFYKSKVCFILNNDMSEMELVFAEEKYNK
+SGQLDKVVELMTGGAQTPVTNANKIFYLNLLAQYRLASQVKEEVEHFLKGLNELVPENLL
+AIFDENELELLMCGTGDISVSDFKAHAVVVGGSWHFREKVMRWFWTVVSSLTQEELARLL
+QFTTGSSQLPPGGFAALCPSFQIIAAPTHSTLPTAHTCFNQLCLPTYDSYEEVHRMLQLA
+ISEGCEGFGM
+>P84077_PF00025_7 <unknown description>
+NLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVW
+DVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAVLLVFAN
+KQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLSNQ
+>P61204_PF00025_7 <unknown description>
+NLLKSLIGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVW
+DVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAVLLVFAN
+KQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLANQ
+>P18085_PF00025_6 <unknown description>
+SSLFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNICFTV
+WDVGGQDRIRPLWKHYFQNTQGLIFVVDSNDRERIQEVADELQKMLLVDELRDAVLLLFA
+NKQDLPNAMAISEMTDKLGLQSLRNRTWYVQATCATQGTGLYEGLDWLSNE
+>P84085_PF00025_7 <unknown description>
+ALFSRIFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNICFTVW
+DVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVQESADELQKMLQEDELRDAVLLVFAN
+KQDMPNAMPVSELTDKLGLQHLRSRTWYVQATCATQGTGLYDGLDWLSHE
+>P62330_PF00025_2 <unknown description>
+GKVLSKIFGNKEMRILMLGLDAAGKTTILYKLKLGQSVTTIPTVGFNVETVTYKNVKFNV
+WDVGGQDKIRPLWRHYYTGTQGLIFVVDCADRDRIDEARQELHRIINDREMRDAIILIFA
+NKQDLPDAMKPHEIQEKLGLTRIRDRNWYVQPSCATSGDGLYEGLTWLTSN
+>Q8N6T3_PF01412_8 <unknown description>
+KVLKEVRVQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVRSVTMDKW
+KDIELEKMKAGGNAKFREFLESQEDYDPCWSLQEKYNSRAAALFRDK
+>Q8N6H7_PF01412_12 <unknown description>
+TLFKRLRAVPTNKACFDCGAKNPSWASITYGVFLCIDCSGVHRSLGVHLSFIRSTELDSN
+WNWFQLRCMQVGGNANATAFFRQHGCTANDANTKYNSRAAQMYREK
+>Q9NP61_PF01412_12 <unknown description>
+IFKRLRSVPTNKVCFDCGAKNPSWASITYGVFLCIDCSGSHRSLGVHLSFIRSTELDSNW
+SWFQLRCMQVGGNASASSFFHQHGCSTNDTNAKYNSRAAQLYREK
+>P53367_PF06456_123 <unknown description>
+EKLELVRKWSLNTYKCTRQIISEKLGRGSRTVDLELEAQIDILRDNKKKYENILKLAQTL
+STQLFQMVHTQRQLGDAFADLSLKSLELHEEFGYNADTQKLLAKNGETLLGAINFFIASV
+NTLVNKTIEDTLMTVKQYESARIEYDAYRTDLEELNLGPRDANTLPKIEQSQHLFQAHKE
+KYDKMRNDVSVKLKFLEENKVKVLHNQLVLFHNAIAAYFAGNQKQL
+>P53365_PF06456_97 <unknown description>
+KKWGINTYKCTKQLLSERFGRGSRTVDLELELQIELLRETKRKYESVLQLGRALTAHLYS
+LLQTQHALGDAFADLSQKSPELQEEFGYNAETQKLLCKNGETLLGAVNFFVSSINTLVTK
+TMEDTLMTVKQYEAARLEYDAYRTDLEELSLGPRDAGTRGRLESAQATFQAHRDKYEKLR
+GDVAIKLKFLEENKIKVMHKQLLLFHNAVSAYFAGNQKQL
+>Q13795_PF00025_10 <unknown description>
+KYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLNIGTVDVGKA
+RLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLAESKQAFEKVVTSEALCGVPV
+LVLANKQDVETCLSIPDIKTAFSDCTSKIGRRDCLTQACSALTGKGVREGIEWMVK
+>Q8N726_PF07392_4 <unknown description>
+RFLVTLRIRRACGPPRVRVFVVHIPRLTGEWAAPGAPAAVALVLMLLRSQR
+>Q8N1W1_PF00130_653 <unknown description>
+HQFAPGTFSGVLQCLVCDKTLLGKESLQCSNCNANVHKGCKDAAPACT
+>Q8N1W1_PF00621_853 <unknown description>
+VIFELMQTEMHHIQTLFIMSEIFRKGMKEELQLDHSTVDKIFPCLDELLEIHRHFFYSMK
+ERRQESCAGSDRNFVIDRIGDILVQQFSEENASKMKKIYGEFCCHHKEAVNLFKELQQNK
+KFQNFIKLRNSNLLARRRGIPECILLVTQRITKYPVLVERILQYTKERTEEHKDLRKALC
+LIKDMIATV
+>Q8N1W1_PF17838_1070 <unknown description>
+KNGHVFRKQALMSEERTLLYDGLVYWKTATGRFKDILALLLTDVLLFLQEKDQKYIFAAV
+DQKPSVISLQKLIAREVANEERGMFLISASSAGPEMYEIHTNSKEERNNWMRRIQQAVE
+>A8MVX0_PF00621_270 <unknown description>
+ALELLESERKYVINISLILKIKATFQGSDGKRNSKERSLFPGSLRYLVQQHLDLLHALQE
+RVLKWPRQGVLGDLFLKLTNDENNFLDYYVAYLRDLPECISLVHVVVLKEGDEEIKSDIY
+TLFFHIVQRIPEYLIHLQNVLKFTEQEHPDYYLLLVCVQRLRVFIS
+>A5YM69_PF15441_1 <unknown description>
+MEAEEAQHGASPPISAIEEFSIIPEAPMRSSQVSALGLEAQEDEDPSYKWREEHRLSATQ
+QSELRDVCDYAIETMPSFPKEGSADVEPNQESLVAEACDTPEHWEAVPQSLAGRQARTLA
+PPELWACPIQSEHLDMAPFSSDLGSEEEEVEFWPGLTSLTLGSGQAEEEEETSSDNSGQT
+RYYSPCEEHPAETNQNEGAESGTIRQGEELPSEELQESQGLLHPQEVQVLEEQGQQEAGF
+RGEGTLREDVCADGLLGEEQMIEQVNDEKGEQKQKQEQVQDVMLGRQGERMGLTGEPEGL
+NDGEWEQEDMERKAQGQGGPEQGEERKRELQVPEENRADSQDEKSQTFLGKSEEVTGKQE
+DHGIKEKGVPVSGQEAKEPESWDGGRLGAVGRARSREEENEHHGPSMPALIAPEDSPHCD
+LFPGASYLVTQIPGTQTESRAEELSPAALSPLLEPIRCSHQPISLLGSFLTEESPDKE
+>Q8N4T4_PF00621_27 <unknown description>
+ARELLETERRYQEQLGLVATYFLGILKAKGTLRPPERQALFGSWELIYGASQELLPYLEG
+GCWGQGLEGFCRHLELYNQFAANSERSQTTLQEQLKKNKGFRRFVRLQEGRPEFGGLQLQ
+DLLPLPLQRLQQYENLVVALAENTGPNSPDHQQLTRAARLISETAQRV
+>Q9HCE6_PF00621_323 <unknown description>
+SIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSS
+RVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTSAMSIIKKACLTKPAFLEFLKRRQ
+VCSPDRVTLYGLMVKPIQRFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLN
+>Q9HCE6_PF19057_526 <unknown description>
+LNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPANHRGQL
+EISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNVLIQHSGAKKASASGQAQNKV
+YLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQ
+>Q9HCE6_PF19056_790 <unknown description>
+FSSRALSLQLGALVHSPVNCPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIFSLNRPSP
+RTVKSFPLAAPVLCMEYIPELEEEAESRDESPTVADPSATVHPTICLGLQDGSILLYSSV
+DTGTQCLVSCRSPGLQPVLCLRHSPFHLLAGLQDGTLAAYPRTSGGVLWDLESPPVCLTV
+GPGPVRTLLSLEDAVWASCGPRVTVLEATTLQPQQSFEAHQDEAVSVTHMVKAGSGVWMA
+FSSGTSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGTDQGVIVL
+LPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVATSILAPDILRSDQEEAEGPRAEEDKPD
+GQAHEPMPDSHVGRELTRKKGILLQYRLRSTAHLPGPLLSMREPAPADGAALEHSEEDGS
+IYEMADDPDIWVRSRPCARDAHRKEICSVAIISGGQGYRNFGSALGSSGRQAPCGETDST
+LLIWQVPLM
+>A6NJG6_PF00046_80 <unknown description>
+KERTSFTHQQYEELEALFSQTMFPDRNLQEKLALRLDLPESTVKVWFRNRRFKLKK
+>P05089_PF00491_7 <unknown description>
+TIGIIGAPFSKGQPRGGVEEGPTVLRKAGLLEKLKEQECDVKDYGDLPFADIPNDSPFQI
+VKNPRSVGKASEQLAGKVAEVKKNGRISLVLGGDHSLAIGSISGHARVHPDLGVIWVDAH
+TDINTPLTTTSGNLHGQPVSFLLKELKGKIPDVPGFSWVTPCISAKDIVYIGLRDVDPGE
+HYILKTLGIKYFSMTEVDRLGIGKVMEETLSYLLGRKKRPIHLSFDVDGLDPSFTPATGT
+PVVGGLTYREGLYITEEIYKTGLLSGLDIMEVNPSLGKTPEEVTRTVNTAVAIT
+>P78540_PF00491_25 <unknown description>
+SVAVIGAPFSQGQKRKGVEHGPAAIREAGLMKRLSSLGCHLKDFGDLSFTPVPKDDLYNN
+LIVNPRSVGLANQELAEVVSRAVSDGYSCVTLGGDHSLAIGTISGHARHCPDLCVVWVDA
+HADINTPLTTSSGNLHGQPVSFLLRELQDKVPQLPGFSWIKPCISSASIVYIGLRDVDPP
+EHFILKNYDIQYFSMRDIDRLGIQKVMERTFDLLIGKRQRPIHLSFDIDAFDPTLAPATG
+TPVVGGLTYREGMYIAEEIHNTGLLSALDLVEVNPQLATSEEEAKTTANLAVDVIAS
+>Q9NWB6_PF15346_119 <unknown description>
+QEIEEKLIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELER
+QRQAELAAQKAREEEERAKREELERILEENNRKIAEAQAKLAEEQLRIVEEQRKIHEERM
+KLEQERQRQQKEEQKIILGKGKSRPKLSFSL
+>A1IGU5_PF00621_35 <unknown description>
+VRELIDTEVSYLHMLQLCASDIRSRLQQLPQGDLDVLFSNIDDIIKVNSRFLHDLQETAS
+KEEEQVQLVGNIFLEFQEELEQVYKVYCASYDQALLLVDTYRKEPELQRHIQGIVEAVVP
+QAGSSGLSFLLVIPLQRITRYPLLLQKILENTVPDASAYPVLQRAVSALQDVNTNIN
+>A1IGU5_PF07653_511 <unknown description>
+YQVTSNISGTGTLDLTLPRGQIVAILQNKDTKGNSGRWLVDTGGHRGYVPAGK
+>A1IGU5_PF00018_608 <unknown description>
+IAAYPFVARSSHEVSLQAGQPVTILEAQDKKGNPEWSLVEVNGQRGYVPS
+>Q9NXL2_PF00621_98 <unknown description>
+IIKELIQTEKDYLNDLELCVREVVQPLRNKKTDRLDVDSLFSNIESVHQISAKLLSLLEE
+ATTDVEPAMQVIGEVFLQIKGPLEDIYKIYCYHHDEAHSILESYEKEEELKEHLSHCIQS
+LKKIYMQEGKPNLLDMGSLMIKPIQRVMKYPLLLCELRNSTPPSHPDYRALDDAFAAVKD
+INVNIN
+>Q9NXL2_PF07653_587 <unknown description>
+YQAKRKCNATQEYDINLLEGDLVAVIEQKDPLGSTSRWLVDTGNVKGYVYSSFLKP
+>Q9NXL2_PF14604_720 <unknown description>
+AVHAFQARSDHELSLQEYQRVHILRFCDLSGNKEWWLAEAQGQKGYVPANYL
+>Q8TER5_PF00621_1090 <unknown description>
+VSELIACEQDYVATLSEPVPPPGPELTPELRGTWAAALSARERLRSFHRTHFLRELQGCA
+THPLRIGACFLRHGDQFSLYAQYVKHRHKLENGLAALSPLSKGSMEAGPYLPRALQQPLE
+QLTRYGRLLEELLREAGPELSSECRALGAAVQLL
+>Q92888_PF09128_42 <unknown description>
+QNSQFQSLEQVKRRPAHLMALLQHVALQFEPGPLLCCLHADMLGSLGPKEAKKAFLDFYH
+SFLEKTAVLRVPVPPNVAFELDRTRADLISEDVQRRFVQEVVQSQQVAVGRQLEDFRSKR
+LMGMTPWEQELAQLEAWVGRDRASYEARERHVAERLLMHLEEMQHTISTDEEKSAAVVNA
+IGLYMRHLGVR
+>Q92888_PF00621_420 <unknown description>
+VISELLVTEAAHVRMLRVLHDLFFQPMAECLFFPLEELQNIFPSLDELIEVHSLFLDRLM
+KRRQESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKDPRFCAF
+VQEAESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEEPTEREKVELAAECCREIL
+HHVN
+>Q92888_PF17838_633 <unknown description>
+PMLSEFKNLDITKKKLVHEGPLTWRVTKDKAVEVHVLLLDDLLLLLQRQDERLLLKSHSR
+TLTPTPDGKTMLRPVLRLTSAMTREVATDHKAFYVLFTWDQEAQIYELVAQTVSERKNWC
+ALITETA
+>Q92974_PF00621_239 <unknown description>
+VIYELIQTELHHVRTLKIMTRLFRTGMLEELHLEPGVVQGLFPCVDELSDIHTRFLSQLL
+ERRRQALCPGSTRNFVIHRLGDLLISQFSGPSAEQMCKTYSEFCSRHSKALKLYKELYAR
+DKRFQQFIRKVTRPAVLKRHGVQECILLVTQRITKYPLLISRILQHSHGIEEERQDLTTA
+LGLVKELLSNV
+>Q92974_PF17838_463 <unknown description>
+FGREELLRRKLIHDGCLLWKTATGRFKDVLVLLMTDVLVFLQEKDQKYIFPTLDKPSVVS
+LQNLIVRDIANQEKGMFLISAAPPEMYEVHTASRDDRSTWIRVIQQSV
+>Q9NR81_PF00621_127 <unknown description>
+IFELSQGEEDLIEDLKLAKKAYHDPMLKLSIMTEQELNQIFGTLDSLIPLHEELLSQLRD
+VRKPDGSTEHVGPILVGWLPCLSSYDSYCSNQVAAKALLDHKKQDHRVQDFLQRCLESPF
+SRKLDLWNFLDIPRSRLVKYPLLLREILRHTPNDNPDQQHLEEAINIIQGIVAEIN
+>Q12774_PF15441_1 <unknown description>
+MEAEEAQRGASPPISAIEEFSIIPEAPMRSSQVSALGLEAQEDEDPSYKWREEHRLSATQ
+QSELRDVCDYAIETMPSFPKEGSADVEPNQESLVAEACDTPEHWEAVPQSLAGRQARTLA
+PPELWACPIQSEHLDMAPFSSDLGSEEEEVEFWPGLTSLTLGSGQAEEEEETSSDNSGQT
+RYYSPCEEHPAETNQNEGSESGTIRQGEELPPEELQESQGLLHPQEVQVLEEQGQQEAGF
+RGEGTLREDVCADGLLGEEQMIEQVNDEKGEQKQKQEQVQDVMLGRQGERMGLTGEPEGL
+NDGEWEQEDMERKAQGQGGPEQGEERKRELQVPEENRADSQDEKSQTFLGKSEEVTGKQE
+DHGIKEKGVPVSGQEAKEPESWDGGRLGAVGRARSREEENEHHGPSMPALIAPEDSPHCD
+LFPGASYLMTQIPGTQTESRAEELSPAALSPSLEPIRCSHQPISLLGSFLTEESPDKE
+>Q12774_PF00621_1180 <unknown description>
+FELIVSEASYLRSLNIAVDHFQLSTSLRATLSNQEHQWLFSRLQDVRDVSATFLSDLEEN
+FENNIFSFQVCDVVLNHAPDFRRVYLPYVTNQTYQERTFQSLMNSNSNFREVLEKLESDP
+VCQRLSLKSFLILPFQRITRLKLLLQNILKRTQPGSSEEAEATKAHHALEQLIRDCN
+>Q12774_PF14604_1520 <unknown description>
+AYKPRENDELALEKADVVMVTQQSSDGWLEGVRLSDGERGWFPVQQVE
+>Q15052_PF00307_2 <unknown description>
+NPEEQIVTWLISLGVLESPKKTICDPEEFLKSSLKNGVVLCKLINRLMPGSVEKFCLDPQ
+TEADCINNINDFLKGCATLQVEIFDPDDLYSGVNFSKVLSTLLAVNK
+>Q15052_PF16615_115 <unknown description>
+SERPCGRSSSLSAANTSQTNPQGAVSSTVSGLQRQSKTVEMTENGS
+>Q15052_PF07653_165 <unknown description>
+VKARFNFKQTNEDELSVCKGDIIYVTRVEEGGWWEGTLNGRTGWFPSNYVRE
+>Q15052_PF00621_245 <unknown description>
+VLQNILDTEKEYAKELQSLLVTYLRPLQSNNNLSTVEVTSLLGNFEEVCTFQQTLCQALE
+ECSKFPENQHKVGGCLLSLMPHFKSMYLAYCANHPSAVNVLTQHSDELEQFMENQGASSP
+GILILTTNLSKPFMRLEKYVTLLQELERHMEDTHPDHQDILKAIVAFKTLMGQC
+>Q15052_PF00169_466 <unknown description>
+KEERYLMLFSNVLIMLSASPRMSGFIYQGKIPIAGTVVTRLDEIEGNDCTFEITGNTVER
+IVVHCNNNQDFQEWLEQLNRLIR
+>Q15052_PF16614_576 <unknown description>
+PRGPLEPPQIIKPWSLSCLRPAPPLRPSAALGYKERMSYILKESSKSPKTMKKFLHKRKT
+ERKPSEEEYVIRKSTAALEEDAQILKVIEAYCTSANFQQ
+>Q15052_PF16523_686 <unknown description>
+PQVLLPEEEKLIIEETRSNGQTIMEEKSLVDTVYALKDEVRELKQENKRMKQCLEEELKS
+RRDLEKLVRRLLKQTDECIRGES
+>Q7Z628_PF00621_179 <unknown description>
+IYEMSRGEQDLIEDLKLARKAYHDPMLKLSIMSEEELTHIFGDLDSYIPLHEDLLTRIGE
+ATKPDGTVEQIGHILVSWLPRLNAYRGYCSNQLAAKALLDQKKQDPRVQDFLQRCLESPF
+SRKLDLWSFLDIPRSRLVKYPLLLKEILKHTPKEHPDVQLLEDAILIIQGVLSDIN
+>Q7Z628_PF00169_397 <unknown description>
+SGHKLYIFLFQDILVLTRPVTRNERHSYQVYRQPIPVQELVLEDLQDGDVRMGGSFRGAF
+SNSEKAKNIFRIRFHDPSPAQSHTLQANDVFHKQQWFNCIRAAI
+>O15013_PF00621_404 <unknown description>
+VVDSEKNYVDALKRILEQYEKPLSEMEPKVLSERKLKTVFYRVKEILQCHSLFQIALASR
+VSEWDSVEMIGDVFVASFSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFLKQEQE
+ASPDRTTLYSLMMKPIQRFPQFILLLQDMLKNTSKGHPDRLPLQMALTELETLAEKLN
+>O15013_PF19057_605 <unknown description>
+LNKLLSSGSRYLIRSDDMIETVYNDRGEIVKTKERRVFMLNDVLMCATVSSRPSHDSRVM
+SSQRYLLKWSVPLGHVDAIEYGSSAGTGEHSRHLAVHPPESLAVVANAKPNKVYMGPGQL
+YQDLQNLLHDLNVIGQITQLIGNLKGNYQ
+>O15013_PF19056_861 <unknown description>
+VMVAKQQEFKIECAAYNPEPYLNNESQPDSFSTAHGFLWIGSCTHQMGQIAIVSFQNSTP
+KVIECFNVESRILCMLYVPVEEKRREPGAPPDPETPAVRASDVPTICVGTEEGSISIYKS
+SQGSKKVRLQHFFTPEKSTVMSLACTSQSLYAGLVNGAVASYARAPDGSWDSEPQKVIKL
+GVLPVRSLLMMEDTLWAASGGQVFIISVETHAVEGQLEAHQEEGMVISHMAVSGVGIWIA
+FTSGSTLRLFHTETLKHLQDINIATPVHNMLPGHQRLSVTSLLVCHGLLMVGTSLGVLVA
+LPVPRLQGIPKVTGRGMVSYHAHNSPVKFIVLATALHEKDKDKSRDSLAPGPEPQDEDQK
+DALPSGGAGSSLSQGDPDAAIWLGDSLGSMTQKSDLSSSSGSLSLSHGSSSLEHRSEDST
+IYDLLKDPVSLRSKARRAKKAKASSALVVCGGQGHRRVHRKARQPHQEELAPTVMVWQIP
+LL
+>O15085_PF00595_49 <unknown description>
+IIQKDQHGFGFTVSGDRIVLVQSVRPGGAAMKAGVKEGDRIIKVNGTMVTNSSHLEVVKL
+IKSGAYVALT
+>O15085_PF09128_348 <unknown description>
+DIIFQDLEKLKSRPAHLGVFLRYIFSQADPSPLLFYLCAEVYQQASPKDSRSLGKDIWNI
+FLEKNAPLRVKIPEMLQAEIDSRLRNSEDARGVLCEAQEAAMPEIQEQIHDYRTKRTLGL
+GSLYGENDLLDLDGDPLRERQVAEKQLAALGDILSKYEEDRSAPMDFALNTYMSHAGIR
+>O15085_PF00621_778 <unknown description>
+VINELFVTEASHLRTLRVLDLIFYQRMKKENLMPREELARLFPNLPELIEIHNSWCEAMK
+KLREEGPIIKEISDLMLARFDGPAREELQQVAAQFCSYQSIALELIKTKQRKESRFQLFM
+QEAESHPQCRRLQLRDLIISEMQRLTKYPLLLESIIKHTEGGTSEHEKLCRARDQCREIL
+KYVN
+>O15085_PF17838_991 <unknown description>
+PLAAEFKSLDLTTRKMIHEGPLTWRISKDKTLDLHVLLLEDLLVLLQKQDEKLLLKCHSK
+TAVGSSDSKQTFSPVLKLNAVLIRSVATDKRAFFIICTSKLGPPQIYELVALTSSDKNTW
+MELLEEAV
+>Q9NZN5_PF00595_75 <unknown description>
+IQKDDNGFGLTVSGDNPVFVQSVKEDGAAMRAGVQTGDRIIKVNGTLVTHSNHLEVVKLI
+KSGSYVALTVQ
+>Q9NZN5_PF09128_368 <unknown description>
+QCSCFQSIELLKSRPAHLAVFLHHVVSQFDPATLLCYLYSDLYKHTNSKETRRIFLEFHQ
+FFLDRSAHLKVSVPDEMSADLEKRRPELIPEDLHRHYIQTMQERVHPEVQRHLEDFRQKR
+SMGLTLAESELTKLDAERDKDRLTLEKERTCAEQIVAKIEEVLMTAQAVEEDKSSTMQYV
+ILMYMKHLGVK
+>Q9NZN5_PF00621_791 <unknown description>
+VINELFYTERAHVRTLKVLDQVFYQRVSREGILSPSELRKIFSNLEDILQLHIGLNEQMK
+AVRKRNETSVIDQIGEDLLTWFSGPGEEKLKHAAATFCSNQPFALEMIKSRQKKDSRFQT
+FVQDAESNPLCRRLQLKDIIPTQMQRLTKYPLLLDNIAKYTEWPTEREKVKKAADHCRQI
+LNYVN
+>Q9NZN5_PF17838_1005 <unknown description>
+PNVEELRNLDLTKRKMIHEGPLVWKVNRDKTIDLYTLLLEDILVLLQKQDDRLVLRCHSK
+ILASTADSKHTFSPVIKLSTVLVRQVATDNKALFVISMSDNGAQIYELVAQTVSEKTVWQ
+DLICR
+>O94989_PF00621_423 <unknown description>
+FEVVTSEASYLRSLRLLTDTFVLSQALRDTLTPRDHHTLFSNVQRVQGVSERFLATLLSR
+VRSSPHISDLCDVVHAHAVGPFSVYVDYVRNQQYQEETYSRLMDTNVRFSAELRRLQSLP
+KCERLPLPSFLLLPFQRITRLRMLLQNILRQTEEGSSRQENAQKALGAVSKIIERC
+>Q5VV41_PF00621_289 <unknown description>
+MFEILTSEFSYQHSLSILVEEFLQSKELRATVTQMEHHHLFSNILDVLGASQRFFEDLEQ
+RHKAQVLVEDISDILEEHAEKHFHPYIAYCSNEVYQQRTLQKLISSNAAFREALREIERR
+PACGGLPMLSFLILPMQRVTRLPLLMDTLCLKTQGHSERYKAASRALKAISKLVRQCN
+>Q5VV41_PF00169_506 <unknown description>
+GELFLVEETGLFRKIASRPTCYLFLFNDVLVVTKKKSEESYMVQDYAQMNHIQVEKIEPS
+ELPLPGGGNRSSSVPHPFQVTLLRNSEGRQEQLLLSSDSASDRARWIVALTH
+>Q5VV41_PF14604_639 <unknown description>
+AFFAKQADEVTLQQADVVLVLQQEDGWLYGERLRDGETGWFPEDF
+>Q96PE2_PF00621_1073 <unknown description>
+TLLDTEQSYVESLRTLMQGYMQPLKQPENSVLCDPSLVDEIFDQIPELLEHHEQFLEQVR
+HCMQTWHAQQKVGALLVQSFSKDVLVNIYSAYIDNFLNAKDAVRVAKEARPAFLKFLEQS
+MRENKEKQALSDLMIKPVQRIPRYELLVKDLLKHTPEDHPDHPLLLEAQRNIKQVAERIN
+>Q96PE2_PF19057_1280 <unknown description>
+DLQAPLRRFLRQEMVIEVKAIGGKKDRSLFLFTDLIVCTTLKRKSGSLRRSSMSLYTAAS
+VIDTASKYKMLWKLPLEDADIIKGASQATNRENIQKAISRLDEDLTTLGQMSKLSESLGF
+PHQ
+>Q96PE2_PF19056_1739 <unknown description>
+SSVWLGTEDGCVHVYQSSDSIRDRRNSMKLQHAASVTCILYLNNQVFVSLANGELVVYQR
+EAGHFWDPQNFKSVTLGTQGSPITKMVSVGGRLWCGCQNRVLVLSPDTLQLEHMFYVGQD
+SSRCVACMVDSSLGVWVTLKGSAHVCLYHPDTFEQLAEVDVTPPVHRMLAGSDAIIRQHK
+AACLRITALLVCEELLWVGTSAGVVLTMPT
+>Q6ZSZ5_PF00621_451 <unknown description>
+VLYELMQTEVHHVRTLKIMLKVYSRALQEELQFSSKAIGRLFPCADDLLETHSHFLARLK
+ERRQESLEEGSDRNYVIQKIGDLLVQQFSGENGERMKEKYGVFCSGHNEAVSHYKLLLQQ
+NKKFQNLIKKIGNFSIVRRLGVQECILLVTQRITKYPVLVERIIQNTEAGTEDYEDLTQA
+LNLIKDIISQVD
+>Q6ZSZ5_PF17838_670 <unknown description>
+KNGLTFRKEDMLQRQLHLEGMLCWKTTSGRLKDILAILLTDVLLLLQEKDQKYVFASVDS
+KPPVISLQKLIVREVANEEKAMFLISASLQGPEMYEIYTSSKEDRNAWMAHIQRAVE
+>Q8IW93_PF00621_382 <unknown description>
+FELITSEASYIHSLSVAVGHFLGSAELSECLGAQDKQWLFSKLPEVKSTSERFLQDLEQR
+LEADVLRFSVCDVVLDHCPAFRRVYLPYVTNQAYQERTYQRLLLENPRFPGILARLEESP
+VCQRLPLTSFLILPFQRITRLKMLVENILKRTAQGSEDEDMATKAFNALKELVQECN
+>Q8IW93_PF00018_726 <unknown description>
+YKALHPDELTLEKTDILSVRTWTSDGWLEGVRLADGEKGWVP
+>Q86VW2_PF00621_164 <unknown description>
+VLSELVETEKMYVDDLGQIVEGYMATMAAQGVPESLRGRDRIVFGNIQQIYEWHRDYFLQ
+ELQRCLKDPDWLAQLFIKHERRLHMYVVYCQNKPKSEHVVSEFGDSYFEELRQQLGHRLQ
+LNDLLIKPVQRIMKYQLLLKDFLKYYNRAGMDTADLEQAVEVMCFVPKRCN
+>Q96DR7_PF00621_444 <unknown description>
+IFEVISSEHSYLLSLEILIRMFKNSKELSDTMTKTERHHLFSNITDVCEASKKFFIELEA
+RHQNNIFIDDISDIVEKHTASTFDPYVKYCTNEVYQQRTLQKLLATNPSFKEVLSRIESH
+EDCRNLPMISFLILPMQRVTRLPLLMDTICQKTPKDSPKYEVCKRALKEVSKLVRLCN
+>Q96DR7_PF00018_800 <unknown description>
+FTAKQPDELSLQVADVVLIYQRVSDGWYEGERLRDGERGWFP
+>Q5SW96_PF14719_48 <unknown description>
+FSLKYLGMTLVEQPKGEELSAAAIKRIVATAKASGKKLQKVTLKVSPRGIILTDNLTNQL
+IENVSIYRISYCTADKMHDKVFAYIAQSQHNQSLECHAFLCTKRKMAQAVTLTVAQAFKV
+AFEFWQVSKEEKEKRDKASQE
+>O14497_PF01388_1021 <unknown description>
+KMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATNL
+NVGTSSSAASSLKKQYIQCLYAFE
+>O14497_PF12031_1976 <unknown description>
+SLAKRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEE
+EQDQGVSCNKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCP
+SAEAQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFL
+SDRKNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASL
+LHMQNPPFEPTSVDMM
+>Q8NFD5_PF01388_1192 <unknown description>
+RKLWVDRYLTFMEERGSPVSSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATN
+LNVGTSSSAASSLKKQYIQYLFAFE
+>Q8NFD5_PF12031_2063 <unknown description>
+SLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPERKRAPQTYEKEE
+DEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLPILDGLLHWMVCP
+SAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSRQEKFYATLVRYV
+GDRKNPVCREMSMALLSNLAQGDALAARAIAVQKGSIGNLISFLEDGVTMAQYQQSQHNL
+MHMQPPPLEPPSVDMM
+>Q9Y4X5_PF01485_257 <unknown description>
+KYQHLITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQFCFNCGENWHDPVK
+C
+>Q9Y4X5_PF01485_338 <unknown description>
+AANTKECPKCHVTIEKDGGCNHMVCRNQNCKAEFCWVCLGPWEPH
+>Q9Y4X5_PF19422_403 <unknown description>
+AQERSRAALQRYLFYCNRYMNHMQSLRFEHKLYAQVKQKMEEMQQHNMSWIEVQFLKKAV
+DVLCQCRATLMYTYVFAFYLKKNNQSIIFENNQADLENATEVLSGYLERDISQDSLQDIK
+QK
+>O95376_PF01485_208 <unknown description>
+EKYRRYLFRDYVESHYQLQLCPGADCPMVIRVQEPRARRVQCNRCNEVFCFKCRQMYHAP
+TDC
+>O95376_PF01485_292 <unknown description>
+AHTKDCPKCNICIEKNGGCNHMQCSKCKHDFCWMCLGDWKTH
+>O95376_PF19422_353 <unknown description>
+SQQAQAREALKKYLFYFERWENHNKSLQLEAQTYQRIHEKIQERVMNNLGTWIDWQYLQN
+AAKLLAKCRYTLQYTYPYAYYMESGPRKKLFEYQQAQLEAEIENLSWKVERADSYDRG
+>Q99856_PF01388_241 <unknown description>
+RKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWREITKG
+LNLPTSITSAAFTLRTQYMKYLYPYE
+>Q8IVW6_PF01388_217 <unknown description>
+ERKEFLDDLFVFMQKRGTPINRIPIMAKQILDLYMLYKLVTEKGGLVEIINKKIWREITK
+GLNLPTSITSAAFTLRTQYMKYLYAYE
+>A6NKF2_PF01388_116 <unknown description>
+RKEFLDDLFSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRG
+LSLPTTITSAAFTLRTQYMKYLYPYE
+>P29374_PF08169_171 <unknown description>
+NDELLGKVVSVVSATERTEWYPALVISPSCNDDITVKKDQCLVRSFIDSKFYSIARKDIK
+EVDILNLPESELSTKPGLQKASIFLKTRVVPD
+>P29374_PF01388_311 <unknown description>
+ERDNFLQQLYKFMEDRGTPINKPPVLGYKDLNLFKLFRLVYHQGGCDNIDSGAVWKQIYM
+DLGIPILNSAASYNVKTAYRKYLYGFE
+>P29374_PF11717_576 <unknown description>
+GTKVKVKYGRGKTQKIYEASIKSTEIDDGEVLYLVHYYGWNVRYDEWVKADRI
+>Q4LE39_PF08169_170 <unknown description>
+DELLGKVVCVDYISLDKKKALWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKD
+VHEITSDTAPKPDAVLKQAFEQALEFHKSRTIP
+>Q4LE39_PF01388_308 <unknown description>
+ERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGAVWKQVYQ
+DLGIPVLNSAAGYNVKCAYKKYLYGFE
+>Q4LE39_PF11717_572 <unknown description>
+GMKVQVRYGRGKNQKMYEASIKDSDVEGGEVLYLVHYCGWNVRYDEWIKADKI
+>Q03989_PF01388_58 <unknown description>
+EQAFLVSLYKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDE
+LGGSPGSTSAATCTRRHYERLVLPY
+>Q14865_PF01388_321 <unknown description>
+EQAFLVALYKYMKERKTPIERIPYLGFKQINLWTMFQAAQKLGGYETITARRQWKHIYDE
+LGGNPGSTSAATCTRRHYERLILPYE
+>Q68CP9_PF01388_18 <unknown description>
+AFLDELRQFHHSRGSPFKKIPAVGGKELDLHGLYTRVTTLGGFAKVSEKNQWGEIVEEFN
+FPRSCSNAAFALKQYYLRYLEKYE
+>Q68CP9_PF02257_521 <unknown description>
+EKFACQWLNAHFEVNPDCSVSRAEMYSEYLSTCSKLARGGILTSTGFYKCLRTVFPNHTV
+KRVEDSSSNGQAHIHVVGVKRR
+>Q9Y4B4_PF00176_287 <unknown description>
+VESLERFKTSSGFGCILAHSMGLGKTLQVISFIDVLFRHTPAKTVLAIVPVNTLQNWLAE
+FNMWLPPPEALPADNKPEEVQPRFFKVHILNDEHKTMASRAKVMADWVSEGGVLLMGYEM
+YRLLTLKKSFATGRPKKTKKRSHPVIIDLDEEDRQQEFRREFEKALCRPGPDVVICDEGH
+RIKNCQASTSQALKNIRSRRRVVLTGYPLQNNLIEYWCMVDFVRPDFLGTRQEFSNMFER
+PILNGQCIDSTPQDVRLMRYRSHVLHSLLEGFVQRRGHTVLKIHLPAKEENVILVRLSKI
+QRDLYTQFMD
+>Q9Y4B4_PF00271_727 <unknown description>
+FHLIEESVKLGDKILVFSQSLSTLALIEEFLGKREVPCPPGTEGQGAQKWVRNISYFRLD
+GSTPAFERERLINQFNDPSNLTTWLFLLSTRAGCLGVNLIGANRVVVFDASWNPCHDAQA
+VCRVYRYG
+>O43488_PF00248_41 <unknown description>
+VLGTMEMGRRMDAPASAAAVRAFLERGHTELDTAFMYSDGQSETILGGLGLGLGGGDCRV
+KIATKANPWDGKSLKPDSVRSQLETSLKRLQCPQVDLFYLHAPDHGTPVEETLHACQRLH
+QEGKFVELGLSNYASWEVAEICTLCKSNGWILPTVYQGMYNATTRQVETELFPCLRHFGL
+RFYAYNPLAGGLLTGKYKYEDKDGKQPVGRFFGNSWAETYRNRFWKEHHFEAIALVEKAL
+QAAYGASAPSVTSAALRWMYHHSQLQGAHGDAVILGMSSLEQLEQNLAATEEGPLEPAVV
+DAFNQA
+>O95154_PF00248_13 <unknown description>
+VLGAMEMGRRMDAPTSAAVTRAFLERGHTEIDTAFVYSEGQSETILGGLGLRLGGSDCRV
+KIDTKAIPLFGNSLKPDSLRFQLETSLKRLQCPRVDLFYLHMPDHSTPVEETLRACHQLH
+QEGKFVELGLSNYAAWEVAEICTLCKSNGWILPTVYQGMYNAITRQVETELFPCLRHFGL
+RFYAFNPLAGGLLTGKYKYEDKNGKQPVGRFFGNTWAEMYRNRYWKEHHFEGIALVEKAL
+QAAYGASAPSMTSATLRWMYHHSQLQGAHGDAVILGMSSLEQLEQNLAAAEEGPLEPAVV
+DAFNQA
+>Q8N8L6_PF00025_78 <unknown description>
+REVLVLGLDGAGKSTFLRVLSGKPPLEGHIPTWGFNSVRLPTKDFEVDLLEIGGSQNLRF
+YWKEFVSEVDVLVFVVDSADRLRLPWARQELHKLLDKDPDLPVVVVANKQDLSEAMSMGE
+LQRELGLQAIDNQREVFLLAASI
+>Q969Q4_PF00025_8 <unknown description>
+GHKAEAQVVMMGLDSAGKTTLLYKLKGHQLVETLPTVGFNVEPLKAPGHVSLTLWDVGGQ
+APLRASWKDYLEGTDILVYVLDSTDEARLPESAAELTEVLNDPNMAGVPFLVLANKQEAP
+DALPLLKIRNRLSLERFQDHCWELRGCSALTGEGLPEALQSLW
+>Q8N4G2_PF00025_10 <unknown description>
+QTKQAQVLLLGLDSAGKSTLLYKLKLAKDITTIPTIGFNVEMIELERNLSLTVWDVGGQE
+KMRTVWGCYCENTDGLVYVVDSTDKQRLEESQRQFEHILKNEHIKNVPVVLLANKQDMPG
+ALTAEDITRMFKVKKLCSDRNWYVQPCCALTGEGLAQGFRKLT
+>Q9NXU5_PF00025_32 <unknown description>
+EYDLVCIGLTGSGKTSLLSKLCSESPDNVVSTTGFSIKAVPFQNAILNVKELGGADNIRK
+YWSRYYQGSQGVIFVLDSASSEDDLEAARNELHSALQHPQLCTLPFLILANHQDKPAARS
+VQEIKKYFELEPLARGKRWILQPCSLDDMDALKDSFSQLIN
+>Q8IVW1_PF00025_6 <unknown description>
+EKLFKSLLGKKKMRILILSLDTAGKTTILYKLKLGETVPAVPTVGFCVETVEYKNNTFAV
+WDVGSHFKIRPLWQHFFQNTKG
+>Q8IVW1_PF15840_115 <unknown description>
+MWKGGRSHPFLPHSSRCAGSGGQLDSILPHQSPAWGPWGCKDLSSGFPSFLTSSILWKSA
+VV
+>P40616_PF00025_5 <unknown description>
+FSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKNLKFQ
+VWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAILVVF
+ANKQDMEQAMTSSEMANSLGLPALKDRKWQIFKTSATKGTGLDEAMEWLVET
+>P36404_PF00025_4 <unknown description>
+LTILKKMKQKERELRLLMLGLDNAGKTTILKKFNGEDIDTISPTLGFNIKTLEHRGFKLN
+IWDVGGQKSLRSYWRNYFESTDGLIWVVDSADRQRMQDCQRELQSLLVEERLAGATLLIF
+ANKQDLPGALSSNAIREVLELDSIRSHHWCIQGCSAVTGENLLPGIDWLLDD
+>P36405_PF00025_4 <unknown description>
+LSILRKLKSAPDQEVRILLLGLDNAGKTTLLKQLASEDISHITPTQGFNIKSVQSQGFKL
+NVWDIGGQRKIRPYWKNYFENTDILIYVIDSADRKRFEETGQELAELLEEEKLSCVPVLI
+FANKQDLLTAAPASEIAEGLNLHTIRDRVWQIQSCSALTGEGVQDGMNWVCKN
+>P40617_PF00025_9 <unknown description>
+TSILSNLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIKVTLGNSKT
+VTFHFWDVGGQEKLRPLWKSYTRCTDGIVFVVDSVDVERMEEAKTELHKITRISENQGVP
+VLIVANKQDLRNSLSLSEIEKLLAMGELSSSTPWHLQPTCAIIGDGLKEGLEKLHDMI
+>P56559_PF00025_8 <unknown description>
+ISAFQSLHIVMLGLDSAGKTTVLYRLKFNEFVNTVPTIGFNTEKIKLSNGTAKGISCHFW
+DVGGQEKLRPLWKSYSRCTDGIIYVVDSVDVDRLEEAKTELHKVTKFAENQGTPLLVIAN
+KQDLPKSLPVAEIEKQLALHELIPATTYHVQPACAIIGEGLTEGMDKLYEMI
+>P49703_PF00025_19 <unknown description>
+FQALHVVVIGLDSAGKTSLLYRLKFKEFVQSVPTKGFNTEKIRVPLGGSRGITFQVWDVG
+GQEKLRPLWRSYTRRTDGLVFVVDAAEAERLEEAKVELHRISRASDNQGVPVLVLANKQD
+QPGALSAAEVEKRLAVRELAAATLTHVQGCSAVDGLGLQQGLERLYEMI
+>Q9Y689_PF00025_12 <unknown description>
+FNHQEHKVIIVGLDNAGKTTILYQFSMNEVVHTSPTIGSNVEEIVINNTRFLMWDIGGQE
+SLRSSWNTYYTNTEFVIVVVDSTDRERISVTREELYKMLAHEDLRKAGLLIFANKQDVKE
+CMTVAEISQFLKLTSIKDHQWHIQACCALTGEGLCQGLEWMMS
+>Q96KC2_PF00025_5 <unknown description>
+FAKLWSLFCNQEHKVIIVGLDNAGKTTILYQFLMNEVVHTSPTIGSNVEEIVVKNTHFLM
+WDIGGQESLRSSWNTYYSNTEFIILVVDSIDRERLAITKEELYRMLAHEDLRKAAVLIFA
+NKQDMKGCMTAAEISKYLTLSSIKDHPWHIQSCCALTGEGLCQGLEWMTSR
+>A6NH57_PF00025_9 <unknown description>
+MSIFGNQEHTVIIVGLDNEGKTTILYRFLTNEVVHMCPTIGSNVEEIILPKTHFFMWDIV
+RPEALSFIWNTYYSNTEFIILVIDSTDRDRLLTTREELYKMLAHEALQDASVLIFANKQD
+VKDSMRMVEISHFLTLSTIKDHSWHIQGCCALTREGLPARLQWMES
+>Q9H0F7_PF00025_11 <unknown description>
+LGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQNILPTIGFSIEKFKSSSLSFTVFDM
+SGQGRYRNLWEHYYKEGQAIIFVIDSSDRLRMVVAKEELDTLLNHPDIKHRRIPILFFAN
+KMDLRDAVTSVKVSQLLCLENIKDKPWHICASDAIKGEGLQEGVDWLQDQI
+>Q96BM9_PF00025_12 <unknown description>
+FKALFWKEEMELTLVGLQYSGKTTFVNVIASGQFNEDMIPTVGFNMRKITKGNVTIKLWD
+IGGQPRFRSMWERYCRGVSAIVYMVDAADQEKIEASKNELHNLLDKPQLQGIPVLVLGNK
+RDLPGALDEKELIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQ
+>Q9NVJ2_PF00025_11 <unknown description>
+WFRSLFWKEEMELTLVGLQYSGKTTFVNVIASGQFSEDMIPTVGFNMRKVTKGNVTIKIW
+DIGGQPRFRSMWERYCRGVNAIVYMIDAADREKIEASRNELHNLLDKPQLQGIPVLVLGN
+KRDLPNALDEKQLIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQ
+>P04424_PF00206_11 <unknown description>
+GRFVGAVDPIMEKFNASIAYDRHLWEVDVQGSKAYSRGLEKAGLLTKAEMDQILHGLDKV
+AEEWAQGTFKLNSNDEDIHTANERRLKELIGATAGKLHTGRSRNDQVVTDLRLWMRQTCS
+TLSGLLWELIRTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVALTRDSERLLEV
+RKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVAEFLFWASLCM
+THLSRMAEDLILYCTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKAGRVFG
+>P04424_PF14698_368 <unknown description>
+MLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNQLSLQELQTISPLFSGDVICV
+WDYGHSVE
+>Q8N2F6_PF04826_93 <unknown description>
+LNAEQLQKLLYLLESTEDPVIIERALITLGNNAAFSVNQAIIRELGGIPIVANKINHSNQ
+SIKEKALNALNNLSVNVENQIKIKIYISQVCEDVFSGPLNSAVQLAGLTLLTNMTVTNDH
+QHMLHSYITDLFQVLLTGNGNTKVQVLKLLLNLSENPAMTEGLLRAQVDSSFLSLYDSHV
+AKEILLRVLTLFQNIKNCLKIEGHLAVQPTFTEGSLFFLLHG
+>Q5T9G4_PF04826_68 <unknown description>
+GELRRLLNSLECKQDEYAKSMILHSITRCVYLLEAEASACTTDDIVLLGYMLDDKDNSVK
+TQALNTLKAFSGIRKFRLKIQEHSIKVLELISTIWDTELHIAGLRLLNNLPLPDYVHPQL
+RRVMPALMEILQSDYILAQVQAVRLLSYLAQKNDLLYDILNCQVHSNFLNLFQPTQSGSL
+LYEVLVFAERLSEGRNAPHYHVVKWHYNEQSLHESLFGEE
+>Q9NVT9_PF00514_28 <unknown description>
+PLNRRAIVQDQGCLPGLILFMDHPNPPVVHSALLALRYLA
+>Q5W041_PF00514_144 <unknown description>
+VQIFEHGGLEPLIRLLSSPDPDVKKNSMECIYNL
+>Q5W041_PF13646_277 <unknown description>
+KKLLSFAENSTIPDIQKNAAKAITKAAYDPENRKLFHEQEVEKCLVALLGSENDGTKIAA
+SQAISAMCENSGSKDFFNNQGIPQLIQLLKSDNEEVREAAALALA
+>Q5W041_PF00514_387 <unknown description>
+NPANANAAAEADGIDPLINLLSSKRDGAIANAATVLTNMA
+>Q5W041_PF00514_471 <unknown description>
+EARTELRNSGGLEPLVELLRSKNDEVRKHASWA
+>Q5W041_PF14381_720 <unknown description>
+DPDFSMYVYEVTKSILPITNIKEQIEDLAKYVAEKMGGKIPKEKLPDFSWELHISELKFQ
+LKSNVIPIGHVKKGIFYHRALLFKALADRIGIGCSLVRGEYGRAWNEVMLQNDSRKGVIG
+GLPAPEMYVIDLMFHPGGL
+>Q6NXE6_PF00514_210 <unknown description>
+EQNRQDLVKAGVLPLLTGAITHHGHHTDVVREACWALRVM
+>Q9H6L4_PF00514_89 <unknown description>
+ANKEHILHAGGVPLIINCLSSPNEETVLSAITTL
+>Q8IUR7_PF00514_50 <unknown description>
+NNKQKANLIVLGAVPRLLYLLQQETSSTELKTECAVVLGSLA
+>Q6PIY5_PF17741_1 <unknown description>
+MTSIKEQAAISRLLSFLQEWDNAGKVARSHILDKFIETNQGKTAPELEQEFSQGASLFLV
+RLTTSLRITYMTDSCLEKLLRSIGIFLSAVSSNRYLIEFLEVGGVLTLLEILGLEKIKEE
+AKKESVKLLQVIANSGRTYKELICESYGVRSIAEFLAKSKSEETQEEVQVLLDSLVHGNP
+KYQNQVYKGLIALLPCESPKAQQLSLQTLRTAQPIIGTTHPSIVDCVLKVLGTMHLEVQY
+EAIELIKDLVGYDVRQALLKGLVALLIP
+>H3BNL8_PF17822_1 <unknown description>
+MANSRFSCTQIWVKMYGYFAGLCRRLQKFWRVTVKGFFVKKKEKKIPSAETYFHEEKIVV
+LGQVLMNESLPIEKRAQAAQKIGLLAFTGGPPAGNFAAEYMEEVAHLLQDEELAPKIKIL
+LLQSVACWCYLNPVSQKRAKSLQFIPILISFFEGRFESTIKSETNSYLLLKFWTCYVLSV
+MTCNNLSCVKELKDHSALKYHLQMLAAENWSGWTENFAEVLYFLIGFHR
+>Q5T2E6_PF08427_441 <unknown description>
+RPLVCAVLDLMVEFIVTHMMKEFPMDLYIRCIQVVHKLLCYQKKCRVRLHYTWRELWSAL
+INLLKFLMSNETVLLAKHNIFTLALMIVNLFNMFITYGDTFLPTPSSYDELYYEIIRMHQ
+SFDNLYSMVLRLSTNAGQWKEAASKVTHALVNIRAIINHFNPKIESYAAVNHISQLSEEQ
+VLEVVRANYDTLTLKLQDGLDQYERYSEQHKEAAFFKELVRSISTNVR
+>Q86TY3_PF15767_28 <unknown description>
+FPKIERRREIAHVHAEKGQSDKMNTDDLENSSVTSKQTPQLVVSEDPMMMSAVPSATSLN
+KAFSINKETQPGQAGLMQTERPGVSTPTESGVPSAEEVFGSSQPERISPESGLAKAMLTI
+AITATPSLTVDEKEELLTSTNFQPIVEEITETTKGFLKYMDNQSFATESQEGVGLGHSPS
+SYVNTKEMLTTNPKTEKFEADTDHRTTSFPGAESTAGSEPGSLTPDKEKPSQMTADNTQA
+AATKQPLETSEYTLSVEPETDSLLGAPEVTVSVSTAVPAASALSDEWDDTKLESVSRIRT
+PKLGDNEETQVRTEMSQTAQVSHEGMEGGQPWTEAAQVALGLPEGETHTGTALLIAHGNE
+RSPAFTDQSSFTPTSLMEDMKVSIVNLLQSTGDFTESTKENDALFFLETTVSVSVYESEA
+DQLLGNTMKDIITQEMTTAVQEPDATLSMVTQEQVATLELIRDSGKTEEEKEDPSPVSDV
+PGVTQLSRRWEPLATTISTTVVPLSFEVTPTVEEQMDTVTGPNEEFTPVLGSPVTPPGIM
+VGEPSISPALPALEASSERRTVVPSITRVNTAASYGLDQLESE
+>Q9H993_PF01937_20 <unknown description>
+TIKDRIPQILTKVIDTLHRHKSEFFEKHGEEGVEAEKKAISLLSKLRNELQTDKPFIPLV
+EKFVDTDIWNQYLEYQQSLLNESDGKSRWFYSPWLLVECYMYRRIHEAIIQSPPIDYFDV
+FKESKEQNFYGSQESIIALCTHLQQLIRTIEDLDENQLKDEFFKLLQISLWGNKCDLSLS
+GGESSSQNTNVLNSLEDLKPFILLNDMEHLWSLLSNCKKTREKASATRVYIVLDNSGFEL
+VTDLILADFLLSSELATEVHFYGKTIPWFVSDTTIHDFNWLIEQVKHSNHKWMSKCGADW
+EEYIKMGKWVYHNHIFWTLPHEYCAMPQVAPDLYAELQKAHLILFKGDLNYRKLTGDRKW
+EFSVPFHQALNGFHPAPLCTIRTLKAEIQVGLQPGQGEQL
+>Q9P291_PF04826_202 <unknown description>
+LSAPDLQKVLNILERTNDPFIQEVALVTLGNNAAYSFNQNAIRELGGVPIIAKLIKTKDP
+IIREKTYNALNNLSVNAENQGKIKTYISQVCDDTMVCRLDSAVQMAGLRLLTNMTVTNHY
+QHLLSYSFPDFFALLFLGNHFTKIQIMKLIINFTENPAMTRELVSCKVPSELISLFNKEW
+DREILLNILTLFENINDNIKNEGLASSRKEFSRSSLFFLFKES
+>Q7L311_PF04826_383 <unknown description>
+LGVRDLRKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDP
+HIKEKALMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDY
+QHLLVNSIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLSTQVPASFSSLYNSYV
+ESEILINALTLFEIIYDNLRAEVFNYREFNKGSLFYLCTTS
+>Q9UH62_PF04826_118 <unknown description>
+LSPQELQKVLCLVEMSEKPYILEAALIALGNNAAYAFNRDIIRDLGGLPIVAKILNTRDP
+IVKEKALIVLNNLSVNAENQRRLKVYMNQVCDDTITSRLNSSVQLAGLRLLTNMTVTNEY
+QHMLANSISDFFRLFSAGNEETKLQVLKLLLNLAENPAMTRELLRAQVPSSLGSLFNKKE
+NKEVILKLLVIFENINDNFKWEENEPTQNQFGEGSLFFFLKEF
+>Q5H9R4_PF04826_2039 <unknown description>
+DPRDLEKLICMIEMTEDPSVHEIANNALYNSADYSYSHEVVRNVGGISVIESLLNNPYPS
+VRQKALNALNNISVAAENHRKVKTYLNQVCEDTVTYPLNSNVQLAGLRLIRHLTITSEYQ
+HMVTNYISEFLRLLTVGSGETKDHVLGMLLNFSKNPSMTKDLLIANAPTSLINIFSKKET
+KENILNALSLFENINYHFKRRAKAFTQDKFSKNSLYFLFQR
+>Q6P1M9_PF04826_307 <unknown description>
+LEPKEFDKLVALLKLTKDPFIHEIATMIMGISPAYPFTQDIIHDVGITVMIENLVNNPNV
+KEHPGALSMVDDSSESSEEPKSGESYIHQVCKGIISCPLNSPVQLAGLKLLGHLSIKFED
+HYVITSYIPDFLTLLNKGSVKTKFYVLKVFSCLSKNHANTRELISAKVLSSLVAPFNKNE
+SKANILNIIEIFENINFQFKTKAKLFTKEKFTKSELISIFQEAK
+>Q7L4S7_PF04826_123 <unknown description>
+CVLDLSKCLFIQGKLLFAEPKDAGFPFSQDINSHLASLSMARNTSPTPDPTVREALCAPD
+NLNASIESQGQIKMYINEVCRETVSRCCNSFLQQAGLNLLISMTVINNMLAKSASDLKFP
+LISEGSGCAKVQVLKPLMGLSEKPVLAGELVGAQMLFSFMSLFIRNGNREILLE
+>Q9HBZ2_PF00010_65 <unknown description>
+RENHSEIERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSM
+>Q9HBZ2_PF00989_140 <unknown description>
+HLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFGSTLYEQVHPDDVEKLREQL
+CTSENSMTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRC
+>Q9HBZ2_PF14598_336 <unknown description>
+EFLSRHNSDGIITFVDPRCISVIGYQPQDLLGKDILEFCHPEDQSHLRESFQQVVKLKGQ
+VLSVMYRFRTKNREWMLIRTSSFTFQNPYSDEIEYIICTNT
+>P27540_PF00010_91 <unknown description>
+RENHSEIERRRRNKMTAYITELSDMVPTCSALARKPDKLTILRMAVSHMKSLR
+>P27540_PF00989_166 <unknown description>
+HLILEAADGFLFIVSCETGRVVYVSDSVTPVLNQPQSEWFGSTLYDQVHPDDVDKLREQL
+STSENALTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRC
+>P27540_PF14598_362 <unknown description>
+EFISRHNIEGIFTFVDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKGQ
+VLSVMFRFRSKNQEWLWMRTSSFTFQNPYSDEIEYIICTNTNV
+>Q86WX3_PF15684_34 <unknown description>
+KRPRKTKAIQAQKLRNSAKGKVPKSALDEYRKRECRDHLRVNLKFLTRTRSTVAESVSQQ
+ILRQNRGRKACDRPVAKTKKKKAEGTVFTEEDFQKFQQEYF
+>Q9NZ32_PF00022_12 <unknown description>
+EKTAVVIDLGEAFTKCGFAGETGPRCIIPSVIKR
+>Q9NZ32_PF00022_69 <unknown description>
+KEFIHILYFRHLLVNPRDRRVVIIESVLCPSHFRETLTRVLFKYFEVPSVLLAPSHLMAL
+LTLGINSAMVLDCGYRESLVLPIYEGIPVLNCWGALPLGGKALHKELETQLLEQCTVDTS
+VAKEQSLPSVMGSVPEGVLEDIKARTCFVSDLKRGLKIQAAKFNIDGNNERPSPPPNVDY
+PLDGEKILHILGSIRDSVVEILFEQDNEEQSVATLILDSLIQCPIDTRKQLAENLVVIGG
+TSMLPGFLHRLLAEIRYLVEKPKYKKALGTKTFRIHTPPAKANCVAWLGGAIFGALQDIL
+GSRSVSKEYYNQTGR
+>P56211_PF04667_26 <unknown description>
+KAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQLPTAAPDKT
+EVTGDHIPTPQDLPQR
+>P61160_PF00022_6 <unknown description>
+RKVVVCDNGTGFVKCGYAGSNFPEHIFPALVGRPIIRSTTKVGNIEIKDLMVGDEASELR
+SMLEVNYPMENGIVRNWDDMKHLWDYTFGPEKLNIDTRNCKILLTEPPMNPTKNREKIVE
+VMFETYQFSGVYVAIQAVLTLYAQGLLTGVVVDSGDGVTHICPVYEGFSLPHLTRRLDIA
+GRDITRYLIKLLLLRGYAFNHSADFETVRMIKEKLCYVGYNIEQEQKLALETTVLVESYT
+LPDGRIIKVGGERFEAPEALFQPHLINVEGVGVAELLFNTIQAADIDTRSEFYKHIVLSG
+GSTMYPGLPSRLERELKQLYLERVLKGDVEKLSKFKIRIEDPPRRKHMVFLGGAVLADIM
+KDKDNFWMTRQEYQEKGVRVLEK
+>Q9P1U1_PF00022_7 <unknown description>
+PCVVDCGTGYTKLGYAGNTEPQFIIPSCIAIRESAKVVDQAQRRVLRGVDDLDFFIGDEA
+IDKPTYATKWPIRHGIIEDWDLMERFMEQVVFKYLRAEPEDHYFLMTEPPLNTPENREYL
+AEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGIVIDSGDGVTHVIPVAEGYVI
+GSCIKHIPIAGRDITYFIQQLLREREVGIPPEQSLETAKAIKEKYCYICPDIVKEFAKYD
+VDPRKWIKQYTGINAINQKKFVIDVGYERFLGPEIFFHPEFANPDFMESISDVVDEVIQN
+CPIDVRRPLYKNVVLSGGSTMFRDFGRRLQRDLKRVVDARLRLSEELSGGRIKPKPVEVQ
+VVTHHMQRYAVWFGGSMLASTPEFFQVCHTKKDYEEYGPSICR
+>Q9C0K3_PF00022_1 <unknown description>
+MFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGIVIDSGDGVTHVIPVAEGYVIGSC
+IKHIPIAGRDITYFIQQLLREREVGIPPEQSLETAKAIKEKYCYICPDIVKEFAKYDVDP
+QKWIKQYTGINAINQKKFVIDVGYERFLGPEIFFHPEFANPDSMESISDVVDEVIQNCPI
+DVRRPLYK
+>P61158_PF00022_5 <unknown description>
+LPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDFFIGD
+EAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTPENRE
+YTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPVAEGY
+VIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYVCPDLVKEFNK
+YDTDGSKWIKQYTGINAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQPISEVVDEVI
+QNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELSGGRLKPKPID
+VQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICR
+>Q9BPX5_PF04699_9 <unknown description>
+RFRRVDIDEFDENKFVDEQEEAAAAAAEPGPDPSEVDGLLRQGDMLRAFHAALRNSPVNT
+KNQAVKERAQGVVLKVLTNFKSSEIEQAVQSLDRNGVDLLMKYIYKGFEKPTENSSAVLL
+QWHEKALAVGGLGSIIRVLTARKTV
+>Q9H9F9_PF00022_33 <unknown description>
+LVLDNGSFQVRAGWACPGQDPGPEPRLQFRAVCARGRGGARGASGPQVGNALGSLEPLRW
+MLRSPFDRNVPVNLELQELLLDYSFQHLGVSSQGCVDHPIVLTEAVCNPLYSRQMMSELL
+FECYGIPKVAYGIDSLFSFYHNKPKNSMCSGLIISSGYQCTHVLPILEGRLDAKNCKRIN
+LGGSQAAGYLQRLLQLKYPGHLAAITLSRMEEILHEHSYIAEDYVEELHKWRCPDYYENN
+VHKMQLPFSSKLLGSTLTSEEKQERRQQQLRRLQELNARRREEKLQLDQERLDRLLYVQE
+LLEDGQMDQFHKALIELNMDSPEELQSYIQKLSIAVEQAKQKILQAEVNLEVDVVDSKPE
+TPDLEQLEPSLEDVESMNDFDPLFSEETPGVEKPVTTVQPVFNLAAYHQLFVGTERIRAP
+EIIFQPSLIGEEQAGIAETLQYILDRYPKDIQEMLVQNVFLTGGNTMYPGMKARMEKELL
+EMRPFRSSFQVQLASNPVLDAWYGARDWALNHLDDNEVWITRKEYEEKGGEYLKEHC
+>Q9GZN1_PF00022_2 <unknown description>
+TTLVLDNGAYNAKIGYSHENVSVIPNCQFRSKTARLKTFTANQIDEIKDPSGLFYILPFQ
+KGYLVNWDVQRQVWDYLFGKEMYQVDFLDTNIIITEPYFNFTSIQESMNEILFEEYQFQA
+VLRVNAGALSAHRYFRDNPSELCCIIVDSGYSFTHIVPYCRSKKKKEAIIRINVGGKLLT
+NHLKEIISYRQLHVMDETHVINQVKEDVCYVSQDFYRDMDIAKLKGEENTVMIDYVLPDF
+STIKKGFCKPREEMVLSGKYKSGEQILRLANERFAVPEILFNPSDIGIQEMGIPEAIVYS
+IQNLPEEMQPHFFKNIVLTGGNSLFPGFRDRVYSEVRCLTPTDYDVSVVLPENPITYAWE
+GGKLISENDDFEDMVVTREDYEENGHSVCEEKF
+>Q9H981_PF00022_48 <unknown description>
+IIVIHPGSTTLRIGRATDTLPASIPHVIARRHKQQGQPLYKDSWLLREGLNKPESNEQRQ
+NGLKMVDQAIWSKKMSNGTRRIPVSPEQARSYNKQMRPAILDHCSGNKWTNTSHHPEYLV
+GEEALYVNPLDCYNIHWPIRRGQLNIHPGPGGSLTAVLADIEVIWSHAIQKYLEIPLKDL
+KYYRCILLIPDIYNKQHVKELVNMILMKMGFSGIVVHQESVCATYGSGLSSTCIVDVGDQ
+KTSVCCVEDGVSHRNTRLCLAYGGSDVSRCFYWLMQRAGFPYRECQLTNKMDCLLLQHLK
+ETFCHLDQDISGLQDHEFQIRHPDSPALLYQFRLGDEKLQAPMALFYPATFGIVGQKMTT
+LQHRSQGDPEDPHDEHYLLATQSKQEQSAKATADRKSASKPIGFEGDLRGQSSDLPERLH
+SQEVDLGSAQGDGLMAGNDSEEALTALMSRKTAISLFEGKALGLDKAILHSIDCCSSDDT
+KKKMYSSILVVGGGLMFHKAQEFLQHRILNKMPPSFRRIIENVDVITRPKDMDPRLIAWK
+GGAVLACLDTTQELWIYQREWQRFGVRMLRER
+>O15144_PF04045_57 <unknown description>
+VSISLKFYKELQAHGADELLKRVYGSFLVNPESGYNVSLLYDLENLPASKDSIVHQAGML
+KRNCFASVFEKYFQFQEEGKEGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVV
+IGKVFMQEFKEGRRASHTAPQVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNASA
+RDNTINLIHTFRDYLHYHIKCSKAYIHTRMRAKTSDFLKVLNRARPD
+>O15145_PF04062_1 <unknown description>
+MPAYHSSLMDPDTKLIGNMALLPIRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEI
+KNEADRTLIYITLYISECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKP
+ANKQEDEVMRAYLQQLRQETGLRLCEKVFDPQNDKPSKWWTCFVKRQFMNKSL
+>P59998_PF05856_1 <unknown description>
+MTATLRPYLSAVRATLQAALCLENFSSQVVERHNKPEVEVRSSKELLLQPVTISRNEKEK
+VLIEGSINSVRVSIAVKQADEIEKILCHKFMRFMMMRAENFFILRRKPVEGYDISFLITN
+FHTEQMYKHKLVDFVIHFMEEIDKEISEMKLSVNARARIVAEEFLK
+>O15511_PF04699_10 <unknown description>
+RFRKVDVDEYDENKFVDEEDGGDGQAGPDEGEVDSCLRQGNMTAALQAALKNPPINTKSQ
+AVKDRAGSIVLKVLISFKANDIEKAVQSLDKNGVDLLMKYIYKGFESPSDNSSAMLLQWH
+EKALAAGGVGSIVRVLTARKTV
+>Q7Z6K5_PF10574_1 <unknown description>
+MSRIYHDGALRNKAVQSVRLPGAWDPAAHQGGNGVLLEGELIDVSRHSILDTHGRKERYY
+VLYIRPSHIHRRKFDAKGNEIEPNFSATRKVNTGFLMSSYKVEAKGDTDRLTPEALKGLV
+NKPELLALTESLTPDHTVAFWMPESEMEVMELELGAGVRLKTRGDGPFLDSLAKLEAGTV
+TKCNFTGDGKTGASWTDNIMAQKCSKGAAAEIREQGDGAEDEEW
+>P49407_PF00339_19 <unknown description>
+TVYLGKRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCAFRYGREDLDVLGLTFRKD
+LFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHAYPFTFEIPPNLPCSVTLQPGPEDTGK
+ACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYA
+>P49407_PF02752_194 <unknown description>
+DKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYADICLFNTAQYKCPV
+AMEEADDTVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNLASSTLLREGANRE
+ILGIIVSYKVKVKLVVSRGGLLGDLASSDVAVELPFTLMHPK
+>P32121_PF00339_20 <unknown description>
+TVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTCAFRYGREDLDVLGLSFRKD
+LFIATYQAFPPVPNPPRPPTRLQDRLLRKLGQHAHPFFFTIPQNLPCSVTLQPGPEDTGK
+ACGVDFEIRAFCAKSLEEKSHKRNSVRLVIRKVQF
+>P32121_PF02752_195 <unknown description>
+DRSLHLEASLDKELYYHGEPLNVNVHVTNNSTKTVKKIKVSVRQYADICLFSTAQYKCPV
+AQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGKLKHEDTNLASSTIVKEGANKE
+VLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPK
+>P36575_PF00339_15 <unknown description>
+SIYLGKRDFVDHVDTVEPIDGVVLVDPEYLKCRKLFVMLTCAFRYGRDDLEVIGLTFRKD
+LYVQTLQVVPAESSSPQGPLTVLQERLLHKLGDNAYPFTLQMVTNLPCSVTLQPGPEDAG
+KPCGIDFEVKSFCAENPEETVSKRDYVRLVVRKVQF
+>P36575_PF02752_192 <unknown description>
+QPLQLQAWMDREVHYHGEPISVNVSINNCTNKVIKKIKISVDQITDVVLYSLDKYTKTVF
+IQEFTETVAANSSFSQSFAVTPILAASCQKRGLALDGKLKHEDTNLASSTIIRPGMDKEL
+LGILVSYKVRVNLMVSCGGILGDLTASDVGVELPLVLIHPK
+>Q8N5I2_PF00339_7 <unknown description>
+FEISLSHGRVVYSPGEPLAGTVRVRLGAPLPFRAIRVTCIGSCGVSNKANDTAWVVEEGY
+FNSSLSLADKGSLPAGEHSFPFQFLLPATAPTSFEGPFGKIVHQVRAAIHTPRFSKDHKC
+SLVFYILSPLNLN
+>Q8N5I2_PF02752_163 <unknown description>
+TGSVVLTASTDLRGYVVGQALQLHADVENQSGKDTSPVVASLLQKVSYKAKRWIHDVRTI
+AEVEGAGVKAWRRAQWHEQILVPALPQSALPGCSLIHIDYYLQVSLKAPEATVTLPVFIG
+NI
+>Q8TBH0_PF00339_20 <unknown description>
+VEPVFSGGQAVAGRVLLELSSAARVGALRLRARGRAHVHWTESRSAGSSTAYTQSYSERV
+EVVSHRATLLAPDTGETTTLPPGRHEFLFSFQLPPTLVTSFEGKHGSVRYCIKATLHRPW
+VPARRARKVFTVIEPVDIN
+>Q8TBH0_PF02752_181 <unknown description>
+RGLVSLSAKIDRKGYTPGEVIPVFAEIDNGSTRPVLPRAAVVQTQTFMARGARKQKRAVV
+ASLAGEPVGPGQRALWQGRALRIPPVGPSILHCRVLHVDYALKVCVDIPGTSKLLLELPL
+VIGTIP
+>Q96B67_PF00339_18 <unknown description>
+DSNVPVYSSGDTVSGRVNLEVTGEIRVKSLKIHARGHAKVRWTESRNAGSNTAYTQNYTE
+EVEYFNHKDILIGHERDDDNSEEGFHTIHSGRHEYAFSFELPQTPLATSFEGRHGSVRYW
+VKAELHRPWLLPVKLKKEFTVFEHIDIN
+>Q96B67_PF02752_188 <unknown description>
+SGPISLSAKIERKGYTPGESIQIFAEIENCSSRMVVPKAAIYQTQAFYAKGKMKEVKQLV
+ANLRGESLSSGKTETWNGKLLKIPPVSPSILDCSIIRVEYSLMVYVDIPGAMDLFLNLPL
+VIGTIP
+>Q8NCT1_PF00339_24 <unknown description>
+FEDERKGCYSSGETVAGHVLLEASEPVALRALRLEAQGRATAAWGPSTCPRASASTAALA
+VFSEVEYLNVRLSLREPPAGEGIILLQPGKHEFPFRFQLPSEPLVTSFTGKYGSIQYCVR
+AVLERPKVPDQSVKRELQVVSHVDVN
+>Q8NCT1_PF02752_192 <unknown description>
+SGPVSLSAKIERKGYCNGEAIPIYAEIENCSSRLIVPKAAIFQTQTYLASGKTKTIRHMV
+ANVRGNHIASGSTDTWNGKTLKIPPVTPSILDCCIIRVDYSLAVYIHIPGAKKLMLELPL
+VIGTIP
+>P10523_PF00339_26 <unknown description>
+VTIYLGNRDYIDHVSQVQPVDGVVLVDPDLVKGKKVYVTLTCAFRYGQEDIDVIGLTFRR
+DLYFSRVQVYPPVGAASTPTKLQESLLKKLGSNTYPFLLTFPDYLPCSVMLQPAPQDSGK
+SCGVDFEVKAFATDSTDAEEDKIPKKSSVRLLIRKVQH
+>P10523_PF02752_204 <unknown description>
+DKPLHLAVSLNKEIYFHGEPIPVTVTVTNNTEKTVKKIKAFVEQVANVVLYSSDYYVKPV
+AMEEAQEKVPPNSTLTKTLTLLPLLANNRERRGIALDGKIKHEDTNLASSTIIKEGIDRT
+VLGILVSYQIKVKLTVSGFLGELTSSEVATEVPFRLMHPQ
+>P15848_PF00884_45 <unknown description>
+PHLVFLLADDLGWNDVGFHGSRIRTPHLDALAAGGVLLDNYYTQPLCTPSRSQLLTGRYQ
+IRTGLQHQIIWPCQPSCVPLDEKLLPQLLKEAGYTTHMVGKWHLGMYRKECLPTRRGFDT
+YFGYLLGSEDYYSHERCTLIDALNVTRCALDFRDGEEVATGYKNMYSTNIFTKRAIALIT
+NHPPEKPLFLYLALQSVHEPLQVPEEYLKPYDFIQDKNRHHYAGMVSLMDEAVGNVTAAL
+KSSGLWNNTVFIFSTDNGGQTLAGGNNWPLRGRKWSLWEGGVRGVGFVASPLLKQKGVKN
+RELIHISDWLPTLVKLARG
+>P51689_PF00884_41 <unknown description>
+PNILLIMADDLGTGDLGCYGNNTLRTPNIDQLAEEGVRLTQHLAAAPLCTPSRAAFLTGR
+HSFRSGMDASNGYRALQWNAGSGGLPENETTFARILQQHGYATGLIGKWHQGVNCASRGD
+HCHHPLNHGFDYFYGMPFTLTNDCDPGRPPEVDAALRAQLWGYTQFLALGILTLAAGQTC
+GFFSVSARAVTGMAGVGCLFFISWYSSFGFVRRWNCILMRNHDVTEQPMVLEKTASLMLK
+EAVSYIERHKHGPFLLFLSLLHVHIPLVTTSAFLGKSQHGLYGDNVEEMDWLIGKVLNAI
+EDNGLKNSTFTYFTSDHGGHLEARDGHSQLGGWNGIYKGGKGMGGWEGGIRVPGIFHWPG
+VLPAGRVIGEPTSLMDVFPTVVQLVG
+>P51689_PF14707_451 <unknown description>
+SAHEFLFHYCGQHLHAARWHQKDSGSVWKVHYTTPQFHPEGAGACYGRGVCPCSGEGVTH
+HRPPLLFDLSRDPSEARPLTPDSEPLYHAVIARVGAAVSEHRQTLSPVPQQFSMSNILWK
+PWLQPCCGHFPFCSC
+>P54793_PF00884_30 <unknown description>
+PNIVLIMVDDLGIGDLGCYGNDTMRTPHIDRLAREGVRLTQHISAASLCSPSRSAFLTGR
+YPIRSGMVSSGNRRVIQNLAVPAGLPLNETTLAALLKKQGYSTGLIGKWHQGLNCDSRSD
+QCHHPYNYGFDYYYGMPFTLVDSCWPDPSRNTELAFESQLWLCVQLVAIAILTLTFGKLS
+GWVSVPWLLIFSMILFIFLLGYAWFSSHTSPLYWDCLLMRGHEITEQPMKAERAGSIMVK
+EAISFLERHSKETFLLFFSFLHVHTPLPTTDDFTGTSKHGLYGDNVEEMDSMVGKILDAI
+DDFGLRNNTLVYFTSDHGGHLEARRGHAQLGGWNGIYKGGKGMGGWEGGIRVPGIVRWPG
+KVPAGRLIKEPTSLMDILPTVASVS
+>P54793_PF14707_440 <unknown description>
+SEHEFLFHYCGSYLHAVRWIPKDDSGSVWKAHYVTPVFQPPASGGCYVTSLCRCFGEQVT
+YHNPPLLFDLSRDPSESTPLTPATEPLHDFVIKKVANALKEHQETIVPVTYQLSELNQGR
+TWLKPCCGVFPFCLC
+>Q96EG1_PF00884_36 <unknown description>
+PNFVIILADDMGWGDLGANWAETKDTANLDKMASEGMRFVDFHAAASTCSPSRASLLTGR
+LGLRNGVTRNFAVTSVGGLPLNETTLAEVLQQAGYVTGIIGKWHLGHHGSYHPNFRGFDY
+YFGIPYSHDMGCTDTPGYNHPPCPACPQGDGPSRNLQRDCYTDVALPLYENLNIVEQPVN
+LSSLAQKYAEKATQFIQRASTSGRPFLLYVALAHMHVPLPVTQLPAAPRGRSLYGAGLWE
+MDSLVGQIKDKVDHTVKENTFLWFTGDNGPWAQKCELAGSVGPFTGFWQTRQGGSPAKQT
+TWEGGHRVPALAYWPGRVPVNVTSTALLSVLDIFPTVVALAQ
+>Q96EG1_PF14707_402 <unknown description>
+GHRVLFHPNSGAAGEFGALQTVRLERYKAFYITGGARACDGSTGPELQHKFPLIFNLEDD
+TAEAVPLERGGAEYQAVLPEVRKVLADVLQDIANDNISSADYTQDPSVTPCCNPYQIACR
+C
+>Q5FYA8_PF00884_7 <unknown description>
+PNIVLLMADDLGVGDLCCYGNNSVSTPNIDRLASEGVRLTQHLAAASMCTPSRAAFLTGR
+YPIRSGMVSAYNLNRAFTWLGGSGGLPTNETTFAKLLQHRGYRTGLIGKWHLGLSCASRN
+DHCYHPLNHGFHYFYGVPFGLLSDCQASKTPELHRWLRIKLWISTVALALVPFLLLIPKF
+ARWFSVPWKVIFVFALLAFLFFTSWYSSYGFTRRWNCILMRNHEIIQQPMKEEKVASLML
+KEALAFIERYKREPFLLFFSFLHVHTPLISKKKFVGRSKYGRYGDNVEEMDWMVGKILDA
+LDQERLANHTLVYFTSDNGGHLEPLDGAVQLGGWNGIYKGGKGMGGWEGGIRVPGIFRWP
+SVLEAGRVINEPTSLMDIYPTL
+>Q5FYA8_PF14707_418 <unknown description>
+SDHEFLFHYCGVYLHTVRWHQKDCATVWKAHYVTPKFYPEGTGACYGSGICSCSGDVTYH
+DPPLLFDISRDPSEALPLNPDNEPLFDSVIKKMEAAIREHRRTLTPVPQQFSVFNTIWKP
+WLQPCCGTFPFCGC
+>Q5FYB1_PF00884_47 <unknown description>
+PHIIFILTDDQGYHDVGYHGSDIETPTLDRLAAKGVKLENYYIQPICTPSRSQLLTGRYQ
+IHTGLQHSIIRPQQPNCLPLDQVTLPQKLQEAGYSTHMVGKWHLGFYRKECLPTRRGFDT
+FLGSLTGNVDYYTYDNCDGPGVCGFDLHEGENVAWGLSGQYSTMLYAQRASHILASHSPQ
+RPLFLYVAFQAVHTPLQSPREYLYRYRTMGNVARRKYAAMVTCMDEAVRNITWALKRYGF
+YNNSVIIFSSDNGGQTFSGGSNWPLRGRKGTYWEGGVRGLGFVHSPLLKRKQRTSRALMH
+ITDWYPTLVGLAG
+>Q5FYB0_PF00884_76 <unknown description>
+PHLIFILADDQGFRDVGYHGSEIKTPTLDKLAAEGVKLENYYVQPICTPSRSQFITGKYQ
+IHTGLQHSIIRPTQPNCLPLDNATLPQKLKEVGYSTHMVGKWHLGFYRKECMPTRRGFDT
+FFGSLLGSGDYYTHYKCDSPGMCGYDLYENDNAAWDYDNGIYSTQMYTQRVQQILASHNP
+TKPIFLYIAYQAVHSPLQAPGRYFEHYRSIININRRRYAAMLSCLDEAINNVTLALKTYG
+FYNNSIIIYSSDNGGQPTAGGSNWPLRGSKGTYWEGGIRAVGFVHSPLLKNKGTVCKELV
+HITDWYPTLISLA
+>Q6UWY0_PF00884_32 <unknown description>
+PNVVLVVSDSFDGRLTFHPGSQVVKLPFINFMKTRGTSFLNAYTNSPICCPSRAAMWSGL
+FTHLTESWNNFKGLDPNYTTWMDVMERHGYRTQKFGKLDYTSGHHSISNRVEAWTRDVAF
+LLRQEGRPMVNLIRNRTKVRVMERDWQNTDKAVNWLRKEAINYTEPFVIYLGLNLPHPYP
+SPSSGENFGSSTFHTSLYWLEKVSHDAIKIPKWSPLSEMHPVDYYSSYTKNCTGRFTKKE
+IKNIRAFYYAMCAETDAMLGEIILALHQLDLLQKTIVIYSSDHGELAMEHRQFYKMSMYE
+ASAHVPLLMMGPGIKAGLQVSNVVSLVDIYPTMLDIAGI
+>P51690_PF00884_38 <unknown description>
+PNILLLMADDLGIGDIGCYGNNTMRTPNIDRLAEDGVKLTQHISAASLCTPSRAAFLTGR
+YPVRSGMVSSIGYRVLQWTGASGGLPTNETTFAKILKEKGYATGLIGKWHLGLNCESASD
+HCHHPLHHGFDHFYGMPFSLMGDCARWELSEKRVNLEQKLNFLFQVLALVALTLVAGKLT
+HLIPVSWMPVIWSALSAVLLLASSYFVGALIVHADCFLMRNHTITEQPMCFQRTTPLILQ
+EVASFLKRNKHGPFLLFVSFLHVHIPLITMENFLGKSLHGLYGDNVEEMDWMVGRILDTL
+DVEGLSNSTLIYFTSDHGGSLENQLGNTQYGGWNGIYKGGKGMGGWEGGIRVPGIFRWPG
+VLPAGRVIGEPTSLMDVFPTVVRLAG
+>P51690_PF14707_448 <unknown description>
+SDHEFLMHYCERFLHAARWHQRDRGTMWKVHFVTPVFQPEGAGACYGRKVCPCFGEKVVH
+HDPPLLFDLSRDPSETHILTPASEPVFYQVMERVQQAVWEHQRTLSPVPLQLDRLGNIWR
+PWLQPCCGPFPLCWC
+>Q5T4W7_PF00019_123 <unknown description>
+CRLRSQLVPVRALGLGHRSDELVRFRFCSGSCRRARSPHDLSLASLLGAGALRPPPGSRP
+VSQPCCRPTRYEAVSFMDVNSTWRTVDRLSATACGC
+>Q9H2C2_PF04161_33 <unknown description>
+RCIECNQEAKELYRDYNHGVLKITICKSCQKPVDKYIEYDPVIILINAILCKAQAYRHIL
+FNTQINIHGKLCIFCLLCEAYLRWWQLQDSNQNTAPDDLIRYAKEWDFYRMFAIAALEQT
+AYFIGIFTFLWVERPMTAKKKPNFILLLKALLLSSYGKLLLIPAVIWEHDYTSVCLKLIK
+VFVLTSNFQAI
+>O00192_PF00514_391 <unknown description>
+GVKRRVRQLRGLPLLVALLDHPRAEVRRRACGALRNLS
+>O00192_PF00514_433 <unknown description>
+TDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSS
+>O00192_PF00514_658 <unknown description>
+LYQPEVVRLYLSLLTESRNFNTLEAAAGALQNLSA
+>O00192_PF00514_702 <unknown description>
+RATVRKERGLPVLVELLQSETDKVVRAVAIALRNLS
+>Q96QS3_PF00046_329 <unknown description>
+RRYRTTFTSYQLEELERAFQKTHYPDVFTREELAMRLDLTEARVQVWFQNRRAKWRK
+>Q96QS3_PF03826_526 <unknown description>
+DRRASSIAALRLKAKEHAA
+>P18440_PF00797_20 <unknown description>
+DLETLTDILQHQIRAVPFENLNIHCGDAMDLGLEAIFDQVVRRNRGGWCLQVNHLLYWAL
+TTIGFETTMLGGYVYSTPAKKYSTGMIHLLLQVTIDGRNYIVDAGFGRSYQMWQPLELIS
+GKDQPQVPCVFRLTEENGFWYLDQIRREQYIPNEEFLHSDLLEDSKYRKIYSFTLKPRTI
+EDFESMNTYLQTSPSSVFTSKSFCSLQTPDGVHCLVGFTLTHRRFNYKDNTDLIEFKTLS
+EEEIEKVLKNIFNISLQRKLV
+>P11245_PF00797_20 <unknown description>
+DLETLTDILEHQIRAVPFENLNMHCGQAMELGLEAIFDHIVRRNRGGWCLQVNQLLYWAL
+TTIGFQTTMLGGYFYIPPVNKYSTGMVHLLLQVTIDGRNYIVDAGSGSSSQMWQPLELIS
+GKDQPQVPCIFCLTEERGIWYLDQIRREQYITNKEFLNSHLLPKKKHQKIYLFTLEPRTI
+EDFESMNTYLQTSPTSSFITTSFCSLQTPEGVYCLVGFILTYRKFNYKDNTDLVEFKTLT
+EEEVEEVLRNIFKISLGRNLV
+>Q9HBK9_PF13847_70 <unknown description>
+ENCWILDLGSGSGRDCYVLSQLVGEKGHVTGIDMTKGQVEVAEKYLDYHMEKYGFQASNV
+TFIHGYIEKLGEAGIKNESHDIVVSNCVINLVPDKQQVLQEAYRVLKHGGELYFSDVYTS
+LELPEEIRTHKVLWGECLGGALYWKE
+>P0C7U1_PF17048_4 <unknown description>
+NLSRGPEPPFFKQLIVPLIPSIVDRAPKGRTFGDVLQPAKPEYRVGEVAEVIFVGANPKN
+SVQNQTHQTFLTVEKYEATSTSWQIVCNDASWETRFYWHKGLLGLSNATVEWHIPDTAQP
+GIYRIRYFGHNRKQDILKPAVILSFEGTSPAFEV
+>Q13510_PF15508_45 <unknown description>
+GAVPWYTINLDLPPYKRWHELMLDKAPVLKVIVNSLKNMINTFVPSGKIMQVVDEKLPGL
+LG
+>Q13510_PF02275_143 <unknown description>
+CTSIVAEDKKGHLIHGRNMDFGVFLGWNINNDTWVITEQLKPLTVNLDFQRNNKTVFKAS
+SFAGYVGMLTGFKPGLFSLTLNERFSINGGYLGILEWILGKKDVMWIGFLTRTVLENSTS
+YEEAKNLLTKTKILAPAYFILGGNQSGEGCVITRDRKESLDVYELDAKQGRWYVVQTNYD
+RWKHPFFLDDRRTPAKMCLNRTSQENISFETMYDVLSTKPVLNKLTVYTTLIDVTKGQFE
+TY
+>Q9NR71_PF04734_102 <unknown description>
+YHIGVGRADCTGQVADINLMGYGKSGQNAQGILTRLYSRAFIMAEPDGSNRTVFVSIDIG
+MVSQRLRLEVLNRLQSKYGSLYRRDNVILSGTHTHSGPAGYFQYTVFVIASEGFSNQTFQ
+HMVTGILKSIDIAHTNMKPGKIFINKGNVDGVQINRSPYSYLQNPQSERARYSSNTDKEM
+IVLKMVDLNGDDLGLISWFAIHPVSMNNSNHLVNSDNVGYASYLLEQEKNKGYLPGQGPF
+VAAFASSNLGDVSPNILGPRCINTGESCDNANSTCPIGGPSMCIAKGPGQDMFDSTQIIG
+RAMYQRAKELYASASQEVTGPLASAHQWVDMTDVTVWLNSTHASKTCKPALGYSFAAGTI
+DGVGGLNFTQGKTEGDPFWDTIRDQILGKPSEEIKECHKPKPILLHTGELSKPHPWHPDI
+VDVQIITLGSLAITAIPGEFTTMSGRRLREAVQAEFASHGMQNMTVVISGLCNVYTHYIT
+TYEEYQAQRYEAASTIYGPHTLSAYIQ
+>Q9NR71_PF17048_610 <unknown description>
+FRNLAKAIATDTVANLSRGPEPPFFKQLIVPLIPSIVDRAPKGRTFGDVLQPAKPEYRVG
+EVAEVIFVGANPKNSVQNQTHQTFLTVEKYEATSTSWQIVCNDASWETRFYWHKGLLGLS
+NATVEWHIPDTAQPGIYRIRYFGHNRKQDILKPAVILSFEGTSPAFEV
+>Q9ULH1_PF16746_54 <unknown description>
+RNTVTLLEEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPD
+LGTAFVKFSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDY
+ETKFTKIEKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKG
+VDLLQNLIKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQL
+>Q9ULH1_PF00169_326 <unknown description>
+EKKGYLLKKSDGIRKVWQRRKCSVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSF
+DLISHNRTYHFQAEDEQDYVAWISVLTN
+>Q9ULH1_PF01412_440 <unknown description>
+AIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGIHREMGVHISRIQSLELDKL
+GTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRKEYITAKYVDHRFSRK
+>Q9ULH1_PF12796_586 <unknown description>
+GVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTALGNTVLHYCS
+MYSKPECLKLLLRSKPTV
+>Q9ULH1_PF14604_1075 <unknown description>
+IYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFV
+>O43150_PF16746_32 <unknown description>
+QCRNTVAAIEEALDVDRMVLYKMKKSVKAINSSGLAHVENEEQYTQALEKFGGNCVCRDD
+PDLGSAFLKFSVFTKELTALFKNLIQNMNNIISFPLDSLLKGDLKGVKGDLKKPFDKAWK
+DYETKITKIEKEKKEHAKLHGMIRTEISGAEIAEEMEKERRFFQLQMCEYLLKVNEIKIK
+KGVDLLQNLIKYFHAQCNFFQDGLKAVESLKPSIETLSTDLHTIKQAQDEERRQ
+>O43150_PF00169_307 <unknown description>
+ERNGSLYKKSDGIRKVWQKRKCSVKNGFLTISHGTANRPPAKLNLLTCQVKTNPEEKKCF
+DLISHDRTYHFQAEDEQECQIWMSVLQNS
+>O43150_PF01412_422 <unknown description>
+EIISEVQRMTGNDVCCDCGAPDPTWLSTNLGILTCIECSGIHRELGVHYSRMQSLTLDVL
+GTSELLLAKNIGNAGFNEIMECCLPAEDSVKPNPGSDMNARKDYITAKYIERRYARK
+>O43150_PF12796_589 <unknown description>
+LHLAVRSVDRTSLHIVDFLVQNSGNLDKQTGKGSTALHYCCLTDNAECLKLLLRGKASIE
+IANESGETPLDIAKRLKHEHCEELL
+>O43150_PF14604_951 <unknown description>
+ALYNCVADNPDELTFSEGDVIIVDGEEDQEWWIGHIDGDPGRKGAFPVSFV
+>Q8TDY4_PF16746_50 <unknown description>
+AILQRIKKAVRAIHSSGLGHVENEEQYREAVESLGNSHLSQNSHELSTGFLNLAVFTREV
+AALFKNLIQNLNNIVSFPLDSLMKGQLRDGRQDSKKQLEKAWKDYEAKMAKLEKERDRAR
+VTGGIPGEVAQDMQRERRIFQLHMCEYLLKAGESQMKQGPDFLQSLIKFFHAQHNFFQDG
+WKAAQSLFPFIEKLAASVHALHQAQEDEL
+>Q8TDY4_PF00169_304 <unknown description>
+EKVGFLYKKSDGIRRVWQKRKCGVKYGCLTISHSTINRPPVKLTLLTCQVRPNPEEKKCF
+DLVTHNRTYHFQAEDEHECEAWVSVLQNS
+>Q8TDY4_PF01412_429 <unknown description>
+IAEVKSRPGNSQCCDCGAADPTWLSTNLGVLTCIQCSGVHRELGVRFSRMQSLTLDLLGP
+SELLLALNMGNTSFNEVMEAQLPSHGGPKPSAESDMGTRRDYIMAKYVEHRFAR
+>Q8TDY4_PF12796_590 <unknown description>
+LHLAVKVANQASLPLVDFIIQNGGHLDAKAADGNTALHYAALYNQPDCLKLLLKGRALVG
+TVNEAGETALDIARKKHHKECEELLEQ
+>Q8WXI3_PF12796_117 <unknown description>
+TTPLHVAASRGHTEVLRLLLRRRARPDSAPGGRTALHEACAAGHTACVHVLLVAGADPNI
+AD
+>Q8WXI3_PF12796_189 <unknown description>
+RGPGTLECAELLLRFGARVDGRSEEEEETPLHVAARLGHVELADLLLRRGACPDARNAEG
+WTPLLAACD
+>Q8WXI3_PF12796_275 <unknown description>
+QLCSLLLSAGADADAADQDKQRPLHLACRRGHAAVVELLLSCGVSANTMDYGGHTPLHCA
+LQGPAAALAQSPEHVVRALLNHG
+>Q8WXI3_PF07525_421 <unknown description>
+QPRSLQHLSRCALRSHLEGSLPQALPRLPLPPRLLRYLQ
+>Q8WXH4_PF12796_69 <unknown description>
+LHEAAAQGRLLALKTLIAQGVNVNLVTINRVSSLHEACLGGHVACAKALLENGAHVNGVT
+VHGATPLFNACCSGSAACVNVLLEFGAKA
+>Q8WXH4_PF12796_165 <unknown description>
+SPIHEAVKRGHRECMEILLANNVNIDHEVPQLGTPLYVACTYQRVDCVKKLLELGASVD
+>Q8WXH4_PF13637_230 <unknown description>
+TPLHAAARQSNVEVIHLLTDYGANLKRRNAQGKSALDLAAPKSSVEQALLL
+>Q8WXH4_PF07525_284 <unknown description>
+PPALSQLCRLCVRKCLGRACHQAIHKLHLPEPLERFLL
+>Q8WXK4_PF12796_78 <unknown description>
+RLAASYGHLSCLQVLLAHGADVDSLDVKAQTPLFTAVSHGHLDCVRVLLEAGASPGGSIY
+NNCSPVLTAARDGAVAILQELLDHGAEANVK
+>Q8WXK4_PF00023_184 <unknown description>
+PLYLAAVYGHLDCFRLLLLHGADPD
+>Q8WXK4_PF07525_276 <unknown description>
+TPRSLLSQVRLVVRRALCQAGQPQAINQLDIPPMLISYLK
+>Q8WXK3_PF12796_24 <unknown description>
+HEAAQRGESLQLQQLIESGACVNQVTVDSITPLHAASLQGQARCVQLLLAAGAQVDARNI
+DGSTPLCDACASGSIECVKLLLSYGAKVN
+>Q8WXK3_PF12796_121 <unknown description>
+LHEACMSGSSECVRLLIDVGANLEAHDCHFGTPLHVACAREHLDCVKVLLNAGANVNAAK
+LHETALHHAAKVKNVDLIEMLIEFGGNIYAR
+>Q8WXK3_PF07525_238 <unknown description>
+TPLTLSQLCRVNLRKATGVRGLEKIAKLNIPPRLIDYL
+>A6NK59_PF12796_65 <unknown description>
+LSHLTKYHSAFGEADEIGWIPLHKAAVQLNRKILEITLSASDPSLWEQTTHNGETPLFLA
+VSSCLLENATFLLLNGCNPNAKN
+>A6NK59_PF12796_156 <unknown description>
+AAVLRDCYDMAALLINYGADVNLRCANERTALHEAAKLGREDMVKLMLVSGAHPDPQSTY
+GFTPLALAAQSGHTEIMEMLLRKGANA
+>A6NK59_PF12796_254 <unknown description>
+LEAASGGNPDAVALLLEYGADANIPKNSGHLPIHVAADRGHLLALKILIPVTDLAAIKQS
+GISPVHCAAAGAHPQCLELLIQAGFDVN
+>A6NK59_PF12796_351 <unknown description>
+HYDDHRKSALYFAVSNSDLSSVKLLLSAGALPNQDPVNCLQIALRMGNYELISLLLRHGA
+NVN
+>A6NK59_PF07525_530 <unknown description>
+NPRSLKHLCRLKIRKCMGRLHLRCPVFMSFLPLPNRLKAYV
+>Q8WXK1_PF12796_50 <unknown description>
+AIKQGHIPELQEYVKYKYAMDEADEKGWFPLHEAVVQPIQQILEIVLDASYKTLWEFKTC
+DGETPLTLAVKAGLVENVRTLLEKGVWPNTKN
+>Q8WXK1_PF12796_142 <unknown description>
+DKGETPLLIAVKKGSYDMVSTLIKHNTSLDQPCVKRWSAMHEAAKQGRKDIVALLLKHGG
+NVHLRD
+>Q8WXK1_PF12796_247 <unknown description>
+LFEAAGGGNPDCISLLLEYGGSGNVPNRAGHLPIHRAAYEGHYLALKYLIPVTSKNAIRK
+SGLTPIHSAADGQNAQCLELLIENGFDVNT
+>Q8WXK1_PF12796_354 <unknown description>
+LYFGVSNNDVHCTEVLLAAGADPNLDPLNCLLVAVRANNYEIVRLLLSHGANVNCYFMHV
+NDTRFPSVIQYALNDEVMLRLLLNNGYQV
+>Q8WXK1_PF07525_533 <unknown description>
+NPCSLKHLCRLKIRRLMGLQKLCQPASVEKLPLPPAIQRYIL
+>Q96NS5_PF12796_147 <unknown description>
+LHEACARAQFDCVRLLLTFGAKANVLTEEGTTPLHLCTIPESLQCAKLLLEAGATVNLAA
+GESQETPLHVAAARGLEQHVALYLEHGADVGLR
+>Q96NS5_PF07525_407 <unknown description>
+QPRQLQHLARLAVRARLGSRCRQGATRLPLPPLLRDYLL
+>Q8WXJ9_PF07525_253 <unknown description>
+PCELLHLCRLTIRNQLLTNNMLPDGIFSLLIPARLQNYL
+>Q6ZVZ8_PF12796_124 <unknown description>
+LCIAAAHGHTACVRHLLGRGADPDASPGGRGALHEACLGGHTACVRLLLQHRADPDLLSA
+EGLAPLHLCRTAASLGCAQALLEHGASVQ
+>Q6ZVZ8_PF13606_293 <unknown description>
+ERSPLHKACGHASHSLARLLLRHGADA
+>Q6ZVZ8_PF07525_420 <unknown description>
+TPRCLQHLCRCALRRLFGKRCFDLIPLLPLPKPLQNYLL
+>Q9Y576_PF12796_41 <unknown description>
+LHDAAYVGDLQTLRSLLQEESYRSRINEKSVWCCGWLPCTPLRIAATAGHGSCVDFLIRK
+GAEVDLVDVKGQTALYVAVVNGHLESTQILLEAGADPN
+>Q9Y576_PF07525_296 <unknown description>
+PRTLLCLCRVAVRRALGKHRLHLIPSLPLPDPIKKFLL
+>Q96Q27_PF12796_109 <unknown description>
+LIKAIKDGDEEALKTMIKEGKNLAEPNKEGWLPLHEAAYYGQVGCLKVLQRAYPGTIDQR
+TLQEETAVYLATCRGHLDCLLSLLQAGAEPDIS
+>Q96Q27_PF12796_236 <unknown description>
+NRGWTALHESVSRNDLEVMQILVSGGAKVESKNAYGITPLFVAAQSGQLEALRFLAKYGA
+DINTQ
+>Q96Q27_PF12796_308 <unknown description>
+LYEACKNEHEEVVEFLLSQGADANKTNKDGLLPLHIASKKGNYRIVQMLLPVTSRTRIRR
+SGVSPLHLAAERNHDEVLEALLSARFDVNT
+>Q96Q27_PF07525_593 <unknown description>
+PRPLAHLCRLRVRKAIGKYRIKLLDTLPLPGRLIRYLK
+>Q9Y575_PF12796_16 <unknown description>
+LAAREGNVKVLRKLLKKGRSVDVADNRGWMPIHEAAYHNSVECLQMLINADSSENYIKMK
+TFEGFCALHLAASQGHWKIVQILLEAGADPNAT
+>Q9Y575_PF13606_114 <unknown description>
+TPLFLAVENGQIDVLRLLLQHGANVN
+>Q9Y575_PF12796_150 <unknown description>
+LHQASFQENAEIIKLLLRKGANKECQDDFGITPLFVAAQYGKLESLSILISSGANVNCQA
+LDKATPLFIAAQEGHTKCVELLLSSGADPD
+>Q9Y575_PF07525_458 <unknown description>
+TVPSLTHLCRLEIRSSLKSERLRSDSYISQLPLPRSLHNYLL
+>Q9Y574_PF12796_79 <unknown description>
+LHLSVLFGHVECLLVLLDHNATINCRPNGKTPLHVACEMANVDCVKILCDRGAKLNCYSL
+SGHTALHFCTTPSSILCAKQLVWRGANVNMK
+>Q9Y574_PF07525_380 <unknown description>
+SPRTLMHLSRCAIRRTLHNRCHRAIPLLSLPLSLKKYLL
+>Q8WWX0_PF12796_74 <unknown description>
+LHEAASQGRLLALRTLLSQGYNVNAVTLDHVTPLHEACLGDHVACARTLLEAGANVNAIT
+IDGVTPLFNACSQGSPSCAELLLEYGAKAQ
+>Q8WWX0_PF12796_173 <unknown description>
+HEAASKGHHECLDILISWGIDVDQEIPHLGTPLYVACMSQQFHCIWKLLYAGADVQKGKY
+WDTPLHAAAQQSSTEIVNLLLEFGADINAKN
+>Q8WWX0_PF07525_289 <unknown description>
+TPSSLYQLCRLCIRSYIGKPRLHLIPQLQLPTLLKNFLQ
+>Q9NWX5_PF00023_104 <unknown description>
+YTALHIAVLRNQPDMVELLVHHGADVNRRD
+>Q9NWX5_PF07525_372 <unknown description>
+PPPLKHLCRVAIRLYLQPWPVDVKVKALPLPDRLKWYLL
+>Q9H672_PF12796_20 <unknown description>
+AAVAAGDVHTVRKMLEQGYSPNGRDANGWTLLHFSAARGKERCVRVFLEHGADPTVKDLI
+GGFTALHYAAMHGRARIARLMLESE
+>Q9H672_PF12796_114 <unknown description>
+SNDGWTPLHVAAHYGRDSFVRLLLEFKAEVDPLSDKGTTPLQLAIIRERSSCVKILLDHN
+ANIDIQN
+>Q9H672_PF12796_186 <unknown description>
+YAVIKSNHSYCRMFLQRGADTNLGRLEDGQTPLHLSALRDDVLCARMLYNYGADTNTRNY
+EGQTPLAVSISISGSSRPCLDFL
+>Q9H672_PF07525_274 <unknown description>
+QPRNLQDLCRIKIRQCIGLQNLKLLDELPIAKVMKDYLK
+>Q9H765_PF12796_35 <unknown description>
+DNVEDLIRGGADVNCTHGTLKPLHCACMVSDADCVELLLEKGAEVNALDGYNRTALHYAA
+EKDEACVEVLLEYGANPNAL
+>Q9H765_PF12796_115 <unknown description>
+DGNRDTPLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYG
+AEVRV
+>Q9H765_PF07525_247 <unknown description>
+PGTLKTLARYAVRRSLGLQYLPDAVKGLPLPASLKEYLL
+>Q96DX5_PF12796_40 <unknown description>
+MHEAAIHGHQLSLRNLISQGWAVNIITADHVSPLHEACLGGHLSCVKILLKHGAQVNGVT
+ADWHTPLFNACVSGSWDCVNLLLQHGASVQ
+>Q96DX5_PF12796_138 <unknown description>
+IHEAARRGHVECVNSLIAYGGNIDHKISHLGTPLYLACENQQRACVKKLLESGADVNQGK
+GQDSPLHAVARTASEELACLLMDFGADTQAKN
+>Q96DX5_PF07525_255 <unknown description>
+PPSLMQLCRLRIRKCFGIQQHHKITKLVLPEDLKQFLL
+>Q8N9N2_PF00013_64 <unknown description>
+RAPSLLYKHIVGKRGDTRKKIEMETKTSISIPKPGQDGEIVITGQHRNGVISARTRI
+>Q8N9N2_PF10469_133 <unknown description>
+FTHFLAFFLNEVEVQEGFLRFQEEVLAKCSMDHGVDSSIFQNPKKLHLTIGMLVLLSEEE
+IQQTCEMLQQCKEEFINDISGGKPLEVEMAGIEYMNDDPGMVDVLYAKVHMKDGSNRLQE
+LVDRVLERFQASGLIVKEWNSVKLHATVMNTLFRKDPNAEGRYNLYTAEGKYIFKERESF
+DGRNILKLFENFYFGSLKLNSIHISQRFTVDSFGNYASCGQIDF
+>Q9H1I8_PF02845_469 <unknown description>
+DSLISQVKDLLPDLGEGFILACLEYYHYDPEQVINNILEE
+>Q8N3C0_PF00270_479 <unknown description>
+RIQSIVFETAYNTNENMLICAPTGAGKTNIAMLTVLHEIRQHFQQGVIKKNEFKIVYVAP
+MKALAAEMTDYFSRRLEPLGIIVKELTGDMQLSKSEILRTQMLVTTPEKWDVVTRKSVGD
+VALSQIVRLLILDEVHLLHEDRGPVLESIVARTLRQVESTQSMIRILGLSATLPN
+>Q8N3C0_PF00271_704 <unknown description>
+CYENVLKQVKAGHQVMVFVHARNATVRTAMSLIERAKNCGHIPFFFPTQGHDYVLAEKQV
+QRSRNKQVRELFPDGFSIHHAGMLRQDRNLVENLFSNGHIKVLVCTATLAWGVNLPAHAV
+IIKGTQIYAAKRGSFVDLGILDVMQIFGRAGR
+>Q8N3C0_PF02889_978 <unknown description>
+STDLGRTASHYYIKYNTIETFNELFDAHKTEGDIFAIVSKAEEFDQIKVREEEIEELDTL
+LSNFCELSTPGGVENSYGKINILLQTYISRGEMDSFSLISDSAYVAQNAARIVRALFEIA
+LRKRWPTMTYRLLNLSKVIDKRLWGWASPLRQFSILPPHILTRLEEKKLTVDKLKDMRKD
+EIGHILHHVNIGLKVKQCVHQIPSVMMEASIQPITRTVLRVTLSIYADFTWNDQVHGTVG
+EPWWIWVEDPTNDHIYHSEYFLALKKQVISKEAQLLVFTIPIFEPLPSQYYIRAVSDRWL
+GAEAVC
+>Q8N3C0_PF00270_1329 <unknown description>
+PVQTQIFHTLYHTDCNVLLGAPTGSGKTVAAELAIFRVFNKYPTSKAVYIAPLKALVRER
+MDDWKVRIEEKLGKKVIELTGDVTPDMKSIAKADLIVTTPEKWDGVSRSWQNRNYVQQVT
+ILIIDEIHLLGEERGPVLEVIVSRTNFISSHTEKPVRIVGLSTALA
+>Q8N3C0_PF00271_1607 <unknown description>
+NLKLTLAFGIGMHHAGLHERDRKTVEELFVNCKVQVLIATSTLAWGVNFPAHLVIIKGTE
+YYDGKTRRYVDFPITDVLQMMGRAGR
+>Q8N3C0_PF02889_1812 <unknown description>
+PLTYGRIASYYYLKHQTVKMFKDRLKPECSTEELLSILSDAEEYTDLPVRHNEDHMNSEL
+AKCLPIESNPHSFDSPHTKAHLLLQAHLSRAMLPCPDYDTDTKTVLDQALRVCQAMLDVA
+ANQGWLVTVLNITNLIQMVIQGRWLKDSSLLTLPNIENHHLHLFKKWKPIMKGPHARGRT
+SIESLPELIHACGGKDHVFSSMVESELHAAKTKQAWNFLSHLPVINVGISVKGSWDDLVE
+GHNELSVSTLTADKRDDNKWIKLHADQEYVLQVSLQRVHFGFHKGKPESCAVTPRFPKSK
+DEGWFLILGEVDKRELIALKRVGYIRNHHVASLSFYTPEIPGRYIYTLYFMSDCYLGLDQ
+QYDI
+>P50553_PF00010_121 <unknown description>
+ARRNERERNRVKLVNLGFATLREHVPNGAANKKMSKVETLRSAVEYIRALQ
+>Q99929_PF00010_53 <unknown description>
+ARRNERERNRVKLVNLGFQALRQHVPHGGASKKLSKVETLRSAVEYIRALQ
+>Q9NQ33_PF00010_97 <unknown description>
+KRNERERQRVKCVNEGYAQLRHHLPEEYLEKRLSKVETLRAAIKYINYLQ
+>Q6XD76_PF00010_76 <unknown description>
+KRNERERQRVRCVNEGYARLRDHLPRELADKRLSKVETLRAAIDYIKHLQ
+>Q9ULZ3_PF02758_8 <unknown description>
+ILDALENLTAEELKKFKLKLLSVPLREGYGRIPRGALLSMDALDLTDKLVSFYLETYGAE
+LTANVLRDMGLQEMA
+>Q9ULZ3_PF00619_113 <unknown description>
+HFIDQHRAALIARVTNVEWLLDALYGKVLTDEQYQAVRAEPTNPSKMRKLFSFTPAWNWT
+CKDLLLQALRESQSYLVEDLER
+>Q9Y294_PF04729_1 <unknown description>
+MAKVQVNNVVVLDNPSPFYNPFQFEITFECIEDLSEDLEWKIIYVGSAESEEYDQVLDSV
+LVGPVPAGRHMFVFQADAPNPGLIPDADAVGVTVVLITCTYRGQEFIRVGYYVNNEYTET
+ELRENPPVKPDFSKLQRNILASNPRVTRFHINWE
+>Q9NVP2_PF04729_1 <unknown description>
+MAKVSVLNVAVLENPSPFHSPFRFEISFECSEALADDLEWKIIYVGSAESEEFDQILDSV
+LVGPVPAGRHMFVFQADAPNPSLIPETDAVGVTVVLITCTYHGQEFIRVGYYVNNEYLNP
+ELRENPPMKPDFSQLQRNILASNPRVTRFHINWD
+>Q7L266_PF01112_4 <unknown description>
+IVVVHGGGAGPISKDRKERVHQGMVRAATVGYGILREGGSAVDAVEGAVVALEDDPEFNA
+GCGSVLNTNGEVEMDASIMDGKDLSAGAVSAVQCIANPIKLARLVMEKTPHCFLTDQGAA
+QFAAAMGVPEIPGEKLVTERNKKRLEKEKHEKGAQKTDCQKNLGTVGAVALDCKGNVAYA
+TSTGGIVNKMVGRVGDSPCLGAGGYADNDIGAVSTTGHGESILKVNLARLTLFHIEQGKT
+VEEAADLSLGYMKSRVKGLGGLIVVSKTGDWVAKWTSTSMPWAAAKDGKLH
+>P07306_PF03954_4 <unknown description>
+EYQDLQHLDNEESDHHQLRKGPPPPQPLLQRLCSGPRLLLLSLGLSLLLLVVVCVIGSQN
+SQLQEELRGLRETFSNFTASTEAQVKGLSTQGGNVGRKMKSLESQLEKQQKDLSEDHSSL
+LLHVKQFVSDLRSLSCQMAAL
+>P07306_PF00059_172 <unknown description>
+GKAWADADNYCRLEDAHLVVVTSWEEQKFVQHHIGPVNTWMGLHDQNGPWKWVDGTDYET
+GFKNWRPEQPDDWYGHGLGGGEDCAHFTDDGRWNDDVCQRPYRWVCET
+>Q9NR48_PF17907_2098 <unknown description>
+DDTRKGCVDDCLNRMIFAECSPNTCPCGEQCCNQRIQRH
+>Q9NR48_PF00856_2151 <unknown description>
+GWGIRTKEPLKAGQFIIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMG
+NEARFINHSCDPNCEMQKWSVNGVYRIGLYALKDMPAGTELTYDYN
+>Q9NR48_PF00439_2456 <unknown description>
+DSSRQALAAPLLNLPPKKKNADYYEKISDPLDLITIEKQILTGYYKTVEAFDADMLKVFR
+NAEKYYGRKSPVGRD
+>Q9NR48_PF01426_2658 <unknown description>
+LRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRIEKLWKNEKEERFAFGHHY
+FRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDLYTYCKGRPKGVKEQDVYI
+CDYRLDKSAHLFYK
+>Q9UBL3_PF00622_421 <unknown description>
+WYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYDKFSYSWRSKKGTKFHQSIGKHYSSGY
+GQGDVLGFYINLPE
+>Q9BVC5_PF15323_14 <unknown description>
+ELLLHPELLSQEFLLLTLEQKNIAVETDVRVNKDSLTDLYVQHAIPLPQRDLPKNRWGKM
+MEKKREQHEIKNETKRSSTVDGLRKRPLIVFDGSSTSTSIKVKKTENGDNDRLKPPPQAS
+FTSNAFRKLSNSSSSVSPLILSSNLPVNNKTEHNNNDAKQNHDLTHRKSPSGPVKSPPLS
+PVGTTPVKLKRAAPKEEAEAMNNLKPPQAKRKIQHVTWP
+>P78348_PF00858_21 <unknown description>
+FASSSTLHGLAHIFSYERLSLKRALWALCFLGSLAVLLCVCTERVQYYFHYHHVTKLDEV
+AASQLTFPAVTLCNLNEFRFSQVSKNDLYHAGELLALLNNRYEIPDTQMADEKQLEILQD
+KANFRSFKPKPFNMREFYDRAGHDIRDMLLSCHFRGEVCSAEDFKVVFTRYGKCYTFNSG
+RDGRPRLKTMKGGTGNGLEIMLDIQQDEYLPVWGETDETSFEAGIKVQIHSQDEPPFIDQ
+LGFGVAPGFQTFVACQEQRLIYLPPPWGTCKAVTMDSDLDFFDSYSITACRIDCETRYLV
+ENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDQEYCVCEMPCNLTRYGKELSMVK
+IPSKASAKYLAKKFNKSEQYIGENILVLDIFFEVLNYETIEQKKAYEIAGLLGDIGGQMG
+LFIGASILTVLELFDY
+>Q16515_PF00858_63 <unknown description>
+RAKLHGLRHMCAGRTAAGGSFQRRALWVLAFCTSFGLLLSWSSNRLLYWLSFPSHTRVHR
+EWSRQLPFPAVTVCNNNPLRFPRLSKGDLYYAGHWLGLLLPNRTARPLVSELLRGDEPRR
+QWFRKLADFRLFLPPRHFEGISAAFMDRLGHQLEDMLLSCKYRGELCGPHNFSSVFTKYG
+KCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTFEAGVKVQIHSQ
+SEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFPVYSITACRIDC
+ETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLCRTPCNLTRYNK
+ELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQKKAYEVAALLGD
+IGGQMGLFIGASILTILELFDY
+>Q9UHC3_PF00858_20 <unknown description>
+FASNCSMHGLGHVFGPGSLSLRRGMWAAAVVLSVATFLYQVAERVRYYREFHHQTALDER
+ESHRLIFPAVTLCNINPLRRSRLTPNDLHWAGSALLGLDPAEHAAFLRALGRPPAPPGFM
+PSPTFDMAQLYARAGHSLDDMLLDCRFRGQPCGPENFTTIFTRMGKCYTFNSGADGAELL
+TTTRGGMGNGLDIMLDVQQEEYLPVWRDNEETPFEVGIRVQIHSQEEPPIIDQLGLGVSP
+GYQTFVSCQQQQLSFLPPPWGDCSSASLNPNYEPEPSDPLGSPSPSPSPPYTLMGCRLAC
+ETRYVARKCGCRMVYMPGDVPVCSPQQYKNCAHPAIDAMLRKDSCACPNPCASTRYAKEL
+SMVRIPSRAAARFLARKLNRSEAYIAENVLALDIFFEALNYETVEQKKAYEMSELLGDIG
+GQMGLFIGASLLTILEILDY
+>Q96FT7_PF00858_45 <unknown description>
+FASTSTLHGLGRACGPGPHGLRRTLWALALLTSLAAFLYQAAGLARGYLTRPHLVAMDPA
+APAPVAGFPAVTLCNINRFRHSALSDADIFHLANLTGLPPKDRDGHRAAGLRYPEPDMVD
+ILNRTGHQLADMLKSCNFSGHHCSASNFSVVYTRYGKCYTFNADPRSSLPSRAGGMGSGL
+EIMLDIQQEEYLPIWRETNETSFEAGIRVQIHSQEEPPYIHQLGFGVSPGFQTFVSCQEQ
+RLTYLPQPWGNCRAESELREPELQGYSAYSVSACRLRCEKEAVLQRCHCRMVHMPGNETI
+CPPNIYIECADHTLDSLGGGPEGPCFCPTPCNLTRYGKEISMVRIPNRGSARYLARKYNR
+NETYIRENFLVLDVFFEALTSEAMEQRAAYGLSALLGDLGGQMGLFIGASILTLLEILDY
+>Q9NY37_PF00858_41 <unknown description>
+FAISTSFHGIHNIVQNRSKIRRVLWLVVVLGSVSLVTWQIYIRLLNYFTWPTTTSIEVQY
+VEKMEFPAVTFCNLNRFQTDAVAKFGVIFFLWHIVSKVLHLQEITANSTGSREATDFAAS
+HQNFSIVEFIRNKGFYLNNSTLLDCEFFGKPCSPKDFAHVFTEYGNCFTFNHGETLQAKR
+KVSVSGRGLSLLFNVNQEAFTDNPALGFVDAGIIFVIHSPKKVPQFDGLGLLSPVGMHAR
+VTIRQVKTVHQEYPWGECNPNIKLQNFSSYSTSGCLKECKAQHIKKQCGCVPFLLPGYGI
+ECDLQKYFSCVSPVLDHIEFKDLCTVGTHNSSCPVSCEEIEYPATISYSSFPSQKALKYL
+SKKLNQSRKYIRENLVKIEINYSDLNYKITQQQKAVSVSELLADLGGQLGLFCGASLITI
+IEIIEY
+>P42127_PF05039_85 <unknown description>
+PRTPLSAPCVATRNSCKPPAPACCDPCASCQCRFFRSACSCRV
+>Q92484_PF00149_39 <unknown description>
+QFWHVTDLHLDPTYHITDDHTKVCASSKGANASNPGPFGDVLCDSPYQLILSAFDFIKNS
+GQEASFMIWTGDSPPHVPVPELSTDTVINVITNMTTTIQSLFPNLQVFPALGNHDYWPQD
+QLPVVTSKVYNAVANLWKPWLDEEAISTLRKGGFYSQKVTTNPNLRIISLNTNLYYGPNI
+MTLNKTDPANQFEWLESTLNNSQQNKEKVYIIAHVPVGYLPSSQNITAMREYYNEKLIDI
+FQKYSDVIAGQFYGHTHRD
+>Q92484_PF19272_309 <unknown description>
+PVNSLFVAPAVTPVKSVLEKQTNNPGIRLFQYDPRDYKLLDMLQYYLNLTEANLKGESIW
+KLEYILTQTYDIEDLQPESLYGLAKQFTILDSKQFIKYYNYFFVSYDSSVTCDKTCKAFQ
+ICAIMNLDNISYADCLKQLY
+>Q92485_PF00149_22 <unknown description>
+KFWHIADLHLDPDYKVSKDPFQVCPSAGSQPVPDAGPWGDYLCDSPWALINSSIYAMKEI
+EPEPDFILWTGDDTPHVPDEKLGEAAVLEIVERLTKLIREVFPDTKVYAALGNHDFHPKN
+QFPAGSNNIYNQIAELWKPWLSNESIALFKKGAFYCEKLPGPSGAGRIVVLNTNLYYTSN
+ALTADMADPGQQFQWLEDVLTDASKAGDMVYIVGHVPPGFFEKTQNKAWFREGFNEKYLK
+VVRKHHRVIAGQFFGHHHTD
+>Q92485_PF19272_293 <unknown description>
+PISAMFITPGVTPWKTTLPGVVNGANNPAIRVFEYDRATLSLKDMVTYFMNLSQANAQGT
+PRWELEYQLTEAYGVPDASAHSMHTVLDRIAGDQSTLQRYYVYNSVSYSAGVCDEACSMQ
+HVCAMRQVDIDAYTTCLY
+>O95671_PF02545_14 <unknown description>
+RVVLASASPRRQEILSNAGLRFEVVPSKFKEKLDKASFATPYGYAMETAKQKALEVANRL
+YQKDLRAPDVVIGADTIVTVGGLILEKPVDKQDAYRMLSRLSGREHSVFTGVAIVHCSSK
+DHQLDTRVSEFYEETKVKFSELSEELLWEYVHSGEPMDKAGGYGIQALGGMLVESVHGDF
+LNVVGFPLNHFCKQLV
+>O95671_PF16864_288 <unknown description>
+LLELIEGFMLSKGLLTACKLKVFDLLKDEAPQKAADIASKVDASACGMERLLDICAAMGL
+LEKTEQGYSNTETANVYLASDGE
+>O95671_PF00891_388 <unknown description>
+FTYLEFAIREGTNQHHRALGKKAEDLFQDAYYQSPETRLRFMRAMHGMTKLTACQVATAF
+NLSRFSSACDVGGCTGALARELAREYPRMQVTVFDLPDIIELAAHFQPPGPQAVQIHFAA
+GDFFRDPLPSAELYVLCRILHDWPDDKVHKLLSRVAESCKPGAGLLLVETLLDEEKRVAQ
+RALMQSLNMLVQTEGKERSLGEYQCLLELHGF
+>P46597_PF16864_12 <unknown description>
+LNDYANGFMVSQVLFAACELGVFDLLAEAPGPLDVAAVAAGVRASAHGTELLLDICVSLK
+LLKVETRGGKAFYRNTELSSDYLTTVSP
+>P46597_PF00891_117 <unknown description>
+WGHLADAVREGRNQYLETFGVPAEELFTAIYRSEGERLQFMQALQEVWSVNGRSVLTAFD
+LSVFPLMCDLGG
+>P46597_PF00891_211 <unknown description>
+VFSLIGGAGALAKECMSLYPGCKITVFDIPEVVWTAKQHFSFQEEEQIDFQEGDFFKDPL
+PEADLYILARVLHDWADGKCSHLLERIYHTCKPGGGILVIESLLDEDRRGPLLTQLYSLN
+MLVQTEGQERTPTHYHMLLSSAGFR
+>P17405_PF00149_202 <unknown description>
+RILFLTDLHWDHDYLEGTDPDCADPLCCRRGSGLPPASRPGAGYWGEYSKCDLPLRTLES
+LLSGLGPAGPFDMVYWTGDIPAHDVWHQTRQDQLRALTTVTALVRKFLGPVPVYPAVGNH
+ESTPVNSFPPPFIEGNHSSRWLYEAMAKAWEPWLPAEALRTLRIGGFYALSPYPGLRLIS
+LNMNFCSRENFWLLINSTDPAGQLQWLVGELQAAEDRGDKVHIIGHIPPGHCLKSWSWNY
+YRIVARYENTLAAQFFGHTHVD
+>P17405_PF19272_492 <unknown description>
+GLNPGYRVYQIDGNYSGSSHVVLDHETYILNLTQANIPGAIPHWQLLYRARETYGLPNTL
+PTAWHNLVYRMRGDMQLFQTFWFLYHKGHPPSEPCGTPCRLATLCAQLS
+>Q9NWL6_PF00733_513 <unknown description>
+HGLEGLNKEIMMELGRISSRNLGRDDRVIGDHGKEARFPFLDENVVSFLNSLPIWEKANL
+TLPRGIGEKLLLRLAAVE
+>P08243_PF13537_49 <unknown description>
+RLAVVDPLFGMQPIRVKKYPYLWLCYNGEIYNHKKMQQHFEFEYQTKVDGEIILHLYDKG
+GIEQTICMLDGVFAFVLLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGL
+>P08243_PF00733_235 <unknown description>
+LRILFNNAVKKRLMTDRRIGCLLSGGLDSSLVAATLLKQLKEAQVQYPLQTFAIGMEDSP
+DLLAARKVADHIGSEHYEVLFNSEEGIQALDEVIFSLETYDITTVRASVGMYLISKYIRK
+NTDSVVIFSGEGSDELTQGYIYFHKAPSPEK
+>Q9BZE9_PF11470_16 <unknown description>
+APNGRRHTVKVTPSTVLLQVLEDTCRRQDFNPCEYDLKFQRSVLDLSLQWRFANLPNNAK
+LEM
+>Q9BZE9_PF00789_387 <unknown description>
+RYPKVALRVLFPDRYVLQGFFRPSETVGDLRDFVRSHLGNPELSFYLFITPPKTVLDDHT
+QTLFQANLFPAAL
+>A6ND91_PF03447_13 <unknown description>
+GYGRLGQSLVSRLLAQGPELGLELVFVWNRDPGRMAGSVPPSLQLQNLAALGERRPDLVV
+EVAHPKIIHESGAQILRHANLLVGSPSALSDQTTERQLLEASQHWDHAVFV
+>A6ND91_PF01958_173 <unknown description>
+TVLYEGPVRGLCPFAPRNSNTMAAAALAAPSLGFDGVIGVLVADTSLTDMHVVDVELSGP
+RGPTGRSFAVHTRRENPAEPGAVTGSAT
+>P20933_PF01112_36 <unknown description>
+FKNATEAAWRALASGGSALDAVESGCAMCEREQCDGSVGFGGSPDELGETTLDAMIMDGT
+TMDVGAVGDLRRIKNAIGVARKVLEHTTHTLLVGESATTFAQSMGFINEDLSTTASQALH
+SDWLARNCQPNYWRNVIPDPSKYCGPYKPPGILKQDIPIHKETEDDRGHDTIGMVVIHKT
+GHIAAGTSTNGIKFKIHGRVGDSPIPGAGAYADDTAGAAAATGNGDILMRFLPSYQAVEY
+MRRGEDPTIACQKVISRIQKHFPEFFGAVICANVTGSYGAACNKLSTFTQF
+>Q5U4P2_PF05118_251 <unknown description>
+QLLLYQAGRCQPSNCRRCPGAYRALRGLRSFMSANTFGNAGFSVLLPGARLEGRCGPTNA
+RVRCHLGLKIPPGCELVVGGEPQCWAEGHCLLVDDSFLHTVAHNGSPEDGPRVVFIVDLW
+HP
+>Q6ICH7_PF05118_192 <unknown description>
+ERNFQTILCEFETLYKAFSNCSLPQGWKMNSTPSGEWFTFYLVNQGVCVPRNCRKCPRTY
+RLLGSLRTCIGNNVFGNACISVLSPGTVITEHYGPTNIRIRCHLGLKTPNGCELVVGGEP
+QCWAEGRCLLFDDSFLHAAFHEGSAEDGPRVVFMVDLWHP
+>Q12797_PF05279_43 <unknown description>
+NGRKGGLSGTSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGIYDADGDGDFDVDD
+AKVLLG
+>Q12797_PF13432_346 <unknown description>
+DAAEKLRKRGKIEEAVNAFKELVRKYPQSPRARYGKAQCE
+>Q12797_PF05118_591 <unknown description>
+ERNWKLIRDEGLAVMDKAKGLFLPEDENLREKGDWSQFTLWQQGRRNENACKGAPKTCTL
+LEKFPETTGCRRGQIKYSIMHPGTHVWPHTGPTNCRLRMHLGLVIPKEGCKIRCANETKT
+WEEGKVLIFDDSFEHEVWQDASSFRLIFIVDVWHP
+>Q8IZT6_PF15780_37 <unknown description>
+PVLSLSHFCRSPFLCFGDVLLGASRTLSLALDNPNEEVAEVKISHFPAADLGFSVSQRCF
+VLQPKEKIVISVNWTPLKEGRVREIMTFLVNDVLKHQA
+>Q8IZT6_PF00307_1114 <unknown description>
+KLLMDWVNAVCAFYNKKVENFTVSFSDGRVLCYLIHHYHPCYVPFDAICQRTTQTVECTQ
+TGSVVLNSSSESDDSSLDMSLKAFDHENTSELYKELLENEKKNFHLVRSAVRDLGGIPAM
+INHSDMSNTIPDEKVVITYLSFL
+>Q8IZT6_PF00612_1317 <unknown description>
+NAALVIQKYWRRVLAQRKL
+>Q8IZT6_PF00612_1349 <unknown description>
+KAASLIQGYWRRYSTRQRF
+>Q8IZT6_PF00612_1395 <unknown description>
+WATVTIQRHWRAYLRRKQ
+>Q8IZT6_PF00612_1466 <unknown description>
+NSAIIIQSWYRMHKELRKY
+>Q8IZT6_PF00612_1488 <unknown description>
+RSCVVIIQKRFRCFQAQKLYK
+>Q8IZT6_PF00612_1561 <unknown description>
+RAACVIQSYWRMRQDRVRF
+>Q8IZT6_PF00612_1586 <unknown description>
+IIKFQAHVRKHQQRQKYK
+>Q8IZT6_PF00612_1633 <unknown description>
+RSAVIVLQSAYRGMQARK
+>Q8IZT6_PF00612_1658 <unknown description>
+SVIKIQSYYRAYVSKKEF
+>Q8IZT6_PF00612_1729 <unknown description>
+RESCIKLQAFVRGYLVRKQMR
+>Q8IZT6_PF00612_1752 <unknown description>
+RKAVISLQSYFRMRKARQYY
+>Q8IZT6_PF00612_1803 <unknown description>
+KAATCLQAAYRGYKVRQL
+>Q8IZT6_PF00612_1827 <unknown description>
+AALKIQSAFRGYNKRVKYQ
+>Q8IZT6_PF00612_1849 <unknown description>
+QSIIKIQRWYRAYKT
+>Q8IZT6_PF00612_1876 <unknown description>
+AAVISLQSAYRGWKVRKQIR
+>Q8IZT6_PF00612_1898 <unknown description>
+HQAALKIQSAFRMAKAQKQFR
+>Q8IZT6_PF00612_1971 <unknown description>
+HKCAIIIQSYYRMHVQQKKWK
+>Q8IZT6_PF00612_2022 <unknown description>
+AAVVTLQSAYRGMKVRKRIK
+>Q8IZT6_PF00612_2045 <unknown description>
+KAAVTIQSKYRAYKTKKKY
+>Q8IZT6_PF00612_2096 <unknown description>
+TAIKIQSVYRGIRVRRHI
+>Q8IZT6_PF00612_2192 <unknown description>
+AATLIQSNYRRYRQQTYF
+>Q8IZT6_PF00612_2240 <unknown description>
+RHSVIYIQAIFRGKKARRHLK
+>Q8IZT6_PF00612_2313 <unknown description>
+NAVIKIQSSYRRWMIRKRMR
+>Q8IZT6_PF00612_2335 <unknown description>
+HRAATFIQSTFRMHRLHMRYQ
+>Q8IZT6_PF00612_2385 <unknown description>
+RHSAVILQAAFRGMKTRRHLK
+>Q8IZT6_PF00612_2408 <unknown description>
+HSSATLIQSRFRSLLVRRRF
+>Q8IZT6_PF00612_2458 <unknown description>
+RKAAITIQSSYRRLMVKKKL
+>Q8IZT6_PF00612_2533 <unknown description>
+SAVVIQAAYKGMKARQLL
+>Q8IZT6_PF00612_2625 <unknown description>
+HQAAIIIQKHCKAFKIRKHY
+>Q8IZT6_PF00612_2666 <unknown description>
+TQAVICIQSYYRGFKVRKD
+>Q8IZT6_PF00612_2689 <unknown description>
+HRAATLIQSFYRMHRAKVDY
+>Q8IZT6_PF00612_2740 <unknown description>
+KSVRTIQAAFRGMKVRQKLK
+>Q8IZT6_PF00612_2861 <unknown description>
+RAAITLQHYFRTWQTRKQF
+>Q8IZT6_PF00612_2934 <unknown description>
+NSTIKIQAMWRRYRAKKYL
+>Q8IZT6_PF00612_2956 <unknown description>
+KAACKIQAWYRCWRAHKEY
+>Q8IZT6_PF00612_3030 <unknown description>
+HRAACLIQAHYRGYKGRQVF
+>Q8IZT6_PF00612_3081 <unknown description>
+KSTVILQALVRGWLVRKRF
+>Q8IZT6_PF00612_3183 <unknown description>
+RAASVIQKAVRHFLLRKK
+>Q8IZT6_PF00612_3207 <unknown description>
+GIIKIQALWRGYSWRKK
+>Q96KQ4_PF12796_894 <unknown description>
+DASLEGEFDLVQRIIYEVEDPSKPNDEGITPLHNAVCAGHHHIVKFLLDFGVNVNAADSD
+GWTPLHCAASCNSVHLCKQLVESGAAI
+>Q96KQ4_PF00018_1026 <unknown description>
+ALWDYEAQNSDELSFHEGDALTILRRKDESETEWWWARLGDREGYVPK
+>Q13625_PF12796_942 <unknown description>
+EGEFDLVQRIIYEVDDPSLPNDEGITALHNAVCAGHTEIVKFLVQFGVNVNAADSDGWTP
+LHCAASCNNVQVCKFLVESGAA
+>Q13625_PF00018_1070 <unknown description>
+ALWDYEPQNDDELPMKEGDCMTIIHREDEDEIEWWWARLNDKEGYVP
+>P00966_PF00764_8 <unknown description>
+VLAYSGGLDTSCILVWLKEQGYDVIAYLANIGQKEDFEEARKKALKLGAKKVFIEDVSRE
+FVEEFIWPAIQSSALYEDRYLLGTSLARPCIARKQVEIAQREGAKYVSHGATGKGNDQVR
+FELSCYSLAPQIKVIAPWRMPEFYNRFKGRNDLMEYAKQHGIPIPVTPKNPWSMDENLMH
+ISYEAGILENPKNQAPPGLYTKTQDPAKAPNTPDILEIEFKKGVPVKVTNVKDGTTHQTS
+LELFMYLNEVAGKHGVGRIDIVENRFIGMKSRGIYETPAGTILYHAHLDIEAFTMDREVR
+KIKQGLGLKFAELVYTGFWHSPECEFVRHCIAKSQERVEGKVQVSVLKGQVYILGRESPL
+SLYNEELVSMNVQGDYEPTDATGFININSLRLKEY
+>Q2TB18_PF00752_1 <unknown description>
+MGIRGLMSFVEDHSNEFFTDLKLRDTKIVIDGYALFHRLCFSSNLDLRYGGDYDSFADVV
+QKFFESLFACNICPYVVLDGGCDISDKKLTTLKDRA
+>Q2TB18_PF12813_117 <unknown description>
+LLIREVFIQVLIKLRVCFVQCFSEADRDIMTLANHWNCPVLSSDSDFCIFDLKTGFCPLN
+SFQWRNMNTIKGTQNYIPAKCFSLDAFCHHFSNMNKALLPLFAVLCGNDH
+>Q9Y284_PF03669_6 <unknown description>
+MSDPRRPNKVLRYKPPPSECNPALDDPTPDYMNLLGMIFSMCGLMLKLKWCAWVAVYCSF
+ISFANSRSSEDTKQMMSSFMLSISAVVMSYLQNPQPMT
+>Q6HA08_PF01400_103 <unknown description>
+EVPFLLSSKYDEPSRQVILEALAEFERSTCIRFVTYQDQRDFISIIPMYGCFSSVGRSGG
+MQVVSLAPTCLQKGRGIVLHELMHVLGFWHEHTRADRDRYIRVNWNEILPGFEINFIKSQ
+SSNMLTPYDYSSVMHYGRLAFSRRGLPTITPLWAPSVHIGQRWNLSASDITRVLKLYGCS
+>O14525_PF19441_95 <unknown description>
+EISGNTEDIPLVRWRQQWLENGTLLFHIHHQDGAPSLPGQDPTEEPQHESAEEELRILHI
+SVMGGMIALLLSILCLVMILYTRRRWCKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGR
+ESLRNARVQGHNSSGTLSIRETPILDGYEYDITDLRHHLQRECMNGGEDFASQVTRTLDS
+LQGCNEKSGMDLTPGSDNAKLSLMNKYKDNIIATSPVDSNHQQATLLSHTSSSQRKRINN
+KARAGSAFLNPEGDSGTEAENDPQLTFYTDPSRSRRRSRVGSPRSPVNKTTLTLISITSC
+VIGLVCSSHVNCPLVVKITLHVPEHLIADGSRFILLEGSQLDASDWLNPAQVVLFSQQNS
+SGPWAMDLCARRLLDPCEHQCDPETGECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTI
+FQRGFDLVLGEQPSDKIFRFTYTLGEGMWLPLSKSFVIPPAELAINPSAKCKTDMTVMED
+AVEVREELMTSSSFDSLEVLLDSFGPVRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGL
+SPMKDS
+>O14525_PF14670_652 <unknown description>
+CSDGFNGGCEQLCLQQMAPFPDDPTLYNILMFCGCIEDYKLGVDGRSC
+>O14525_PF19743_925 <unknown description>
+MAAGVRMECHSKGRCPSSCPLCHVTSSPDTPAEPVLLEVTKAAPIYELVTNNQTQRLLQE
+ATMSSLWCSGTGDVIEDWCRCDSTAFGADGLPTCAPLPQPVLRLSTVHEPSSTLVVLEWE
+HSEPPIGVQIVDYLLRQEKVTDRMDHSKVETETVLSFVDDIISGAKSPCAMPSQVPDKQL
+TTISLIIRCLEPDTIYMFTLWGVDNTGRRS
+>O14525_PF18411_1145 <unknown description>
+CPVVDDVKAQEIADKIYNLFNGYTSGKEQQTAYNTLLDLGSPTLHRVLYHYNQHYESFGE
+FTWRCEDELGPRKAGLILSQLGDLSSWCNGLLQ
+>O75129_PF19441_148 <unknown description>
+EMSGTAADISLVHWRQQWLENGTLYFHVSMSSSGQLAQATAPTLQEPSEIVEEQMHILHI
+SVMGGLIALLLLLLVFTVALYAQRRWQKRRRIPQKSASTEATHEIHYIPSVLLGPQARES
+FRSSRLQTHNSVIGVPIRETPILDDYDCEEDEEPPRRANHVSREDEFGSQVTHTLDSLGH
+PGEEKVDFEKKAAAEATQETVESLMQKFKESFRANTPIEIGQLQPPLRSTSAGKRKRRSK
+SRGGISFGRAKGTSGSEADDETQLTFYTEQYRSRRRSKGLLKSPVNKTALTLIAVSSCIL
+AMVCGSQMSCPLTVKVTLHVPEHFIADGSSFVVSEGSYLDISDWLNPAKLSLYYQINATS
+PWVRDLCGQRTTDACEQLCDPETGECSCHEGYAPDPVHRHLCVRSDWGQSEGPWPYTTLE
+RGYDLVTGEQAPEKILRSTFSLGQGLWLPVSKSFVVPPVELSINPLASCKTDVLVTEDPA
+DVREEAMLSTYFETINDLLSSFGPVRDCSRNNGGCTRNFKCVSDRQVDSSGCVCPEELKP
+MKDG
+>O75129_PF14670_703 <unknown description>
+CSDGFNGGCEQLCLQQTLPLPYDATSSTIFMFCGCVEEYKLAPDGKSC
+>O75129_PF01823_859 <unknown description>
+VRSNLYRVKLSTITLAAGFTNVLKILTKESSREELLSFIQHYGSHYIAEALYGSELTCII
+HFPSKKVQQQLWLQYQ
+>O75129_PF19743_964 <unknown description>
+LSDDQLISGVEIRCEEKGRCPSTCHLCRRPGKEQLSPTPVLLEINRVVPLYTLIQDNGTK
+EAFKSALMSSYWCSGKGDVIDDWCRCDLSAFDANGLPNCSPLLQPVLRLSPTVEPSSTVV
+SLEWVDVQPAIGTKVSDYILQHKKVDEYTDTDLYTGEFLSFADDLLSGLGTSCVAAGRSH
+GEVPEVSIYSVIFKCLEPDGLYKFTLYAVDTRGRHS
+>O75129_PF18411_1190 <unknown description>
+CPLVDDNKAEEIADKIYNLYNGYTSGKEQQMAYNTLMEVSASMLFRVQHHYNSHYEKFGD
+FVWRSEDELGPRKAHLILRRLERVSSHCSSLLR
+>O75129_PF18577_1286 <unknown description>
+IQSRVETVPYLFCRSEEVRPAGMVWYSILKDTKITCEEKMVSMARNT
+>Q96CP6_PF02893_91 <unknown description>
+EDFRKLFSKLPEAERLIVDYSCALQREILLQGRLYLSENWICFYSNIFRWETTISIQLKE
+VTCLKKEKTAKLIPNAIQICTESEKHFFTSFGARDRCFLLIFRLWQNA
+>Q96CP6_PF16016_371 <unknown description>
+LINSVFHVGAERLQQMLFSDSPFLQGFLQQCKFTDVTLSPWSGDSKCHQRRVLTYTIPIS
+NPLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHRYCILGLARNKAR
+LRVSSEIRYRKQPWSLVKSLIEKNSWSG
+>Q8IYS0_PF02893_72 <unknown description>
+RRQFTHLPDTERLIADYACALQRDILLQGRLYLSENWLCFYSNIFRWETTISIALKNITF
+MTKEKTARLIPNAIQIVTESEKFFFTSFGARDRSYLSIFRLWQNV
+>Q8IYS0_PF16016_331 <unknown description>
+INRIFHISADRMFELLFTSSRFMQKFASSRNIIDVVSTPWTAELGGDQLRTMTYTIVLNS
+PLTGKCTAATEKQTLYKESREARFYLVDSEVLTHDVPYHDYFYTVNRYCIIRSSKQKCRL
+RVSTDLKYRKQPWGLVKSLIEKNSWS
+>Q8IXJ9_PF05066_11 <unknown description>
+RTWAEAARLVLENYSDAPMTPKQILQVIEAEGLKEMRSGTSPLACLNAMLHSNSRGGEGL
+FYKLPGRISLFT
+>Q8IXJ9_PF13919_237 <unknown description>
+PATGQMKRNRGEEIDFETPGSILVNTNLRALINSRTFHALPSHFQQQLLFLLPEVDRQVG
+TDGLLRLSSSALNNEFFTHAAQSWRERLADGEFTHEMQVRIRQEMEKEKKVEQWKEKFFE
+DYYGQ
+>Q8IXJ9_PF13922_1500 <unknown description>
+ESISLQCACSLKAMIMCQGCGAFCHDDCIGPSKLCVLCLV
+>Q76L83_PF05066_11 <unknown description>
+RTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRSGTSPLACLNAMLHTNSRGEEGI
+FYKVPGRMGVYT
+>Q76L83_PF13919_259 <unknown description>
+RQMKRTKCADIDVETPDSILVNTNLRALINKHTFSVLPGDCQQRLLLLLPEVDRQVGPDG
+LMKLNGSALNNEFFTSAAQGWKERLSEGEFTPEMQVRIRQEIEKEKKVEPWKEQFFESYY
+GQ
+>Q76L83_PF13922_1373 <unknown description>
+MNPSSHGQTIPVQAFSEENSIEGTPSKCYCRLKAMIMCKGCGAFCHDDCIGPSKLCVSCL
+V
+>Q9C0F0_PF05066_10 <unknown description>
+RTWAEAARLALEKHPNSPMTAKQILEVIQKEGLKETSGTSPLACLNAMLHTNTRIGDGTF
+FKIPGKSGLYAL
+>Q9C0F0_PF13919_240 <unknown description>
+HLKWTKAEDIDIETPGSILVNTNLRALINKHTFASLPQHFQQYLLLLLPEVDRQMGSDGI
+LRLSTSALNNEFFAYAAQGWKQRLAEGEFTPEMQLRIRQEIEKEKKTEPWKEKFFERFYG
+E
+>Q9C0F0_PF13922_2191 <unknown description>
+QNAQMPVQNFADSSNADELELKCSCRLKAMIVCKGCGAFCHDDCIGPSKLCVACLV
+>Q8WWH4_PF00023_78 <unknown description>
+YGWTPLMYAASVANAELVRVLLDRGAN
+>Q8WWH4_PF12796_130 <unknown description>
+KCVELLLSRNADPNVACRRLMTPIMYAARDGHTQVVALLVAHGAEVNTQDENGYTALTWA
+ARQGHKNIVLKLLELGANKML
+>Q8WWH4_PF07647_272 <unknown description>
+SYTAFGDLEVFLHGLGLEHMTDLLKERDITLRHLLTMREDEFTKNGITSKDQQKILAALK
+ELQ
+>O60312_PF16209_57 <unknown description>
+QHLADNRLKTTKYTLLSFLPKNLFEQFHRPANVYFVFIALLNFVPAVNAFQP
+>O60312_PF13246_685 <unknown description>
+QESERELRYEAESPDEAALVYAARAYNCVLVERLHDQVSVELPHLGRLTFELLHTLGFDS
+VRKRMSVVIRHPLTDEINVYTKGADSVVMD
+>O60312_PF16212_1057 <unknown description>
+VMASDFAVPKFRYLERLLILHGHWCYSRLANMVLYFFYKNTMFVGLLFWFQFFCGFSAST
+MIDQWYLIFFNLLFSSLPPLVTGVLDRDVPANVLLTNPQLYKSGQNMEEYRPRTFWFNMA
+DAAFQSLVCFSIPYLAYYDSNVDLFTWGTPIVTIALLTFLLHLGIETKTWTWLNWITCGF
+SVLLFFTVALIYNASCATCYPPSNPYWTMQALLGDPVFYLTCLMTPVAALLPRLFFRSLQ
+GRVFPT
+>O94823_PF16209_61 <unknown description>
+EVSRRYPGNRTCTTKYTLFTFLPRNLFEQFHRWANLYFLFLVILNWMPSMEVFHREIT
+>O94823_PF13246_720 <unknown description>
+ESPDEAALVHAAHAYSFTLVSRTPEQVTVRLPQGTCLTFSLLCTLGFDSVRKRMSVVVRH
+PLTGEIVVYTKGADSVIMD
+>O94823_PF16212_1081 <unknown description>
+VMSSDFAITRFKHLKKLLLVHGHWCYSRLARMVVYYLYKNVCYVNLLFWYQFFCGFSSST
+MIDYWQMIFFNLFFTSLPPLVFGVLDKDISAETLLALPELYKSGQNSECYNLSTFWISMV
+DAFYQSLICFFIPYLAYKGSDIDVFTFGTPINTISLTTILLHQAMEMKTWTIFHGVVLLG
+SFLMYFLVSLLYNATCVICNSPTNPYWVMEGQLSNPTFYLVCFLTPVVALLPRYFFLSLQ
+G
+>Q9P241_PF16209_68 <unknown description>
+SGAYVNNRIRTTKYTLLNFVPRNLFEQFHRAANLYFLFLVVLNWVPLVEAFQKEIT
+>Q9P241_PF13246_726 <unknown description>
+ESPDEAALVYAARAYQCTLRSRTPEQVMVDFAALGPLTFQLLHILPFDSVRKRMSVVVRH
+PLSNQVVVYTKGADSVIME
+>Q9P241_PF16212_1082 <unknown description>
+VMASDFAVSQFKHLSKLLLVHGHWCYTRLSNMILYFFYKNVAYVNLLFWYQFFCGFSGTS
+MTDYWVLIFFNLLFTSAPPVIYGVLEKDVSAETLMQLPELYRSGQKSEAYLPHTFWITLL
+DAFYQSLVCFFVPYFTYQGSDTDIFAFGNPLNTAALFIVLLHLVIESKSLTWIHLLVIIG
+SILSYFLFAIVFGAMCVTCNPPSNPYWIMQEHMLDPVFYLVCILTTSIALLPRFVYRVLQ
+GSLFPS
+>P98196_PF16209_42 <unknown description>
+PQRYPDNRIVSSKYTFWNFIPKNLFEQFRRVANFYFLIIFLVQLIIDTPTSPVTS
+>P98196_PF00122_130 <unknown description>
+VHFIQHGKLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSNRGDGTCHVTTASLDGESSH
+KTHYAVQDTKGFHTEEDIGGLHATIECEQPQPDLYKFVGRINVYSDLNDPVVRPLGSENL
+LLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNAFLIVYLCILISKAL
+INTVLKYMWQSEPFRDEPWYNQKTESERQRNLFLKAFTDFLAFMVLFNYIIPVSMYVTVE
+MQKFLG
+>P98196_PF13246_477 <unknown description>
+LCHTVQVKDDDSVDGPRKSPDGGKSCVYISSSPDEVALVEGVQRLGFTYLRLKDNYMEIL
+NRENHIERFELLEILSFDSVRRRMSVIVKSATGEIYLFCKGADSSIFPR
+>P98196_PF16212_851 <unknown description>
+ARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQFLYQFFCGFSQQT
+LYDTAYLTLYNISFTSLPILLYSLMEQHVGIDVLKRDPTLYRDVAKNALLRWRVFIYWTL
+LGLFDALVFFFGAYFVFENTTVTSNGQIFGNWTFGTLVFTVMVFTVTLKLALDTHYWTWI
+NHFVIWGSLLFYVVFSLLWGGVIWPFLNYQRMYYVFIQMLSSGPAWLAIVLLVTISLLPD
+VLKKVLCRQLWPT
+>Q9Y2G3_PF16209_36 <unknown description>
+PQKFIDNRIISSKYTVWNFVPKNLFEQFRRVANFYFLIIFLVQLMIDTPTSPVTS
+>Q9Y2G3_PF00122_123 <unknown description>
+PVYVVRSGGLVKTRSKNIRVGDIVRIAKDEIFPADLVLLSSDRLDGSCHVTTASLDGETN
+LKTHVAVPETALLQTVANLDTLVAVIECQQPEADLYRFMGRMIITQQMEEIVRPLGPESL
+LLRGARLKNTKEIFGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLVILISEAV
+ISTILKYTWQAEEKWDEPWYNQKTEHQRNSSKILRFISDFLAFLVLYNFIIPISLYVTVE
+MQ
+>Q9Y2G3_PF13246_518 <unknown description>
+APSQLEYYASSPDEKALVEAAARIGIVFIGNSEETMEVKTLGKLERYKLLHILEFDSDRR
+RMSVIVQAPSGEKLLFAKGAESSILPKC
+>Q9Y2G3_PF16212_847 <unknown description>
+ARNSDYAIARFKFLSKLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQFYCLFSQQT
+LYDSVYLTLYNICFTSLPILIYSLLEQHVDPHVLQNKPTLYRDISKNRLLSIKTFLYWTI
+LGFSHAFIFFFGSYLLIGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTVKMALETHFWTW
+INHLVTWGSIIFYFVFSLFYGGILWPFLGSQNMYFVFIQLLSSGSAWFAIILMVVTCLFL
+DIIKKVFDRHLHPT
+>P54707_PF00690_59 <unknown description>
+HKLSNRELEEKYGTDIIMGLSSTRAAELLARDGPNSLTPPKQTPEIVKFLKQMVGGFSIL
+LWVGAFLC
+>P54707_PF00122_178 <unknown description>
+KMIPQQALVIRDSEKKTIPSEQLVVGDIVEVKGGDQIPADIRVLSSQGCRVDNSSLTGES
+EPQPRSSEFTHENPLETKNICFYSTTCLEGTVTGMVINTGDRTIIGHIASLASGVGNEKT
+PIAIEIEHFVHIVAGVAVSIGILFFIIAVSLKYQVLDSIIFLIGIIVANVPEGLLATVTV
+TLSLTAKRMAKK
+>P54707_PF13246_441 <unknown description>
+TLCNRAEFKPGQENVPIMKKAVIGDASETALLKFSEVILGDVMEIRKRNRKVAEIPFNST
+NKFQLSIHEMDDPHGKRFLMVMKGAPERILEKCSTI
+>P54707_PF00702_673 <unknown description>
+RDAKAAVVTGMELKDMSSEQLDEILANYQEIVFARTSPQQKLIIVEGCQRQDAVVAVTGD
+GVNDSPALKKAD
+>P54707_PF00689_814 <unknown description>
+PLPIGTITILFIDLGTDIIPSIALAYEKAESDIMNRKPRHKNKDRLVNQPLAVYSYLHIG
+LMQALGAFLVYFTVYAQEGFLPRTLINLRVEWEKDYVNDLKDSYGQEWTRYQREYLEWTG
+YTAFFVGILVQQIADLIIRKTRRNSIFQQGLFRNKVIWVGITSQIIIGLILSYGLGSVTA
+LSFTMLRAQYWFVAVPHAILIWVYDEVRKLF
+>Q9HD20_PF00122_297 <unknown description>
+KPHMIQVYRSRKWRPIASDEIVPGDIVSIGRSPQENLVPCDVLLLRGRCIVDEAMLTGES
+VPQMKEPIEDLSPDRVLDLQADSRLHVIFGGTKVVQHIPPQKATTGLKPVDSGCVAYVLR
+TGFNTSQGKLLRTILFGVKRVTANNLETFIFILFLLVFAIAAAAYVWIEGTKDPSRNRYK
+LFLECTLILTSVVPPELPIELSLAVNTSLIALAK
+>Q9HD20_PF00702_528 <unknown description>
+EVCCFDKTGTLTSDSLVVRGVAGLRDGKEVTPVSSIPVETHRALASCHSLMQLDDGTLVG
+DPLEKAMLTAVDWTLTKDEKVFPRSIKTQGLKIHQRFHFASALKRMSVLASYEKLGSTDL
+CYIAAVKGAPETLHSMFSQCPPDYHHIHTEISREGARVLALGYKELGHLTHQQAREVKRE
+ALECSLKFVGFIVVSCPLKADSKAVIREIQNASHRVVMITGDNPLTACHVAQELH
+>Q9NQ11_PF12409_34 <unknown description>
+SVRLSGYCGSPWRVIGYHVVVWMMAGIPLLLFRWKPLWGVRLRLRPCNLAHAETLVIEIR
+DKEDSSWQLFTVQVQTEAIGEGSLEPSPQSQAEDGRSQAAVGAVPEGAWKDTAQLHKSEE
+AVSVGQKRVLRYYLFQGQRYIW
+>Q9NQ11_PF00122_295 <unknown description>
+VCVCRPGGEEEWVDSSELVPGDCLVLPQEGGLMPCDAALVAGECMVNESSLTGESIPVLK
+TALPEGLGPYCAETHRRHTLFCGTLILQARAYVGPHVLAVVTRTGFCTAKGGLVSSILHP
+RPINFKFYKHSMKFVAALSVLALLGTIYSIFILYRNRVPLNEIVIRALDLVTVVVPPALP
+AAMTVCTLYAQSRLRRQ
+>Q9NQ11_PF00702_509 <unknown description>
+LVCFDKTGTLTEDGLDVMGVVPLKGQAFLPLVPEPRRLPVGPLLRALATCHALSRLQDTP
+VGDPMDLKMVESTGWVLEEEPAADSAFGTQVLAVMRPPLWEPQLQAMEEPPVPVSVLHRF
+PFSSALQRMSVVVAWPGATQPEAYVKGSPELVAGLCNPETVPTDFAQMLQSYTAAGYRVV
+ALASKPLPTVPSLEAAQQLTRDTVEGDLSLLGLLVMRNLLKPQTTPVIQALRRTRIRAVM
+VTGDNLQTAVTVARGCG
+>Q4VNC1_PF12409_16 <unknown description>
+EENEMEIFGYRTQGCRKSLCLAGSIFSFGILPLVFYWRPAWHVWAHCVPCSLQEADTVLL
+RTTDEFQIYSWKKVIWIYLSALNSAFGLTPDHPLMTDEEYIINRAIRKPDLKVRCIKVQK
+IRYVWN
+>Q4VNC1_PF00690_161 <unknown description>
+KIHQKFGSGLTREEQEIRRLICGPNTIDVEVTPIWKLLIKEVLNPFYIFQLFSVCLW
+>Q4VNC1_PF00122_262 <unknown description>
+TVSVCGRKAGVQELESRVLVPGDLLILTGNKVLMPCDAVLIEGSCVVDEGMLTGESIPVT
+KTPLPKMDSSVPWKTQSEADYKRHVLFCGTEVIQAKAACSGTVRAVVLQTGFNTAKGDLV
+RSILYPKPVNFQLYRDAIRFLLCLVGTATIGMIYTLCVYVLSGEPPEEVVRKALDVITIA
+VPPALPAALTTGIIYAQRRLKKR
+>Q4VNC1_PF00702_481 <unknown description>
+NLVCFDKTGTLTRDGLDLWGVVSCDRNGFQEVHSFASGQALPWGPLCAAMASCHSLILLD
+GTIQGDPLDLKMFEATTWEMAFSGDDFHIKGVPAHAMVVKPCRTASQVPVEGIAILHQFP
+FSSALQRMTVIVQEMGGDRLAFMKGAPERVASFCQPETVPTSFVSELQIYTTQGFRVIAL
+AYKKLENDHHATTLTRETVESDLIFLGLLILENRLKEETKPVLEELISARIRTVMITGDN
+LQTAITVARKSGMVSESQKVILIE
+>Q4VNC0_PF12409_17 <unknown description>
+EEDELEVFGYRDHNVRKAFCLVASVLTCGGLLLVFYWRPQWRVWANCIPCPLQEADTVLL
+RTTDEFQRYMRKKVFCLYLSTLKFPVSKKWEESLVADRHSVINQALIKPELKLRCMEVQK
+IRYVWN
+>Q4VNC0_PF00690_163 <unknown description>
+IHQTFGLGLTSEEQEVRRLVCGPNAIEVEIQPIWKLLVKQVLNPFYVFQ
+>Q4VNC0_PF00122_263 <unknown description>
+QVTIIVKDKGLEELESRLLVPGDILILPGKFSLPCDAVLIDGSCVVNEGMLTGESIPVTK
+TPLPQMENTMPWKCHSLEDYRKHVLFCGTEVIQVKPSGQGPVRAVVLQTGYNTAKGDLVR
+SILYPRPLNFKLYSDAFKFIVFLACLGVMGFFYALGVYMYHGVPPKDTVTMALILLTVTV
+PPVLPAALTIGNVYAQKRLKKK
+>Q4VNC0_PF00702_480 <unknown description>
+INLVCFDKTGTLTEDGLDLWGTVPTADNCFQEAHSFASGQAVPWSPLCAAMASCHSLILL
+NGTIQGDPLDLKMFEGTAWKMEDCIVDSCKFGTSVSNIIKPGPKASKSPVEAIITLCQFP
+FSSSLQRMSVIAQLAGENHFHVYMKGAPEMVARFCRSETVPKNFPQELRSYTVQGFRVIA
+LAHKTLKMGNLSEVEHLAREKVESELTFLGLLIMENRLKKETKLVLKELSEARIRTVMIT
+GDNLQTAITVAKNSE
+>P05023_PF00690_44 <unknown description>
+HKLSLDELHRKYGTDLSRGLTSARAAEILARDGPNALTPPPTTPEWIKFCRQLFGGFSML
+LWIGAILC
+>P05023_PF00122_164 <unknown description>
+MVPQQALVIRNGEKMSINAEEVVVGDLVEVKGGDRIPADLRIISANGCKVDNSSLTGESE
+PQTRSPDFTNENPLETRNIAFFSTNCVEGTARGIVVYTGDRTVMGRIATLASGLEGGQTP
+IAAEIEHFIHIITGVAVFLGVSFFILSLILEYTWLEAVIFLIGIIVANVPEGLLATVTVC
+LTLTAKRMARK
+>P05023_PF13246_427 <unknown description>
+LCNRAVFQANQENLPILKRAVAGDASESALLKCIELCCGSVKEMRERYAKIVEIPFNSTN
+KYQLSIHKNPNTSEPQHLLVMKGAPERILDRCSSI
+>P05023_PF00689_799 <unknown description>
+PLPLGTVTILCIDLGTDMVPAISLAYEQAESDIMKRQPRNPKTDKLVNERLISMAYGQIG
+MIQALGGFFTYFVILAENGFLPIHLLGLRVDWDDRWINDVEDSYGQQWTYEQRKIVEFTC
+HTAFFVSIVVVQWADLVICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMGVAL
+RMYPLKPTWWFCAFPYSLLIFVYDEVRKLI
+>P50993_PF00690_42 <unknown description>
+HKLSLDELGRKYQVDLSKGLTNQRAQDVLARDGPNALTPPPTTPEWVKFCRQLFGGFSIL
+LWIGAILC
+>P50993_PF00122_162 <unknown description>
+MVPQQALVIREGEKMQINAEEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESE
+PQTRSPEFTHENPLETRNICFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGRTP
+IAMEIEHFIQLITGVAVFLGVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVC
+LTLTAKRMARK
+>P50993_PF13246_425 <unknown description>
+LCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPFNSTN
+KYQLSIHEREDSPQSHVLVMKGAPERILDRCSTI
+>P50993_PF00689_796 <unknown description>
+PLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNSQTDKLVNERLISMAYGQIG
+MIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRTMNDLEDSYGQEWTYEQRKVVEFTC
+HTAFFASIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLLEETALAAFLSYCPGMGVAL
+RMYPLKVTWWFCAFPYSLLIFIYDEVRKLI
+>P13637_PF00690_33 <unknown description>
+EHKMSVEEVCRKYNTDCVQGLTHSKAQEILARDGPNALTPPPTTPEWVKFCRQLFGGFSI
+LLWIGAILC
+>P13637_PF00122_154 <unknown description>
+MVPQQALVIREGEKMQVNAEEVVVGDLVEIKGGDRVPADLRIISAHGCKVDNSSLTGESE
+PQTRSPDCTHDNPLETRNITFFSTNCVEGTARGVVVATGDRTVMGRIATLASGLEVGKTP
+IAIEIEHFIQLITGVAVFLGVSFFILSLILGYTWLEAVIFLIGIIVANVPEGLLATVTVC
+LTLTAKRMARK
+>P13637_PF13246_417 <unknown description>
+LCNRAVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTN
+KYQLSIHETEDPNDNRYLLVMKGAPERILDRCSTI
+>P13637_PF00689_789 <unknown description>
+PLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERLISMAYGQIG
+MIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYEQRKVVEFTC
+HTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMDVAL
+RMYPLKPSWWFCAFPYSFLIFVYDEIRKLI
+>Q13733_PF00690_52 <unknown description>
+HKLTLEELSTKYSVDLTKGHSHQRAKEILTRGGPNTVTPPPTTPEWVKFCKQLFGGFSLL
+LWTGAILC
+>Q13733_PF00122_172 <unknown description>
+MVPQQALVIRGGEKMQINVQEVVLGDLVEIKGGDRVPADLRLISAQGCKVDNSSLTGESE
+PQSRSPDFTHENPLETRNICFFSTNCVEGTARGIVIATGDSTVMGRIASLTSGLAVGQTP
+IAAEIEHFIHLITVVAVFLGVTFFALSLLLGYGWLEAIIFLIGIIVANVPEGLLATVTVC
+LTLTAKRMARK
+>Q13733_PF13246_435 <unknown description>
+LCNRADFKANQEILPIAKRATTGDASESALLKFIEQSYSSVAEMREKNPKVAEIPFNSTN
+KYQMSIHLREDSSQTHVLMMKGAPERILEFCST
+>Q13733_PF00689_805 <unknown description>
+PLPLGTITILCIDLGTDMVPAISLAYESAESDIMKRLPRNPKTDNLVNHRLIGMAYGQIG
+MIQALAGFFTYFVILAENGFRPVDLLGIRLHWEDKYLNDLEDSYGQQWTYEQRKVVEFTC
+QTAFFVTIVVVQWADLIISKTRRNSLFQQGMRNKVLIFGILEETLLAAFLSYTPGMDVAL
+RMYPLKITWWLCAIPYSILIFVYDEIRKLL
+>P05026_PF00287_6 <unknown description>
+AKEEGSWKKFIWNSEKKEFLGRTGGSWFKILLFYVIFYGCLAGIFIGTIQVMLLTISEFK
+PTYQDRVAPPGLTQIPQIQKTEISFRPNDPKSYEAYVLNIVRFLEKYKDSAQRDDMIFED
+CGDVPSEPKERGDFNHERGERKVCRFKLEWLGNCSGLNDETYGYKEGKPCIIIKLNRVLG
+FKPKPPKNESLETYPVMKYNPNVLPVQCTGKRDEDKDKVGNVEYFGLGNSPGFPLQYYPY
+YGKLLQPKYLQPLLAVQFTNLTMDTEIRIECKAYGENIGYSEKDRFQGRFD
+>P14415_PF00287_7 <unknown description>
+KKSCGQVVEEWKEFVWNPRTHQFMGRTGTSWAFILLFYLVFYGFLTAMFTLTMWVMLQTV
+SDHTPKYQDRLATPGLMIRPKTENLDVIVNVSDTESWDQHVQKLNKFLEPYNDSIQAQKN
+DVCRPGRYYEQPDNGVLNYPKRACQFNRTQLGNCSGIGDSTHYGYSTGQPCVFIKMNRVI
+NFYAGANQSMNVTCAGKRDEDAENLGNFVMFPANGNIDLMYFPYYGKKFHVNYTQPLVAV
+KFLNVTPNVEVNVECRINAANIATDDERDKFAGRVAF
+>P54709_PF00287_6 <unknown description>
+KKSLNQSLAEWKLFIYNPTTGEFLGRTAKSWGLILLFYLVFYGFLAALFSFTMWVMLQTL
+NDEVPKYRDQIPSPGLMVFPKPVTALEYTFSRSDPTSYAGYIEDLKKFLKPYTLEEQKNL
+TVCPDGALFEQKGPVYVACQFPISLLQACSGMNDPDFGYSQGNPCILVKMNRIIGLKPEG
+VPRIDCVSKNEDIPNVAVYPHNGMIDLKYFPYYGKKLHVGYLQPLVAVQVSFAPNNTGKE
+VTVECKIDGSANLKSQDDRDKFLGRVMF
+>Q9UN42_PF00287_82 <unknown description>
+WWQKLQIMSEYLWDPERRMFLARTGQSWSLILLIYFFFYASLAAVITLCMYTLFLTISPY
+IPTFTERVKPPGVMIRPFAHSLNFNFNVSEPDTWQHYVISLNGFLQGYNDSLQEEMNVDC
+PPGQYFIQDGNEDEDKKACQFKRSFLKNCSGLEDPTFGYSTGQPCILLKMNRIVGFRPEL
+GDPVKVSCKVQRGDENDIRSISYYPESASFDLRYYPYYGKLTHVNYTSPLVAMHFTDVVK
+NQAVPVQCQLKGKGVINDVINDRFVGRVIF
+>O14983_PF00690_5 <unknown description>
+HAKTTEECLAYFGVSETTGLTPDQVKRNLEKYGLNELPAEEGKTLWELVIEQFEDLLVRI
+LLLAACIS
+>O14983_PF00122_123 <unknown description>
+EPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILAIKSTTLRVDQSILTG
+ESVSVIKHTEPVPDPRAVNQDKKNMLFSGTNIAAGKALGIVATTGVGTEIGKIRDQMAAT
+EQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWFRGAIYYFKIAVAL
+AVAAIPEGLPAVITTCLALGTRRMAKK
+>O14983_PF13246_418 <unknown description>
+ALCNDSSLDFNEAKGVYEKVGEATETALTTLVEKMNVFNTDVRSLSKVERANACNSVIRQ
+LMKKEFTLEFSRDRKSMSVYCSPAKSSRAAVGNKMFVKGAPEGVIDRCNYV
+>O14983_PF08282_686 <unknown description>
+KIVEYLQSYDEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKTASEMVLADDNFSTI
+>O14983_PF00689_784 <unknown description>
+PEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRSPKEPLISGWLFFRYMAIGGY
+VGAATVGAAAWWFLYAEDGPHVNYSQLTHFMQCTEDNTHFEGIDCEVFEAPEPMTMALSV
+LVTIEMCNALNSLSENQSLLRMPPWVNIWLLGSICLSMSLHFLILYVDPLPMIFKLRALD
+LTQWLMVLKISLPVIGLDEILKFV
+>P16615_PF00690_5 <unknown description>
+HTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDLLVRI
+LLLAACIS
+>P16615_PF00122_123 <unknown description>
+EPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSILTG
+ESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMVAT
+EQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAVAL
+AVAAIPEGLPAVITTCLALGTRRMAKK
+>P16615_PF13246_418 <unknown description>
+ALCNDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQ
+LMKKEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHI
+>P16615_PF08282_685 <unknown description>
+KIVEFLQSFDEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKTASEMVLADDNFSTI
+>P16615_PF00689_783 <unknown description>
+PEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIGCY
+VGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFEGVDCAIFESPYPMTMALSV
+LVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITPLN
+VTQWLMVLKISLPVILMDETLKFV
+>Q93084_PF00690_5 <unknown description>
+HLLPAADVLRHFSVTAEGGLSPAQVTGARERYGPNELPSEEGKSLWELVLEQFEDLLVRI
+LLLAALVS
+>Q93084_PF00122_123 <unknown description>
+EPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSILTG
+ESVSVTKHTEAIPDPRAVNQDKKNMLFSGTNITSGKAVGVAVATGLHTELGKIRSQMAAV
+EPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAVAL
+AVAAIPEGLPAVITTCLALGTRRMARK
+>Q93084_PF13246_418 <unknown description>
+ALCNDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLQALSRVERAGACNTVIKQ
+LMRKEFTLEFSRDRKSMSVYCTPTRPHPTGQGSKMFVKGAPESVIERCSSV
+>Q93084_PF08282_687 <unknown description>
+IVENLQSFNEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSAAEMVLSDDNFASIV
+>Q93084_PF00689_784 <unknown description>
+PEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKLPRSPREALISGWLFFRYLAIGVY
+VGLATVAAATWWFVYDAEGPHINFYQLRNFLKCSEDNPLFAGIDCEVFESRFPTTMALSV
+LVTIEMCNALNSVSENQSLLRMPPWMNPWLLVAVAMSMALHFLILLVPPLPLIFQVTPLS
+GRQWVVVLQISLPVILLDEALKYL
+>P20020_PF00690_53 <unknown description>
+YGDVYGICTKLKTSPNEGLSGNPADLERREAVFGKNFIPPKKPKTFLQLVWEALQDVTLI
+ILEIAAIVS
+>P20020_PF00122_191 <unknown description>
+EQKFTVIRGGQVIQIPVADITVGDIAQVKYGDLLPADGILIQGNDLKIDESSLTGESDHV
+KKSLDKDPLLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGA
+>P20020_PF00122_355 <unknown description>
+PKKEKSVLQGKLTKLAVQIGKAGLLMSAITVIILVLYFVIDTFWVQKRPWLAECTPIYIQ
+YFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMK
+>P20020_PF13246_531 <unknown description>
+PEKEGGLPRHVGNKTECALLGLLLDLKRDYQDVRNEIPEEALYKVYTFNSVRKSMSTVLK
+NSDGSYRIFSKGASEIILKKCF
+>P20020_PF00702_675 <unknown description>
+TCIAVVGIEDPVRPEVPDAIKKCQRAGITVRMVTGDNINTARAIATKCGILHPGEDFLCL
+EGKDFNRRIRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTVSDQRQVVAV
+TGDGTNDGPALKKAD
+>P20020_PF00689_880 <unknown description>
+SPLKAVQMLWVNLIMDTLASLALATEPPTESLLLRKPYGRNKPLISRTMMKNILGHAFYQ
+LVVVFTLLFAGEKFFDIDSGRNAPLHAPPSEHYTIVFNTFVLMQLFNEINARKIHGERNV
+FEGIFNNAIFCTIVLGTFVVQIIIVQFGGKPFSCSELSIEQWLWSIFLGMGTLLWGQLI
+>P20020_PF12424_1103 <unknown description>
+GQILWFRGLNRIQTQIRVVNAFRSSLYEGLEKPESRSSIHNFMTHPE
+>Q01814_PF00690_51 <unknown description>
+GDTEAICRRLKTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLII
+LEIAAIIS
+>Q01814_PF00122_189 <unknown description>
+EQKFTVVRAGQVVQIPVAEIVVGDIAQVKYGDLLPADGLFIQGNDLKIDESSLTGESDQV
+RKSVDKDPMLLSGTHVMEGSGRMLVTAVGVNSQTGIIFTLLG
+>Q01814_PF00122_377 <unknown description>
+SMHKKEKSVLQGKLTKLAVQIGKAGLVMSAITVIILVLYFTVDTFVVNKKPWLPECTPVY
+VQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMK
+>Q01814_PF13246_544 <unknown description>
+INSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLKQDYEPVRSQMPEEKLYKVYTFN
+SVRKSMSTVIKLPDESFRMYSKGASEIVLKKCCK
+>Q01814_PF08282_794 <unknown description>
+TDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIIL
+TDDNFSSIVKA
+>Q01814_PF00689_903 <unknown description>
+SPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKPLISRTMMKNILGHAVYQ
+LALIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNV
+FDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWMWCIFIGLGELVWGQVI
+>Q01814_PF12424_1126 <unknown description>
+GQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESRTSIHNFMAHPE
+>Q16720_PF00690_53 <unknown description>
+YGDVSGLCRRLKTSPTEGLADNTNDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLI
+ILEVAAIVS
+>Q16720_PF00122_192 <unknown description>
+EQKFTVIRNGQLLQVPVAALVVGDIAQVKYGDLLPADGVLIQANDLKIDESSLTGESDHV
+RKSADKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLG
+>Q16720_PF00122_350 <unknown description>
+ANAPKKEKSVLQGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVVEGRTWLAECTPV
+YVQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMK
+>Q16720_PF13246_528 <unknown description>
+PPEKEGALPRQVGNKTECALLGFVLDLKRDFQPVREQIPEDKLYKVYTFNSVRKSMSTVI
+RMPDGGFRLFSKGASEILLKKCTNI
+>Q16720_PF08282_769 <unknown description>
+DKHTLVKGIIDSTTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILT
+DDNFTSIVKA
+>Q16720_PF00689_877 <unknown description>
+SPLKAVQMLWVNLIMDTFASLALATEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQ
+LAIIFTLLFVGELFFDIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNV
+FDGIFSNPIFCTIVLGTFGIQIVIVQFGGKPFSCSPLSTEQWLWCLFVGVGELVWGQVI
+>Q16720_PF12424_1100 <unknown description>
+GQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESKTSIHNFMATPE
+>P23634_PF00690_47 <unknown description>
+HYGGVQNLCSRLKTSPVEGLSGNPADLEKRRQVFGHNVIPPKKPKTFLELVWEALQDVTL
+IILEIAAIIS
+>P23634_PF00122_187 <unknown description>
+EQKFSIIRNGQLIQLPVAEIVVGDIAQVKYGDLLPADGILIQGNDLKIDESSLTGESDHV
+KKSLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIILTLL
+>P23634_PF00122_340 <unknown description>
+KAVKVPKKEKSVLQGKLTRLAVQIGKAGLLMSALTVFILILYFVIDNFVINRRPWLPECT
+PIYIQYFVKFFIIGITVLVVAVPEGLPLAVTISLAYSVKKMMK
+>P23634_PF13246_520 <unknown description>
+PPEKEGGLPRQVGNKTECALLGFVTDLKQDYQAVRNEVPEEKLYKVYTFNSVRKSMSTVI
+RNPNGGFRMYSKGASEIILRKCNR
+>P23634_PF00702_649 <unknown description>
+EPSWDNENEILTELTCIAVVGIEDPVRPEVPDAIAKCKQAGITVRMVTGDNINTARAIAT
+KCGILTPGDDFLCLEGKEFNRLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGI
+IDSTVGEHRQVVAVTGDGTNDGPALKKAD
+>P23634_PF00689_868 <unknown description>
+SPLKAVQMLWVNLIMDTFASLALATEPPTESLLKRRPYGRNKPLISRTMMKNILGHAFYQ
+LIVIFILVFAGEKFFDIDSGRKAPLHSPPSQHYTIVFNTFVLMQLFNEINSRKIHGEKNV
+FSGIYRNIIFCSVVLGTFICQIFIVEFGGKPFSCTSLSLSQWLWCLFIGIGELLWGQFI
+>P23634_PF12424_1089 <unknown description>
+GQILWFRGLNRIQTQIKVVKAFHSSLHESIQKPYNQKSIHSFMTHPE
+>P98194_PF00690_27 <unknown description>
+SELPVSEVASILQADLQNGLNKCEVSHRRAFHGWNEFDISEDEPLWKKYISQFKNPLIML
+LLASAVIS
+>P98194_PF00122_133 <unknown description>
+KLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSIDESSLTGET
+TPCSKVTAPQPAATNGDLASRSNIAFMGTLVRCGKAKGVVIGTGENSEFGEVFKMMQAEE
+APKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPI
+VVTVTLALGVMRMVKK
+>P98194_PF00702_345 <unknown description>
+NVICSDKTGTLTKNEMTVTHIFTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRI
+VEAGCVCNDAVIRNNTLMGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVK
+CVHRTQQDRPEICFMKGAYEQVIKYCTTYQSKGQTLTLTQQQRDVYQQEKARMGSAGLRV
+LALASGPELGQLTFLGLVGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAVAIASRL
+GLYSKTSQSVSGEEIDAMDVQQLSQIVPKVAVFYRASPRHKMKIIKSLQKNGSVVAMTGD
+GVNDAVALKAAD
+>P98194_PF00689_726 <unknown description>
+PNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSII
+IVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNRMFC
+YAVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVAEIIKK
+>O75185_PF00690_62 <unknown description>
+KEDLARAFCVDLHTGLSEFSVTQRRLAHGWNEFVADNSEPVWKKYLDQFKNPLILLLLGS
+ALVS
+>O75185_PF00122_164 <unknown description>
+KLVPPECNCLREGKLQHLLARELVPGDVVSLSIGDRIPADIRLTEVTDLLVDESSFTGEA
+EPCSKTDSPLTGGGDLTTLSNIVFMGTLVQYGRGQGVVIGTGESSQFGEVFKMMQAEETP
+KTPLQKSMDRLGKQLTLFSFGIIGLIMLIGWSQGKQLLSMFTIGVSLAVAAIPEGLPIVV
+MVTLVLGVLRMAKK
+>O75185_PF00702_375 <unknown description>
+VLCSDKTGTLTANEMTVTQLVTSDGLRAEVSGVGYDGQGTVCLLPSKEVIKEFSNVSVGK
+LVEAGCVANNAVIRKNAVMGQPTEGALMALAMKMDLSDIKNSYIRKKEIPFSSEQKWMAV
+KCSLKTEDQEDIYFMKGALEEVIRYCTMYNNGGIPLPLTPQQRSFCLQEEKRMGSLGLRV
+LALASGPELGRLTFLGLVGIIDPPRVGVKEAVQVLSESGVSVKMITGDALETALAIGRNI
+GLCNGKLQAMSGEEVDSVEKGELADRVGKVSVFFRTSPKHKLKIIKALQESGAIVAMTGD
+GVNDAVALKSAD
+>O75185_PF00689_756 <unknown description>
+PSPLNAMQILWINIIMDGPPAQSLGVEPVDKDAFRQPPRSVRDTILSRALILKILMSAAI
+IISGTLFIFWKEMPEDRASTPRTTTMTFTCFVFFDLFNALTCRSQTKLIFEIGFLRNHMF
+LYSVLGSILGQLAVIYIPPLQRVFQTENLGALDLLFLTGLASSVFILSELLKL
+>Q8TBG4_PF00202_31 <unknown description>
+IKIVRAQRQYMFDENGEQYLDCINNVAHVGHCHPGVVKAALKQMELLNTNSRFLHDNIVE
+YAKRLSATLPEKLSVCYFTNSGSEANDLALRLARQFRGHQDVITLDHAYHGHLSSLIEIS
+PYKFQKGKDVKKEFVHVAPTPDTYRGKYREDHADSASAYADEVKKIIEDAHNSGRKIAAF
+IAESMQSCGGQIIPPAGYFQKVAEYVHGAGGVFIADEVQVGFGRVGKHFWSFQMYGEDFV
+PDIVTMGKPMGNGHPVACVVTTKEIAEAFSSSGMEYFNTYGGNPVSCAVGLAVLDIIENE
+DLQGNAKRVGNYLTELLKKQKAKHTLIGDIRGIGLFIGIDLVKDHLKRTPATAEAQHIIY
+KMKEKRVLLSADGPHRNVLKIKPPMCFTEEDAKFMVDQLDRIL
+>Q8IUZ5_PF00202_32 <unknown description>
+VKIVRAQGQYMYDEQGAEYIDCISNVAHVGHCHPLVVQAAHEQNQVLNTNSRYLHDNIVD
+YAQRLSETLPEQLCVFYFLNSGSEANDLALRLARHYTGHQDVVVLDHAYHGHLSSLIDIS
+PYKFRNLDGQKEWVHVAPLPDTYRGPYREDHPNPAMAYANEVKRVVSSAQEKGRKIAAFF
+AESLPSVGGQIIPPAGYFSQVAEHIRKAGGVFVADEIQVGFGRVGKHFWAFQLQGKDFVP
+DIVTMGKSIGNGHPVACVAATQPVARAFEATGVEYFNTFGGSPVSCAVGLAVLNVLEKEQ
+LQDHATSVGSFLMQLLGQQKIKHPIVGDVRGVGLFIGVDLIKDEATRTPATEEAAYLVSR
+LKENYVLLSTDGPGRNILKFKPPMCFSLDNARQVVAKLDAIL
+>P24539_PF05405_83 <unknown description>
+LILYALSKEIYVISAETFTALSVLGVMVYGIKKYGPFVADFADKLNEQKLAQLEEAKQAS
+IQHIQNAIDTEKSQQALVQKRHYLFDVQRNNIAMALEVTYRERLYRVYKEVKNRLDYHIS
+VQNMMRRKEQEHMINWVEKHVVQSISTQQEKETIAKCIADLK
+>P05496_PF00137_70 <unknown description>
+IGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVA
+FLI
+>Q06055_PF00137_75 <unknown description>
+IGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVA
+FLI
+>P48201_PF00137_76 <unknown description>
+IGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVA
+FLI
+>Q7Z4Y8_PF04718_11 <unknown description>
+KTPALVNAAVTYLKPRLAAFWYYTTVELVPPTPAEIPRAIQSLKKIVSSAQTGSFKQLTV
+KEALLNGLVATEVSTWFYVREITGKRGIIG
+>Q9ULK2_PF08313_277 <unknown description>
+KNSNKPYRRLSEREFDPNKHCGVLDPETKKPCTRSLTCKTHSLSHRRAVPGRKKQFDLLL
+AEHKAKSR
+>Q14CW9_PF08209_80 <unknown description>
+KSKECVCPNCSRSIAASRFAPHLEKCLGMGRNS
+>Q14CW9_PF08313_207 <unknown description>
+TQCGVISEHTKKMCTRSLRCPQHTDEQRRTV
+>Q9Y2Q0_PF16209_41 <unknown description>
+INQPQLTKFCNNHVSTAKYNIITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYT
+TL
+>Q9Y2Q0_PF00122_131 <unknown description>
+NKKQTQVLRNGAWEIVHWEKVAVGEIVKVTNGEHLPADLISLSSSEPQAMCYIETSNLDG
+ETNLKIRQGLPATSDIKDVDSLMRISGRIECESPNRHLYDFVGNIRLDGHGTVPLGADQI
+LLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILILFCILIAMSL
+VCSVGSAIWN
+>Q9Y2Q0_PF13246_486 <unknown description>
+VCHTAVPEREGDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEERYELLN
+VLEFTSARKRMSVIVRTPSGKLRLYCKGADTVIYDRL
+>Q9Y2Q0_PF16212_827 <unknown description>
+ANSSDYSIAQFKYLKNLLMIHGAWNYNRVSKCILYCFYKNIVLYIIEIWFAFVNGFSGQI
+LFERWCIGLYNVMFTAMPPLTLGIFERSCRKENMLKYPELYKTSQNALDFNTKVFWVHCL
+NGLFHSVILFWFPLKALQYGTAFGNGKTSDYLLLGNFVYTFVVITVCLKAGLETSYWTWF
+SHIAIWGSIALWVVFFGIYSSLWPAIPMAPDMSGEAAMLFSSGVFWMGLLFIPVASLLLD
+VVYKVIKRTAFK
+>Q9NTI2_PF16209_58 <unknown description>
+IYLNQPHLNKFRDNQISTAKYSVLTFLPRFLYEQIRRAANAFFLFIALLQQIPDVSPTGR
+YTTL
+>Q9NTI2_PF00122_150 <unknown description>
+NKKKTIVLRNGMWHTIMWKEVAVGDIVKVVNGQYLPADVVLLSSSEPQAMCYVETANLDG
+ETNLKIRQGLSHTADMQTREVLMKLSGTIECEGPNRHLYDFTGNLNLDGKSLVALGPDQI
+LLRGTQLRNTQWVFGIVVYTGHDTKLMQNSTKAPLKRSNVEKVTNVQILVLFGILLVMAL
+VSSAGALYWNRSHG
+>Q9NTI2_PF13246_513 <unknown description>
+EKDGDNIIYQASSPDEAALVKGAKKLGFVFTARTPFSVIIEAMGQEQTFGILNVLEFSSD
+RKRMSVIVRTPSGRLRLYCKGADNVIFERLS
+>Q9NTI2_PF16212_848 <unknown description>
+NNSDYAIAQFSYLEKLLLVHGAWSYNRVTKCILYCFYKNVVLYIIELWFAFVNGFSGQIL
+FERWCIGLYNVIFTALPPFTLGIFERSCTQESMLRFPQLYKITQNGEGFNTKVFWGHCIN
+ALVHSLILFWFPMKALEHDTVLTSGHATDYLFVGNIVYTYVVVTVCLKAGLETTAWTKFS
+HLAVWGSMLTWLVFFGIYSTIWPTIPIAPDMRGQATMVLSSAHFWLGLFLVPTACLIEDV
+AWRAAKHTCKK
+>O43520_PF16209_66 <unknown description>
+VKANDRKYHEQPHFMNTKFLCIKESKYANNAIKTYKYNAFTFIPMNLFEQFKRAANLYFL
+ALLILQAVPQISTLAWYTTL
+>O43520_PF00122_173 <unknown description>
+INNRTCEVIKDGRFKVAKWKEIQVGDVIRLKKNDFVPADILLLSSSEPNSLCYVETAELD
+GETNLKFKMSLEITDQYLQREDTLATFDGFIECEEPNNRLDKFTGTLFWRNTSFPLDADK
+ILLRGCVIRNTDFCHGLVIFAGADTKIMKNSGKTRFKRTKIDYLMNYMVYTIFVVLILLS
+AGLAIGHAYWEAQVGNSSWYLYDGEDDTPSYRGFLIFWGYIIVLNTMVPISLYVSV
+>O43520_PF13246_537 <unknown description>
+VMVDRTDGQLNYQAASPDEGALVNAARNFGFAFLARTQNTITISELGTERTYNVLAILDF
+NSDRKRMSIIVRTPEGNIKLYCKGADTVIYERL
+>O43520_PF16212_919 <unknown description>
+VMSSDYSFAQFRYLQRLLLVHGRWSYIRMCKFLRYFFYKNFAFTLVHFWYSFFNGYSAQT
+AYEDWFITLYNVLYTSLPVLLMGLLDQDVSDKLSLRFPGLYIVGQRDLLFNYKRFFVSLL
+HGVLTSMILFFIPLGAYLQTVGQDGEAPSDYQSFAVTIASALVITVNFQIGLDTSYWTFV
+NAFSIFGSIALYFGIMFDFHSAGIHVLFPSAFQFTGTASNALRQPYIWLTIILAVAVCLL
+PVVAIRFLSMTIWPS
+>O60423_PF16209_110 <unknown description>
+QANNRAYNGQFKEKVILCWQRKKYKTNVIRTAKYNFYSFLPLNLYEQFHRVSNLFFLIII
+ILQSIPDISTLPWFS
+>O60423_PF00122_217 <unknown description>
+RPCQILMGKSFKQKKWQDLCVGDVVCLRKDNIVPADMLLLASTEPSSLCYVETVDIDGET
+NLKFRQALMVTHKELATIKKMASFQGTVTCEAPNSRMHHFVGCLEWNDKKYSLDIGNLLL
+RGCRIRNTDTCYGLVIYAGFDTKIMKNCGKIHLKRTKLDLLMNKLVVVIFISVVLVCLVL
+AFGFGFSVKEFKDHHYYLSGVHGSSVAAESFFVFWSFLILLSVTIPMSMFILS
+>O60423_PF13246_590 <unknown description>
+QAASPDEGALVTAARNFGYVFLSRTQDTVTIMELGEERVYQVLAIMDFNSTRKRMSVLVR
+KPEGAICLYTKGADTVIFERL
+>O60423_PF00702_683 <unknown description>
+ALAAFAQETLRTLCLAYREVAEDIYEDWQQRHQEASLLLQNRAQALQQLLGATAIEDRLQ
+DGVPETIKCLKKSNIKIWVLTGDKQETAVN
+>O60423_PF16212_965 <unknown description>
+VQNSDFVLGQFCFLQRLLLVHGRWSYVRICKFLRYFFYKSMASMMVQVWFACYNGFTGQP
+LYEGWFLALFNLLYSTLPVLYIGLFEQDVSAEQSLEKPELYVVGQKDELFNYWVFVQAIA
+HGVTTSLVNFFMTLWISRDTAGPASFSDHQSFAVVVALSCLLSITMEVILIIKYWTALCV
+ATILLSLGFYAIMTTTTQSFWLFRVSPTTFPFLYADLSVMSSPSILLVVLLSVSINTFPV
+LALRVI
+>Q8TF62_PF16209_15 <unknown description>
+VKANDREYNEKFQYADNRIHTSKYNILTFLPINLFEQFQRVANAYFLCLLILQLIPEISS
+LTWFTTI
+>Q8TF62_PF13246_473 <unknown description>
+LCHTVMSEENSAGELIYQVQSPDEGALVTAARNFGFIFKSRTPETITIEELGTLVTYQLL
+AFLDFNNTRKRMSVIVRNPEGQIKLYSKGADTILFEK
+>Q8TF62_PF16212_841 <unknown description>
+VLASDYSFAQFRYLQRLLLVHGRWSYFRMCKFLCYFFYKNFAFTLVHFWFGFFCGFSAQT
+VYDQWFITLFNIVYTSLPVLAMGIFDQDVSDQNSVDCPQLYKPGQLNLLFNKRKFFICVL
+HGIYTSLVLFFIPYGAFYNVAGEDGQHIADYQSFAVTMATSLVIVVSVQIALDTSYWTFI
+NHVFIWGSIAIYFSILFTMHSNGIFGIFPNQFPFVGNARHSLTQKCIWLVILLTTVASVM
+PVVAFRFLKVDLYPT
+>Q8NBU5_PF00004_129 <unknown description>
+VLLYGPPGCGKTLIAKATAKEAGCRFINLQPSTLTDKWYGESQKLAAAVFSLAIKLQPSI
+IFIDEIDSFLRNRSSSDHEATAMMKAQFMSLWDGLDTDHSCQVIVMGATNRPQDLDSAIM
+RRMPTRFHI
+>Q8NBU5_PF17862_282 <unknown description>
+VDLLEVAQETDGFSGSDLKEMCRDAALLCVREYVNSTSEESH
+>Q6PL18_PF00004_464 <unknown description>
+LFYGPPGTGKTLVARALANECSQGDKRVAFFMRKGADCLSKWVGESERQLRLLFDQAYQM
+RPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDSRGEIVVIGATNRLDSIDPA
+LRRPGRFDREFLFS
+>Q6PL18_PF17862_624 <unknown description>
+LEELAENCVGYCGADIKSICAEAALCALRRRYPQIY
+>Q6PL18_PF00439_1003 <unknown description>
+DKRFRVFTKPVDPDEVPDYVTVIKQPMDLSSVISKIDLHKYLTVKDYLRDIDLICSNALE
+YNPDR
+>Q96QE3_PF00004_1128 <unknown description>
+VLITGPTGVGKTAAVYACAQELGFKIFEVNASSQRSGRQILSQLKEATQSHQVDKQGVNS
+QKPCFFNSYYIGKSPKKI
+>Q5SQI0_PF05301_23 <unknown description>
+ESVDLQQQIMTIIDELGKASAKAQNLSAPITSASRMQSNRHVVYILKDSSARPAGKGAII
+GFIKVGYKKLFVLDDREAHNEVEPLCILDFYIHESVQRHGHGRELFQYMLQKERVEPHQL
+AIDRPSQKLLKFLNKHYNLETTVPQVNNFVIFEGFF
+>Q86WG3_PF12496_59 <unknown description>
+KRKTLVAPEINISLDQSEGSLLSDDFLDTPDDLDINVDDIETPDETDSLEFLGNGNELEW
+EDDTPVATAKNMPGDSADLFGDGTTEDGSAANGRLWRTVIIGEQEHRIDLHMIRPYMKVV
+THGGYYGEG
+>Q86WG3_PF13716_190 <unknown description>
+AIIVFAACFLPDSSLPDYHYIMENLFLYVISSLELLVAEDYMIVYLNGATPRRRMPGIGW
+LKKCYQMIDRRLRKNLKSLIIVHPSWFIRTVLAISRPFISVKFINKIQYVHSLEDLEQLI
+PMEHVQIPDCVLQYEEE
+>Q9ULI0_PF00004_438 <unknown description>
+LFYGPPGTGKTLVARALANECSQGDKKVAFFMRKGADCLSKWVGESERQLRLLFDQAYLM
+RPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDNRGEIVVIGATNRLDSIDPA
+LRRPGRFDREFLFN
+>Q9ULI0_PF17862_598 <unknown description>
+LGELAEKCVGYCGADIKALCTEAALIALRRRYPQIY
+>Q9ULI0_PF00439_972 <unknown description>
+KRLATDKRFNIFSKPVDIEEVSDYLEVIKEPMDLSTVITKIDKHNYLTAKDFLKDIDLIC
+SNALEYNPDK
+>Q9NVI7_PF12037_41 <unknown description>
+PAPKDKWSNFDPTGLERAAKAARELEHSRYAKDALNLAQMQEQTLQLEQQSKLKEYEAAV
+EQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQE
+ESVQKQEAMRRATVEREMELRHKNEMLRVEAEARARAKAERENADIIREQIRLKAAEHRQ
+TVLESIRTAGTLFGEGFRAFVTDWDKVTATVAGLTLLAVGVYSAKNATLVAGRFIEARLG
+KPSLVR
+>Q9NVI7_PF00004_348 <unknown description>
+ILMYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLL
+LFVDEADAFLRKRATEKISEDLRATLNAFLYRTGQHSNKFMLVLASNQPEQFDWAINDRI
+NEMVHFD
+>Q5T9A4_PF12037_41 <unknown description>
+PAPKDKWSNFDPTGLERAAKAARELEHSRYAKEALNLAQMQEQTLQLEQQSKLKEYEAAV
+EQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQE
+ESVQKQEAMRRATVEREMELRHKNEMLRVETEARARAKAERENADIIREQIRLKASEHRQ
+TVLESIRTAGTLFGEGFRAFVTDRDKVTATVAGLTLLAVGVYSAKNATAVTGRFIEARLG
+KPSLVR
+>Q5T9A4_PF00004_348 <unknown description>
+ILLYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLL
+LFMDEADAFLRKRATEEISKDLRATLNAFLYHMGQHSNKFMLVLASNLPEQFDCAINSRI
+DVMVHFD
+>Q5T2N8_PF12037_32 <unknown description>
+LRKQEESVQKHHQTFLESIRAAGTLFGEGFRAFVTDRDKVTATVAGLTLLAVGVYSAKNA
+TAVTGRYIEARLGKPSLVR
+>Q5T2N8_PF00004_173 <unknown description>
+ILLYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLL
+LFVDEADAFLRKRATEKISEDLRATLNAFLYRTGQHSNKFMLILASCHPEQFDWAINACI
+DVMVHFD
+>O95260_PF04376_22 <unknown description>
+RCGYCKNESGSRSNGMWAHSMTVQDYQDLIDRGWRRSGKYVYKPVMNQTCCPQYTIRCRP
+LQFQPSKSHKK
+>O95260_PF04377_290 <unknown description>
+ESYQVYKRYQMVIHKNPPDTPTESQFTRFLCSSPLEAETPPNGPDCGYGSFHQQYWLDGK
+IIAVGVIDILPNCVSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFY
+IHSCPKMKYKGQYRPSDLLC
+>P18846_PF02173_43 <unknown description>
+DSSDSIGSSQKAHGILARRPSYRKILKDLSSEDTRGRKGD
+>P18846_PF00170_212 <unknown description>
+PQLKREIRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKTLKDLYSN
+>P15336_PF00170_354 <unknown description>
+KRRKFLERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQL
+>P18847_PF00170_85 <unknown description>
+EDERKKRRRERNKIAAAKCRNKKKEKTECLQKESEKLESVNAELKAQIEELKNEKQHL
+>P18848_PF00170_276 <unknown description>
+EKLDKKLKKMEQNKTAATRYRQKKRAEQEALTGECKELEKKNEALKERADSLAKEIQYLK
+DL
+>Q9Y2D1_PF00170_209 <unknown description>
+DRKQKKRDQNKSAALRYRQRKRAEGEALEGECQGLEARNRELKERAESVEREIQYVKD
+>P18850_PF00170_307 <unknown description>
+LRRQQRMIKNRESACQSRKKKKEYMLGLEARLKAALSENEQLKKENGTLKRQLDEVVSEN
+>Q99941_PF00170_324 <unknown description>
+KLLKRQQRMIKNRESACQSRRKKKEYLQGLEARLQAVLADNQQLRRENAALRRRLEALLA
+EN
+>P17544_PF00170_334 <unknown description>
+RRQRFLERNRAAASRCRQKRKLWVSSLEKKAEELTSQNIQLSNEVTLLRNEVAQLKQL
+>Q9H0Y0_PF03987_12 <unknown description>
+FQRYCAEFIKHSQQIGDSWEWRPSKDCSDGYMCKIHFQIKNGSVMSHLGASTHGQTCLPM
+EEAFELPLDDCEVIETAAASEVIKYEYHVLYSCSYQVPVLYFRASFLDGRPLTLKDIWEG
+VHECYKMRLLQGPWDTITQQEHPILGQPFFVLHPCKTNEFMTPVLKNSQKINKNVNYITS
+WLSIVGPVVGLNLP
+>O94817_PF04110_54 <unknown description>
+KIDILLKAVGDTPIMKTKKWAVERTRTIQGLIDFIKKFLKLVASEQLFIYVNQSFAPSPD
+QEVGTLYECFGSDGKLVLHYCKSQAWG
+>O75143_PF10033_89 <unknown description>
+SEGDSMELEIWCLEMNEKCDKEIKVSYTVYNRLSLLLKSLLAITRVTPAYRLSRKQGHEY
+VILYRIYFGEVQLSGLGEGFQTVRVGTVGTPVGTITLSCAYRINLAF
+>Q2TAZ0_PF12624_14 <unknown description>
+ERVCRYLLHHYLGHFFQEHLSLDQLSLDLYKGSVALRDIHLEIWSVNEVLESMESPLELV
+EGFVGSIEVAVPWAALLTDHCTVRVSGLQLTLQPRRGP
+>Q2TAZ0_PF09333_1839 <unknown description>
+RRGQQPADLREGVAKAYDTVREGILDTAQTICDVASRGHEQKGLTGAVGGVIRQLPPTVV
+KPLILATEATSSLLGGMRNQIVPDAHKDHALKWR
+>Q96BY7_PF12624_13 <unknown description>
+ACRYLLQRYLGHFLQEKLSLEQLSLDLYQGTGSLAQVPLDKWCLNEILESADAPLEVTEG
+FIQSISLSVPWGSLLQDNCALEVRGLEMVFRPRPRP
+>Q96BY7_PF09333_1981 <unknown description>
+RLAHQPVDLREGVAKAYSVVKEGITDTAQTIYETAAREHESRGVTGAVGEVLRQIPPAVV
+KPLIVATEATSNVLGGMRNQIRPDVRQDESQKWR
+>Q9NT62_PF03987_38 <unknown description>
+EFVAAGDHLVHHCPTWQWATGEELKVKAYLPTGKQFLVTKNVPCYKRCKQMEYSDELEAI
+IEEDDGDGGWVDTYHNTGITGITEAVKEITLENKDNIRLQDCSALCEEEEDEDEGEAADM
+EEYEESGLLETDEATLDTRKIVEACKAKTDAGGEDAILQTRTYDLYITYDKYYQTPRLWL
+FGYDEQRQPLTVEHMYEDISQDHVKKTVTIENHPHLPPPPMCSVHPCRHAEVMKKIIETV
+AEGGGELGVHMYLLIFLKFVQAVIPTIEYDY
+>Q8WYN0_PF03416_43 <unknown description>
+SKLLSDISARLWFTYRRKFSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWSWE
+KQKEQPKEYQRILQCFLDRKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVLKKLALFD
+EWNSLAVYVSMDNTVVIEDIKKMCRVLPLSADTAGDRPPDSLTASNQSKGTSAYCSAWKP
+LLLIVPLRLGINQINPVYVDAFKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHT
+TQTFVDTEENGTVNDQTFHCLQSPQRMNILNLDPSVALGFFCKEEKDFDNWCS
+>Q9Y4P1_PF03416_40 <unknown description>
+DEILSDVASRLWFTYRKNFPAIGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWT
+QRKRQPDSYFSVLNAFIDRKDSYYSIHQIAQMGVGEGKSIGQWYGPNTVAQVLKKLAVFD
+TWSSLAVHIAMDNTVVMEEIRRLCRTSVPCAGATAFPADSDRHCNGFPAGAEVTNRPSPW
+RPLVLLIPLRLGLTDINEAYVETLKHCFMMPQSLGVIGGKPNSAHYFIGYVGEELIYLDP
+HTTQPAVEPTDGCFIPDESFHCQHPPCRMSIAELDPSIAVGFFCKTEDDFNDWCQ
+>Q96DT6_PF03416_77 <unknown description>
+EEFRKDFISRIWLTYREEFPQIEGSALTTDCGWGCTLRTGQMLLAQGLILHFLGRAWTWP
+DALNIENSDSESWTSHTVKKFTASFEASLSGEREFKTPTISLKETIGKYSDDHEMRNEVY
+HRKIISWFGDSPLALFGLHQLIEYGKKSGKKAGDWYGPAVVAHILRKAVEEARHPDLQGI
+TIYVAQDCTVYNSDVIDKQSASMTSDNADDKAVIILVPVRLGGERTNTDYLEFVKGILSL
+EYCVGIIGGKPKQSYYFAGFQDDSLIYMDPHYCQSFVDVSIKDFPLETFHCPSPKKMSFR
+KMDPSCTIGFYCRNVQDFKRA
+>Q86TL0_PF03416_110 <unknown description>
+QRFQRDFVSRLWLTYRRDFPPLPGGCLTSDCGWGCMLRSGQMMLAQGLLLHFLPRDWTWA
+EGMGLGPPELSGSASPSRYHGPARWMPPRWAQGAPELEQERRHRQIVSWFADHPRAPFGL
+HRLVELGQSSGKKAGDWYGPSLVAHILRKAVESCSDVTRLVVYVSQDCTVYKADVARLVA
+RPDPTAEWKSVVILVPVRLGGETLNPVYVPCVKELLRCELCLGIMGGKPRHSLYFIGYQD
+DFLLYLDPHYCQPTVDVSQADFPLESFHCTSPRKMAFAKMDPSCTVGFYAGDRKEFETLC
+S
+>Q9H1Y0_PF04106_79 <unknown description>
+WHYPIGLLFDLLASSSALPWNITVHFKSFPEKDLLHCPSKDAIEAHFMSCMKEADALKHK
+SQVINEMQKKDHKQLWMGLQNDRFDQFWAINRKLMEYPAEENGFRYIPFRIYQTTTERPF
+IQKLFRPVAADGQLHTLGDLLKEVCPSAIDPEDGEKKNQVMIHGIEPMLETPLQWLSEHL
+SYPDNFLHISI
+>O95352_PF16420_13 <unknown description>
+LQFAPFSSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPARLTLEFSAFDM
+SAPTPARCCPAIGTLYNTNTLESFKTADKKLLLEQAANEIWESIKSGTALENPVLLNKFL
+LLTFADLKKYHFYYWFCYPALCLPESLPLIQGPVGLDQRFSLKQIEALECAYDNLCQTEG
+VTALPYFLIKYDENMVLVSLLKHYSDFFQGQRTKITIGVYDPCNLAQYPGWPLRNFLVLA
+AHRWSSSFQSVEVVCFRDRTMQGARDVAHSIIFEVKLPEMAFSPDCPKAVGWEKNQKGGM
+GPRMVNLSECM
+>O95352_PF00899_336 <unknown description>
+NLKLMCWRLVPTLDLDKVVSVKCLLLGAGTLGCNVARTLMGWGVRHITFVDNAKISYSNP
+VRQPLYEFEDCLGGGKPKALAAADRLQKIFPGVNARGFNMSIPMPGHPVNFSSVTLEQAR
+RDVEQLEQLIESHDVVFLLMDTRESRWLPAVIAASKRKLVINAALGFDTFVVMRHGLKKP
+KQQGAGDLCPNHPVASADLLGSSLFANIPGYKLGCYFCNDVVAPGDSTRDRTLDQQCTVS
+RPGLAVIAGALAVELMVSVLQHPEGGYAIASSSDDRMNEPPTSLGLVPHQIRGFLSRFDN
+VLPVSLAFDKCT
+>Q7Z3C6_PF04109_37 <unknown description>
+WHHIENLDLFFSRVYNLHQKNGFTCMLIGEIFELMQFLFVVAFTTFLVSCVDYDILFANK
+MVNHSLHPTEPVKVTLPDAFLPAQVCSARIQENGSLITILVIAGVFWIHRLIKFIYNICC
+YWEIHSFYLHALRIPMSALPYCTWQEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQ
+NYMVALVNKSLLPLRFRLPGLGEAVFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRG
+GQRLELAQRLSNRILWIGIANFLLCPLILIWQILYAFFSYAEVLKREPGALGARCWSLYG
+RCYLRHFNELEHELQSRLNRGYKPASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTI
+YDEDVLAVEHVLTTVTLLGVTVTVCRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNA
+HRSQTRDEFAQLFQYKAVFILEELLSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVG
+DTCSFAQMD
+>Q674R7_PF04109_185 <unknown description>
+SWHHIQNLDSFFTKIYSYHQRNGFACILLEDVFQLGQFIFIVTFTTFLLRCVDYNVLFAN
+QPSNHTRPGPFHSKVTLSDAILPSAQCAERIRSSPLLVLLLVLAAGFWLVQLLRSVCNLF
+SYWDIQVFYREALHIPPEELSSVPWAEVQSRLLALQRSGGLCVQPRPLTELDIHHRILRY
+TNYQVALANKGLLPARCPLPWGGSAAFLSRGLALNVDLLLFRGPFSLFRGGWELPHAYKR
+SDQRGALAARWGRTVLLLAALNLALSPLVLAWQVLHVFYSHVELLRREPGALGARGWSRL
+ARLQLRHFNELPHELRARLARAYRPAAAFLRTAAPPAPLRTLLARQLVFFAGALFAALLV
+LTVYDEDVLAVEHVLTAMTALGVTATVARSFIPEEQCQGRAPQLLLQTALAHMHYLPEEP
+GPGGRDRAYRQMAQLLQYRAVSLLEELLSPLLTPLFLLFWFRPRALEIIDFFHHFTVDVA
+GVGDICSFALMD
+>Q9BSB4_PF07855_9 <unknown description>
+EVSVEGRQVEEAMLAVLHTVLLHRSTGKFHYKKEGTYSIGTVGTQDVDCDFIDFTYVRVS
+SEELDRALRKVVGEFKDALRNSGGDGLGQMSLEFYQKKKSRWPFSDECIPWEVWTVKVHV
+VALATEQERQICREKVGEKLCEKIINIVEVMNRHEYLPKMPTQ
+>Q9UII2_PF04568_35 <unknown description>
+RGAGSIREAGGAFGKREQAEEERYFRAQSREQLAALKKHHEEEIVHHKKEIERLQKEIER
+>Q8N6G6_PF00090_38 <unknown description>
+AWGPWSECSRTCGGGASYSLRRCLSSKSCEGRNIRYRTCSNVDC
+>Q8N6G6_PF19236_103 <unknown description>
+GQFYEWLPVSNDPDNPCSLKCQAKGTTLVVELAPKVLDGTRCYTESLDMCISGLCQIVGC
+DHQLGSTVKEDNCGVCNGDGSTC
+>Q8N6G6_PF05986_188 <unknown description>
+VRGQYKSQLSATKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENSLSSTGTF
+LVDNSSVDFQKFPDKEILRMAGPLTADFIVKIRNSGSADSTVQFIFYQP
+>Q8N6G6_PF19030_301 <unknown description>
+WRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENIKPKPKLQECNLDPC
+>Q8N6G6_PF19030_380 <unknown description>
+WEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKCMYTPKMPIAQPCNIFDC
+>Q8N6G6_PF19030_440 <unknown description>
+WLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSPKTKPHIKEECIV
+>Q8N6G6_PF19030_528 <unknown description>
+PEAWSACTVTCGVGTQVRIVRCQVLLSFSQSVADLPIDECEGPKPASQRACYAGPC
+>Q8N6G6_PF19030_611 <unknown description>
+WEYEGFTKCSESCGGGVQEAVVSCLNKQTREPAEENLCVTSRRPPQLLKSCNLDPC
+>Q8N6G6_PF19030_670 <unknown description>
+WEIGKWSPCSLTCGVGLQTRDVFCSHLLSREMNETVILADELCRQPKPSTVQACNRFNC
+>Q8N6G6_PF19030_732 <unknown description>
+WYPAQWQPCSRTCGGGVQKREVLCKQRMADGSFLELPETFCSASKPACQQACKKDDC
+>Q8N6G6_PF19030_792 <unknown description>
+WLLSDWTECSTSCGEGTQTRSAICRKMLKTGLSTVVNSTLCPPLPFSSSIRPCMLATC
+>Q8N6G6_PF13927_892 <unknown description>
+KTAVVLRCPARRVRKPLITWEKDGQHLISSTHVTVAPFGYLKIHRLKPSDAGVYTCSA
+>Q8N6G6_PF07679_1197 <unknown description>
+SVLLHCEAIGHPRPTISWARNGEEVQFSDRILLQPDDSLQILAPVEADVGFYTCNATNAL
+GYDSVSIAVT
+>Q8N6G6_PF13927_1285 <unknown description>
+KPAVTVDIGSTIKTVQGVNVTINCQVAGVPEAEVTWFRNKSKLGSPHHLHEGSLLLTNVS
+SSDQGLYSCRAAN
+>Q8N6G6_PF07679_1404 <unknown description>
+TQLVLDPGNSALLGCPIKGHPVPNITWFHGGQPIVTATGLTHHILAAGQILQVANLSGGS
+QGEFSCLAQNEAGVLMQKASLVI
+>Q8N6G6_PF19030_1549 <unknown description>
+WMVTSWSACTRSCGGGVQTRRVTCQKLKASGISTPVSNDMCTQVAKRPVDTQACNQQLC
+>Q8N6G6_PF19030_1610 <unknown description>
+WAFSSWGQCNGPCIGPHLAVQHRQVFCQTRDGITLPSEQCSALPRPVSTQNCWSEAC
+>Q8N6G6_PF19030_1670 <unknown description>
+WRVSLWTLCTATCGNYGFQSRRVECVHARTNKAVPEHLCSWGPRPANWQRCNITPC
+>Q8N6G6_PF08686_1730 <unknown description>
+CRDTTRYCEKVKQLKLCQLSQFKSRCCGTC
+>Q86TH1_PF00090_51 <unknown description>
+GEWTKWTACSRSCGGGVTSQERHCLQQRRKSVPGPGNRTCTGTSKRYQLCRVQEC
+>Q86TH1_PF05986_216 <unknown description>
+VTGNYRKGNAHLGYSLVTHIPAGARDIQIVERKKSADVLALADEAGYYFFNGNYKVDSPK
+NFNIAGTVVKYRRPMDVYETGIEYIVAQGPTNQGLNVMVWNQNGKSPSITFEYTL
+>Q86TH1_PF19030_626 <unknown description>
+WETSSWSECSRTCGEGYQFRVVRCWKMLSPGFDSSVYSDLCEAAEAVRPEERKTCRNPAC
+>Q86TH1_PF19030_741 <unknown description>
+WTVSDWGPCSGSCGQGRTIRHVYCKTSDGRVVPESQCQMETKPLAIHPCGDKNC
+>Q86TH1_PF19030_798 <unknown description>
+WLAQDWERCNTTCGRGVKKRLVLCMELANGKPQTRSGPECGLAKKPPEESTCFERPC
+>Q86TH1_PF19030_857 <unknown description>
+WYTSPWSECTKTCGVGVRMRDVKCYQGTDIVRGCDPLVKPVGRQACDLQPC
+>Q86TH1_PF08686_916 <unknown description>
+CQDQPGTNCALAIKVNLCGHWYYSKACCRSCR
+>P82987_PF00090_80 <unknown description>
+AWGDWSDCSRTCGGGASYSLRRCLTGRNCEGQNIRYKTCSNHDC
+>P82987_PF19236_155 <unknown description>
+NDPAAPCALKCHAQGQNLVVELAPKVLDGTRCNTDSLDMCISGICQAVGCDRQLGSNAKE
+DNCGVCAGDGSTC
+>P82987_PF19030_343 <unknown description>
+WRQTDFFPCTVTCGGGYQLNSAECVDIRLKRVVPDHYCHYYPENVKPKPKLKECSMDPC
+>P82987_PF19030_422 <unknown description>
+WEHNPWTACSVSCGGGIQRRSFVCVEESMHGEILQVEEWKCMYAPKPKVMQTCNLFDC
+>P82987_PF19030_482 <unknown description>
+WIAMEWSQCTVTCGRGLRYRVVLCINHRGEHVGGCNPQLKLHIKEECV
+>P82987_PF19030_569 <unknown description>
+IPEPWSACSTTCGPGVQVREVKCRVLLTFTQTETELPEEECEGPKLPTERPCLLEAC
+>P82987_PF19030_648 <unknown description>
+WEYAGFTPCTATCVGGHQEAIAVCLHIQTQQTVNDSLCDMVHRPPAMSQACNTEPC
+>P82987_PF19030_707 <unknown description>
+WHVGSWGPCSATCGVGIQTRDVYCLHPGETPAPPEECRDEKPHALQACNQFDC
+>P82987_PF19030_763 <unknown description>
+WHIEEWQQCSRTCGGGTQNRRVTCRQLLTDGSFLNLSDELCQGPKASSHKSCARTDC
+>P82987_PF19030_825 <unknown description>
+VGDWSKCSVSCGVGIQRRKQVCQRLAAKGRRIPLSEMMCRDLPGLPLVRSCQMPEC
+>P82987_PF07679_1309 <unknown description>
+VEAALGANVTIRCPVKGVPQPNITWLKRGGSLSGNVSLLFNGSLLLQNVSLENEGTYVCI
+ATNALGKAVATSVL
+>P82987_PF19030_1428 <unknown description>
+WEPGNWSHCSATCGHLGARIQRPQCVMANGQEVSEALCDHLQKPLAGFEPCNIRDC
+>P82987_PF19030_1487 <unknown description>
+WFTSVWSQCSVSCGEGYHSRQVTCKRTKANGTVQVVSPRACAPKDRPLGRKPCFGHPC
+>P82987_PF19030_1601 <unknown description>
+WHTGPWKPCTAACGRGFQSRKVDCIHTRSCKPVAKRHCVQKKKPISWRHCLGPSC
+>P82987_PF08686_1659 <unknown description>
+CTDTTHYCMFVKHLNLCSLDRYKQRCCQSCQ
+>Q6UY14_PF00090_48 <unknown description>
+GPWVQWASCSQPCGVGVQRRSRTCQLP
+>Q6UY14_PF19236_402 <unknown description>
+QLYQWEPFTEVQGSQRCELNCRPRGFRFYVRHTEKVQDGTLCQPGAPDICVAGRCLSPGC
+DGILGSGRRPDGCGVCGGDDSTC
+>Q6UY14_PF05986_488 <unknown description>
+SGNLTDRGGPLGYQKILWIPAGALRLQIAQLRPSSNYLALRGPGGRSIINGNWAVDPPGS
+YRAGGTVFRYNRPPREEGKGESLSAEGPTTQPVDVYMIFQEENPGVFYQYVI
+>Q6UY14_PF19030_727 <unknown description>
+WEAGEWTSCSRSCGPGTQHRQLQCRQEFGGGGSSVPPERCGHLPRPNITQSCQLRLC
+>Q6UY14_PF19030_786 <unknown description>
+WEVGSPWSQCSVRCGRGQRSRQVRCVGNNGDEVSEQECASGPPQPPSREACDMGPC
+>Q6UY14_PF19030_845 <unknown description>
+WFHSDWSSKCSAECGTGIQRRSVVCLGSGAALGPGQGEAGAGTGQSCPTGSRPPDMRACS
+LGPC
+>Q6UY14_PF19030_914 <unknown description>
+WYTGPWGECSSECGSGTQRRDIICVSKLGTEFNVTSPSNCSHLPRPPALQPCQGQAC
+>Q6UY14_PF19030_974 <unknown description>
+WFSTPWSPCSRSCQGGTQTREVQCLSTNQTLSTRCPPQLRPSRKRPCNSQPC
+>Q6UY14_PF08686_1033 <unknown description>
+CKDSSPHCPLVVQARLCVYPYYTATCCRSC
+>Q8WXF7_PF02263_43 <unknown description>
+DHSFELDETALNRILLSEAVRDKEVVAVSVAGAFRKGKSFLMDFMLRYMYNQESVDWVGD
+YNEPLTGFSWRGGSERETTGIQIWSEIFLINKPDGKKVAVLLMDTQGTFDSQSTLRDSAT
+VFALSTMISSIQVYNLSQNVQEDDLQHLQLFTEYGRLAMEETFLKPFQSLIFLVRDWSFP
+YEFSYGADGGAKFLEKRLKVSGNQHEELQNVRKHIHSCFTNISCFLLPHPGLKVATNPNF
+DGKLKEIDDEFIKNLKILIPWLLSPESLDI
+>Q8NHH9_PF02263_70 <unknown description>
+DHNFELDEEALEQILLQEHIRDLNIVVVSVAGAFRKGKSFLLDFMLRYMYNKDSQSWIGG
+NNEPLTGFTWRGGCERETTGIQVWNEVFVIDRPNGTKVAVLLMDTQGAFDSQSTIKDCAT
+VFALSTMTSSVQVYNLSQNIQEDDLQHLQLFTEYGRLAMEEIYQKPFQTLMFLIRDWSYP
+YEHSYGLEGGKQFLEKRLQVKQNQHEELQNVRKHIHNCFSNLGCFLLPHPGLKVATNPSF
+DGRLKDIDEDFKRELRNLVPLLLAPENLVEK
+>Q8NHH9_PF02841_344 <unknown description>
+GSKVTCRDLVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAVAGARDTYCKSMEQVCG
+GDKPYIAPSDLERKHLDLKEVAIKQFRSVKKMGGDEFCRRYQDQLEAEIEETYANFIKHN
+D
+>Q6DD88_PF02263_37 <unknown description>
+HSFELDEKALASILLQDHIRDLDVVVVSVAGAFRKGKSFILDFMLRYLYSQKESGHSNWL
+GDPEEPLTGFSWRGGSDPETTGIQIWSEVFTVEKPGGKKVAVVLMDTQGAFDSQSTVKDC
+ATIFALSTMTSSVQIYNLSQNIQEDDLQQLQLFTEYGRLAMDEIFQKPFQTLMFLVRDWS
+FPYEYSYGLQGGMAFLDKRLQVKEHQHEEIQNVRNHIHSCFSDVTCFLLPHPGLQVATSP
+DFDGKLKDIAGEFKEQLQALIPYVLNPSK
+>Q6DD88_PF02841_313 <unknown description>
+GSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAKDIYYNNMEEVCG
+GEKPYLSPDILEEKHCEFKQLALDHFKKTKKMGGKDFSFRYQQELEEEIKELYENFCKHN
+GS
+>Q13315_PF11640_11 <unknown description>
+CCRQLEHDRATERKKEVEKFKRLIRDPETIKHLDRHSDSKQGKYLNWDAVFRFLQKYIQK
+ETECLRIAKPNVSASTQASRQKKMQEISSLVKYFIKCANRRAPRLKCQELLNYIMDTVKD
+SSNGAIYGADCSNILLKDILSVRKYWCEISQQQW
+>Q13315_PF02259_2097 <unknown description>
+ELHYQAAWRNMQWDHCTSVSKEVEGTSYHESLYNALQSLRDREFSTFYESLKYARVKEVE
+EMCKRSLESVYSLYPTLSRLQAIGELESIGELFSRSVTHRQLSEVYIKWQKHSQLLKDSD
+FSFQEPIMALRTVILEILMEKEMDNSQRECIKDILTKHLVELSILARTFKNTQLPERAIF
+QIKQYNSVSCGVSEWQLEEAQVFWAKKEQSLALSILKQMIKKLDASCAANNPSLKLTYTE
+CLRVCGNWLAETCLENPAVIMQTYLEKAVEVAGNYDGESSDELRNGKMKAFLSLARFSDT
+QYQRIENYMKSSEFENKQALLKRAKEEVGLLREHKIQTNRYTVKVQRELELDELALRALK
+EDRKRFLCKAVENYINCLLSGEEHDMWVFRLC
+>Q13315_PF00454_2715 <unknown description>
+LVKGRDDLRQDAVMQQVFQMCNTLLQRNTETRKRKLTICTYKVVPLSQRSGVLEWCTGTV
+PIGEFLVNNEDGAHKRYRPNDFSAFQCQKKMMEVQKKSFEEKYEVFMDVCQNFQPVFRYF
+CMEKFLDPAIWFEKRLAYTRSVATSSIVGYILGLGDRHVQNILINEQSAELVHIDLGVAF
+EQGKILPTPETVPFRLTRDIVDGMGITGVEGVFRRCCEKTMEVMRNSQETLLTIVEVLLY
+DPLFDWT
+>Q13315_PF02260_3026 <unknown description>
+LSVGGQVNLLIQQAIDPKNLSRLFPGWKAW
+>P54259_PF03154_1 <unknown description>
+MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARVE
+EASTPKVNKQGRSEEISESESEETNAPKKTKTEQELPRPQSPSDLDSLDGRSLNDDGSSD
+PRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPQPPDSTPRQPE
+ASFEPHPSVTPTGYHAPMEPPTSRMFQAPPGAPP
+>P54259_PF03154_407 <unknown description>
+SYPHSFPPPTSLSVSNQPPKYTQPSLPSQAVWSQGPPPPPPYGRLLANSNAHPGPFPPST
+GAQSTAHPPVSTHHHHHQQQQQQQQQQQQQQQQQQQHHGNSGPPPPGAFPHPLEGGSSHH
+AHPYAMSPSLGSLRPYPPGPAHLPPPHSQVSYSQAGPNGPPVSSSSNSSSSTSQGSYPCS
+HPSPSQGPQGAPYPFPPVPTVTTSSATLSTVIATVASSPAGYKTASPPGPPPYGKRAPSP
+GAYKTATPPGYKPGSPPSFRTGTPPGYRGTSPPAGPGTFKPGSPTVGPGPLPPAGPSGLP
+SLPPPPAAPASGPPLSATQIKQEPAEEYETPESPVPPARSPSPPPKVVDVPSHASQSARF
+NKHLDRGFNSCARSDLYFVPLEGSKLAKKRADLVEKVRREAEQRAREEKEREREREREKE
+REREKERELERSVKLAQEGRAPVECPSLGPVPHRPPFEPGSAVATVPPYLGPDTPALRTL
+SEYARPHVMSPGNRNHPFYVPLGAVDPGLLGYNVPALYSSDPAAREREREARERDLRDRL
+KPGFEVKPSELEPLHGVPGPGLDPFPRHGGLALQPGPPGLHPFPFHPSLGPLERERLALA
+AGPALRPDMSYAERLAAERQHAERVAALGNDPLARLQMLNVTPHHHQHSHIHSHLHLHQQ
+DAIHAASASVHPLIDPLASGSHLTRIPYPAGTLPNPLLPHPLHENEVLRHQLFAAPYRDL
+PASLSAPMSAAHQLQAMHAQSAELQRLALEQQQWLHAHHPLHSVPLPAQEDYYSHLKKES
+DK
+>P54710_PF02038_16 <unknown description>
+VDPFYYDYETVRNGGLIFAGLAFIVGLLILLSRRFRCGGNKKRRQ
+>Q92858_PF00010_160 <unknown description>
+RRLAANARERRRMHGLNHAFDQLRNVIPSFNNDKKLSKYETLQMAQIYINAL
+>Q8N100_PF00010_41 <unknown description>
+RRLAANARERRRMQGLNTAFDRLRRVVPQWGQDKKLSKYETLQMALSYIMAL
+>Q96SQ7_PF00010_231 <unknown description>
+RRLLANARERTRVHTISAAFEALRKQVPCYSYGQKLSKLAILRIACNYILSL
+>Q32MH5_PF13915_878 <unknown description>
+LLGNFEESVLNYRFDPLGIVDGFTAEVGASGAFCPTHLTLPVEVSFYSVSDDNAPSPY
+>Q32MH5_PF13889_1018 <unknown description>
+LLRYLIHLRFQSSKSGKIYLHRDVRLLFSRKSMEVDSGAAYELKSYTESPTNPQFSP
+>Q7L5A3_PF13915_348 <unknown description>
+LLGNFEESLLRGRFAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNA
+>Q7L5A3_PF13889_480 <unknown description>
+LLCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSP
+>O00244_PF00403_6 <unknown description>
+FSVDMTCGGCAEAVSRVLNKLGGVKYDIDLPNKKVCIESEHSMDTLLATLKKTGK
+>Q9Y6H3_PF09768_51 <unknown description>
+KCQLRLLKTLETNPYVKLLLDAMKHSGCAVNKDRHFSCEDCNGNVSGGFDASTSQIVLCQ
+NNIHNQAHMNRVVTHELIHAFDHCRAHVDWFTNIRHLACSEVRAANLSGDCSLVNEIFRL
+HFGLKQHHQTCVRDRATLSILAVRNISKEVAKKAVDEVFESCFNDHEPF
+>P20648_PF09040_2 <unknown description>
+GKAENYELYSVELGPGPGGDMAAKMSKKKKAGGGGGKRKEKL
+>P20648_PF00690_55 <unknown description>
+HQLSVAELEQKYQTSATKGLSASLAAELLLRDGPNALRPPRGTPEYVKFARQLAGGLQCL
+MWVAAAIC
+>P20648_PF00122_175 <unknown description>
+LVPQQATVIRDGDKFQINADQLVVGDLVEMKGGDRVPADIRILAAQGCKVDNSSLTGESE
+PQTRSPECTHESPLETRNIAFFSTMCLEGTVQGLVVNTGDRTIIGRIASLASGVENEKTP
+IAIEIEHFVDIIAGLAILFGATFFIVAMCIGYTFLRAMVFFMAIVVAYVPEGLLATVTVC
+LSLTAKRLASK
+>P20648_PF13246_437 <unknown description>
+TLCNRAAFKSGQDAVPVPKRIVIGDASETALLKFSELTLGNAMGYRDRFPKVCEIPFNST
+NKFQLSIHTLEDPRDPRHLLVMKGAPERVLERCSSI
+>P20648_PF08282_723 <unknown description>
+VAVTGDGVNDSPALKKADIGVAMGIAGSDAAKNAADMILLDDNFAS
+>P20648_PF00689_810 <unknown description>
+PLPLGCITILFIELCTDIFPSVSLAYEKAESDIMHLRPRNPKRDRLVNEPLAAYSYFQIG
+AIQSFAGFTDYFTAMAQEGWFPLLCVGLRAQWEDHHLQDLQDSYGQEWTFGQRLYQQYTC
+YTVFFISIEVCQIADVLIRKTRRLSAFQQGFFRNKILVIAIVFQVCIGCFLCYCPGMPNI
+FNFMPIRFQWWLVPLPYGILIFVYDEIRKL
+>P51164_PF00287_7 <unknown description>
+KKTCGQRMEEFQRYCWNPDTGQMLGRTLSRWVWISLYYVAFYVVMTGLFALCLYVLMQTV
+DPYTPDYQDQLRSPGVTLRPDVYGEKGLEIVYNVSDNRTWADLTQTLHAFLAGYSPAAQE
+DSINCTSEQYFFQESFRAPNHTKFSCKFTADMLQNCSGLADPNFGFEEGKPCFIIKMNRI
+VKFLPSNGSAPRVDCAFLDQPRELGQPLQVKYYPPNGTFSLHYFPYYGKKAQPHYSNPLV
+AAKLLNIPRNAEVAIVCKVMAEHVTFNNPHDPYEGKVEF
+>P56381_PF04627_3 <unknown description>
+AYWRQAGLSYIRYSQICAKAVRDALKTEFKANAEKTSGSNVKIVKVKK
+>O75947_PF05873_3 <unknown description>
+GRKLALKTIDWVAFAEIIPQNQKAIASSLKSWNETLTSRLAALPENPPAIDWAYYKANVA
+KAGLVDDFEKKFNALKVPVPEDKYTAQVDAEEKEDVKSCAEWVSLSKARIVEYEKEMEKM
+KNLIPFDQMTIEDLNEAFPETKLDKKKYPYWPH
+>P56385_PF05680_3 <unknown description>
+PPVQVSPLIKLGRYSALFLGVAYGATRYNYLKPRAEEERRIAAEEKKKQDELKRIAREL
+>P18859_PF05511_1 <unknown description>
+MILQRLFRFSSVIRSAVSVHLRRNIGVTAVAFNKELDPIQKLFVDKIREYKSKRQTSGGP
+VDASSEYQQELERELFKLKQMFGNADMNTFPTFKFED
+>O75964_PF04718_11 <unknown description>
+KTPALVNAAVTYSKPRLATFWYYAKVELVPPTPAEIPRAIQSLKKIVNSAQTGSFKQLTV
+KEAVLNGLVATEVLMWFYVGEIIGKRGIIGYD
+>P56378_PF08039_1 <unknown description>
+MLQSIIKNIWIPMKPYYTKVYQEIWIGMGLMGFIVYKIRAADKRSKALKASAPAPGH
+>Q04656_PF00403_11 <unknown description>
+TISVEGMTCNSCVWTIEQQIGKVNGVHHIKVSLEEKNATIIYDPKLQTPKTLQEAIDDMG
+FD
+>Q04656_PF00403_176 <unknown description>
+KVEGMTCHSCTSTIEGKIGKLQGVQRIKVSLDNQEATIVYQPHLISVEEMKKQIEAMGF
+>Q04656_PF00403_280 <unknown description>
+TFIIDGMHCKSCVSNIESTLSALQYVSSIVVSLENRSAIVKYNASSVTPESLRKAIEA
+>Q04656_PF00403_380 <unknown description>
+VINIDGMTCNSCVQSIEGVISKKPGVKSIRVSLANSNGTVEYDPLLTSPETLRGAIEDMG
+FD
+>Q04656_PF00403_493 <unknown description>
+QVTGMTCASCVANIERNLRREEGIYSILVALMAGKAEVRYNPAVIQPPMIAEFIRELGF
+>Q04656_PF00403_570 <unknown description>
+VRGMTCASCVHKIESSLTKHRGILYCSVALATNKAHIKYDPEIIGPRDIIHTIESLGFE
+>Q04656_PF00122_816 <unknown description>
+QATEATIVTLDSDNILLSEEQVDVELVQRGDIIKVVPGGKFPVDGRVIEGHSMVDESLIT
+GEAMPVAKKPGSTVIAGSINQNGSLLICATHVGADTTLSQIVKLVEEAQTSKAPIQQFAD
+KLSGYFVPFIVFVSIATLLVWIVIGFLNFEIVETYFPGYNRSISRTETIIRFAFQASITV
+LCIACPCSLGLATPTAVMVGTGVGAQ
+>Q04656_PF00702_1038 <unknown description>
+VKVVVFDKTGTITHGTPVVNQVKVLTESNRISHHKILAIVGTAESNSEHPLGTAITKYCK
+QELDTETLGTCIDFQVVPGCGISCKVTNIEGLLHKNNWNIEDNNIKNASLVQIDASNEQS
+STSSSMIIDAQISNALNAQQYKVLIGNREWMIRNGLVINNDVNDFMTEHERKGRTAVLVA
+VDDELCGLIAIADTVKPEAELAIHILKSMGLEVVLMTGDNSKTARSIASQVGITKVFAEV
+LPSHKVAKVKQLQEEGKRVAMVGDGINDSPALAMAN
+>P35670_PF00403_61 <unknown description>
+TVRILGMTCQSCVKSIEDRISNLKGIISMKVSLEQGSATVKYVPSV
+>P35670_PF00403_147 <unknown description>
+LRVEGMTCQSCVSSIEGKVRKLQGVVRVKVSLSNQEAVITYQPYLIQPEDLRDHVNDMGF
+>P35670_PF00403_261 <unknown description>
+LRIDGMHCKSCVLNIEENIGQLLGVQSIQVSLENKTAQVKYDPSCTSPVALQRAIEAL
+>P35670_PF00403_363 <unknown description>
+IAIAGMTCASCVHSIEGMISQLEGVQQISVSLAEGTATVLYNPSVISPEELRAAIEDMGF
+E
+>P35670_PF00403_492 <unknown description>
+LQIKGMTCASCVSNIERNLQKEAGVLSVLVALMAGKAEIKYDPEVIQPLEIAQFIQDLGF
+E
+>P35670_PF00403_569 <unknown description>
+TITGMTCASCVHNIESKLTRTNGITYASVALATSKALVKFDPEIIGPRDIIKIIEEIGFH
+>P35670_PF00122_798 <unknown description>
+LQATEATVVTLGEDNLIIREEQVPMELVQRGDIVKVVPGGKFPVDGKVLEGNTMADESLI
+TGEAMPVTKKPGSTVIAGSINAHGSVLIKATHVGNDTTLAQIVKLVEEAQMSKAPIQQLA
+DRFSGYFVPFIIIMSTLTLVVWIVIGFIDFGVVQRYFPNPNKHISQTEVIIRFAFQTSIT
+VLCIACPCSLGLATPTAVMVGTGVAAQ
+>P35670_PF00702_1021 <unknown description>
+IKTVMFDKTGTITHGVPRVMRVLLLGDVATLPLRKVLAVVGTAEASSEHPLGVAVTKYCK
+EELGTETLGYCTDFQAVPGCGIGCKVSNVEGILAHSERPLSAPASHLNEAGSLPAEKDAV
+PQTFSVLIGNREWLRRNGLTISSDVSDAMTDHEMKGQTAILVAIDGVLCGMIAIADAVKQ
+EAALAVHTLQSMGVDVVLITGDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNKGK
+KVAMVGDGVNDSPALAQAD
+>O75110_PF16209_46 <unknown description>
+PEKRDQRYPRNVINNQKYNFFTFLPGVLFNQFKYFFNLYFLLLACSQFVPEMRLGALYTY
+>O75110_PF00122_142 <unknown description>
+LTARGTVKVKSSNIQVGDLIIVEKNQRVPADMIFLRTSEKNGSCFLRTDQLDGETDWKLR
+LPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFVGTFTREDSDPPISESLSIENTLWAG
+TVVASGTVVGVVLYTGRELRSVMNTSNPRSKIGLFDLEVNCLTKILFGALVVVSLVMVAL
+QHFAGRWYLQIIRFLLLFSNIIPISLR
+>O75110_PF13246_490 <unknown description>
+DSCRVYQASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQILNFTILQIFPFTYESK
+RMGIIVRDESTGEITFYMKGAD
+>O75110_PF16212_812 <unknown description>
+LAADFSITQFKHLGRLLMVHGRNSYKRSAALSQFVIHRSLCISTMQAVFSSVFYFASVPL
+YQGFLIIGYSTIYTMFPVFSLVLDKDVKSEVAMLYPELYKDLLKGRPLSYKTFLIWVLIS
+IYQGSTIMYGALLLFESEFVHIVAISFTSLILTELLMVALTIQTWHWLMTVAELLSLACY
+IASLVFLHEFIDVYFIATLSFLWKVSVITLVSCLPLYVLKYLRRRFSP
+>O43861_PF16209_127 <unknown description>
+EEKHPRNSIKNQKYNVFTFIPGVLYEQFKFFLNLYFLVISCSQFVPALKIGYLYTYW
+>O43861_PF00122_224 <unknown description>
+KVQVKSSDIQVGDLIIVEKNQRIPSDMVFLRTSEKAGSCFIRTDQLDGETDWKLKVAVSC
+TQQLPALGDLFSISAYVYAQKPQMDIHSFEGTFTREDSDPPIHESLSIENTLWASTIVAS
+GTVIGVVIYTGKETRSVMNTSNPKNKVGLLDLELNRLTKALFLALVALSIVMVTLQGFVG
+PWYRNLFRFLLLFSYIIPISLRV
+>O43861_PF00702_466 <unknown description>
+LTDKTGTLTQNEMIFKRLHLGTVSYGADTMDEIQSHVRDSYSQMQSQAGGNNTGSTPLRK
+AQSSAPKVRKSVSSRIHEAVKAIVLCHNVTPVYESRAGVTEETEFAEADQDFSDENRTYQ
+ASSPDEVALVQWTESVGLTLVSRDLTSMQLKTPSGQVLSFCILQLFPFTSESKRMGVIVR
+DESTAEITFYMKGADVAMSPIVQYNDWLEEECGNMAREGLRTLVVAKKALTEEQYQDFES
+RYTQAKLSMHDRSLKVAAVVESLEREMELLCLTGVEDQLQADVRPTLEMLRNAGIKIWML
+TGDKLETATCIAKSSHLVSRTQDIHIFRQVTSRGEAHLELNAFRRKHDCALVISGDSLEV
+CLKYYEHEFVELACQCPAVVCCRCSPTQKARIVTLLQQHTGRRTCAIGDGGNDVSMIQAA
+D
+>O43861_PF16212_901 <unknown description>
+LAADFSITQFRHIGRLLMVHGRNSYKRSAALGQFVMHRGLIISTMQAVFSSVFYFASVPL
+YQGFLMVGYATIYTMFPVFSLVLDQDVKPEMAMLYPELYKDLTKGRSLSFKTFLIWVLIS
+IYQGGILMYGALVLFESEFVHVVAISFTALILTELLMVALTVRTWHWLMVVAEFLSLGCY
+VSSLAFLNEYFGIGRVSFGAFLDVAFITTVTFLWKVSAITVVSCLPLYVLKYLRRKLSP
+>P25705_PF02874_69 <unknown description>
+EETGRVLSIGDGIARVHGLRNVQAEEMVEFSSGLKGMSLNLEPDNVGVVVFGNDKLIKEG
+DIVKRTG
+>P25705_PF00006_192 <unknown description>
+GIKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTIINQKRFNDGSDEKKKLYCIYVAIGQ
+KRSTVAQLVKRLTDADAMKYTIVVSATASDAAPLQYLAPYSGCSMGEYFRDNGKHALIIY
+DDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNDAFGGGSLTALPVIE
+TQAGDVSAYIPTNVISITDGQIFLETELFYKGIRPAINVGLSVS
+>P25705_PF00306_422 <unknown description>
+QTRAMKQVAGTMKLELAQYREVAAFAQFGSDLDAATQQLLSRGVRLTELLKQGQYSPMAI
+EEQVAVIYAGVRGYLDKLEPSKITKFENAFLSHVVSQHQALLGTIRADGKISEQSDAKLK
+EIVTNF
+>P06576_PF02874_63 <unknown description>
+IVAVIGAVVDVQFDEGLPPILNALEVQGRETRLVLEVAQHLGESTVRTIAMDGTEGLVRG
+QKVLDSG
+>P06576_PF00006_186 <unknown description>
+GIKVVDLLAPYAKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGYSVFAGVGERTREGND
+LYHEMIESGVINLKDATSKVALVYGQMNEPPGARARVALTGLTVAEYFRDQEGQDVLLFI
+DNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGTMQERITTTKKGSITSVQAIYVPAD
+DLTDPAPATTFAHLDATTVLSRAIAELGIYPAVDPLDSTS
+>P30049_PF02823_38 <unknown description>
+MSFTFASPTQVFFNGANVRQVDVPTLTGAFGILAAHVPTLQVLRPGLVVVHAEDGTTSKY
+FVSSGSIAVNADSSVQLLAEEA
+>Q5TC12_PF06644_78 <unknown description>
+DRYRDKIQLLRRSDPAAFESRLEKRSEFRKQPVGHSRQGDFIKCVEQKTDALGKQSVNRG
+FTKDKTLSSIFNIEMVKEKTAEEIKQIWQQYFAAKDTVYAVIPAEKFDLIWNRAQSCPTF
+LCALPRREGYEFFVGQWTGTELHFTALINIQTRGEAAASQLILYHYPELKEEKGIVLMTA
+EMDSTFLNVAEAQCIANQVQLFYATDRKETYGLVETFNLRPNEFKYMSVI
+>Q8N5M1_PF07542_47 <unknown description>
+KRFYQNVSITQGEGGFEINLDHRKLKTPQAKLFTVPSEALAIAVATEWDSQQDTIKYYTM
+HLTTLCNTSLDNPTQRNKDQLIRAAVKFLDTDTICYRVEEPETLVELQRNEWDPIIEWAE
+>P36542_PF00231_27 <unknown description>
+TLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARIYGLGSLALYEKADIKGPE
+DKKKHLLIGVSSDRGLCGAIHSSIAKQMKSEVATLTAAGKEVMLVGIGDKIRGILYRTHS
+DQFLVAFKEVGRKPPTFGDASVIALELLNSGYEFDEGSIIFNKFRSVISYKTEEKPIFSL
+NTVASADSMSIYDDIDADVLQNYQEYNLANIIYYSLKESTTSEQSARMTAMDNASKNASE
+MIDKLTLTFNRTRQAVITKELIEIISGAAAL
+>P56134_PF10206_14 <unknown description>
+KDKKLLEVKLGELPSWILMRDFSPSGIFGAFQRGYYRYYNKYINVKKGSISGITMVLACY
+VLFSYSFSYKHLKHER
+>Q96IX5_PF14960_1 <unknown description>
+MAGPESDAQYQFTGIKKYFNSYTLTGRMNCVLATYGSIALIVLYFKLRSKK
+>P48047_PF00213_37 <unknown description>
+IEGRYATALYSAASKQNKLEQVEKELLRVAQILKEPKVAASVLNPYVKRSIKVKSLNDIT
+AKERFSPLTTNLINLLAENGRLSNTQGVVSAFSTMMSVHRGEVPCTVTSASPLEEATLSE
+LKTVLKSFLSQGQVLKLEAKTDPSILGGMIVRIGEKYVDMSVKTKIQKLGRAM
+>Q6RW13_PF06396_9 <unknown description>
+KVILLGHWLLTTWGCIVFSGSYAWANFTILALGVWAVAQRDSIDAISMFLGGLLATIFLD
+IVHISIFYPRVSLTDTGRFGVGMAILSLLLKPLSCCFVYHMYRERGGELLVHTGFLGSSQ
+DRSAYQTIDSAEAPADPFAVPEGRS
+>Q5VV63_PF00431_93 <unknown description>
+CQGRFKLTEPSGYLTDGPINYKYKTKCTWLIEGYPNAVLRLRFNHFATECSWDHMYVYDG
+DSIYAPLIAVLSGLIVPEIRGNETVPEVVTTSGYALLHFFSDAAYNLTGFNIFY
+>Q5VV63_PF07974_249 <unknown description>
+CKANCGSPDHGYCDLTGEKLCVCNDSWQGPDC
+>Q5VV63_PF13854_352 <unknown description>
+PLQRYGHSLALYQENIFMYGGRIETNDGNVTDELWVFNIHS
+>Q5VV63_PF13418_469 <unknown description>
+GHTSVYDEITKSIYVHGGYKALPGNKYGLVDDLYKYEVNTKTWTIL
+>Q5VV63_PF13854_581 <unknown description>
+RFGHSAVVINGSMYIFGGFSSVLLNDILVYKPP
+>Q5VV63_PF00059_766 <unknown description>
+RENYDNAKLYCYNLSGNLASLTTSKEVEFVLDEIQKYTQQKVSPWVGLRKINISYWGWED
+MSPFTNTTLQWLPGEPNDSGFCAYLERAAVAGLKANPCTSMANGLVCEK
+>Q5VV63_PF01437_890 <unknown description>
+CSLRTSCSNCTSNGMECMWCSSTKRCVDSNAYIISFPYGQCLEWQTATC
+>Q5VV63_PF01437_942 <unknown description>
+NCSGLRTCGQCLEQPGCGWCNDPSNTGRGHCIEGSSRGPMKLIGMHHSEMVLDTNLCPKE
+KNYEWSFIQCP
+>O75882_PF00431_132 <unknown description>
+CGGRFRLTGSSGFVTDGPGNYKYKTKCTWLIEGQPNRIMRLRFNHFATECSWDHLYVYDG
+DSIYAPLVAAFSGLIVPERDGNETVPEVVATSGYALLHFFSDAAYNLTGFNITY
+>O75882_PF01344_340 <unknown description>
+PRASHKAVVNGNIMWVVGGYMFNHSDYNMVLAYDLASREWLPL
+>O75882_PF13964_392 <unknown description>
+RYGHSLALYKDKIYMYGGKIDSTGNVTNELRVFHIHNESWVLLTP
+>O75882_PF01344_554 <unknown description>
+RYLHTAVIVSGTMLVFGGNTHNDTSMSHGAKCFSSDFMAYDIACDRWSVLP
+>O75882_PF00059_806 <unknown description>
+KENYDNAKLFCRNHNALLASLTTQKKVEFVLKQLRIMQSSQSMSKLTLTPWVGLRKINVS
+YWCWEDMSPFTNSLLQWMPSEPSDAGFCGILSEPSTRGLKAATCINPLNGSVCER
+>O75882_PF01437_933 <unknown description>
+CALRTACGDCTSGSSECMWCSNMKQCVDSNAYVASFPFGQCMEWYTMSTCP
+>O75882_PF01437_986 <unknown description>
+NCSGYCTCSHCLEQPGCGWCTDPSNTGKGKCIEGSYKGPVKMPSQAPTGNFYPQPLLNSS
+MCLEDSRYNWSFIHCP
+>P46100_PF17981_158 <unknown description>
+KKRGEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLQVLICKNCFKYYMSDDISRDS
+>P46100_PF00176_1563 <unknown description>
+HQVDGVQFMWDCCCESVKKTKKSPGSGCILAHCMGLGKTLQVVSFLHTVLLCDKLDFSTA
+LVVCPLNTALNWMNEFEKWQEGLKDDEKLEVSELATVKRPQERSYMLQRWQEDGGVMIIG
+YEMYRNLAQGRNVKSRKLKEIFNKALVDPGPDFVVCDEGHILKNEASAVSKAMNSIRSRR
+RIILTGTPLQNNLIEYHCMVNFIKENLLGSIKEFRNRFINPIQNGQCADSTMVDVRVMKK
+RAHILYEMLAGCVQRKDYTALTKFLPPKHEYVLAVRMTSIQCKLYQYYLDHLTGVGNNSE
+GGRGKAGAKLFQDFQMLSRIWTHPWC
+>P46100_PF00271_2022 <unknown description>
+LLFEILRMAEEIGDKVLVFSQSLISLDLIEDFLELASREKTEDKDKPLIYKGEGKWLRNI
+DYYRLDGSTTAQSRKKWAEEFNDETNVRGRLFIISTKAGSLGINLVAANRVIIFDASWNP
+SYDIQSIFRVYRFG
+>Q13535_PF08064_1122 <unknown description>
+YLQPKLLGILAFFNMQLLSSSVGIEDKKMALNSLMSLMKLMGPKHVSSVRVKMMTTLRTG
+LRFKDDFPELCCRAWDCFVRCLDHACLGSLLSHVIVALLPL
+>Q13535_PF02259_1773 <unknown description>
+YRVEAAWKLSQWDLVENYLAADGKSTTWSVRLGQLLLSAKKRDITAFYDSLKLVRAEQIV
+PLSAASFERGSYQRGYEYIVRLHMLCELEHSIKPLFQHSPGDSSQEDSLNWVARLEMTQN
+SYRAKEPILALRRALLSLNKRPDYNEMVGECWLQSARVARKAGHHQTAYNALLNAGESRL
+AELYVERAKWLWSKGDVHQALIVLQKGVELCFPENETPPEGKNMLIHGRAMLLVGRFMEE
+TANFESNAIMKKYKDVTACLPEWEDGHFYLAKYYDKLMPMVTDNKMEKQGDLIRYIVLHF
+GRSLQYGNQFIYQSMPRMLT
+>Q13535_PF00454_2324 <unknown description>
+MMCKPKDDLRKDCRLMEFNSLINKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNT
+AGLRPILTKLYKEKGVYMTGKELRQCMLPKSAALSEKLKVFREFLLPRHPPIFHEWFLRT
+FPDPTSWYSSRSAYCRSTAVMSMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNKGE
+TFEVPEIVPFRLTHNMVNGMGPMGTEGLFRRACEVTMRLMRDQREPLMSVLKTFLHDPLV
+EWS
+>Q13535_PF02260_2613 <unknown description>
+PLSIEGHVHYLIQEATDENLLCQMYLGWTPYM
+>P58397_PF01562_54 <unknown description>
+VVGPVRVDASGHFLSYGLHYPITSSRRKRDLDGSEDWVYYRISHEEKDLFFNLTVNQGFL
+SNSYIMEKRYGNLSHVKMMASSAPLCHLSGTVLQQGTRVGTAALSACHGLTGFFQLPHGD
+FFIEPVKKHPLVEGGYHPHIVY
+>P58397_PF01421_246 <unknown description>
+RWVETLVVADTKMIEYHGSENVESYILTIMNMVTGLFHNPSIGNAIHIVVVRLILLEEEE
+QGLKIVHHAEKTLSSFCKWQKSINPKSDLNPVHHDVAVLLTRKDICAGFNRPCETLGLSH
+LSGMCQPHRSCNINEDSGLPLAFTIAHELGHSFGIQHDGKENDCEPVGRHPYIMSRQLQY
+DPTPLTWSKCSEEYITRFLDRGWGFCLDDIP
+>P58397_PF17771_468 <unknown description>
+PGVIYDVHHQCQLQYGPNATFCQEVENVCQTLWCSVKGFCRSKLDAAADGTQCGEKKWCM
+AGKCI
+>P58397_PF00090_547 <unknown description>
+RWSPWSHCSRTCGAGVQSAERLCNNPEPKFGGKYCTGERKRYRLCNVHPC
+>P58397_PF19236_615 <unknown description>
+PYKNELYHWFPIFNPAHPCELYCRPIDGQFSEKMLDAVIDGTPCFEGGNSRNVCINGICK
+MVGCDYEIDSNATEDRCGVCLGDGSSC
+>P58397_PF05986_703 <unknown description>
+TVRKMFKQKEGSGYVDIGLIPKGARDIRVMEIEGAGNFLAIRSEDPEKYYLNGGFIIQWN
+GNYKLAGTVFQYDRKGDLEKLMATGPTNESVWIQLLFQVTNPGIKYEYTI
+>P58397_PF19030_828 <unknown description>
+WQYGHWTECSVTCGTGIRRQTAHCIKKGRGMVKATFCDPETQPNGRQKKCHEKAC
+>P58397_PF19030_886 <unknown description>
+WWAGEWEACSATCGPHGEKKRTVLCIQTMVSDEQALPPTDCQHLLKPKTLLSCNR
+>P58397_PF19030_948 <unknown description>
+WTVGNWSECSVSCGGGVRIRSVTCAKNHDEPCDVTRKPNSRALCGLQQC
+>P58397_PF19030_1317 <unknown description>
+WIVGNWSECSTTCGLGAYWRRVECSTQMDSDCAAIQRPDPAKRCHLRPC
+>P58397_PF19030_1368 <unknown description>
+WKVGNWSKCSRNCSGGFKIREIQCVDSRDHRNLRPFHCQFLAGIPPPLSMSCNPEPC
+>P58397_PF19030_1427 <unknown description>
+WQVEPWSQCSRSCGGGVQERGVFCPGGLCDWTKRPTSTMSCNEHLC
+>P58397_PF19030_1475 <unknown description>
+WATGNWDLCSTSCGGGFQKRTVQCVPSEGNKTEDQDQCLCDHKPRPPEFKKCNQQAC
+>Q76LX8_PF01421_83 <unknown description>
+ELLVAVGPDVFQAHQEDTERYVLTNLNIGAELLRDPSLGAQFRVHLVKMVILTEPEGAPN
+ITANLTSSLLSVCGWSQTINPEDDTDPGHADLVLYITRFDLELPDGNRQVRGVTQLGGAC
+SPTWSCLITEDTGFDLGVTIAHEIGHSFGLEHDGAPGSGCGPSGHVMASDGAAPRAGLAW
+SPCSRRQLLSLLSAGRARCVWDPP
+>Q76LX8_PF17771_301 <unknown description>
+PGLYYSANEQCRVAFGPKAVACTFAREHLDMCQALSCHTDPLDQSSCSRLLVPLLDGTEC
+GVEKWCSKGRC
+>Q76LX8_PF00090_388 <unknown description>
+SSWGPRSPCSRSCGGGVVTRRRQCNNPRPAFGGRACVGADLQAEMCNTQAC
+>Q76LX8_PF19236_441 <unknown description>
+TQLEFMSQQCARTDGQPLRSSPGGASFYHWGAAVPHSQGDALCRHMCRAIGESFIMKRGD
+SFLDGTRCMPSGPREDGTLSLCVSGSCRTFGCDGRMDSQQVWDRCQVCGGDNSTC
+>Q76LX8_PF05986_558 <unknown description>
+RKGSFTAGRAREYVTFLTVTPNLTSVYIANHRPLFTHLAVRIGGRYVVAGKMSISPNTTY
+PSLLEDGRVEYRVALTEDRLPRLEEIRIWGPLQEDADIQVYRRYGEEYGNLTRPDITFTY
+FQP
+>Q76LX8_PF19030_746 <unknown description>
+WAVGDFGPCSASCGGGLRERPVRCVEAQGSLLKTLPPARCRAGAQQPAVALETCNPQPC
+>Q76LX8_PF19030_960 <unknown description>
+AACSVSCGRGVVRRILYCARAHGEDDGEEILLDTQCQGLPRPEPQEACSLEPC
+>Q76LX8_PF19030_1016 <unknown description>
+WKVMSLGPCSASCGLGTARRSVACVQLDQGQDVEVDEAACAALVRPEASVPCLIADC
+>Q76LX8_PF19030_1076 <unknown description>
+WHVGTWMECSVSCGDGIQRRRDTCLGPQAQAPVPADFCQHLPKPVTVRGCWAGPC
+>Q8WXS8_PF01562_40 <unknown description>
+VTVPCSTDFRGRFLSHVVSGPAAASAGSMVVDTPPTLPRHSSHLRVARSPLHPGGTLWPG
+RVGRHSLYFNVTVFGKELHLRLRPNRRLVVPGSSVEWQEDFRELFRQPLRQECVYTGGVT
+GMPGAAVAISNCDGLAGLIRTDSTDFFIEPLERGQQEKEASGRTHVVY
+>Q8WXS8_PF01421_261 <unknown description>
+IEVLLVVDDSVVRFHGKEHVQNYVLTLMNIVDEIYHDESLGVHINIALVRLIMVGYRQSL
+SLIERGNPSRSLEQVCRWAHSQQRQDPSHAEHHDHVVFLTRQDFGPSGYAPVTGMCHPLR
+SCALNHEDGFSSAFVIAHETGHVLGMEHDGQGNGCADETSLGSVMAPLVQAAFHRFHWSR
+CSKLELSRYLPSYDCLLDDP
+>Q8WXS8_PF17771_472 <unknown description>
+PGINYSMDEQCRFDFGSGYQTCLAFRTFEPCKQLWCSHPDNPYFCKTKKGPPLDGTECAP
+GKWCFKGHCI
+>Q8WXS8_PF00090_556 <unknown description>
+SSWTKFGSCSRSCGGGVRSRSRSCNNPSPAYGGRLCLGPMFEYQVCNSEEC
+>Q8WXS8_PF19236_613 <unknown description>
+FRAQQCAKRNSYYVHQNAKHSWVPYEPDDDAQKCELICQSADTGDVVFMNQVVHDGTRCS
+YRDPYSVCARGECVPVGCDKEVGSMKADDKCGVCGGDNSHC
+>Q8WXS8_PF05986_715 <unknown description>
+TVKGTLGKASKQAGALKLVQIPAGARHIQIEALEKSPHRIVVKNQVTGSFILNPKGKEAT
+SRTFTAMGLEWEDAVEDAKESLKTSGPLPEAIAILALPPTEGGPRSSLAYKYVIH
+>Q8WXS8_PF19030_851 <unknown description>
+WALKSWAPCSKACGGGIQFTKYGCRRRRDHHMVQRHLCDHKKRPKPIRRRCNQHPC
+>Q8WXS8_PF19030_911 <unknown description>
+WVTEEWGACSRSCGKLGVQTRGIQCLLPLSNGTHKVMPAKACAGDRPEARRPCLRVPC
+>Q8WXS8_PF19030_972 <unknown description>
+WRLGAWSQCSATCGEGIQQRQVVCRTNANSLGHCEGDRPDTVQVCSLPAC
+>Q8TE58_PF01562_24 <unknown description>
+EVVVPIRLDPDINGRRYYWRGPEDSGDQGLIFQITAFQEDFYLHLTPDAQFLAPAFSTEH
+LGVPLQGLTGGSSDLRRCFYSGDVNAEPDSFAAVSLCGGLRGAFGYRGAEYVISPLPNAS
+A
+>Q8TE58_PF01421_218 <unknown description>
+RYVETLVVADESMVKFHGADLEHYLLTLLATAARLYRHPSILNPINIVVVKVLLLRDRDS
+GPKVTGNAALTLRNFCAWQKKLNKVSDKHPEYWDTAILFTRQDLCGATTCDTLGMADVGT
+MCDPKRSCSVIEDDGLPSAFTTAHELGHVFNMPHDNVKVCEEVFGKLRANHMMSPTLIQI
+DRANPWSACSAAIITDFLDSGHGDCLLDQP
+>Q8TE58_PF17771_438 <unknown description>
+PGASYTLSQQCELAFGVGSKPCPYMQYCTKLWCTGKAKGQMVCQTRHFPWADGTSCGEGK
+LCLKGACV
+>Q8TE58_PF00090_521 <unknown description>
+KWDPYGPCSRTCGGGVQLARRQCTNPTPANGGKYCEGVRVKYRSCNLEPC
+>Q8TE58_PF19236_614 <unknown description>
+DKCKLICRANGTGYFYVLAPKVVDGTLCSPDSTSVCVQGKCIKAGCDGNLGSKKRFDKCG
+VCGGDNKSC
+>Q8TE58_PF05986_685 <unknown description>
+VTGLFTKPMHGYNFVVAIPAGASSIDIRQRGYKGLIGDDNYLALKNSQGKYLLNGHFVVS
+AVERDLVVKGSLLRYSGTGTAVESLQASRPILEPLTVEVLSVGKMTPPRVRYSFYLP
+>Q8TE58_PF19030_843 <unknown description>
+WVAGSWGPCSASCGSGLQKRAVDCRGSAGQRTVPACDAAHRPVETQACGE
+>Q8TE58_PF19030_897 <unknown description>
+WELSAWSPCSKSCGRGFQRRSLKCVGHGGRLLARDQCNLHRKPQELDFCVLRPC
+>Q8TE57_PF01562_62 <unknown description>
+LVSAYEVDHRGDYVSHEIMHHQRRRRAVPVSEVESLHLRLKGSRHDFHMDLRTSSSLVAP
+GFIVQTLGKTGTKSVQTLPPEDFCFYQGSLRSHRNSSVALSTCQGLSGMIRTEEADYFLR
+PLPSHLSWKLGRAAQGSSPSHVLY
+>Q8TE57_PF01421_292 <unknown description>
+VETLVVVDKKMMQNHGHENITTYVLTILNMVSALFKDGTIGGNINIAIVGLILLEDEQPG
+LVISHHADHTLSSFCQWQSGLMGKDGTRHDHAILLTGLDICSWKNEPCDTLGFAPISGMC
+SKYRSCTINEDTGLGLAFTIAHESGHNFGMIHDGEGNMCKKSEGNIMSPTLAGRNGVFSW
+SPCSRQYLHKFLSTAQAICLADQP
+>Q8TE57_PF17771_508 <unknown description>
+PGELYDANTQCKWQFGEKAKLCMLDFKKDICKALWCHRIGRKCETKFMPAAEGTICGHDM
+WCRGGQCV
+>Q8TE57_PF00090_590 <unknown description>
+SDWSSWSPCSRTCGGGVSHRSRLCTNPKPSHGGKFCEGSTRTLKLCNSQKC
+>Q8TE57_PF19236_646 <unknown description>
+DFRAAQCAEHNSRRFRGRHYKWKPYTQVEDQDLCKLYCIAEGFDFFFSLSNKVKDGTPCS
+EDSRNVCIDGICERVGCDNVLGSDAVEDVCGVCNGNNSAC
+>Q8TE57_PF05986_748 <unknown description>
+HRGLYTKHHHTNQYYHMVTIPSGARSIRIYEMNVSTSYISVRNALRRYYLNGHWTVDWPG
+RYKFSGTTFDYRRSYNEPENLIATGPTNETLIVELLFQGRNPGVAWEYSMP
+>Q8TE57_PF19030_931 <unknown description>
+WSVGNWSACSRTCGGGAQSRPVQCTRRVHYDSEPVPASLCPQPAPSSRQACNSQSC
+>Q8TE57_PF19030_990 <unknown description>
+WSAGPWAECSHTCGKGWRKRAVACKSTNPSARAQLLPDAVCTSEPKPRMHEACLLQRC
+>Q8TE57_PF19030_1055 <unknown description>
+WLVSAWSQCSVTCERGTQKRFLKCAEKYVSGKYRELASKKCSHLPKPSLELERACAPLPC
+>Q8TE57_PF19030_1130 <unknown description>
+WFASPWSQCTASCGGGVQTRSVQCLAGGRPASGCLLHQKPSASLACNTHFC
+>Q8TE57_PF08686_1190 <unknown description>
+CKDYFHWCYLVPQHGMCSHKFYGKQCCKTCS
+>Q8TE56_PF01562_36 <unknown description>
+EVVLPWRVRPDDVHLPPLPAAPGPRRRRRPRTPPAAPRARPGERALLLHLPAFGRDLYLQ
+LRRDLRFLSRGFEVEEAGAARRRGRPAELCFYSGRVLGHPGSLVSLSACGAAGGLVGLIQ
+LGQEQVLIQPLNNSQGPFSGREHLI
+>Q8TE56_PF01421_352 <unknown description>
+DEPCDTVGIAYLGGVCSAKRKCVLAEDNGLNLAFTIAHELGHNLGMNHDDDHSSCAGRSH
+IMSGEWVKGRNPSDLSWSSCSRDDLENFLKSKVSTCLL
+>Q8TE56_PF17771_466 <unknown description>
+PGMHYSANEQCQILFGMNATFCRNMEHLMCAGLWCLVEGDTSCKTKLDPPLDGTECGADK
+WCRAGECV
+>Q8TE56_PF00090_547 <unknown description>
+SPWGAWSMCSRTCGTGARFRQRKCDNPPPGPGGTHCPGASVEHAVCENLPC
+>Q8TE56_PF19236_630 <unknown description>
+DKPCELYCSPLGKESPLLVADRVLDGTPCGPYETDLCVHGKCQKIGCDGIIGSAAKEDRC
+GVCSGDGKTC
+>Q8TE56_PF05986_713 <unknown description>
+ALKDSGKGSINSDWKIELPGEFQIAGTTVRYVRRGLWEKISAKGPTKLPLHLMVLLFHDQ
+DYGIHYEYTVP
+>Q8TE56_PF19030_804 <unknown description>
+WTHSGWEGCSVQCGGGERRTIVSCTRIVNKTTTLVNDSDCPQASRPEPQVRRCNLHPC
+>Q8TE56_PF19030_865 <unknown description>
+WVAGPWSPCSATCEKGFQHREVTCVYQLQNGTHVATRPLYCPGPRPAAVQSCEGQDC
+>Q8TE56_PF19030_925 <unknown description>
+WEASEWSQCSASCGKGVWKRTVACTNSQGKCDASTRPRAEEACED
+>Q8TE56_PF19030_976 <unknown description>
+WKTGDWSTCSSTCGKGLQSRVVQCMHKVTGRHGSECPALSKPAPYRQCYQEVC
+>Q8TE60_PF01562_64 <unknown description>
+VTPVEVDSAGSYISHDILHNGRKKRSAQNARSSLHYRFSAFGQELHLELKPSAILSSHFI
+VQVLGKDGASETQKPEVQQCFYQGFIRNDSSSSVAVSTCAGLSGLIRTRKNEFLISPLPQ
+LLAQEHNYSSPAGHHPHVLY
+>Q8TE60_PF01421_295 <unknown description>
+VETLVVADKKMVEKHGKGNVTTYILTVMNMVSGLFKDGTIGSDINVVVVSLILLEQEPGG
+LLINHHADQSLNSFCQWQSALIGKNGKRHDHAILLTGFDICSWKNEPCDTLGFAPISGMC
+SKYRSCTINEDTGLGLAFTIAHESGHNFGMIHDGEGNPCRKAEGNIMSPTLTGNNGVFSW
+SSCSRQYLKKFLSTPQAGCLVDEP
+>Q8TE60_PF17771_511 <unknown description>
+PGQIYDADTQCKWQFGAKAKLCSLGFVKDICKSLWCHRVGHRCETKFMPAAEGTVCGLSM
+WCRQGQCV
+>Q8TE60_PF00090_593 <unknown description>
+SAWSKWSECSRTCGGGVKFQERHCNNPKPQYGGLFCPGSSRIYQLCNINPC
+>Q8TE60_PF19236_648 <unknown description>
+LDFRAQQCAEYNSKPFRGWFYQWKPYTKVEEEDRCKLYCKAENFEFFFAMSGKVKDGTPC
+SPNKNDVCIDGVCELVGCDHELGSKAVSDACGVCKGDNSTC
+>Q8TE60_PF05986_751 <unknown description>
+YKGLYLNQHKANEYYPVVLIPAGARSIEIQELQVSSSYLAVRSLSQKYYLTGGWSIDWPG
+EFPFAGTTFEYQRSFNRPERLYAPGPTNETLVFEILMQGKNPGIAWKYALP
+>Q8TE60_PF19030_935 <unknown description>
+WMPGEWSTCSKACAGGQQSRKIQCVQKKPFQKEEAVLHSLCPVSTPTQVQACNSHAC
+>Q8TE60_PF19030_995 <unknown description>
+WSLGPWSQCSKTCGRGVRKRELLCKGSAAETLPESQCTSLPRPELQEGCVLGRC
+>Q8TE60_PF19030_1056 <unknown description>
+WVASSWSECSATCGLGVRKREMKCSEKGFQGKLITFPERRCRNIKKPNLDLEETCNRRAC
+>Q8TE60_PF19030_1127 <unknown description>
+WYSLPWQQCTVTCGGGVQTRSVHCVQQGRPSSSCLLHQKPPVLRACNTNFC
+>Q8TE60_PF08686_1188 <unknown description>
+CVDFFNWCHLVPQHGVCNHKFYGKQCCKSCT
+>Q9UHI8_PF01562_71 <unknown description>
+HGTTRLRLHAFDQQLDLELRPDSSFLAPGFTLQNVGRKSGSETPLPETDLAHCFYSGTVN
+GDPSSAAALSLCEGVRGAFYLLGEAYFIQPLPAASE
+>Q9UHI8_PF01421_258 <unknown description>
+RYVETMLVADQSMAEFHGSGLKHYLLTLFSVAARLYKHPSIRNSVSLVVVKILVIHDEQK
+GPEVTSNAALTLRNFCNWQKQHNPPSDRDAEHYDTAILFTRQDLCGSQTCDTLGMADVGT
+VCDPSRSCSVIEDDGLQAAFTTAHELGHVFNMPHDDAKQCASLNGVNQDSHMMASMLSNL
+DHSQPWSPCSAYMITSFLDNGHGECLMDKP
+>Q9UHI8_PF17771_478 <unknown description>
+PGTSYDANRQCQFTFGEDSKHCPDAASTCSTLWCTGTSGGVLVCQTKHFPWADGTSCGEG
+KWCINGKCV
+>Q9UHI8_PF00090_564 <unknown description>
+MWGPWGDCSRTCGGGVQYTMRECDNPVPKNGGKYCEGKRVRYRSCNLEDC
+>Q9UHI8_PF19236_644 <unknown description>
+EWIPKYAGVSPKDRCKLICQAKGIGYFFVLQPKVVDGTPCSPDSTSVCVQGQCVKAGCDR
+IIDSKKKFDKCGVCGGNGSTC
+>Q9UHI8_PF05986_727 <unknown description>
+ISGSVTSAKPGYHDIITIPTGATNIEVKQRNQRGSRNNGSFLAIKAADGTYILNGDYTLS
+TLEQDIMYKGVVLRYSGSSAALERIRSFSPLKEPLTIQVLTVGNALRPKIKYTYFV
+>Q9UHI8_PF19030_858 <unknown description>
+WVIEEWGECSKSCELGWQRRLVECRDINGQPASECAKEVKPASTRPCADHPC
+>Q9UHI8_PF19030_912 <unknown description>
+WQLGEWSSCSKTCGKGYKKRSLKCLSHDGGVLSHESCDPLKKPKHFIDFCTMAEC
+>P59510_PF01562_41 <unknown description>
+EVVIPERVNEFGEVFPQSHHFSRQKRSSEALEPMPFRTHYRFTAYGQLFQLNLTADASFL
+AAGYTEVHLGTPERGAWESDAGPSDLRHCFYRGQVNSQEDYKAVVSLCGGLTGTFKGQNG
+EYFLEPIMKADGNEYEDGHNKPHLIY
+>P59510_PF01421_259 <unknown description>
+RYIEIMVTADAKVVSAHGSNLQNYILTLMSIVATIYKDPSIGNLIHIVVVKLVMIHREEE
+GPVINFDGATTLKNFCSWQQTQNDLDDVHPSHHDTAVLITREDICSSKEKCNMLGLSYLG
+TICDPLQSCFINEEKGLISAFTIAHELGHTLGVQHDDNPRCKEMKVTKYHVMAPALSFHM
+SPWSWSNCSRKYVTEFLDTGYGECLLDKP
+>P59510_PF17771_479 <unknown description>
+PGSRYDGNKQCELAFGPGSQMCPHINICMHLWCTSTEKLHKGCFTQHVPPADGTDCGPGM
+HCRHGLCV
+>P59510_PF00090_560 <unknown description>
+GPWEPYSSCSRTCGGGIESATRRCNRPEPRNGGNYCVGRRMKFRSCNTDSC
+>P59510_PF19236_616 <unknown description>
+DFREKQCSDFNGKHLDISGIPSNVRWLPRYSGIGTKDRCKLYCQVAGTNYFYLLKDMVED
+GTPCGTETHDICVQGQCMAAGCDHVLNSSAKIDKCGVCGGDNSSC
+>P59510_PF05986_722 <unknown description>
+TITGVFNSSHYGYNVVVKIPAGATNVDIRQYSYSGQPDDSYLALSDAEGNFLFNGNFLLS
+TSKKEINVQGTRTVIEYSGSNNAVERINSTNRQEKELILQVLCVGNLYNPDVHYSFNIP
+>P59510_PF19030_853 <unknown description>
+YGPWEGCTKMCQGLQRRNITCIHKSDHSVVSDKECDHLPLPSFVTQSCNT
+>P59510_PF19030_914 <unknown description>
+SECSSQCGQGYRTLDIHCMKYSIHEGQTVQVDDHYCGDQLKPPTQELCH
+>P59510_PF19030_970 <unknown description>
+WHYSEWSQCSRSCGGGERSRESYCMNNFGHRLADNECQELSRVTRENCNEFSC
+>P59510_PF19030_1025 <unknown description>
+WAASEWSECLVTCGKGTKQRQVWCQLNVDHLSDGFCNSSTKPESLSPCELHTC
+>P59510_PF19030_1080 <unknown description>
+WQVGPWGPCTTTCGHGYQMRDVKCVNELASAVLEDTECHEASRPSDRQSCVLTPC
+>P59510_PF19030_1156 <unknown description>
+WRHGSWTPCSVSCGRGTQARYVSCRDALDRIADESYCAHLPRPAEIWDCF
+>P59510_PF19030_1211 <unknown description>
+WQAGDWSPCSASCGHGKTTRQVLCMNYHQPIDENYCDPEVRPLMEQECSLAAC
+>P59510_PF19030_1308 <unknown description>
+WRTGPWGSCSSSCSGGLQHRAVVCQDENGQSASYCDAASKPPELQQCGPGPC
+>P59510_PF19030_1362 <unknown description>
+WNYGNWGECSQTCGGGIKSRLVICQFPNGQILEDHNCEIVNKPPSVIQCHMHAC
+>P59510_PF19030_1421 <unknown description>
+WHQEPWTSCSASCGKGRKYREVFCIDQFQRKLEDTNCSQVQKPPTHKACRSVRC
+>P59510_PF19030_1477 <unknown description>
+WKANSWNECSVTCGSGVQQRDVYCRLKGVGQVVEEMCDQSTRPCSQRRCWSQDC
+>P59510_PF19030_1658 <unknown description>
+WKVGKWSKCSVTCGIGIMKRQVKCITKHGLSSDLCLNHLKPGAQKKCYANDC
+>P59510_PF08685_1713 <unknown description>
+TTCKEIQVKNHIRKDGDYYLNIKGRIIKIYCADMYLENPKEYLTLVQGEENFSEVYGFRL
+KNPYQCPFNGSRREDCECDNGHLAAGYTVFSKIRIDLTSMQIKTTDLLFSKTIFGNAVPF
+ATAGDCYSAFRCPQGQFSINLSGTGMKISSTAKWLTQGSYTSVSIRRSEDGTRFFGKCGG
+YCGKCLPHMTTGLPIQV
+>O95450_PF01562_59 <unknown description>
+AVPVRTDAQGRLVSHVVSAATSRAGVRARRAAPVRTPSFPGGNEEEPGSHLFYNVTVFGR
+DLHLRLRPNARLVAPGATMEWQGEKGTTRVEPLLGSCLYVGDVAGLAEASSVALSNCDGL
+AGLIRMEEEEFFIEPLEKGLAAQEAEQGRVHVVY
+>O95450_PF01421_271 <unknown description>
+LLGVDDSVVQFHGKEHVQKYLLTLMNIVNEIYHDESLGAHINVVLVRIILLSYGKSMSLI
+EIGNPSQSLENVCRWAYLQQKPDTGHDEYHDHAIFLTRQDFGPSGMQGYAPVTGMCHPVR
+SCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDEVRLGSIMAPLVQAAFHRFHWSR
+CSQQELSRYLHSYDCLLDDP
+>O95450_PF17771_482 <unknown description>
+PGLHYSMNEQCRFDFGLGYMMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTMCAP
+GKHCFKGHCI
+>O95450_PF00090_566 <unknown description>
+AWSPFGSCSRTCGTGVKFRTRQCDNPHPANGGRTCSGLAYDFQLCSRQDC
+>O95450_PF19236_640 <unknown description>
+QHHWLPHEHRDAKERCHLYCESRETGEVVSMKRMVHDGTRCSYKDAFSLCVRGDCRKVGC
+DGVIGSSKQEDKCGVCGGDNSHC
+>O95450_PF05986_725 <unknown description>
+VKGTFTRSPKKHGYIKMFEIPAGARHLLIQEVDATSHHLAVKNLETGKFILNEENDVDAS
+SKTFIAMGVEWEYRDEDGRETLQTMGPLHGTITVLVIPVGDTRVSLTYKYMIH
+>O95450_PF19030_858 <unknown description>
+WALKKWSPCSKPCGGGSQFTKYGCRRRLDHKMVHRGFCAALSKPKAIRRACNPQEC
+>O95450_PF19030_918 <unknown description>
+WVTGEWEPCSQTCGRTGMQVRSVRCIQPLHDNTTRSVHAKHCNDARPESRRACSRELC
+>O95450_PF19030_979 <unknown description>
+WRAGPWSQCSVTCGNGTQERPVLCRTADDSFGICQEERPETARTCRLGPC
+>O15072_PF01562_43 <unknown description>
+ELVTPVSTNLEGRYLSHTLSASHKKRSARDVSSNPEQLFFNITAFGKDFHLRLKPNTQLV
+APGAVVEWHETSLVPGNITDPINNHQPGSATYRIRRTEPLQTNCAYVGDIVDIPGTSVAI
+SNCDGLAGMIKSDNEEYFIEPLERGKQMEEEKGRIHVVY
+>O15072_PF01421_264 <unknown description>
+VDDSVVRFHGKEHVQNYLLTLMNIVNEIYHDESLGVHINVVLVRMIMLGYAKSISLIERG
+NPSRSLENVCRWASQQQRSDLNHSEHHDHAIFLTRQDFGPAGMQGYAPVTGMCHPVRSCT
+LNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDETAMGSVMAPLVQAAFHRYHWSRCSG
+QELKRYIHSYDCLLDDP
+>O15072_PF17771_472 <unknown description>
+PGINYSMDEQCRFDFGVGYKMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTECAA
+GKWCYKGHCM
+>O15072_PF00090_556 <unknown description>
+SWTKFGSCSRTCGTGVRFRTRQCNNPMPINGGQDCPGVNFEYQLCNTEEC
+>O15072_PF19236_638 <unknown description>
+HPDPKKRCHLYCQSKETGDVAYMKQLVHDGTHCSYKDPYSICVRGECVKVGCDKEIGSNK
+VEDKCGVCGGDNSHC
+>O15072_PF05986_714 <unknown description>
+TVKGTFTRTPRKLGYLKMFDIPPGARHVLIQEDEASPHILAIKNQATGHYILNGKGEEAK
+SRTFIDLGVEWDYNIEDDIESLHTDGPLHDPVIVLIIPQENDTRSSLTYKYIIH
+>O15072_PF19030_849 <unknown description>
+WALKSWSQCSKPCGGGFQYTKYGCRRKSDNKMVHRSFCEANKKPKPIRRMCNIQEC
+>O15072_PF19030_909 <unknown description>
+WVAEEWEHCTKTCGSSGYQLRTVRCLQPLLDGTNRSVHSKYCMGDRPESRRPCNRVPC
+>O15072_PF19030_970 <unknown description>
+WKTGPWSECSVTCGEGTEVRQVLCRAGDHCDGEKPESVRACQLPPC
+>O75173_PF01562_78 <unknown description>
+PARLLCRLQAFGETLLLELEQDSGVQVEGLTVQYLGQAPELLGGAEPGTYLTGTINGDPE
+SVASLHWDGGALLGVLQYRGAELHLQPLEGGTPNSAGG
+>O75173_PF01421_218 <unknown description>
+RFVETLVVADDKMAAFHGAGLKRYLLTVMAAAAKAFKHPSIRNPVSLVVTRLVILGSGEE
+GPQVGPSAAQTLRSFCAWQRGLNTPEDSDPDHFDTAILFTRQDLCGVSTCDTLGMADVGT
+VCDPARSCAIVEDDGLQSAFTAAHELGHVFNMLHDNSKPCISLNGPLSTSRHVMAPVMAH
+VDPEEPWSPCSARFITDFLDNGYGHCLLDKP
+>O75173_PF17771_439 <unknown description>
+PGKDYDADRQCQLTFGPDSRHCPQLPPPCAALWCSGHLNGHAMCQTKHSPWADGTPCGPA
+QACMGGRCL
+>O75173_PF00090_524 <unknown description>
+GPWGPWGDCSRTCGGGVQFSSRDCTRPVPRNGGKYCEGRRTRFRSCNTEDC
+>O75173_PF19236_580 <unknown description>
+LTFREEQCAAYNHRTDLFKSFPGPMDWVPRYTGVAPQDQCKLTCQAQALGYYYVLEPRVV
+DGTPCSPDSSSVCVQGRCIHAGCDRIIGSKKKFDKCMVCGGDGSGC
+>O75173_PF05986_688 <unknown description>
+QSGSFRKFRYGYNNVVTIPAGATHILVRQQGNPGHRSIYLALKLPDGSYALNGEYTLMPS
+PTDVVLPGAVSLRYSGATAASETLSGHGPLAQPLTLQVLVAGNPQDTRLRYSFFVP
+>Q9UNA0_PF01562_66 <unknown description>
+QRRRSKGLVQNIDQLYSGGGKVGYLVYAGGRRFLLDLERDGSVGIAGFVPAGGGTSAPWR
+HRSHCFYRGTVDGSPRSLAVFDLCGGLDGFFAVKHARYTLKPLLRGPWAEE
+>Q9UNA0_PF01421_269 <unknown description>
+VELLLVADASMARLYGRGLQHYLLTLASIANRLYSHASIENHIRLAVVKVVVLGDKDKSL
+EVSKNAATTLKNFCKWQHQHNQLGDDHEEHYDAAILFTREDLCGHHSCDTLGMADVGTIC
+SPERSCAVIEDDGLHAAFTVAHEIGHLLGLSHDDSKFCEETFGSTEDKRLMSSILTSIDA
+SKPWSKCTSATITEFLDDGHGNCLLDLP
+>Q9UNA0_PF17771_487 <unknown description>
+PGQTYDATQQCNLTFGPEYSVCPGMDVCARLWCAVVRQGQMVCLTKKLPAVEGTPCGKGR
+ICLQGKCV
+>Q9UNA0_PF00090_572 <unknown description>
+SWGSWGQCSRSCGGGVQFAYRHCNNPAPRNNGRYCTGKRAIYRSCSLMPC
+>Q9UNA0_PF19236_628 <unknown description>
+FRHEQCEAKNGYQSDAKGVKTFVEWVPKYAGVLPADVCKLTCRAKGTGYYVVFSPKVTDG
+TECRLYSNSVCVRGKCVRTGCDGIIGSKLQYDKCGVCGGDNSSC
+>Q9UNA0_PF05986_734 <unknown description>
+IVGTFNKKSKGYTDVVRIPEGATHIKVRQFKAKDQTRFTAYLALKKKNGEYLINGKYMIS
+TSETIIDINGTVMNYSGWSHRDDFLHGMGYSATKEILIVQILATDPTKPLDVRYSFFVP
+>Q9UNA0_PF19030_879 <unknown description>
+WVTGPWLACSRTCDTGWHTRTVQCQDGNRKLAKGCPLSQRPSAFKQCLLKKC
+>Q9UKP5_PF01562_43 <unknown description>
+QLTIPIRVDQNGAFLSFTVKNDKHSRRRRSMDPIDPQQAVSKLFFKLSAYGKHFHLNLTL
+NTDFVSKHFTVEYWGKDGPQWKHDFLDNCHYTGYLQDQRSTTKVALSNCVGLHGVIATED
+EEYFIEPLKNTTEDSKHFSYENGHPHVIY
+>Q9UKP5_PF01421_250 <unknown description>
+RFVETLVVADKMMVGYHGRKDIEHYILSVMNIVAKLYRDSSLGNVVNIIVARLIVLTEDQ
+PNLEINHHADKSLDSFCKWQKSILSHQSDGNTIPENGIAHHDNAVLITRYDICTYKNKPC
+GTLGLASVAGMCEPERSCSINEDIGLGSAFTIAHEIGHNFGMNHDGIGNSCGTKGHEAAK
+LMAAHITANTNPFSWSACSRDYITSFLDSGRGTCLDNEP
+>Q9UKP5_PF17771_480 <unknown description>
+PGQVYDADEQCRFQYGATSRQCKYGEVCRELWCLSKSNRCVTNSIPAAEGTLCQTGNIEK
+GWCYQGDCV
+>Q9UKP5_PF00090_562 <unknown description>
+GPWSLWGECSRTCGGGVSSSLRHCDSPAPSGGGKYCLGERKRYRSCNTDPC
+>Q9UKP5_PF19236_618 <unknown description>
+DFREKQCADFDNMPFRGKYYNWKPYTGGGVKPCALNCLAEGYNFYTERAPAVIDGTQCNA
+DSLDICINGECKHVGCDNILGSDAREDRCRVCGGDGSTC
+>Q9UKP5_PF05986_719 <unknown description>
+IEGFFNDSLPRGGYMEVVQIPRGSVHIEVREVAMSKNYIALKSEGDDYYINGAWTIDWPR
+KFDVAGTAFHYKRPTDEPESLEALGPTSENLIVMVLLQEQNLGIRYKFNVP
+>Q9UKP5_PF19030_844 <unknown description>
+WNHQPWSECSATCAGGVQRQEVVCKRLDDNSIVQNNYCDPDSKPPENQRACNTEPC
+>Q9UKP5_PF19030_903 <unknown description>
+WFIGDWLECSKTCDGGMRTRAVLCIRKIGPSEEETLDYSGCLTHRPVEKEPCNNQSC
+>Q9UKP5_PF19030_963 <unknown description>
+WVALDWSECTPKCGPGFKHRIVLCKSSDLSKTFPAAQCPEESKPPVRIRCSLGRC
+>Q9UKP5_PF19030_1022 <unknown description>
+WVTGDWGQCSAQCGLGQQMRTVQCLSYTGQASSDCLETVRPPSMQQCESK
+>Q9UKP5_PF08686_1083 <unknown description>
+CKDVNKVAYCPLVLKFKFCSRAYFRQMCCKTCQ
+>Q9UKP4_PF01562_44 <unknown description>
+DIVHPVRVDAGGSFLSYELWPRALRKRDVSVRRDAPAFYELQYRGRELRFNLTANQHLLA
+PGFVSETRRRGGLGRAHIRAHTPACHLLGEVQDPELEGGLAAISACDGLKGVFQLSNEDY
+FIEPLDSAPARPGHAQPHVVY
+>Q9UKP4_PF01421_242 <unknown description>
+KWVETLVVADAKMVEYHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHITIVRLVLLEDEE
+EDLKITHHADNTLKSFCKWQKSINMKGDAHPLHHDTAILLTRKDLCAAMNRPCETLGLSH
+VAGMCQPHRSCSINEDTGLPLAFTVAHELGHSFGIQHDGSGNDCEPVGKRPFIMSPQLLY
+DAAPLTWSRCSRQYITRFLDRGWGLCLDDPP
+>Q9UKP4_PF17771_464 <unknown description>
+PGVLYDVSHQCRLQYGAYSAFCEDMDNVCHTLWCSVGTTCHSKLDAAVDGTRCGENKWCL
+SGECV
+>Q9UKP4_PF00090_542 <unknown description>
+SGWSAWSICSRSCGMGVQSAERQCTQPTPKYKGRYCVGERKRFRLCNLQAC
+>Q9UKP4_PF19236_620 <unknown description>
+VPVVNDVNPCELHCRPANEYFAEKLRDAVVDGTPCYQVRASRDLCINGICKNVGCDFEID
+SGAMEDRCGVCHGNGSTC
+>Q9UKP4_PF05986_699 <unknown description>
+TVSGTFEEAEGLGYVDVGLIPAGAREIRIQEVAEAANFLALRSEDPEKYFLNGGWTIQWN
+GDYQVAGTTFTYARRGNWENLTSPGPTKEPVWIQLLFQESNPGVHYEYTIH
+>Q9UKP4_PF19030_825 <unknown description>
+WHYGPWTKCTVTCGRGVQRQNVYCLERQAGPVDEEHCDPLGRPDDQQRKCSEQPC
+>Q9UKP4_PF19030_883 <unknown description>
+WWAGEWQLCSSSCGPGGLSRRAVLCIRSVGLDEQSALEPPACEHLPRPPTETPCNRH
+>Q9UKP4_PF19030_946 <unknown description>
+WAVGNWSQCSVTCGEGTQRRNVLCTNDTGVPCDEAQQPASEVTCSLPLC
+>Q9UKP4_PF19030_1415 <unknown description>
+WQAGNWSECSTTCGLGAVWRPVRCSSGRDEDCAPAGRPQPARRCHLRPC
+>Q9UKP4_PF19030_1466 <unknown description>
+WHSGNWSKCSRSCGGGSSVRDVQCVDTRDLRPLRPFHCQPGPAKPPAHRPCGAQPC
+>Q9UKP4_PF19030_1524 <unknown description>
+WYTSSWRECSEACGGGEQQRLVTCPEPGLCEEALRPNTTRPCNTHPC
+>Q9UKP4_PF19030_1573 <unknown description>
+WVVGPWGQCSGPCGGGVQRRLVKCVNTQTGLPEEDSDQCGHEAWPESSRPCGTEDC
+>Q9UP79_PF01562_51 <unknown description>
+GELALHLSAFGKGFVLRLAPDDSFLAPEFKIERLGGSGRATGGERGLRGCFFSGTVNGEP
+ESLAAVSLCRGLSGSFLLDGEEFTIQPQG
+>Q9UP79_PF01421_219 <unknown description>
+RFVETLLVADASMAAFYGADLQNHILTLMSVAARIYKHPSIKNSINLMVVKVLIVEDEKW
+GPEVSDNGGLTLRNFCNWQRRFNQPSDRHPEHYDTAILLTRQNFCGQEGLCDTLGVADIG
+TICDPNKSCSVIEDEGLQAAHTLAHELGHVLSMPHDDSKPCTRLFGPMGKHHVMAPLFVH
+LNQTLPWSPCSAMYLTELLDGGHGDCLLDAP
+>Q9UP79_PF17771_445 <unknown description>
+LYQLDQQCRQIFGPDFRHCPNTSAQDVCAQLWCHTDGAEPLCHTKNGSLPWADGTPCGPG
+HLCSEGSCL
+>Q9UP79_PF00090_531 <unknown description>
+PWGPWGECSRTCGGGVQFSHRECKDPEPQNGGRYCLGRRAKYQSCHTEEC
+>Q9UP79_PF19236_609 <unknown description>
+QWVPKYAGVSPRDRCKLFCRARGRSEFKVFEAKVIDGTLCGPETLAICVRGQCVKAGCDH
+VVDSPRKLDKCGVCGGKGNSC
+>Q9UP79_PF05986_692 <unknown description>
+VSGSLTPTNYGYNDIVTIPAGATNIDVKQRSHPGVQNDGNYLALKTADGQYLLNGNLAIS
+AIEQDILVKGTILKYSGSIATLERLQSFRPLPEPLTVQLLTVPGEVFPPKVKYTFFVP
+>Q9UP79_PF19030_836 <unknown description>
+WVLGDWSECSSTCGAGWQRRTVECRDPSGQASATCNKALKPEDAKPCESQLC
+>Q9P2N4_PF01562_49 <unknown description>
+EIVSPIRVNALGEPFPTNVHFKRTRRSINSATDPWPAFASSSSSSTSSQAHYRLSAFGQQ
+FLFNLTANAGFIAPLFTVTLLGTPGVNQTKFYSEEEAELKHCFYKGYVNTNSEHTAVISL
+CSGMLGTFRSHDGDYFIEPLQSMDEQEDEEEQNKPHIIY
+>Q9P2N4_PF01421_293 <unknown description>
+RFVEVLVVADNRMVSYHGENLQHYILTLMSIVASIYKDPSIGNLINIVIVNLIVIHNEQD
+GPSISFNAQTTLKNFCQWQHSKNSPGGIHHDTAVLLTRQDICRAHDKCDTLGLAELGTIC
+DPYRSCSISEDSGLSTAFTIAHELGHVFNMPHDDNNKCKEEGVKSPQHVMAPTLNFYTNP
+WMWSKCSRKYITEFLDTGYGECLLNEP
+>Q9P2N4_PF17771_511 <unknown description>
+PGILYNVNKQCELIFGPGSQVCPYMMQCRRLWCNNVNGVHKGCRTQHTPWADGTECEPGK
+HCKYGFCV
+>Q9P2N4_PF00090_593 <unknown description>
+SWSPFGTCSRTCGGGIKTAIRECNRPEPKNGGKYCVGRRMKFKSCNTEPC
+>Q9P2N4_PF19236_648 <unknown description>
+DFRDEQCAHFDGKHFNINGLLPNVRWVPKYSGILMKDRCKLFCRVAGNTAYYQLRDRVID
+GTPCGQDTNDICVQGLCRQAGCDHVLNSKARRDKCGVCGGDNSSC
+>Q9P2N4_PF05986_754 <unknown description>
+TVAGTFNTVHYGYNTVVRIPAGATNIDVRQHSFSGETDDDNYLALSSSKGEFLLNGNFVV
+TMAKREIRIGNAVVEYSGSETAVERINSTDRIEQELLLQVLSVGKLYNPDVRYSFNIP
+>Q9P2N4_PF19030_939 <unknown description>
+WHVASRSECSAQCGLGYRTLDIYCAKYSRLDGKTEKVDDGFCSSHPKPSNREKCS
+>Q9P2N4_PF19030_1001 <unknown description>
+WRYSAWTECSKSCDGGTQRRRAICVNTRNDVLDDSKCTHQEKVTIQRCSEFPC
+>Q9P2N4_PF19030_1056 <unknown description>
+WKSGDWSECLVTCGKGHKHRQVWCQFGEDRLNDRMCDPETKPTSMQTCQQPEC
+>Q9P2N4_PF19030_1111 <unknown description>
+WQAGPWGQCSVTCGQGYQLRAVKCIIGTYMSVVDDNDCNAATRPTDTQDCELPSC
+>Q9P2N4_PF19030_1186 <unknown description>
+WRFGSWTPCSATCGKGTRMRYVSCRDENGSVADESACATLPRPVAKEECSVTPC
+>Q9P2N4_PF19030_1242 <unknown description>
+WKALDWSSCSVTCGQGRATRQVMCVNYSDHVIDRSECDQDYIPETDQDCSMSPC
+>Q9P2N4_PF19030_1332 <unknown description>
+WRTGPWGACSSTCAGGSQRRVVVCQDENGYTANDCVERIKPDEQRACESGPC
+>Q9P2N4_PF19030_1386 <unknown description>
+WAYGNWGECTKLCGGGIRTRLVVCQRSNGERFPDLSCEILDKPPDREQCNTHAC
+>Q9P2N4_PF19030_1445 <unknown description>
+WSTGPWSSCSVSCGRGHKQRNVYCMAKDGSHLESDYCKHLAKPHGHRKCRGGRC
+>Q9P2N4_PF19030_1501 <unknown description>
+WKAGAWSQCSVSCGRGVQQRHVGCQIGTHKIARETECNPYTRPESERDCQGPRC
+>Q9P2N4_PF19030_1559 <unknown description>
+WRAEEWQECTKTCGEGSRYRKVVCVDDNKNEVHGARCDVSKRPVDRESCSLQPC
+>Q9P2N4_PF19030_1616 <unknown description>
+WITGEWSECSVTCGKGYKQRLVSCSEIYTGKENYEYSYQTTINCPGTQPPSVHPCYLRDC
+>Q9P2N4_PF19030_1681 <unknown description>
+WRVGNWGSCSVSCGVGVMQRSVQCLTNEDQPSHLCHTDLKPEERKTCRNVYN
+>Q9P2N4_PF08685_1736 <unknown description>
+PQNCKEVKRLKGASEDGEYFLMIRGKLLKIFCAGMHSDHPKEYVTLVHGDSENFSEVYGH
+RLHNPTECPYNGSRRDDCQCRKDYTAAGFSSFQKIRIDLTSMQIITTDLQFARTSEGHPV
+PFATAGDCYSAAKCPQGRFSINLYGTGLSLTESARWISQGNYAVSDIKKSPDGTRVVGKC
+GGYCGKCTPSSGTGLEVR
+>P17735_PF07706_1 <unknown description>
+MDPYMIQMSSKGNLPSILDVHVNVGGRSSVPGKMKGRKAR
+>P17735_PF00155_72 <unknown description>
+TMISLSIGDPTVFGNLPTDPEVTQAMKDALDSGKYNGYAPSIGFLSSREEIASYYHCPEA
+PLEAKDVILTSGCSQAIDLCLAVLANPGQNILVPRPGFSLYKTLAESMGIEVKLYNLLPE
+KSWEIDLKQLEYLIDEKTACLIVNNPSNPCGSVFSKRHLQKILAVAARQCVPILADEIYG
+DMVFSDCKYEPLATLSTDVPILSCGGLAKRWLVPGWRLGWILIHDRRDIFGNEIRDGLVK
+LSQRILGPCTIVQGALKSILCRTPGEFYHNTLSFLKSNADLCYGALAAIPGLRPVRPSGA
+MYLMVGIEMEHFPEFENDVEFTERLVAEQSVHCLPATCFEYPNFIRVVITVPEVMMLEAC
+SRI
+>Q9UBB4_PF09759_370 <unknown description>
+FKSHLIRLIGNLCYKNKDNQDKVNELDGIPLILDNCNISDSNPFLTQWVIYAIRNLTEDN
+SQNQDLIAKMEEQGLADASLLKKVGFEVEKK
+>P0C7T5_PF12547_1 <unknown description>
+MKPVHERSQECLPPKKRDLPVTSEDMGRTTSCSTNHTPSSDASEWSRGVVVAGQSQAGAR
+VSLGGDGAEAITGLTVDQYGMLYKVAVPPATFSPTGLPSVVNMSPLPPTFNVASSLIQHP
+GIHYPPLHYAQLPSTSLQFIGSPYSLPYAVPPNFLPSPLLSPSANLATSHLPHFVPYASL
+LAEGATPPPQAPSPAHSFNKAPSATSPSGQLPHHSSTQPLDL
+>P0C7T5_PF08517_469 <unknown description>
+FMKGAIIQLATGELKRVEDLQTQDFVRSAEVSGGLKIDSSTVVDIQESQWPGFVMLHFVV
+GEQQSKVSIEVPPEHPFFVYGQGWSSCSPGRTTQLFSLPCHRLQVGDVCISI
+>P54253_PF12547_1 <unknown description>
+MKSNQERSNECLPPKKREIPATSRSSEEKAPTLPSDNHRVEGTAWLPGNPGGRGHGGGRH
+GPAGTSVELGLQQGIGLHKALSTGLDYSPPSAPRSVPVATTLPAAYATPQPGTPVSPVQY
+AHLPHTFQFIGSSQYSGTYASFIPSQLIPPTANPVTSAVASAAGATTPSQRSQLEAYSTL
+LANMGSLSQTPGHKAEQQQQQQQQQQQQHQHQQQQQQQQQQQQQQHLSRAPGLITPGSPP
+PAQQNQYVHISSSPQNTGRTASPPAIPVHLHPHQTMIPHTLTLGPPSQVVMQYADSGSHF
+VPREATKKAESSRLQQAIQAKEVLNGEMEKSRRYGAPSSADLGLGKAGGKSVPHPYESRH
+VVVHPSPSDYSSRDPSGVRASVMVLPNSNTPAADLEVQQATHREASPSTLNDKSGLHLGK
+PGHRSYALSPHTVIQTTHSASEPLPVGLPATAFYAGTQPPVIGYLSGQQQAITYAGSLPQ
+HLVIPGTQPLLIPVGSTDMEASGAAPAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVT
+QAAYPAMVQAQIHLPVVQ
+>P54253_PF08517_574 <unknown description>
+FMKGSIIQLANGELKKVEDLKTEDFIQSAEISNDLKIDSSTVERIEDSHSPGVAVIQFAV
+GEHRAQVSVEVLVEYPFFVFGQGWSSCCPERTSQLFDLPCSKLSVGDVCISLT
+>Q8WWM7_PF14438_120 <unknown description>
+NSRMLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDI
+VDTMVFKPSDVMLVH
+>Q8WWM7_PF06741_264 <unknown description>
+YGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQLAREIESSPQYRLRIAMENDDGRTE
+EEKHSAVQR
+>Q8WWM7_PF07145_654 <unknown description>
+VKKSTLNPNAKEFNP
+>Q9H3M9_PF02099_9 <unknown description>
+QEGFLCAQHCLNNLLQGEYFSPVELASIAHQLDEEERMRMAEGGVTSEEYLAFLQQPSEN
+MDDTGFFSIQVISNALKFWGLEIIHFNNPEYQKLGIDPINERSFICNYKQHWFTIRKFGK
+HWFNLNSLLAGPELISDTCLANFLARLQQQAYSVFVVK
+>Q9H3M9_PF02809_224 <unknown description>
+QDEEDFQRALELSRQE
+>Q9H3M9_PF02809_243 <unknown description>
+EDEHLRSTIELSMQG
+>Q9H3M9_PF16619_261 <unknown description>
+NTSQDLPKTSCVTPASEQPKKIKEDYFEKHQQEQKQQQQQSDLPGHSSYLHERPTTSSRA
+IESD
+>P54252_PF02099_9 <unknown description>
+QEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERMRMAEGGVTSEDYRTFLQQPSGN
+MDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHWFTVRKLGK
+QWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVK
+>P54252_PF02809_224 <unknown description>
+EDEEDLQRALALSRQE
+>P54252_PF02809_244 <unknown description>
+DEEADLRRAIQLSMQG
+>P54252_PF16619_263 <unknown description>
+NISQDMTQTSGTNLTSEELRKRREAYFEKQQQKQQQQQQQQQQGDLSGQSSHPCERPATS
+SGALGSD
+>P54252_PF02809_335 <unknown description>
+SEEDMLQAAVTMSLET
+>O15265_PF08313_333 <unknown description>
+NKRLSEREFDPDIHCGVIDLDTKKPCTRSLTCKTHSLTQRRAVQGRRKRFDVLLAEHKNK
+TR
+>Q9H1Z8_PF15187_32 <unknown description>
+NKLKLMLQKREAPVPTKTKVAVDENKAKEFLGSLKRQKRQLWDRTRPEVQQWYQQFLYMG
+FDEAKFEDDITYWLNRDRNGHEYYGDYYQRHYDEDSAIGPRSPYGFRHGASVNYDDY
+>Q13825_PF00378_84 <unknown description>
+EENRGIVVLGINRAYGKNSLSKNLIKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADL
+KERAKMSSSEVGPFVSKIRAVINDIANLPVPTIAAIDGLALGGGLELALACDIRVAASSA
+KMGLVETKLAIIPGGGGTQRLPRAIGMSLAKELIFSARVLDGKEAKAVGLISHVLEQNQE
+GDAAYRKALDLAREFLPQGPVAMRVAKLAINQGMEVDLVTGLAIEEACYAQTIPTKDRLE
+GLLAFKEKRPPRYKG
+>Q9H7T9_PF15334_26 <unknown description>
+QTHLIKPGTKMLTLLPGERKANIYFTQRRAPSTGIHQRSIASFFTLQPGKTNGSDQKSVS
+SHTESQINKESKKNATQLDHLIPGLAHDCMASPLATSTTADIQEAGLSPQSLQTSGHHRM
+KTPFSTELSLLQPDTPDCAGDSHTPLAFSFTEDLESSCLLDRKEEKGDSARKWEWLHESK
+KNYQSMEKHTKLPGDKCCQPLGKTKLERKVSAKENRQAPVLLQTYRESWNGENIESVKQS
+RSPVSVFSWDNEKNDKDSWSQLFTEDSQGQRVIAHNTRAPFQDVTNNWNWDLGPFPNSPW
+AQCQEDGPTQNLKPDLLFTQDSEGN
+>Q9Y679_PF02845_301 <unknown description>
+AQRVKEVLPHVPLGVIQRDLAKTGCVDLTITNLLEG
+>O14965_PF00069_133 <unknown description>
+FEIGRPLGKGKFGNVYLAREKQSKFILALKVLFKAQLEKAGVEHQLRREVEIQSHLRHPN
+ILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHSKRV
+IHRDIKPENLLLGSAGELKIADFGWSVHAPSSRRTTLCGTLDYLPPEMIEGRMHDEKVDL
+WSLGVLCYEFLVGKPPFEANTYQETYKRISRVEFTFPDFVTEGARDLISRLLKHNPSQRP
+MLREVLEHPWI
+>Q96GD4_PF00069_77 <unknown description>
+FEIGRPLGKGKFGNVYLAREKKSHFIVALKVLFKSQIEKEGVEHQLRREIEIQAHLHHPN
+ILRLYNYFYDRRRIYLILEYAPRGELYKELQKSCTFDEQRTATIMEELADALMYCHGKKV
+IHRDIKPENLLLGLKGELKIADFGWSVHAPSLRRKTMCGTLDYLPPEMIEGRMHNEKVDL
+WCIGVLCYELLVGNPPFESASHNETYRRIVKVDLKFPASVPMGAQDLISKLLRHNPSERL
+PLAQVSAHPWV
+>Q9UQB9_PF00069_43 <unknown description>
+FEIGRPLGKGKFGNVYLARLKESHFIVALKVLFKSQIEKEGLEHQLRREIEIQAHLQHPN
+ILRLYNYFHDARRVYLILEYAPRGELYKELQKSEKLDEQRTATIIEELADALTYCHDKKV
+IHRDIKPENLLLGFRGEVKIADFGWSVHTPSLRRKTMCGTLDYLPPEMIEGRTYDEKVDL
+WCIGVLCYELLVGYPPFESASHSETYRRILKVDVRFPLSMPLGARDLISRLLRYQPLERL
+PLAQILKHPWV
+>Q8WXX7_PF15336_645 <unknown description>
+KPGKWCAMHVHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSLFGAIHHPHD
+LARPSTLFSAAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAAVGGNAFGGL
+GNPSVTPNSMFGHKDGPSVQNFSNPHEPWNRLHRTPPSFPTPPPWLKPGELERSASAAAH
+DRDRDVDKRDSSVSKDDKERESVEKRHSSHPSP
+>O75061_PF10409_284 <unknown description>
+HFKPLTIKSITVSPIPFFNKQRNGCRPYCDVLIGETKIYSTCTDFERMKEYRVQDGKIFI
+PLNITVQGDVVVSMYHLRSTIGSRLQAKVTNTQIFQLQFHTGFIPLDTTVLKFTKPELDA
+CDVPEKYPQLFQVTLDV
+>O75061_PF00226_916 <unknown description>
+LVTPEQVKKVYRKAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY
+>O75366_PF00626_26 <unknown description>
+LALVPVSAHGNFYEGDCYVILSTRRVASLLSQDIHFWIGKDSSQDEQSCAAIYTTQLDDY
+LGGSPVQHREVQYHESDTFR
+>O75366_PF00626_144 <unknown description>
+NIRATEVEMSWDSFNRGDVFLLDLGKVIIQWNGPESNSGERLKAMLLAKDIRDRERGGRA
+KIGVIEGDKEAA
+>O75366_PF00626_265 <unknown description>
+TEVATRPLVQDLLNHDDCYILDQSGTKIYVWKGKGATKAEKQAAMSKALGFIKMKSYPSS
+TNVETVNDGAESAMF
+>O75366_PF00626_407 <unknown description>
+LVPVEYQWYGFFYGGDCYLVLYTYEVNGKPHHILYIWQGRHASQDELAASAYQAVEVDRQ
+FDGAAVQVRVRMGTEPRHFM
+>O75366_PF00626_524 <unknown description>
+NTKAVEVPAFASSLNSNDVFLLRTQAEHYLWYGKGSSGDERAMAKELASLLCDGSENTVA
+EGQEPAEFW
+>O75366_PF00626_629 <unknown description>
+VVTEITDFTQDDLNPTDVMLLDTWDQVFLWIGAEANATEKESALATAQQYLHTHPSGRDP
+DTPILIIKQGFEPPIF
+>O75366_PF02209_784 <unknown description>
+YLSEQDFVSVFGITRGQFAALPGWKQLQMKKEKGLF
+>Q8NBF6_PF09794_16 <unknown description>
+VLHIVVVGFHHKKGCQVEFSYPPLIPGDGHDSHTLPEEWKYLPFLALPDGAHNYQEDTVF
+FHLPPRNGNGATVFGISCYRQIEAKALKVRQADITRETVQKSVCVLSKLPLYGLLQAKLQ
+LITHAYFEEKDFSQISILKELYEHMNSSLGGASLEGSQVYLGLSPRDLVLHFRHKVLILF
+KLILLEKKVLFYISPVNKLVGALMTVLSLFPGMIEHGLSDCSQYRPRKSMSEDGGLQESN
+PCADDFVSASTADVSHTNLGTIRKVMAGNHGEDAAMKTEEPLFQVEDSSKGQEPNDTNQY
+LKPPSRPSPDSSESDWETLDPSVLEDPNLKEREQLGSDQTNLFPKDSVPSESLPITVQPQ
+ANTGQVVLIPGLISGLEEDQYGMPLAIFTKGYLCLPYMALQQHHLLSDVTVRGFVAGATN
+ILFRQQKHLSDAIVEVEEALIQIHDPELRKLLNPTTADLRFADYLVRHVTENRDDVFLDG
+TGWEGGDEWIRAQFAVYIHALLAA
+>Q5T686_PF15063_1 <unknown description>
+MGTPASVVSEPPPWQAPIEARGRKQASANIFQDAELLQIQALFQRSGDQLAEERAQIIWE
+CAGDHRVAEALKRLR
+>P27037_PF01064_40 <unknown description>
+DRTNQTGVEPCYGDKDKRRHCFATWKNISGSIEIVKQGCWLDDINCYDRTDCVEKKDSPE
+VYFCCCEGNMCNEK
+>P27037_PF00069_200 <unknown description>
+RGRFGCVWKAQLLNEYVAVKIFPIQDKQSWQNEYEVYSLPGMKHENILQFIGAEKRGTSV
+DVDLWLITAFHEKGSLSDFLKANVVSWNELCHIAETMARGLAYLHEDIPGLKDGHKPAIS
+HRDIKSKNVLLKNNLTACIADFGLALKFEAGKSAGDTHGQVGTRRYMAPEVLEGAINFQR
+DAFLRIDMYAMGLVLWELASRCTAADGPVDEYMLPFEEEIGQHPSLEDMQEVVVHKKKRP
+VLRDYWQKHAGMAMLCETIEECWDHDAEARLSA
+>Q13705_PF01064_41 <unknown description>
+TNQSGLERCEGEQDKRLHCYASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVY
+FCCCEGNFCNER
+>Q13705_PF00069_198 <unknown description>
+RGRFGCVWKAQLMNDFVAVKIFPLQDKQSWQSEREIFSTPGMKHENLLQFIAAEKRGSNL
+EVELWLITAFHDKGSLTDYLKGNIITWNELCHVAETMSRGLSYLHEDVPWCRGEGHKPSI
+AHRDFKSKNVLLKSDLTAVLADFGLAVRFEPGKPPGDTHGQVGTRRYMAPEVLEGAINFQ
+RDAFLRIDMYAMGLVLWELVSRCKAADGPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMR
+PTIKDHWLKHPGLAQLCVTIEECWDHDAEARLSA
+>Q58HT5_PF03982_35 <unknown description>
+YLLFTSLWPLPVLYFAWLFLDWKTPERGGRRSAWVRNWCVWTHIRDYFPITILKTKDLSP
+EHNYLMGVHPHGLLTFGAFCNFCTEATGFSKTFPGITPHLATLSWFFKIPFVREYLMAKG
+VCSVSQPAINYLLSHGTGNLVGIVVGGVGEALQSVPNTTTLILQKRKGFVRTALQHGAHL
+VPTFTFGETEVYDQVLFHKDSRMYKFQSCFRRIFGFYCCVFYGQSFCQGSTGLLPYSRPI
+VTVVGEPLPLPQIEKPSQEMVDKYHALYMDALHKLFDQHKTHYGCSETQKLFF
+>Q6E213_PF03982_39 <unknown description>
+LVVFTPYWPVTVLILTWLAFDWKTPQRGGRRFTCVRHWRLWKHYSDYFPLKLLKTHDICP
+SRNYILVCHPHGLFAHGWFGHFATEASGFSKIFPGITPYILTLGAFFWMPFLREYVMSTG
+ACSVSRSSIDFLLTHKGTGNMVIVVIGGLAECRYSLPGSSTLVLKNRSGFVRMALQHGVP
+LIPAYAFGETDLYDQHIFTPGGFVNRFQKWFQSMVHIYPCAFYGRGFTKNSWGLLPYSRP
+VTTIVGEPLPMPKIENPSQEIVAKYHTLYIDALRKLFDQHKTKFGISETQELEI
+>Q3ZCQ2_PF15721_2 <unknown description>
+EQHFLGCVKRAWDSAEVAPEPQPPPIVSSEDRGPWPLPLYPVLGEYSLDSCDLGLLSSPC
+WRLPGVYWQNGLSPGVQSTLEPSTAKPTEFSWPGTQKQQEAPVEEVGQAEEPDRLRLQQL
+PWSSPLHPWDRQQDTEVCDSGCLLERRHPPALQPWRHLPGFSDCLEWILRVGFAAFSVLW
+ACCSRICGAKQP
+>Q5VT79_PF00191_26 <unknown description>
+DAETLYKAMKGIGTNEQAIIDVLTKRSNTQRQQIAKSFKAQFGKDLTETLKSELSGKFER
+LIVAL
+>Q5VT79_PF00191_97 <unknown description>
+YEAKELHDAMKGLGTKEGVIIEILASRTKNQLREIMKAYEEDYGSSLEEDIQADTSGYLE
+RILVCL
+>Q5VT79_PF00191_181 <unknown description>
+QDAQDLYAAGEKIRGTDEMKFITILCTRSATHLLRVFEEYEKIANKSIEDSIKSETHGSL
+EEAMLT
+>Q5VT79_PF00191_257 <unknown description>
+YFAERLYYAMKGAGTRDGTLIRNIVSRSEIDLNLIKCHFKKMYGKTLSSMIMEDTSGDYK
+NALLSL
+>Q5T1B0_PF10211_212 <unknown description>
+SMKPNKRVEVAQLNDVMDTMLERAGVENQEYTGPTKMHKLLHILKKEQTIYNMIFHELIR
+QVSVDCADRGELLSKVRERYVQMLDQIARQMIDFYKDLVTQRVMDQRILEELYNFKHVIE
+ELTRELCLVRAHDVKLTKETEKAHKDLAQALLNAEK
+>O15169_PF16646_11 <unknown description>
+DLGASFTEDAPRPPVPGEEGELVSTDPRPASYSFCSGKGVGIKGETSTATPRRSDLDLGY
+EPEGSASPTPPY
+>O15169_PF00615_88 <unknown description>
+SLHSLLDDQDGISLFRTFLKQEGCADLLDFWFACTGFRKLEPCDSNEEKRLKLARAIYRK
+YILDNNGIVSRQTKPATKSFIKGCIMKQLIDPAMFDQAQTEIQATMEENTYPSFLKSDIY
+LEY
+>O15169_PF08833_465 <unknown description>
+ENPESILDEHVQRVLRTPGRQSPGPGHRSPDS
+>O15169_PF00778_783 <unknown description>
+IVVAYYFCGEPIPYRTLVRGRAVTLGQFKELLTKKGSYRYYFKKVSDEFDCGVVFEEVRE
+DEAVLPVFEEKIIGKV
+>Q9Y2T1_PF16646_10 <unknown description>
+LPDPSSSFREDAPRPPVPGEEGETPPCQPGVGKGQVTKPMPVSSNTRRNEDGLGEPEGRA
+SPDSPL
+>Q9Y2T1_PF00615_81 <unknown description>
+SLHSLLGDQDGAYLFRTFLEREKCVDTLDFWFACNGFRQMNLKDTKTLRVAKAIYKRYIE
+NNSIVSKQLKPATKTYIRDGIKKQQIDSIMFDQAQTEIQSVMEENAYQMFLTSDIYLEY
+>Q9Y2T1_PF08833_433 <unknown description>
+EDPQTILDDHLSRVLKTPGCQSPGVGRYSPRSRSPDH
+>Q9Y2T1_PF00778_764 <unknown description>
+LVVTYFFCGEEIPYRRMLKAQSLTLGHFKEQLSKKGNYRYYFKKASDEFACGAVFEEIWE
+DETVLPMYEGRILGKV
+>Q9H6S1_PF12845_214 <unknown description>
+ISSDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSTAIKKACAPVGCSED
+>O14977_PF02784_45 <unknown description>
+LGKIVKKHSQWQNVVAQIKPFYTVKCNSAPAVLEILAALGTGFACSSKNEMALVQELGVP
+PENIIYISPCKQVSQIKYAAKVGVNILTCDNEIELKKIARNHPNAKVLLHIATEDNIGGE
+EGNMKFGTTLKNCRHLLECAKELDVQIIGVKFHVSSACKESQVYVHALSDARCVFDMAGE
+IGFTMNMLDIGGGFTGTEFQLEEVNHVISPLLDIYFPEGSGVKIISEPGSYYVS
+>O14977_PF00278_279 <unknown description>
+SAFTLAVNIIAKKVVENDKFPSGVEKTGSDEPAFMYYMNDGVYGSFASKLSEDLNTIPEV
+HKKYKEDEPLFTSSLWGPSCDELDQIVESCLLPELNVGDWLIFDNMG
+>Q96A70_PF02784_47 <unknown description>
+GAIVRKHFCFLKCLPRVRPFYAVKCNSSPGVLKVLAQLGLGFSCANKAEMELVQHIGIPA
+SKIICANPCKQIAQIKYAAKHGIQLLSFDNEMELAKVVKSHPSAKMVLCIATDDSHSLSC
+LSLKFGVSLKSCRHLLENAKKHHVEVVGVSFHIGSGCPDPQAYAQSIADARLVFEMGTEL
+GHKMHVLDLGGGFPGTEGAKVRFEEIASVINSALDLYFPEGCGVDIFAELGRYYV
+>Q96A70_PF00278_282 <unknown description>
+TSAFTVAVSIIAKKEVLLDQPGREEENGSTSKTIVYHLDEGVYGIFNSVLFDNICPTPIL
+QKKPSTEQPLYSSSLWGPAVDGCDCVAEGLWLPQLHVGDWLVFDNMG
+>Q07817_PF02180_1 <unknown description>
+MSQSNRELVVDFLSYKLSQKGYSWSQ
+>Q07817_PF00452_90 <unknown description>
+LREAGDEFELRYRRAFSDLTSQLHITPGTAYQSFEQVVNELFRDGVNWGRIVAFFSFGGA
+LCVESVDKEMQVLVSRIAAWMATYLNDHLEPWIQENGGW
+>Q92843_PF02180_7 <unknown description>
+APDTRALVADFVGYKLRQKGYVCG
+>Q92843_PF00452_46 <unknown description>
+MRAAGDEFETRFRRTFSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAA
+LCAESVNKEMEPLVGQVQEWMVAYLETQLADWIHSSGGW
+>Q9HD36_PF00452_49 <unknown description>
+LRSAAARLRQIHRSFFSAYLGYPGNRFELVALMADSVLSDSPGPTWGRVVTLVTFAGTLL
+ERGPLVTARWKKWGFQPRLKEQEGDVARDCQRLVALLSSRLMGQHRAWLQAQGGW
+>O43521_PF06773_4 <unknown description>
+QPSDVSSECDREGRQLQPAERPPQLRPGAPTSLQTEP
+>O43521_PF08945_136 <unknown description>
+QAEPADMRPEIWIAQELRRIGDEFNAYYARR
+>Q9BXK5_PF00452_104 <unknown description>
+LAHLGEKVSQELKEPLHKALQMLLSQPVTYQAFRECTLETTVHASGWNKILVPLVLLRQM
+LLELTRRGQEPLSALLQFGVTYLEDYSAEYIIQQGGW
+>Q16548_PF00452_37 <unknown description>
+LQNVAFSVQKEVEKNLKSCLDNVNVVSVDTARTLFNQVMEKEFEDGIINWGRIVTIFAFE
+GILIKKLLRQQIAPDVDTYKEISYFVAEFIMNNTGEWIRQNGGW
+>P61769_PF07654_27 <unknown description>
+IQVYSRHPAENGKSNFLNCYVSGFHPSDIEVDLLKNGERIEKVEHSDLSFSKDWSFYLLY
+YTEFTPTEKDEYACRVNHVT
+>P04920_PF07565_352 <unknown description>
+DVEEETERWGKPHVASLSFRSLLELRRTLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQI
+KAEDRANVLRALLLKHSHPSDEKDFSFPRNISAGSLGSLLGHHHGQGAESDPHVTEPLMG
+GVPETRLEVERERELPPPAPPAGITRSKSKHELKLLEKIPENAEATVVLVGCVEFLSRPT
+MAFVRLREAVELDAVLEVPVPVRFLFLLLGPSSANMDYHEIGRSISTLMSDKQFHEAAYL
+ADEREDLLTAINAFLDCSVVLPPSEVQG
+>P04920_PF00955_680 <unknown description>
+RPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSPAITFGGLLGEKTQDLIG
+VSELIMSTALQGVVFCLLGAQPLLVIGFSGPLLVFEEAFFSFCSSNHLEYLVGRVWIGFW
+LVFLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYKLVKIFQEHPLHGCSASN
+SSEVDGGENMTWAGARPTLGPGNRSLAGQSGQGKPRGQPNTALLSLVLMAGTFFIAFFLR
+KFKNSRFFPGRIRRVIGDFGVPIAILIMVLVDYSIEDTYTQKLSVPSGFSVTAPEKRGWV
+INPLGEKSPFPVWMMVASLLPAILVFILIFMETQITTLIISKKERMLQKGSGFHLDLLLI
+VAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPKIQEVKEQRVTGLLVALL
+VGLSIVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLLLMPPKHHPDVTYVKKVR
+TLRMHLFTA
+>P48751_PF07565_350 <unknown description>
+DVEEETERWGKPHVASLSFRSLLELRRTIAHGAALLDLEQTTLPGIAHLVVETMIVSDQI
+RPEDRASVLRTLLLKHSHPNDDKDSGFFPRNPSSSSMNSVLGNHHPTPSHGPDGAVPTMA
+DDLGEPAPLWPHDPDAKEKPLHMPGGDGHRGKSLKLLEKIPEDAEATVVLVGCVPFLEQP
+AAAFVRLNEAVLLESVLEVPVPVRFLFVMLGPSHTSTDYHELGRSIATLMSDKLFHEAAY
+QADDRQDLLSAISEFLDGSIVIPPSEVEG
+>P48751_PF00955_681 <unknown description>
+VFGGLVRDVRRRYPHYPSDLRDALHSQCVAAVLFIYFAALSPAITFGGLLGEKTEGLMGV
+SELIVSTAVLGVLFSLLGAQPLLVVGFSGPLLVFEEAFFKFCRAQDLEYLTGRVWVGLWL
+VVFVLALVAAEGSFLVRYISPFTQEIFAFLISLIFIYETFYKLYKVFTEHPLLPFYPPEG
+ALEGSLDAGLEPNGSALPPTEGPPSPRNQPNTALLSLILMLGTFFIAFFLRKFRNSRFLG
+GKARRIIGDFGIPISILVMVLVDYSITDTYTQKLTVPTGLSVTSPDKRSWFIPPLGSARP
+FPPWMMVAAAVPALLVLILIFMETQITALIVSQKARRLLKGSGFHLDLLLIGSLGGLCGL
+FGLPWLTAATVRSVTHVNALTVMRTAIAPGDKPQIQEVREQRVTGVLIASLVGLSIVMGA
+VLRRIPLAVLFGIFLYMGVTSLSGIQLSQRLLLILMPAKHHPEQPYVTKVKTWRMHLFTC
+I
+>Q96Q91_PF07565_86 <unknown description>
+EVAAGRWSAPHVPTLALPSLQKLRSLLAEGLVLLDCPAQSLLELVEQVTRVESLSPELRG
+QLQALLLQRPQHYNQTT
+>Q96Q91_PF07565_177 <unknown description>
+SDNEEAPLREQCQNPLRQKLPPGAEAGTVLAGELGFLAQPLGAFVRLRNPVVLGSLTEVS
+LPSRFFCLLLGPCMLGKGYHEMGRAAAVLLSDPQFQWSVRRASNLHDLLAALDAFLEEVT
+VLPPGRWDP
+>Q96Q91_PF00955_360 <unknown description>
+RLFGGLIQDVRRKVPWYPSDFLDALHLQCFSAVLYIYLATVTNAITFGGLLGDATDGAQG
+VLESFLGTAVAGAAFCLMAGQPLTILSSTGPVLVFERLLFSFSRDYSLDYLPFRLWVGIW
+VATFCLVLVATEASVLVRYFTRFTEEGFCALISLIFIYDAVGKMLNLTHTYPIQKPGSSA
+YGCLCQYPGPGGNESQWIRTRPKDRDDIVSMDLGLINASLLPPPECTRQGGHPRGPGCHT
+VPDIAFFSLLLFLTSFFFAMALKCVKTSRFFPSVVRKGLSDFSSVLAILLGCGLDAFLGL
+ATPKLMVPREFKPTLPGRGWLVSPFGANPWWWSVAAALPALLLSILIFMDQQITAVILNR
+MEYRLQKGAGFHLDLFCVAVLMLLTSALGLPWYVSATVISLAHMDSLRRESRACAPGERP
+NFLGIREQRLTGLVVFILTGASIFLAPVLKFIPMPVLYGIFLYMGVAALSSIQFTNRVKL
+LLMPAKHQPDLLLLRHVPLTRVHLFTAI
+>P02730_PF07565_87 <unknown description>
+NLGENGAWGRPHLSHLTFWSLLELRRVFTKGTVLLDLQETSLAGVANQLLDRFIFEDQIR
+PQDREELLRALLLKHSHAGELEALGGVKPAVLTRSGDPSQPLLPQHSSLETQLFCEQGDG
+GTEGHSPSGILEKIPPDSEATLVLVGRADFLEQPVLGFVRLQEAAELEAVELPVPIRFLF
+VLLGPEAPHIDYTQLGRAAATLMSERVFRIDAYMAQSRGELLHSLEGFLDCSLVLPPTDA
+PSE
+>P02730_PF00955_378 <unknown description>
+LFGGLVRDIRRRYPYYLSDITDAFSPQVLAAVIFIYFAALSPAITFGGLLGEKTRNQMGV
+SELLISTAVQGILFALLGAQPLLVVGFSGPLLVFEEAFFSFCETNGLEYIVGRVWIGFWL
+ILLVVLVVAFEGSFLVRFISRYTQEIFSFLISLIFIYETFSKLIKIFQDHPLQKTYN
+>P02730_PF00955_559 <unknown description>
+MVPKPQGPLPNTALLSLVLMAGTFFFAMMLRKFKNSSYFPGKLRRVIGDFGVPISILIMV
+LVDFFIQDTYTQKLSVPDGFKVSNSSARGWVIHPLGLRSEFPIWMMFASALPALLVFILI
+FLESQITTLIVSKPERKMVKGSGFHLDLLLVVGMGGVAALFGMPWLSATTVRSVTHANAL
+TVMGKASTPGAAAQIQEVKEQRISGLLVAVLVGLSILMEPILSRIPLAVLFGIFLYMGVT
+SLSGIQLFDRILLLFKPPKYHPDVPYVKRVKTWRMHLFTGI
+>Q9P2W7_PF03360_105 <unknown description>
+MANTLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIP
+RGTMQRNLALRWLRETFPRNSSQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGG
+LRYEAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQE
+SSLLRELVTLNDLEPKAANCTKILVWHTRTE
+>Q9NPZ5_PF03360_101 <unknown description>
+LANTFRQVAQLHWILVEDAAARSELVSRFLARAGLPSTHLHVPTPRRYKRPGLPRATEQR
+NAGLAWLRQRHQHQRAQPGVLFFADDDNTYSLELFQEMRTTRKVSVWPVGLVGGRRYERP
+LVENGKVVGWYTGWRADRPFAIDMAGFAVSLQVILSNPKAVFKRRGSQPGMQESDFLKQI
+TTVEELEPKANNCTKVLVWHTRTE
+>O94766_PF03360_96 <unknown description>
+LSQTLSLVPRLHWLLVEDAEGPTPLVSGLLAASGLLFTHLVVLTPKAQRLREGEPGWVHP
+RGVEQRNKALDWLRGRGGAVGGEKDPPPPGTQGVVYFADDDNTYSRELFEEMRWTRGVSV
+WPVGLVGGLRFEGPQVQDGRVVGFHTAWEPSRPFPVDMAGFAVALPLLLDKPNAQFDSTA
+PRGHLESSLLSHLVDPKDLEPRAANCTRVLVWHTRTE
+>O75752_PF01762_92 <unknown description>
+KARQAIRVTWGEKKSWWGYEVLTFFLLGQEAEKEDKMLALSLEDEHLLYGDIIRQDFLDT
+YNNLTLKTIMAFRWVTEFCPNAKYVMKTDTDVFINTGNLVKYLLNLNHSEKFFTGYPLID
+NYSYRGFYQKTHISYQEYPFKVFPPYCSGLGYIMSRDLVPRIYEMMGHVKPIKFEDVYVG
+ICLNLLKVNIH
+>Q8NCR0_PF01762_308 <unknown description>
+ALLKEESSIYDDIVFVDVVDTYRNVPAKLLNFYRWTVETTSFNLLLKTDDDCYIDLEAVF
+NRIVQKNLDGPNFWWGNFRLNWAVDRTGKWQELEYPSPAYPAFACGSGYVISKDIVKWLA
+SNSGRLKTYQGEDVSMGIWMAAIGPKRY
+>Q6Y288_PF02434_120 <unknown description>
+TYSRNSSWIFFCEEETRIQIPKLLETLRRYDPSKEWFLGKALHDEEATIIHHYAFSENPT
+VFKYPDFAAGWALSIPLVNKLTKRLKSES
+>Q6Y288_PF02434_263 <unknown description>
+PVKKKDIFVAVKTCKKFHGDRIPIVKQTWESQASLIEYYSDYTENSIPTVDLGIPNTDRG
+HCGKTFAILERFLNRSQDKTAWLVIVDDDTLISISRLQHLLSCYDSGEPVFLGERYGYGL
+GTGGYSYITGGGGMVFSREAVRRLLASKCRCYSNDAPDDMVLGMCFSGLGIPVTHSPLFH
+QARPVDYPKDYLSHQVPISFHKHWNIDPV
+>Q9NY97_PF01762_156 <unknown description>
+ARRQAIRESWGQESNAGNQTVVRVFLLGQTPPEDNHPDLSDMLKFESEKHQDILMWNYRD
+TFFNLSLKEVLFLRWVSTSCPDTEFVFKGDDDVFVNTHHILNYLNSLSKTKAKDLFIGDV
+IHNAGPHRDKKLKYYIPEVVYSGLYPPYAGGGGFLYSGHLALRLYHITDQVHLYPIDDVY
+TGMCLQKLGLVPE
+>Q9Y2A9_PF01762_122 <unknown description>
+RRELLRRTWGRERKVRGLQLRLLFLVGTASNPHEARKVNRLLELEAQTHGDILQWDFHDS
+FFNLTLKQVLFLQWQETRCANASFVLNGDDDVFAHTDNMVFYLQDHDPGRHLFVGQLIQN
+VGPIRAFWSKYYVPEVVTQNERYPPYCGGGGFLLSRFTAAALRRAAHVLDIFPIDDVFLG
+MCLELEGLKP
+>Q9C0J1_PF01762_132 <unknown description>
+ERRAAIRSTWGRVGGWARGRQLKLVFLLGVAGSAPPAQLLAYESREFDDILQWDFTEDFF
+NLTLKELHLQRWVVAACPQAHFMLKGDDDVFVHVPNVLEFLDGWDPAQDLLVGDVIRQAL
+PNRNTKVKYFIPPSMYRATHYPPYAGGGGYVMSRATVRRLQAIMEDAELFPIDDVFVGMC
+LRRLGLSPMH
+>Q9BYG0_PF01762_102 <unknown description>
+DRRSGIRRTWGNENYVRSQLNANIKTLFALGTPNPLEGEELQRKLAWEDQRYNDIIQQDF
+VDSFYNLTLKLLMQFSWANTYCPHAKFLMTADDDIFIHMPNLIEYLQSLEQIGVQDFWIG
+RVHRGAPPIRDKSSKYYVSYEMYQWPAYPDYTAGAAYVISGDVAAKVYEASQTLNSSLYI
+DDVFMGLCANKIGIVPQD
+>Q6ZMB0_PF01762_131 <unknown description>
+ERRELIRRTWGQERSYGGRPVRRLFLLGTPGPEDEARAERLAELVALEAREHGDVLQWAF
+ADTFLNLTLKHLHLLDWLAARCPHARFLLSGDDDVFVHTANVVRFLQAQPPGRHLFSGQL
+MEGSVPIRDSWSKYFVPPQLFPGSAYPVYCSGGGFLLSGPTARALRAAARHTPLFPIDDA
+YMGMCLERAGLAP
+>Q8NFL0_PF01762_148 <unknown description>
+DRREAIRQTWGRERQSAGGGRGAVRTLFLLGTASKQEERTHYQQLLAYEDRLYGDILQWG
+FLDTFFNLTLKEIHFLKWLDIYCPHVPFIFKGDDDVFVNPTNLLEFLADRQPQENLFVGD
+VLQHARPIRRKDNKYYIPGALYGKASYPPYAGGGGFLMAGSLARRLHHACDTLELYPIDD
+VFLGMCLEVLGVQPTA
+>Q7Z7M8_PF01762_163 <unknown description>
+ERQAVRETWGSPAPGIRLLFLLGSPVGEAGPDLDSLVAWESRRYSDLLLWDFLDVPFNQT
+LKDLLLLAWLGRHCPTVSFVLRAQDDAFVHTPALLAHLRALPPASARSLYLGEVFTQAMP
+LRKPGGPFYVPESFFEGGYPAYASGGGYVIAGRLAPWLLRAAARVAPFPFEDVYTGLCIR
+ALGLVPQ
+>Q6UX72_PF01762_130 <unknown description>
+ERRQAVRQTWGAEGRVQGALVRRVFLLGVPRGAGSGGADEVGEGARTHWRALLRAESLAY
+ADILLWAFDDTFFNLTLKEIHFLAWASAFCPDVRFVFKGDADVFVNVGNLLEFLAPRDPA
+QDLLAGDVIVHARPIRTRASKYYIPEAVYGLPAYPAYAGGGGFVLSGATLHRLAGACAQV
+ELFPIDDVFLGMCLQRLRLTPE
+>Q67FW5_PF00535_6 <unknown description>
+SIILPVHNAEPWLDECLRSVLQQDFEGTMELSVFNDASKDKSGAIIEKWRVKLEDSGVHV
+IIGGHDSPSPRGVGYAKNQAVAQSSGSYLCFLDSDDVMMPQRVRLQHEAAVQHPSSIIGC
+RVRRDPPNSTERYTRWINQLTPEQLLTQVFTSNGPTVIMPTWFCSRAWFSHV
+>Q9Y5Z6_PF01762_93 <unknown description>
+ARQAIRETWGDENNFKGIKIATLFLLGKNADPVLNQMVEQESQIFHDIIVEDFIDSYHNL
+TLKTLMGMRWVATFCSKAKYVMKTDSDIFVNMDNLIYKLLKPSTKPRRRYFTGYVINGGP
+IRDVRSKWYMPRDLYPDSNYPPFCSGTGYIFSADVAELIYKTSLHTRLLHLEDVYVGLCL
+RKLGIHPFQ
+>O43825_PF19341_75 <unknown description>
+NHSSLRNIWKETVPQTLRPQTATNSNNTDLSPQGVTGLENTLSANGSIYNEKGTGHPNSY
+HFKYIINEPEKCQEKSPFLI
+>O43825_PF01762_166 <unknown description>
+ARRAIRQTWGNESLAPGIQITRIFLLGLSIKLNGYLQRAILEESRQYHDIIQQEYLDTYY
+NLTIKTLMGMNWVATYCPHIPYVMKTDSDMFVNTEYLINKLLKPDLPPRHNYFTGYLMRG
+YAPNRNKDSKWYMPPDLYPSERYPVFCSGTGYVFSGDLAEKIFKVSLGIRRLHLEDVYVG
+ICLAKLRIDPVP
+>O96024_PF01762_85 <unknown description>
+NQRNAIRASWGGLREARGLRVQTLFLLGEPNAQHPVWGSQGSDLASESAAQGDILQAAFQ
+DSYRNLTLKTLSGLNWAEKHCPMARYVLKTDDDVYVNVPELVSELVLRGGRWGQWERSTE
+PQREAEQEGGQVLHSEEVPLLYLGRVHWRVNPSRTPGGRHRVSEEQWPHTWGPFPPYASG
+TGYVLSASAVQLILKVASRAPLLPLEDVFVGVSARRGGLAPTQC
+>Q9Y2C3_PF01762_72 <unknown description>
+ERMAIRQTWGKERMVKGKQLKTFFLLGTTSSAAETKEVDQESQRHGDIIQKDFLDVYYNL
+TLKTMMGIEWVHRFCPQAAFVMKTDSDMFINVDYLTELLLKKNRTTRFFTGFLKLNEFPI
+RQPFSKWFVSKSEYPWDRYPPFCSGTGYVFSGDVASQVYNVSKSVPYIKLEDVFVGLCLE
+RLNIRLEEL
+>Q96L58_PF01762_71 <unknown description>
+ERRSVIRSTWLARRGAPGDVWARFAVGTAGLGAEERRALEREQARHGDLLLLPALRDAYE
+NLTAKVLAMLAWLDEHVAFEFVLKADDDSFARLDALLAELRAREPARRRRLYWGFFSGRG
+RVKPGGRWREAAWQLCDYYLPYALGGGYVLSADLVHYLRLSRDYLRAWHSEDVSLGAWLA
+PVDVQREHD
+>A8MXE2_PF01762_99 <unknown description>
+RRDLIRKTWGNVTSVQGHPILTLFALGMPVSVTTQKEINKESCKNNDIIEGIFLDSSENQ
+TLKIIAMIQWAVAFCPNALFILKVDEETFVNLPSLVDYLLNLKEHLEDIYVGRVLHQVTP
+NRDPQNRDFVPLSEYPEKYYPDYCSGEAFIMSQDVARMMYVVFKEVPMMVPADVFVGICA
+KFIGLIPIH
+>O43505_PF13896_94 <unknown description>
+DVILATHASVDNLLHLSGLLERWEGPLSVSVFAATKEEAQLATVLAYALSSHCPDMRARV
+AMHLVCPSRYEAAVPDPREPGEFALLRSCQEVFDKLARVAQPGINYALGTNVSYPNNLLR
+NLAREGANYALVIDVDMVPSEGLWRGLREMLDQSNQWGGTALVVPAFEIRRARRMPMNKN
+ELVQLYQVGEVRPFYYGLCTPCQAPTNYSRWVNLPEESLLRPAYVVPWQDPWEPFYVAGG
+KVPTFDERFRQYGFNRISQACELHVAGFDFEVLNEGFLVHKGFKEALKFHPQKEAENQHN
+KILYRQFKQELKAKYP
+>Q00973_PF00535_281 <unknown description>
+IATKTFLRYDRLRALITSIRRFYPTVTVVIADDSDKPERVSGPYVEHYLMPFGKGWFAGR
+NLAVSQVTTKYVLWVDDDFVFTARTRLERLVDVLERTPLDLVGGAVREISGFATTYRQLL
+SVEPGAPGLGNCLRQRRGFHHELV
+>Q8NHY0_PF00535_265 <unknown description>
+IATKTFLRPHKLMIMLRSIREYYPDLTVIVADDSQKPLEIKDNHVEYYTMPFGKGWFAGR
+NLAISQVTTKYVLWVDDDFLFNEETKIEVLVDVLEKTELDVVGGSVL
+>Q6L9W6_PF07691_160 <unknown description>
+SPKWTNYGLRIFGYLHPFTDGKIQFAIAADDNAEFWLSLDDQVSGLQLLASVGKTGKEWT
+APGEFGKFRSQISKPVSLSASHRYYFEVLHKQNEEGTDHVEVAWRRNDPG
+>Q6L9W6_PF05679_668 <unknown description>
+QEALEVTRVFLKKLNQRSRGRYQLQRIVNVEKRQDQLRGGRYLLELELLEQGQRVVRLSE
+YVSARGWQGIDPAGGEEVEARNLQGLVWDPHNRRRQVLNTRAQEPKLCWPQGFSWSHRAV
+VHFVVPVKNQARWVQQFIKDMENLFQVTGDPHFNIVITDYSSEDMDVEMALKRSKLRSYQ
+YVKLSGNFERSAGLQAGIDLVKDPHSIIFLCDLHIHFPAGVIDAIRKHCVEGKMAFAPMV
+MRLHCGATPQWPEGYWEVNGFGLLGIYKSDLDRIGGMNTKEFRDRWGGEDWELLDRILQA
+GLDVERLSLRNFFHHFHSK
+>Q76KP1_PF05679_716 <unknown description>
+AEAVDVTAQYMERLNARHGGRFALLRIVNVEKRRDSARGSRFLLELELQERGGGRLRLSE
+YVFLRLPGARVGDADGESPEPAPAASVRPDGRPELCRPLRLAWRQDVMVHFIVPVKNQAR
+WVAQFLADMAALHARTGDSRFSVVLVDFESEDMDVERALRAARLPRYQYLRRTGNFERSA
+GLQAGVDAVEDASSIVFLCDLHIHFPPNILDGIRKHCVEGRLAFAPVVMRLSCGSSPRDP
+HGYWEVNGFGLFGIYKSDFDRVGGMNTEEFRDQWGGEDWELLDRVLQAGLEVERLRLRNF
+YHHYHSK
+>P15291_PF13733_130 <unknown description>
+CPEESPLLVGPMLIEFNMPVDLELVAKQNPNVKMGGRYAPRDCVSPHKVAIIIPFRNRQE
+HLKYWLYYLHPVLQRQQLDYGIYVINQAGDTIFNRAKLLNVGFQEALKDYDYTCFVFSDV
+DLIPMNDHNAYRC
+>P15291_PF02709_267 <unknown description>
+RHISVAMDKFGFSLPYVQYFGGVSALSKQQFLTINGFPNNYWGWGGEDDDIFNRLVFRGM
+SISRPNAVVGRCRMIRH
+>O60909_PF13733_97 <unknown description>
+CPDSPPGLVGRLLIEFTSPMPLERVQRENPGVLMGGRYTPPDCTPAQTVAVIIPFRHREH
+HLRYWLHYLHPILRRQRLRYGVYVINQHGEDTFNRAKLLNVGFLEALKEDAAYDCFIFSD
+VDLVPMDDRNLYRC
+>O60909_PF02709_235 <unknown description>
+RHFAIAMDKFGFRLPYAGYFGGVSGLSKAQFLRINGFPNEYWGWGGEDDDIFNRISLTGM
+KISRPDIRIGRYRMIKH
+>O60512_PF13733_77 <unknown description>
+CPERSPLLVGPVSVSFSPVPSLAEIVERNPRVEPGGRYRPAGCEPRSRTAIIVPHRAREH
+HLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNVGVREALRDEEWDCLFLHDV
+DLLPENDHNLYVCD
+>O60512_PF02709_215 <unknown description>
+RHVAVAMNKFGYSLPYPQYFGGVSALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGM
+KISRPPTSVGHYKMVKHR
+>O60513_PF13733_77 <unknown description>
+CPSVSPYLRGQSKLIFKPDLTLEEVQAENPKVSRGRYRPQECKALQRVAILVPHRNREKH
+LMYLLEHLHPFLQRQQLDYGIYVIHQAEGKKFNRAKLLNVGYLEALKEENWDCFIFHDVD
+LVPENDFNLYKCE
+>O60513_PF02709_213 <unknown description>
+KHLVVGRNSTGYRLRYSGYFGGVTALSREQFFKVNGFSNNYWGWGGEDDDLRLRVELQRM
+KISRPLPEVGKYTMVFH
+>O43286_PF13733_114 <unknown description>
+CPERLPSMKGPIDINMSEIGMDYIHELFSKDPTIKLGGHWKPSDCMPRWKVAILIPFRNR
+HEHLPVLFRHLLPMLQRQRLQFAFYVVEQVGTQPFNRAMLFNVGFQEAMKDLDWDCLIFH
+DVDHIPESDRNYYGC
+>O43286_PF02709_253 <unknown description>
+RHFATKLDKYMYLLPYTEFFGGVSGLTVEQFRKINGFPNAFWGWGGEDDDLWNRVQNAGY
+SVSRPEGDTGKYKSIPH
+>Q9UBX8_PF13733_108 <unknown description>
+CPEKLPYMRGFLNVNVSEVSFDEIHQLFSKDLDIEPGGHWRPKDCKPRWKVAVLIPFRNR
+HEHLPIFFLHLIPMLQKQRLEFAFYVIEQTGTQPFNRAMLFNVGFKEAMKDSVWDCVIFH
+DVDHLPENDRNYYGC
+>Q9UBX8_PF02709_247 <unknown description>
+RHFAAKLDKYMYILPYKEFFGGVSGLTVEQFRKINGFPNAFWGWGGEDDDLWNRVHYAGY
+NVTRPEGDLGKYKSIPH
+>Q9UBV7_PF13733_84 <unknown description>
+WEEDASWGPHRLAVLVPFRERFEELLVFVPHMRRFLSRKKIRHHIYVLNQVDHFRFNRAA
+LINVGFLESSNSTDYIAMHDVDLLPLNEELDY
+>Q9UBV7_PF02709_182 <unknown description>
+PFHVASPELHPLYHYKTYVGGILLLSKQHYRLCNGMSNRFWGWGREDDEFYRRIKGAGLQ
+LFRPSGITTGYKTFRH
+>Q9UPM9_PF07162_11 <unknown description>
+LMVNGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQITSKSQDVRQALVWNFPI
+DVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPFSPGRHKRTIPMFVPESTSKL
+QKFTSWFMGRRPEYTDPKVVAQGEGREVTRVRSQGFVTLLFNVV
+>Q9BPU9_PF07162_4 <unknown description>
+VHVIGQIIGASGFSESSLFCKWGIHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHPIDL
+HFATKGLQGWPRLHFQVWSQDSFGRCQLAGYGFCHVPSSPGTHQLACPTWRPLGSWREQL
+ARAFVGGGPQLLHGDTIYSGADRYRLHTAAGGTVHLEI
+>Q8WXS3_PF06989_1 <unknown description>
+MGCGGSRADAIEPRYYESWTRETESTWLTYTDSDAPPSAAAPDSGPEAGG
+>Q14032_PF04775_14 <unknown description>
+DEPVHIRATGLIPFQMVSFQASLEDENGDMFYSQAHYRANEFGEVDLNHASSLGGDYMGV
+HPMGLFWSLKPEKLLTRLLKRDVMNRPFQVQVKLYDLELIVNNKVASAPKASLTLERWYV
+APGVTRIKVRE
+>Q14032_PF08840_206 <unknown description>
+TDLEYFEEAANFLLRHPKVFGSGVGVVSVCQGVQIGLSMAIYLKQVTATVLINGTNFPFG
+IPQVYHGQIHQPLPHSAQLISTNALGLLELYRTFETTQVGASQYLFPIEEAQGQFLFIVG
+EGDKTINSKAHAEQAIGQLKRHGKNNWTLLSYPGAGHLIEPPYSPLCCASTTHDLRLHWG
+GEVIPHAAAQEHAWKEIQRFLRKHLI
+>Q9NXR7_PF06113_8 <unknown description>
+NRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHIPYAGETL
+KWDIIFNAQYPELPPDFIFGEDAEFLPDPSALQNLASWNPSNPECLLLVVKELVQQYHQF
+QCSRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNIPTY
+LLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGGCLI
+DYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWKDFC
+FLVHIDLPLFFPRDQPTLTFQSVYHF
+>Q8WZ19_PF02214_43 <unknown description>
+VKLNVGGSLHYTTLRTLTGQDTMLKAMFSGRVEVLTDAGGWVLIDRSGRHFGTILNYLRD
+GSVPLPESTRELGELLGEARYYLVQGLIEDC
+>Q13829_PF02214_30 <unknown description>
+VQLNVGGSLYYTTVRALTRHDTMLKAMFSGRMEVLTDKEGWILIDRCGKHFGTILNYLRD
+DTITLPQNRQEIKELMAEAKYYLIQGLVNMC
+>Q9H3F6_PF02214_34 <unknown description>
+VKLNVGGALYYTTMQTLTKQDTMLKAMFSGRMEVLTDSEGWILIDRCGKHFGTILNYLRD
+GAVPLPESRREIEELLAEAKYYLVQGLVEEC
+>P56817_PF00026_75 <unknown description>
+YYVEMTVGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSSTYRDLRKGVYVPYTQ
+GKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNWEGILGLAYAEIARPDDSL
+EPFFDSLVKQTHVPNLFSLQLCGAGFPLNQSEVLASVGGSMIIGGIDHSLYTGSLWYTPI
+RREWYYEVIIVRVEINGQDLKMDCKEYNYDKSIVDSGTTNLRLPKKVFEAAVKSIKAASS
+TEKFPDGFWLGEQLVCWQAGTTPWNIFPVISLYLMGEVTNQSFRITILPQQYLRPVEDVA
+TSQDDCYKFAISQSSTGTVMGAVIMEGFYVVFDRARKRIGFA
+>Q9Y5Z0_PF00026_92 <unknown description>
+YYLEMLIGTPPQKLQILVDTGSSNFAVAGTPHSYIDTYFDTERSSTYRSKGFDVTVKYTQ
+GSWTGFVGEDLVTIPKGFNTSFLVNIATIFESENFFLPGIKWNGILGLAYATLAKPSSSL
+ETFFDSLVTQANIPNVFSMQMCGAGLPVAGSGTNGGSLVLGGIEPSLYKGDIWYTPIKEE
+WYYQIEILKLEIGGQSLNLDCREYNADKAIVDSGTTLLRLPQKVFDAVVEAVARASLIPE
+FSDGFWTGSQLACWTNSETPWSYFPKISIYLRDENSSRSFRITILPQLYIQPMMGAGLNY
+ECYRFGISPSTNALVIGATVMEGFYVIFDRAQKRVGFAA
+>O14867_PF00651_24 <unknown description>
+LNDQRKKDVLCDVTIFVEGQRFRAHRSVLAACSSYFHSRIVGQADGELNITLPEEVTVKG
+FEPLIQFAYTAKLILSKENVDEVCKCVEFLSVHNIEESCFQFLK
+>O14867_PF03131_531 <unknown description>
+QRIISLSRNDFQSLLKMHKLTPEQLDCIHDIRRRSKNRIAAQRCRKRKLDCIQNLESEIE
+KLQSEKESLLKERDHI
+>Q9BYV9_PF00651_27 <unknown description>
+LNDQRKKDILCDVTLIVERKEFRAHRAVLAACSEYFWQALVGQTKNDLVVSLPEEVTARG
+FGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHNLEDSCFSFLQTQ
+>Q9BYV9_PF03131_618 <unknown description>
+PVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDVRRRSKNRIAAQRCRKRKLDCIQNLECE
+IRKLVCEKEKLLSERNQLK
+>O00154_PF03061_59 <unknown description>
+GNVHGGTILKMIEEAGAIISTRHCNSQNGERCVAALARVERTDFLSPMCIGEVAHVSAEI
+TYTSKHSVEVQVNVMSENILT
+>O00154_PF03061_232 <unknown description>
+HGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITISGRMTFTSN
+KSMEIEVLVDA
+>Q92934_PF10514_1 <unknown description>
+MFQIPEFEPSEQEDSSSAERGLGPSPAGDGPSGSGKHHRQAPGLLWDASHQQEQPTSSSH
+HGGAGAVEIRSRHSSYPAGTEDDEGMGEEPSPFRGRSRSAPPNLWAAQRYGRELRRMSDE
+FVDSFKKGLPRPKSAGTATQMRQSSSWTRVFQSWWDRNLGRGSSAPSQ
+>Q9H503_PF02961_3 <unknown description>
+NMSPRLRAFLSEPIGEKDVCWVDGISHELAINLVTKGINKAYILLGQFLLMHKNEAEFQR
+WLICCFGATECEAQQTSHCLKEWCACF
+>O75531_PF02961_1 <unknown description>
+MTTSQKHRDFVAEPMGEKPVGSLAGIGEVLGKKLEERGFDKAYVVLGQFLVLKKDEDLFR
+EWLKDTCGANAKQSRDCFGCLREWCDAF
+>Q99933_PF00240_169 <unknown description>
+VVQDLAQVVEEVIGVPQSFQKLIFKGKSLKEMETPLSALGIQDGCRVMLIGKK
+>Q99933_PF02179_239 <unknown description>
+SVEKIADQLEELNKELTGIQQGFLPKDLQAEALCKLDRRVKATIEQFMKILEEIDTLILP
+ENFKDSRLKRKGLVKKVQAFLAECDT
+>O95817_PF00397_22 <unknown description>
+LPPGWEIKIDPQTGWPFFVDHNSRTTTWNDP
+>O95817_PF02179_426 <unknown description>
+EAILEKVQGLEQAVDNFEGKKTDKKYLMIEEYLTKELLALDSVDPEGRADVRQARRDGVR
+KVQTILEKLEQ
+>O95429_PF02179_385 <unknown description>
+HVLEKVQYLEQEVEEFVGKKTDKAYWLLEEMLTKELLELDSVETGGQDSVRQARKEAVCK
+IQAILEKLEK
+>Q9UL15_PF02179_13 <unknown description>
+LQEIQKEVKSVEQQVIGFSGLSDDKNYKKLERILTKQLFEIDSVDTEGKGDIQQARKRAA
+QETERLLKELEQ
+>Q9UL15_PF02179_209 <unknown description>
+NNETCRHLSCVLSGLIADLDALDVCGRTEIRNYRREVVEDINKLLKYLD
+>Q9UL15_PF02179_279 <unknown description>
+IEKVLKRMREIKNELLQAQNPSELYLSSKTELQGLIGQLDEVSLEKNPCIREARRRAVIE
+VQTLITYIDL
+>Q9UL15_PF02179_377 <unknown description>
+SEIQGEVLSFDGNRTDKNYIRLEELLTKQLLALDAVDPQGEEKCKAARKQAVRLAQNILS
+YLDL
+>P46379_PF00240_19 <unknown description>
+VLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLIYQGRVLQDDKKLQEYNVG
+GKVIHLVER
+>P46379_PF12057_271 <unknown description>
+VEVLQELQRLESRLQPFLQRYYEVLGAAATTDYNNNHEGREEDQRLINLVGESLRLLGNT
+FVALSDLRCNLACTPPRHLHVVRPMSHYTTPMVLQQAAIPIQINVGTTVTMTGNG
+>Q8TBE0_PF01426_624 <unknown description>
+ETIRVRDTVLLKSGPRKTSTPYVAKISALWENPESGELMMSLLWYYRPEHLQGGRSPSMH
+EPLQNEVFASRHQDQNSVACIEEKCYVLTFAEYCRFCAMAKRRGEGLPSRKTALVPPSAD
+YSTPPHRTVPEDTDPELVFLCRHVYDFR
+>Q9UQB8_PF08397_17 <unknown description>
+YKTIMEQFNPSLRNFIAMGKNYEKALAGVTYAAKGYFDALVKMGELASESQGSKELGDVL
+FQMAEVHRQIQNQLEEMLKSFHNELLTQLEQKVELDSRYLSAALKKYQTEQRSKGDALDK
+CQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVSDGYKTALTEERRRFCF
+LVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPSKIP
+>Q9UQB8_PF07653_378 <unknown description>
+RVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESEKTKMRGWFPFSY
+>O94812_PF00168_162 <unknown description>
+LKVSVMRAKNLLAKDPNGFSDPYCMLGILPASDATREPRAQKEQRFGFRKGSKRGGPLPA
+KCIQVTEVKSSTLNPVWKEHFLFEIEDVSTDQLHLDIWDHDD
+>O94812_PF06292_661 <unknown description>
+FLPAVKLWFQVLRDQAKWRLQGAVDMDTLEPVDASSRHSSSAATAGLCLSHIQELWVRLA
+WPDPAQAQGLGTQLGQDVCEATLFYTELLRKKVDTQPGAAGEAVSEALCVVLNNVELVRK
+AAGQALKGLAWPEGATGPEGVLPRPLLSCTQALDDDLQREAHTVTAHLTSKMVGDIRKYV
+QHISLSPDSIQNDEAVAPLMKYLDEKLALLNASLVKGNLSRVLEALWELLLQAILQALGA
+NRDVSADFYSRFHFTLEALVSFFHAEGQGLPLESLRD
+>O94812_PF00168_991 <unknown description>
+RLAVEVLHAADLLPLDANGLSDPFVIVELGPPHLFPLVRSQRTQVKTRTLHPVYDELFYF
+SVPAEACRRRAACVLFTVMDHDWLSTNDFAGEAALGLG
+>Q6ZNE5_PF10186_43 <unknown description>
+CPLCNTTRRRLTCAKCVQSGDFVYFDGRDRERFIDKKERLSRLKSKQEEFQKEVLKAMEG
+KWITDQLRWKIMSCKMRIEQLKQTICKGNEEMEKNSEGLLKTKEKNQKLYSRAQRHQEKK
+EKIQRHNRKLGDLVEKKTIDLRSHYERLANLRRSHILELTSVIFPIEEVKTGVRDPADVS
+SESDSAMTSSTVSKLAEARRTTYLSGRWVCDDHNGDTSISITGPWISLPNNGDYSAYYSW
+VEEKKTTQGPDMEQSNPAYTISAALCYATQLVNILSHILDVNLPKKLCNSEFCGENLSKQ
+KFTRAVKKLNANILYLCFSQHVNLDQLQPLHTLRNLMYLVSPSSE
+>Q16611_PF00452_78 <unknown description>
+LAIIGDDINRRYDSEFQTMLQHLQPTAENAYEYFTKIATSLFESGINWGRVVALLGFGYR
+LALHVYQHGLTGFLGQVTRFVVDFMLHHCIARWIAQRGGW
+>Q13145_PF06211_4 <unknown description>
+HSSYIFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQNSNS
+PLTHGCLDSLASTTDICQAKQARNHSGTTIPTLECCHEDMCNYRGLHD
+>Q13145_PF19337_123 <unknown description>
+QGNRYQHDGSRNLITKVQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSENKR
+LQDQRQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGHENCCLTCDKMRQADLSNDKI
+LSLVHWGMYSGHGKLEFV
+>Q8NDB2_PF18567_28 <unknown description>
+DIIMIYEEDAEEWALYLTEVFLHVVKREAILLYRLENFSFRHLELLNLTSYKCKLLILSN
+SLLRDLTPKKCQFLEKILHSPKSVVTLLCGVKSSDQLYELLNISQSRWEISTEQEPEDYI
+SVIQSII
+>Q8NDB2_PF14545_199 <unknown description>
+VVLPTEIPCENPGEIFIILRDEVIGDTVEVEFTSSNKRIRTRPALWNKKVWCMKALEFPA
+GSVHVNVYCDGIVKATTKIKYYPTAKAKECLFRMADSGESLCQNSIEELDGVLTSIFKHE
+IPYYEFQSLQ
+>Q92560_PF01088_5 <unknown description>
+WLELESDPGLFTLLVEDFGVKGVQVEEIYDLQSKCQGPVYGFIFLFKWIEERRSRRKVST
+LVDDTSVIDDDIVNNMFFAHQLIPNSCATHALLSVLLNCSSVDLGPTLSRMKDFTKGFSP
+ESKGYAIGNAPELAKAHNSHARPEPRHLPEKQNGLSAVRTMEAFHFVSYVPITGRLFELD
+GLKVYPIDHGPWGEDEEWTDKARRVIMERI
+>Q92560_PF18031_643 <unknown description>
+IANYEACLKEEVEKRKKFKIDDQRRTHNYDEFICTFISMLAQEGML
+>Q9UHQ4_PF05529_1 <unknown description>
+MTLQWAAVATFLYAEIGLILIFCLPFIPPQRWQKIFSFNVWGKIATFWNKAFLTIIILLI
+VLFLDAVREVRKYSSVHTIEKSSTSRPDAYEHTQMKLFRSQRNLYISGFSLFFWLVLRRL
+VTLITQLAKEL
+>Q9UHQ4_PF18035_185 <unknown description>
+EKLKTELRKTSDALSKAQNDVMEMKMQSERLSKEYDQLLKEHSELQDRLERGNKK
+>P51572_PF05529_1 <unknown description>
+MSLQWTAVATFLYAEVFVVLLLCIPFISPKRWQKIFKSRLVELLVSYGNTFFVVLIVILV
+LLVIDAVREIRKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLV
+TLISQQATLLASNEA
+>P51572_PF18035_188 <unknown description>
+LQKLKDELASTKQKLEKAENQVLAMRKQSEGLTKEYDRLLEEHAKLQAAVDGPMDKKEE
+>Q99728_PF14835_41 <unknown description>
+LDRLEKLLRCSRCTNILREPVCLGGCEHIFCSNCVSDCIGTGCPVCYTPAWIQDLKINRQ
+LDSMI
+>Q99728_PF12796_432 <unknown description>
+LHIASIKGDIPSVEYLLQNGSDPNVKDHAGWTPLHEACNHGHLKVVELLLQHKALVNTTG
+YQNDSPLHDAAKNGHVDIVKLLLSYGASRNA
+>Q9BZE3_PF00046_179 <unknown description>
+RKARTAFTDHQLAQLERSFERQKYLSVQDRMELAASLNLTDTQVKTWYQNRRTKWKR
+>Q9NY43_PF00046_233 <unknown description>
+RKARTAFSDHQLNQLERSFERQKYLSVQDRMDLAAALNLTDTQVKTWYQNRRTKWKR
+>Q9HBU1_PF00046_143 <unknown description>
+RRSRTVFTELQLMGLEKRFEKQKYLSTPDRIDLAESLGLSQLQVKTWYQNRRMKWKK
+>Q9UMQ3_PF00046_134 <unknown description>
+RRSRTIFTELQLMGLEKKFQKQKYLSTPDRLDLAQSLGLTQLQVKTWYQNRRMKWKK
+>P35613_PF13927_31 <unknown description>
+SQQRWVGGSVELHCEAVGSPVPEIQWWFEGQGPNDTCSQLWDGARLDRVHIHATYHQHAA
+STISIDTLVEEDTGTYECRASN
+>P35613_PF13927_220 <unknown description>
+PPRVKAVKSSEHINEGETAMLVCKSESVPPVTDWAWYKITDSEDKALMNGSESRFFVSSS
+QGRSELHIENLNMEADPGQYRCNG
+>P80723_PF05466_2 <unknown description>
+GGKLSKKKKGYNVNDEKAKEKDKKAEGAATEEEGTPKESEPQAAAEPAEAKEGKEKPDQD
+AEGKAEEKEGEKDAAAAKEEAPKAEPEKTEGAAEAKAEPPKAPEQEQAAPGPAAGGEAPK
+AAEAAAAPAESAAPAAGEEPSKEEGEPKKTEAPAAPAAQETKSDGAPASDSKPGSSEAAP
+SSKETPAATEAPSSTPKAQGPAASAEEPKPVEAPAANSDQTVTVKE
+>P82251_PF13520_30 <unknown description>
+LGLISGISIIVGTIIGSGIFVSPKSVLSNTEAVGPCLIIWAACGVLATLGALCFAELGTM
+ITKSGGEYPYLMEAYGPIPAYLFSWASLIVIKPTSFAIICLSFSEYVCAPFYVGCKPPQI
+VVKCLAAAAILFISTVNSLSVRLGSYVQNIFTAAKLVIVAIIIISGLVLLAQGNTKNFDN
+SFEGAQLSVGAISLAFYNGLWAYDGWNQLNYITEELRNPYRNLPLAIIIGIPLVTACYIL
+MNVSYFTVMTATELLQSQAVAVTFGDRVLYPASWIVPLFVAFSTIGAANGTCFTAGRLIY
+VAGREGHMLKVLSYISVRRLTPAPAIIFYGIIATIYIIPGDINSLVNYFSFAAWLFYGLT
+ILGLIVMRFTRKELERPIKVPVVIPVLMTLISVFLVLAPIISKPTWEYLY
+>Q8N1L9_PF00170_16 <unknown description>
+KEQQRQLKKQKNRAAAQRSRQKHTDKADALHQQHESLEKDNLALRKEIQSLQAE
+>Q9NR55_PF00170_35 <unknown description>
+DDRKVRRREKNRVAAQRSRKKQTQKADKLHEEYESLEQENTMLRREIGKLTEELKHLTE
+>Q16520_PF00170_27 <unknown description>
+VRRVQRREKNRIAAQKSRQRQTQKADTLHLESEDLEKQNAALRKEIKQLTEELKY
+>Q07812_PF00452_63 <unknown description>
+LKRIGDELDSNMELQRMIAAVDTDSPREVFFRVAADMFSDGNFNWGRVVALFYFASKLVL
+KALCTKVPELIRTIMGWTLDFLRERLLGWIQDQGGW
+>Q9NRL2_PF10537_23 <unknown description>
+EVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRPGLTYQEALESEKKARQNLQSFP
+EPLIIPVLYLTSLTHRSRLHEICDDIFAYVKDRYFVEETV
+>Q9NRL2_PF02791_424 <unknown description>
+IFGDALMVLEFLNAFGELFDLQDEFPDGVTLEVLEEALVGNDSEGPLCELLFFFLTAIFQ
+>Q9NRL2_PF15612_591 <unknown description>
+LSNPSLVKKLSSTSVYDLTPGEKMKILHALCGKLLTLVSTRDFIE
+>Q9NRL2_PF15613_801 <unknown description>
+NIFPLGRDRMYRRYWIFPSIPGLFIEEDYSGLTEDMLLPRPSSFQNNVQSQDPQVSTKTG
+EPLMSESTSNIDQGPRDHSVQLPKPVHKPNRWCFYSSCEQLDQLIEALNSRGHRESALKE
+TLLQEK
+>Q9NRL2_PF00628_1150 <unknown description>
+RCKICRKKGDAENMVLCDGCDRGHHTYCVRPKLKTVPEGDWFCPECRP
+>Q9NRL2_PF00439_1439 <unknown description>
+EQLVVELVRHDDSWPFLKLVSKIQVPDYYDIIKKPIALNIIREKVNKCEYKLASEFIDDI
+ELMFSNCFEYNPRNTSEAKAG
+>Q9UIG0_PF10537_22 <unknown description>
+LFTIPHTQEAFRTREEYEARLERYSERIWTCKSTGSSQLTHKEAWEEEQEVAELLKEEFP
+AWYEKLVLEMVHHNTASLEKLVDTAWLEIMTKYAVGEE
+>Q9UIG0_PF15612_727 <unknown description>
+EFLEKLETSEFFELTSEEKLQILTALCHRILMTYSVQDHME
+>Q9UIG0_PF15613_898 <unknown description>
+MRRTPIGTDRNHNRYWLFSDEVPGLFIEKGWVHDSIDYRFNHHCKDHTVSGDEDYCPRSK
+KANLGKNASMNTQHGTATEVAVETTTPKQGQNLWFLCDSQKELDELLNCLHPQGIRESQL
+KERLEKR
+>Q9UIG0_PF00628_1186 <unknown description>
+RCKVCRKKGEDDKLILCDECNKAFHLFCLRPALYEVPDGEWQCPACQP
+>Q9UIG0_PF00439_1348 <unknown description>
+CEEILHKIVKYRFSWPFREPVTRDEAEDYYDVITHPMDFQTVQNKCSCGSYRSVQEFLTD
+MKQVFTNAEVYNCRGSHVLSCM
+>Q9UIF8_PF01429_741 <unknown description>
+DERELRIPLEYGWQRETRIRNFGGRLQGEVAYYAPCGKKLRQYPEVIKYLSRNGIMDISR
+DNFSFSAKIRV
+>Q9UIF8_PF02791_1089 <unknown description>
+TFSDCLMVVQFLRNFGKVLGFDVNIDVPNLSVLQEGLLNIGDSMGEVQDLLVRLLSAAVC
+D
+>Q9UIF8_PF15613_1373 <unknown description>
+RSVMFGQDRYRRRYWILPQCGGIFVEGMESGEGLEEIAKEREKLKKAESVQIKEEMFETS
+GDSLNCSNTDHCEQKEDLKEKDNTNLFLQK
+>Q9UIF8_PF00628_1933 <unknown description>
+YCQICRKGDNEELLLLCDGCDKGCHTYCHRPKITTIPDGDWFCPACIA
+>Q9UIF8_PF00439_2069 <unknown description>
+CSMILTEMETHEDAWPFLLPVNLKLVPGYKKVIKKPMDFSTIREKLSSGQYPNLETFALD
+VRLVFDNCETFNEDDSDI
+>Q9BXH1_PF15826_2 <unknown description>
+ARARQEGSSPEPVEGLARDGPRPFPLGRLVPSAVSCGLCEPGLAAAPAAPTLLPAAYLCA
+PTAPPAVTAALGGSRWPGGPRSRPRGPRPDGPQPSLSLAEQHLESPVPSAPGALAGGPTQ
+AAPGVRGEEEQWAREIGAQLRRMADDLNAQYERRRQEEQQRHRPSPWRVLYNLIMGLLPL
+PRGHRAPEMEPN
+>A8MTZ0_PF14777_23 <unknown description>
+MAEVKSMFREVLPKQGPLFVEDIMTMVLCKPKLLPLKSLTLEKLEKMHQAAQNTIRQQEM
+AEK
+>Q9BUW7_PF03670_1 <unknown description>
+MSGPNGDLGMPVEAGAEGEEDGFGEAEYAAINSMLDQINSCLDHLEEKNDHLHARLQELL
+ESNRQTRLEFQQQLGEAPSDASP
+>Q8ND07_PF14988_77 <unknown description>
+DIMSVLSYLKKQDQEKDNMIEKLKQQLNETKEKAQEEKDKLEQKYTRQINELEGQFHQKA
+KEIGMIHTELKAVRQFQKRKIQVERELDDLKENLRNTERIHQETLRRLESRFFEEKHRLE
+QEAEKKIIMLAERAHHEAIVQLNDAGRNVFKENDYLQKALAYHLKETDALQKNSQKLQES
+HTLLLHQKEINDLL
+>Q8TAM1_PF00118_21 <unknown description>
+LEAIVSCCVGPEGRQVLCTKPTGEVLLSRNGGRLLEALHLEHPIARMIVDCVSSHLKKTG
+DGAKTFIIFLCHLLRGLHAIT
+>Q8TAM1_PF00118_158 <unknown description>
+SSAKERTLCRSSLELLLEAYFCGRVGRNNHKFISQLMCDYFFKCMTCKSGIGVFELVDDH
+FVELNVGVTGLPVSDSRIIAGLVLQKDFSVYRPADGDMRMVIVTETIQPLFSTSGSEFIL
+NSEAQFQTSQFWIMEKTKAIMKHLHSQNVKLLISSVKQPDLVSYYAGVNGISVVECLSSE
+EVSLIRRIIGLSPFVPPQAFSQCEIPNTALVKFCKPLILRSKRYVHLGLISTCAFIPHSI
+VLCGPVHGLIEQHEDALHGALKMLRQLFKD
+>Q6ZW61_PF00118_287 <unknown description>
+EEAVQLQYQNACVQQGNCTKPFMFDISRIFTCCLPGLPETSSCVCPGYITVVSVSNNPVI
+KELQNQPVRIVLIEGDLTENYRHLGFNKSANIKTVLDSMRLQEDSSEELWANHVLQVLIQ
+FKVNLVLVQGNVSERLIEKCINSKRLVIGSVNGSVMQAFAEAAGAVQVAYITQVNEDCVG
+DGVCVTFWRSSPLDVVDRNNRIAILLKTEGINLVTAVLTNPVTAQMQIKEDRFWTCAYRL
+YYALKEEKVFLGGGAVEFLCLSCLHILAEQSLKKENH
+>Q8NFJ9_PF14779_23 <unknown description>
+WLDAHYDPMANIHTFSACLALADLHGDGEYKLVVGDLGPGGQQPRLKVLKGPLVMTESPL
+PALPAAAATFLMEQHEPRTPALALASGPCVYVYKNLRPYFKFSLPQLPPNPLEQDLWNQA
+KEDRIDPLTLKEMLESIRETAEEPLSIQSLRFLQLELSEMEAFVNQHKSNSIKRQTVITT
+MTTLKKNLADEDAVSCLVLGTENKELLVLDPEAFTILAKMSLPSVPVFLEVSGQFDVEFR
+LAAACRNGNIYILR
+>Q9BXC9_PF14781_20 <unknown description>
+AIGRYDGTHPCLAAATQTGKVFIHNPHTRNQHVSASRVFQSPLESDVSLLSINQAVSCLT
+AGVLNPELGYDALLVGTQTNLLAYDVYNNSDLFYREVADGANAIVLG
+>Q9BXC9_PF14783_165 <unknown description>
+SLALCDFDGDGKKELLVGSEDFDIRVFKEDEIVAEMTETEIVTSLCPMYGSRFGYALSNG
+TVGVYDKTSRYWRIKSKNHAMSIHAFDLNSDGVNELITGWSNGKVDAR
+>Q9BXC9_PF14782_276 <unknown description>
+TGEVIFKDNFSSAIAGVVEGDYRMDGHIQLICCSVDGEIRGYLPGTAEMRGNLMDTSAEQ
+DLIRELSQKKQNLLLELRNYEENAKAELASPLNEADGHRGIIPANTRLHTTLSVSLGNET
+QTAHTELRISTSNDTIIRAVLIFAEGIFTGESHVVHPSIHNLSSSICIPIVPPKDVPVDL
+HLKAFVGYRSSTQFHVFESTRQLPRFSMYALTSLDPASEPISYVNFTIAERAQRVVVWLG
+QNFLLPEDTHIQNAPFQVCFTSLRNGGHLHIKIKLSGEITINTDDIDLAGDIIQSMASFF
+AIEDLQVEADFPVYFEELRKVLVKVDEYHSVHQKLSADMADHSNLIRSLLVGAEDARLMR
+DMKTMKSRYMELYDLNRDLLNGYKIRCNNHTELLGNLKAVNQAIQRAGRLRVGKPKNQVI
+TACRDAIRSNNINTLFKIMR
+>Q96RK4_PF13414_110 <unknown description>
+SLFLLGKHKAAIEVYNEAAKLNQKDWEISHNLGVCY
+>Q96RK4_PF13414_175 <unknown description>
+GKIHLLEGDLDKAIEVYKKAVEFSPENTELLTTLGLLYLQLG
+>Q96RK4_PF13181_271 <unknown description>
+PLWNNIGMCFFGKKKYVAAISCLKRANYLAPF
+>Q96RK4_PF13181_339 <unknown description>
+ELYMLLAVALTNLEDIENAKRAYAEAVHLD
+>Q8N3I7_PF07289_7 <unknown description>
+LWEDRDVRFDLSAQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRILWHSLALSR
+VNVSVGYNCILNITTRTANSKLRGQTEALYILTKCNSTRFEFIFTNLVPGSPRLFTSVMA
+VHRAYETSKMYRDFKLRSALIQNKQLRLLPQEHVYDKINGVWNLSSDQGNLGTFFITNVR
+IVWHANMNDSFNVSIPYLQIRSIKIRDSKFGLALVIESSQQSGGYVLGFKIDPVEKLQES
+VKEINSLHKVYSASPIFGVDYEMEEKPQPLEALTVEQIQDDVEIDSDGHTDAFVAYFADG
+NKQQDREPVFSEELGLAIEKLKDGFTLQGLWEV
+>Q8WY36_PF00505_81 <unknown description>
+RRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAVLDPKEKQKYTDMAKEYKDAF
+MKANPGYK
+>Q8WY36_PF09667_191 <unknown description>
+EMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEVSSGTCRPDVSESPELRQKSPLFQFAE
+ISSSTSHSDASTKQCQTSALFQFAEISSNTSQLGGAEPVKRCGKSALFQLAEMC
+>Q8WY36_PF09667_290 <unknown description>
+KRCGKSALFQLAEMCLASEGMKMEESKLIKA
+>Q9H165_PF00096_379 <unknown description>
+CEFCGKTFKFQSNLVVHRRSH
+>Q9H165_PF00096_405 <unknown description>
+YKCNLCDHACTQASKLKRHMKTH
+>Q9H165_PF00096_743 <unknown description>
+TCEYCGKVFKNCSNLTVHRRSH
+>Q9H165_PF00096_770 <unknown description>
+YKCELCNYACAQSSKLTRHMKTH
+>Q9H165_PF00096_800 <unknown description>
+YKCEICKMPFSVYSTLEKHMKKWH
+>Q9C0K0_PF00096_429 <unknown description>
+CEFCGKTFKFQSNLIVHRRSH
+>Q9C0K0_PF00096_455 <unknown description>
+YKCQLCDHACSQASKLKRHMKTH
+>Q9C0K0_PF00096_797 <unknown description>
+TCEYCGKVFKNCSNLTVHRRSH
+>Q9C0K0_PF00096_824 <unknown description>
+YKCELCNYACAQSSKLTRHMKTH
+>Q9C0K0_PF00096_854 <unknown description>
+YRCDICQMPFSVYSTLEKHMKKWH
+>P50895_PF07686_38 <unknown description>
+PPLVEVMRGKSVILDCTPTGTHDHYMLEWFLTDRSGARPRLASAEMQGSELQVTMHDTRG
+RSPPYQLDSQGRLVLAEAQVGDERDYVCVVRAGAAGTAEATARLNV
+>P50895_PF08205_151 <unknown description>
+EVSPNKGTLSVMEDSAQEIATCNSRNGNPAPKITWYRNGQRLEVPVEMNPEGYMTSRTVR
+EASGLLSLTSTLYLRLRKDDRDASFHCAAHYSLPEGRH
+>P50895_PF13927_275 <unknown description>
+STPAGWVREGDTVQLLCRGDGSPSPEYTLFRLQDEQEEVLNVNLEGNLTLEGVTRGQSGT
+YGCRVE
+>P50895_PF13895_378 <unknown description>
+SSAVVNCSVHGLPTPALRWTKDSTPLGDGPMLSLSSITFDSNGTYVCEASLPTVPVLSR
+>P50895_PF13895_464 <unknown description>
+REGDEVTLICSARGHPDPKLSWSQLGGSPAEPIPGRQGWVSSSLTLKVTSALSRDGISCE
+ASNPHGNK
+>Q6ZUJ8_PF18567_11 <unknown description>
+DILIVYSPDAEEWCQYLQTLFLSSRQVRSQKILTHRLGPEASFSAEDLSLFLSTRCVVVL
+LSAELVQHFHKPALLPLLQRAFHPPHRVVRLLCGVRDSEEFLDFFPDWAHWQELTCDDEP
+ETYVAAVKKAI
+>Q6ZUJ8_PF14545_180 <unknown description>
+VVQPDRIRCGAETTVYVIVRCKLDDRVATEAEFSPEDSPSVRMEAKVENEYTISVKAPNL
+SSGNVSLKIYSGDLVVCETVISYYTDMEEIGNLLSNAANPVEFMCQAFKIVPYNTETLDK
+LLTESLKNNIPASGLHLFG
+>P56945_PF00018_9 <unknown description>
+KALYDNVAESPDELSFRKGDIMTVLEQDTQGLDGWWLCSLHGRQGIVP
+>P56945_PF08824_454 <unknown description>
+LELEVAVEALARLQQGVSATVAHLLDLAGSAGATGSWRSPSEPQEPLVQDLQAAVAAVQS
+AVHELLEFARSAVGNAAHTSDRALHAKLSRQLQKMEDVHQTLVAHGQALDAGRGGSGATL
+EDLDRLVACSRAVPEDAKQLASFLHGNASLLFRRT
+>P56945_PF12026_655 <unknown description>
+NSEGGWMEDYDYVHLQGKEEFEKTQKELLEKGSITRQGKSQLELQQLKQFERLEQEVSRP
+IDHDLANWTPAQPLAPGRTGGLGPSDRQLLLFYLEQCEANLTTLTNAVDAFFTAVATNQP
+PKIFVAHSKFVILSAHKLVFIGDTLSRQAKAADVRSQVTHYSNLLCDLLRGIVATTKAAA
+LQYPSPSAAQDMVERVKELGHSTQQFRRVL
+>O75815_PF00017_154 <unknown description>
+WYHGRIPRQVSENLVQRDGDFLVRDSLSSPGNFVLTCQWKNLAQHFKINRTVLRLSEAYS
+RVQYQFEMESFDSIPGLVRCY
+>O75815_PF00617_595 <unknown description>
+RLDIIERHNTMAIGIAVDILGCTGTLEDRAATLSKIIQVAVELKDSMGDLYSFSALMKAL
+EMPQITRLEKTWTALRHQYTQTAILYEKQLKPFSKLLHEGRESTCVP
+>Q9H6U6_PF12490_522 <unknown description>
+SLMVVMPLAQIKQPMTLGTITKRTGKVKPPPQISPSKSMGGEFCVAAIFGTSRSWFANNA
+GLKREKDQSKQVVVESLYIISCYGTLVEHMMEPRPLSTAPKISDDTPLEMMTSPRASWTL
+VRTPQWNELQPPFNANHPLLLAADAVQYYQFLLAGLVPPGSPGPITRHGSYDSLASDHSG
+QEDEEWLSQVEIVTHTGPHRRLWMGPQFQFKTIHPSGQTTVISSSSSVLQSHGPSDTPQP
+LLDFDTDDLDLNSLRI
+>P54687_PF01063_94 <unknown description>
+LFEGLKAFRGVDNKIRLFQPNLNMDRMYRSAVRATLPVFDKEELLECIQQLVKLDQEWVP
+YSTSASLYIRPTFIGTEPSLGVKKPTKALLFVLLSPVGPYFSSGTFNPVSLWANPKYVRA
+WKGGTGDCKMGGNYGSSLFAQCEAVDNGCQQVLWLYGEDHQITEVGTMNLFLYWINEDGE
+EELATPPLDGIILPGVTRRCILDLAHQWGEFKVSERYLTMDDLTTALEGNRVREMFGSGT
+ACVVCPVSDI
+>O15382_PF01063_100 <unknown description>
+QLFEGMKAFKGKDQQVRLFRPWLNMDRMLRSAMRLCLPSFDKLELLECIRRLIEVDKDWV
+PDAAGTSLYVRPVLIGNEPSLGVSQPTRALLFVILCPVGAYFPGGSVTPVSLLADPAFIR
+AWVGGVGNYKLGGNYGPTVLVQQEALKRGCEQVLWLYGPDHQLTEVGTMNIFVYWTHEDG
+VLELVTPPLNGVILPGVVRQSLLDMAQTWGEFRVVERTITMKQLLRALEEGRVREVFGSG
+TACQVCPVHRI
+>Q9P287_PF13862_56 <unknown description>
+EVNIEFEAYSLSDNDYDGIKKLLQQLFLKAPVNTAELTDLLIQQNHIGSVIKQTDVSEDS
+NDDMDEDEVFGFISLLNLTERKGTQCVEQIQELVLRFCEKNCEKSMVEQLDKFLNDTTKP
+VGLLLSERFINVPPQIALPMYQQLQKELAGAHRTNKPCGKCYFYLLISKTFVEAGKNNSK
+KKPSNKKKAALMFANAEEEFF
+>Q9NWK9_PF04438_219 <unknown description>
+RCETCGTEEAKYRCPRCMRYSCSLPCVK
+>Q9HAY6_PF03055_7 <unknown description>
+RNRKEQLEPVRAKVTGKIPAWLQGTLLRNGPGMHTVGESRYNHWFDGLALLHSFTIRDGE
+VYYRSKYLRSDTYNTNIEANRIVVSEFGTMAYPDPCKNIFSKAFSYLSHTIPDFTDNCLI
+NIMKCGEDFYATSETNYIRKINPQTLETLEKVDYRKYVAVNLATSHPHYDEAGNVLNMGT
+SIVEKGKTKYVIFKIPATVPEGKKQGKSPWKHTEVFCSIPSRSLLSPSYYHSFGVTENYV
+IFLEQPFRLDILKMATAYIRRMSWASCLAFHREEKTYIHIIDQRTRQPVQTKFYTDAMVV
+FHHVNAYEEDGCIVFDVIAYEDNSLYQLFYLANLNQDFKENSRLTSVPTLRRFAVPLHVD
+KNAEVGTNLIKVASTTATALKEEDGQVYCQPEFLYEGLELPRVNYAHNGKQYRYVFATGV
+QWSPIPTKIIKYDILTKSSLKWREDDCWPAEPLFVPAPGAKDEDDGVILSAIVSTDPQKL
+PFLLILDAKSFTELARASVDVDMHMDLHGLFI
+>Q9BYV7_PF03055_62 <unknown description>
+EEAPRGISARVWGHFPKWLNGSLLRIGPGKFEFGKDKYNHWFDGMALLHQFRMAKGTVTY
+RSKFLQSDTYKANSAKNRIVISEFGTLALPDPCKNVFERFMSRFELPGKAAAMTDNTNVN
+YVRYKGDYYLCTETNFMNKVDIETLEKTEKVDWSKFIAVNGATAHPHYDLDGTAYNMGNS
+FGPYGFSYKVIRVPPEKVDLGETIHGVQVICSIASTEKGKPSYYHSFGMTRNYIIFIEQP
+LKMNLWKIATSKIRGKAFSDGISWEPQCNTRFHVVEKRTGQLLPGRYYSKPFVTFHQINA
+FEDQGCVIIDLCCQDNGRTLEVYQLQNLRKAGEGLDQVHNSAAKSFPRRFVLPLNVSLNA
+PEGDNLSPLSYTSASAVKQADGTIWCSHENLHQEDLEKEGGIEFPQIYYDRFSGKKYHFF
+YGCGFRHLVGDSLIKVDVVNKTLKVWREDGFYPSEPVFVPAPGTNEEDGGVILSVVITPN
+QNESNFILVLDAKNFEELGRAEVPVQMPYGFHGTFIP
+>O14874_PF10436_73 <unknown description>
+TMMLYAGRSQDGSHLLKSARYLQQELPVRIAHRIKGFRCLPFIIGCNPTILHVHELYIRA
+FQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHIEDEKLVRYFLDK
+TLTSRLGIRMLATHHLALHEDKPDFVGIIC
+>O14874_PF02518_268 <unknown description>
+PLDYILPELLKNAMRATMESHLDTPYNVPDVVITIANNDVDLIIRISDRGGGIAHKDLDR
+VMDYHFTTAEASTQDPRISPLFGHLDMHSGAQSGPMHGFGFGLPTSRAYAEYLGGSLQLQ
+SLQGIGTDVYLRLR
+>O95999_PF00619_19 <unknown description>
+DALENLRVYLCEKIIAERHFDHLRAKKILSREDTEEISCRTSSRKRAGKLLDYLQENPKG
+LDTLVESIRREKTQNFLIQKITD
+>P10415_PF02180_9 <unknown description>
+YDNREIVMKYIHYKLSQRGYEWDA
+>P10415_PF00452_97 <unknown description>
+LRQAGDDFSRRYRRDFAEMSSQLHLTPFTARGRFATVVEELFRDGVNWGRIVAFFEFGGV
+MCVESVNREMSPLVDNIALWMTEYLNRHLHTWIQDNGGW
+>P20749_PF12796_187 <unknown description>
+VVRLLVTAGASPMALDRHGQTAAHLACEHRSPTCLRALLDSAAPGTLDLEARNYDGLTAL
+HVAVNTECQETVQLLLERGADIDAV
+>P20749_PF12796_280 <unknown description>
+LIHAVENNSLSMVQLLLQHGANVNAQMYSGSSALHSASGRGLLPLVRTLVRSGADSSLKN
+CHNDTPLMVARSRRVIDIL
+>Q8N143_PF00651_28 <unknown description>
+LNELRLRGILTDVTLLVGGQPLRAHKAVLIACSGFFYSIFRGRAGVGVDVLSLPGGPEAR
+GFAPLLDFMYTSRLRLSPATAPAVLAAATYLQMEHVVQACHRFIQAS
+>Q8N143_PF00096_356 <unknown description>
+YHCSICGARFNRPANLKTHSRIH
+>Q8N143_PF00096_412 <unknown description>
+YPCPTCGTRFRHLQTLKSHVRIH
+>P41182_PF00651_22 <unknown description>
+LNRLRSRDILTDVVIVVSREQFRAHKTVLMACSGLFYSIFTDQLKCNLSVINLDPEINPE
+GFCILLDFMYTSRLNLREGNIMAVMATAMYLQMEHVVDTCRKFIKAS
+>P41182_PF00096_574 <unknown description>
+YRCNICGAQFNRPANLKTHTRIH
+>P41182_PF00096_602 <unknown description>
+YKCETCGARFVQVAHLRAHVLIH
+>P41182_PF00096_630 <unknown description>
+YPCEICGTRFRHLQTLKSHLRIH
+>Q4VC05_PF04714_4 <unknown description>
+RSVRAETRSRAKDDIKRVMAAIEKVRKWEKKWVTVGDTSLRIYKWVPV
+>Q9BQE9_PF04714_4 <unknown description>
+RSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPV
+>Q8WUZ0_PF04714_4 <unknown description>
+RTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPV
+>Q86UU0_PF11502_398 <unknown description>
+GLSKEQLEHRERSLQTLRDIERLLLRSGETEPFL
+>O00512_PF11502_350 <unknown description>
+GLSQEQLEHRERSLQTLRDIQRMLFPDEKEFTGAQSGGP
+>A2AJT9_PF15440_2 <unknown description>
+ARSRSRSPRWKHRSLSPVPRNAEHYKQRHSHGHYGCEYRKDPKRPVAWRMDSEKHGQSKP
+RIPSRGNIYYQSYEHRSPSPNIRNSLENVYMYKPHRGYSPGRGDSNRRAQYMPKYSEGIP
+YKEHERNSYPQKVQGGHSPDDHRVRGSGKGGKPPQRSIADSFRFEGKWHEDELRHQRIQE
+EKYSQSTRRGSEDFETRSSFQKRYPEDRDFRKYGHTSKRPKDVERYESREPARNPKWKPE
+HSLPPYQEDTDQWNLGPQTYRHAEREHPETSSATKVSYDYRHKRPKLLDGDQDFSDGRTQ
+KYCKEEDRKYSFQKGPLNRELDCFNTGRGRETQDGQVKEPFKPSKKDSIACTYSNKNDVD
+LRSSNDKWKEKIKKEGDCRKESNSSSNQLDKSQKLPDVKPSPINLRKKSLTVKVDVKKTV
+DTFRVASSYSTERQMSHDLVAVGRKSENFHPVFEHLDSTQNTENKPTGEFAQEIITIIHQ
+VKANYFPSPGITLHERFSTMQDIHKADVNEIPLNSDPEIHRRIDMSLAELQSKQAVIYES
+EQTLIKIIDPNDLRHDIERRRKERLQNEDEHIFHIASAAERDDQNSSFSKVKNVHTDGFQ
+KPTHFIKSNFRKCIEKPYMNYTTQRKDIITHKPFEVEGNHRNTRVRPF
+>Q9NYF8_PF15440_108 <unknown description>
+GRSRSRSPKRRSVSSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTK
+KAEGEPQEESPLKSKSQEEPKDTFEHDPSESIDEFNKSSATSGDIWPGLSAYDNSPRSPH
+SPSPIATPPSQSSSCSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPS
+QNSPIHHIPSRRSPAKTIAPQNAPRDESRGRSSFYPDGGDQETAKTGKFLKRFTDEESRV
+FLLDRGNTRDKEASKEKGSEKGRAEGEWEDQEALDYFSDKESGKQKFNDSEGDDTEETED
+YRQFRKSVLADQGKSFATASHRNTEEEGLKYKSKVSLKGNRESDGFREEKNYKLKETGYV
+VERPSTTKDKHKEEDKNSERITVKKETQSPEQVKSEKLKDLFDYSPPLHKNLDAREKSTF
+REESPLRIKMIASDSHRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRS
+IFDHIKLPQASKSTSESFIQHIVSLVHHVKEQYFKSAAMTLNERFTSYQKATEEHSTRQK
+SPEIHRRIDISPSTLRKHTRLAGEERVFKEENQKGDKKLRCDSADLRHDIDRRRKERSKE
+RGDSKGSRESSGSRKQEKTPKDYKEYKSYKDDSKHKREQDHSRSSSSSASPSS
+>Q5H9F3_PF12796_1500 <unknown description>
+LQRAARLGYKDVVLYCLQKDSEDVNHRDNAGYTALHEACSRGWTDILNILLEHGANVNCS
+AQDGTRPVHDAVVNDNLETIWLLLSYGADPTL
+>Q5H9F3_PF16553_1668 <unknown description>
+DDFMFELSDKPLLPCYNLQVSVSRGPCNWFLFSDVLKRLKLSSRIFQARFPHFEITTMPK
+AEFYRQVASSQLLTPAERPGGLDDRSPPGSSETVELVRYEPDLLRLLGSEVEFQS
+>Q6W2J9_PF15808_1202 <unknown description>
+GLHPKKQRHLLHLRERWEQQVSAADGKPGRQSRKEVTQATQPEAIPQGTNITEEKPGRKR
+AEAKGNRSWSEESLKPSDNEQGLPVFSGSPPMKSLSSTSAGGKKQAQPSCAPASRPPAKQ
+QKIKENQKTDVLCADEEEDCQAASLLQKYTDNSEKPSGKRLCKTKHLIPQESRRGLPLTG
+EYYVENADGKVTVRRFRKRPEPSSDYDLSPAKQ
+>Q6W2J9_PF12796_1467 <unknown description>
+LQRAARLGYEEVVLYCLENKICDVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCS
+AQDGTRPLHDAVENDHLEIVRLLLSYGADPTL
+>Q6W2J9_PF16553_1634 <unknown description>
+SDVFEFEFSETPLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRCNFPNVEIVTIA
+EAEFYRQVSASLLFSCSKDLEAFNPESKELLDLVEFTNEIQTLLGSSVEWLHP
+>P11274_PF09036_3 <unknown description>
+DPVGFAEAWKAQFPDSEPPRMELRSVGDIEQELERCKASIRRLEQEVNQERFRMIYLQTL
+LAKEKKSYDRQRW
+>P11274_PF00621_503 <unknown description>
+LSGILASEETYLSHLEALLLPMKPLKAAATTSQPVLTSQQIETIFFKVPELYEIHKEFYD
+GLFPRVQQWSHQQRVGDLFQKLASQLGVYRAFVDNYGVAMEMAEKCCQANAQFAEISENL
+RARSNKDAKDPTTKNSLETLLYKPVDRVTRSTLVLHDLLKHTPASHPDHPLLQDALRISQ
+NFLSSIN
+>P11274_PF19057_704 <unknown description>
+GEHRQLLKDSFMVELVEGARKLRHVFLFTDLLLCTKLKKQSGGKTQQYDCKWYIPLTDLS
+FQMVDEL
+>P11274_PF00168_913 <unknown description>
+LNVIVHSATGFKQSSNLYCTLEVDSFGYFVNKAKTRVYRDTAEPNWNEEFEIELEGSQTL
+RILCYEKCYNKTKIPKEDGESTDRLMGKGQVQLDP
+>P11274_PF00620_1068 <unknown description>
+PYIVRQCVEEIERRGMEEVGIYRVSGVATDIQALKAAFDVNNKDVSVMMSEMDVNAIAGT
+LKLYFRELPEPLFTDEFYPNFAEGIALSDPVAKESCMLNLLLSLPEANLLTFLFLLDHLK
+RVAEKEAVNKMSLHNLATVFGPTLLRPSEKE
+>Q9Y276_PF08740_25 <unknown description>
+VGTALALARKGVQLGLVAFRRHYMITLEVPARDRSYAWLLSWLTRHSTRTQHLSVETSYL
+QHESGRISTKFEFVPSPGNHFIWYRGKWIRVERSREMQMIDLQTGTPWESVTFTALGTDR
+KVFFNILEEARELALQQEEGKTVMYTAVGSEWRPFGYPRRRRPLNSV
+>Q9Y276_PF00004_227 <unknown description>
+LLYGPPGCGKSSFITALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDA
+AFLSRDLAVENPVKYQGLGRLTFSGLLNALDGVASTEARIVFMTTNHVDRLDPALIRPGR
+VDLKEYVG
+>Q8NFC6_PF05205_57 <unknown description>
+IVNHLKSQGLFDQFRRDCLADVDTKPAYQNLRQRVDNFVANHLATHTWSPHLNKNQLRNN
+IRQQVLKSGMLESGIDRIISQVVDPKINHTFRPQVE
+>Q8IYS8_PF05205_42 <unknown description>
+IVGQLKSRGLFDSFRRDCKADVDTKPAYQNLSQKADNFVSTHLDKQEWNPPANDNQLHDG
+LRQSVVQSGRSEAGVDRISSQVVDPKLNHIFRPQIE
+>Q02338_PF00106_56 <unknown description>
+KAVLVTGCDSGFGFSLAKHLHSKGFLVFAGCLMKDKGHDGVKELDSLNSDRLRTVQLNVC
+SSEEVEKVVEIVRSSLKDPEKGMWGLVNNAGISTFGEVEFTSLETYKQVAEVNLWGTVRM
+TKSFLPLIRRAKGRVVNISSMLGRMANPARSPYCITKFGVEAFSDCLRYEMYPLGVKVSV
+VEPGNF
+>P23560_PF00243_134 <unknown description>
+RRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLKQYFYETKCNPMGYTK
+EGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCVCTLTIK
+>A6H8Y1_PF15963_293 <unknown description>
+SFRKNYYSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRI
+DKAFQEKRPFDFDFFAHLLQKVLAEEE
+>Q14457_PF15285_105 <unknown description>
+DGGTMENLSRRLKVTGDLFDIMSGQ
+>Q14457_PF17675_135 <unknown description>
+PLCEECTDTLLDQLDTQLNVTENECQNYKRCLEILEQMNEDDSEQLQMELKELALEEERL
+IQELEDVEKNRKIVAENLEKVQAEAERLDQEEAQYQREYSEFKRQQLELDDELKSVENQM
+RYAQTQL
+>Q14457_PF04111_264 <unknown description>
+LKKTNVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNEINAAWGQTVLLLHALANKMGL
+KFQRYRLVPYGNHSYLESLTDKSKELPLYCSGGLRFFWDNKFDHAMVAFLDCVQQFKEEV
+EKGETRFCLPYRMDVEKGKIEDTGGSGGSYSIKTQFNSEEQWTKALKFMLTNLKWGLAWV
+SS
+>A8MW95_PF17675_116 <unknown description>
+PLCEECTDSLLEQLDIQLALTEADSQNYQRCLETGELATSEDEAAALRAELRDLELEEAR
+LVQELEDVDRNNARAAADLQAAQAEAAELDQQERQHYRDYSALKRQQLELLDQLGNVENQ
+LQYARVQ
+>A8MW95_PF04111_246 <unknown description>
+LKEINCFTATFEIWVEGPLGVINNFRLGRLPTVRVGWNEINTAWGQAALLLLTLANTIGL
+QFQRYRLIPCGNHSYLKSLTDDRTELPLFCYGGQDVFLNNKYDRAMVAFLDCMQQFKEEA
+EKGELGLSLPYGIQVETGLMEDVGGRGECYSIRTHLNTQELWTKALKFMLINFKWSLIWV
+A
+>Q8NDZ0_PF10523_505 <unknown description>
+AACYLVRILFSKEILISSSVDIHLKDSQSLDPNKMAALREYLATTFPTCDLHEHGKDWQD
+CISGINSMIYCLC
+>Q8NDZ0_PF10523_690 <unknown description>
+ARYLIQKLFTKDVLVQSNVYGNLKHGLCALDPNKISALREFLQENYPICDLSENGRDWKS
+CVTSINSGIRS
+>Q5T5X7_PF10523_265 <unknown description>
+DLACRLLVQLFPELFSDVDFSRGCSACGFAAKRKLESLHLQLIRNYVEVYYPSVKDTAVW
+QAECLPQLNDFFSRFW
+>Q5T5X7_PF10523_409 <unknown description>
+EFAVFLLHRLFPELFDHRKLGEQYSCYGDGGKQELDPQRLQIIRNYTEIYFPDMQEEEAW
+LQQCAQRINDEL
+>Q5T5X7_PF10523_572 <unknown description>
+NFASRLLVHLFPELFTHENLRKQYNCSGSLGKKQLDPSRIKLIRHYVQLLYPRAKNDRVW
+TLEFVGKLDERCRRR
+>Q5T5X7_PF10523_738 <unknown description>
+NFAARLLVRLFPELFTAENLRLQYNHSGACNKKQLDPTRLRLIRHYVEAVYPVEKMEEVW
+HYECIPSIDERCRRP
+>Q6ZU67_PF10523_415 <unknown description>
+LRYLIRFVFTTDELKYSCGLGKRKRSVQSGETGPERRPLDPVKVTCLREFIRMHCTSNPD
+WWMPSEEQINKVF
+>Q7L4P6_PF10523_323 <unknown description>
+KYTKNLAVMIWGTDVLKNRSVTGVATKKKKDAVPKPPLSPHKLSIVRECLYDRIAQETVD
+ETEIAQRLSKVNKYICEK
+>Q5SZJ8_PF10523_191 <unknown description>
+KFINDLMQVLYTNEYMATHSLTGAKSSTSRDKAVKPAMNQNEVQEIIGVTKQLFPNTDDV
+SIRRMIGQK
+>O76090_PF01062_9 <unknown description>
+VANARLGSFSRLLLCWRGSIYKLLYGEFLIFLLCYYIIRFIYRLALTEEQQLMFEKLTLY
+CDSYIQLIPISFVLGFYVTLVVTRWWNQYENLPWPDRLMSLVSGFVEGKDEQGRLLRRTL
+IRYANLGNVLILRSVSTAVYKRFPSAQHLVQAGFMTPAEHKQLEKLSLPHNMFWVPWVWF
+ANLSMKAWLGGRIRDPILLQSLLNEMNTLRTQCGHLYAYDWISIPLVYTQVVTVAVYSFF
+LTCLVGRQFLNPAKAYPGHELDLVVPVFTFLQFFFYVGWLKVAEQLINPFGEDDDDFETN
+WIVDRNLQ
+>Q8NFU1_PF01062_9 <unknown description>
+VANARFGGFSQLLLLWRGSIYKLLWRELLCFLGFYMALSAAYRFVLTEGQKRYFEKLVIY
+CDQYASLIPVSFVLGFYVTLVVNRWWSQYLCMPLPDALMCVVAGTVHGRDDRGRLYRRTL
+MRYAGLSAVLILRSVSTAVFKRFPTIDHVVEAGFMTREERKKFENLNSSYNKYWVPCVWF
+SNLAAQARREGRIRDNSALKLLLEELNVFRGKCGMLFHYDWISVPLVYTQVVTIALYSYF
+LACLIGRQFLDPAQGYKDHDLDLCVPIFTLLQFFFYAGWLKVAEQLINPFGEDDDDFETN
+FLIDRNFQ
+>Q8N1M1_PF01062_9 <unknown description>
+VANATFFGFHRLLLKWRGSIYKLLYREFIVFAVLYTAISLVYRLLLTGVQKRYFEKLSIY
+CDRYAEQIPVTFVLGFYVTLVVNRWWNQFVNLPWPDRLMFLISSSVHGSDEHGRLLRRTL
+MRYVNLTSLLIFRSVSTAVYKRFPTMDHVVEAGFMTTDERKLFNHLKSPHLKYWVPFIWF
+GNLATKARNEGRIRDSVDLQSLMTEMNRYRSWCSLLFGYDWVGIPLVYTQVVTLAVYTFF
+FACLIGRQFLDPTKGYAGHDLDLYIPIFTLLQFFFYAGWLKVAEQLINPFGEDDDDFETN
+WCIDRNLQ
+>Q8NFU0_PF01062_9 <unknown description>
+VAEARFGGFSGLLLRWRGSIYKLLYKEFLLFGALYAVLSITYRLLLTQEQRYVYAQVARY
+CNRSADLIPLSFVLGFYVTLVVNRWWSQYTSIPLPDQLMCVISASVHGVDQRGRLLRRTL
+IRYANLASVLVLRSVSTRVLKRFPTMEHVVDAGFMSQEERKKFESLKSDFNKYWVPCVWF
+TNLAAQARRDGRIRDDIALCLLLEELNKYRAKCSMLFHYDWISIPLVYTQVVTIAVYSFF
+ALSLVGRQFVEPEAGAAKPQKLLKPGQEPAPALGDPDMYVPLTTLLQFFFYAGWLKVAEQ
+IINPFGEDDDDFETNQLIDRNLQ
+>Q9HBH7_PF04538_14 <unknown description>
+MENANQENEEKEQVANKGEPLALPLDAGEYCVPRGNRRRFRVRQPILQYRWDMMHRLGEP
+QARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEF
+>Q9BXY8_PF04538_14 <unknown description>
+VENVNQENDEKDEKEQVANKGEPLALPLNVSEYCVPRGNRRRFRVRQPILQYRWDIMHRL
+GEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEF
+>Q00994_PF04538_6 <unknown description>
+QNGEEDRPLGGGEGHQPAGNRRGQARRLAPNFRWAIPNRQINDGMGGDGDDMEIFMEEMR
+EIRRKLRELQLRNCLRILMGELSNHHDHHDEF
+>Q9NWD9_PF04538_15 <unknown description>
+ENAQQENEGGEQAPTQNEEESRHLGGGEGQKPGGNIRRGRVRRLVPNFRWAIPNRHIEHN
+EARDDVERFVGQMMEIKRKTREQQMRHYMRFQTPEPDNHYDF
+>Q5H9J7_PF04538_1 <unknown description>
+MENVPKENKVVEKAPVQNEAPALGGGEYQEPGGNVKGVWAPPAPGFGEDVPNRLVDNIDM
+IDGDGDDMERFMEEMRELRRKIRELQLRYSLRILIGDPPHHDHHDEF
+>Q9NZS9_PF15227_34 <unknown description>
+CHCCYDILVNPTTLNCGHSFCRHCLALWWASSKKTECPEC
+>Q9NZS9_PF00536_181 <unknown description>
+KWTAEEVVLWLEQLGPWASLYRERFLSERVNGRLLLTLTEEEFSKTPYTIENSSHRRAIL
+MELERVK
+>Q12934_PF00038_43 <unknown description>
+ALQGLGERVAAHVQRARALEQRHAGLRRQLDAFQRLGELAGPEDALARQVESNRQRVRDL
+EAERARLERQGTEAQRALDEFRSKYENECECQLLLKEMLERLNKEADEALLHNLRLQLEA
+QFLQDDISAAKDRHKKNLLEVQTYIS
+>Q13515_PF00038_114 <unknown description>
+CLVEYMAKVHALEQVSQELETQLRMHLESKATRSGNWGALRASWASSCQQVGEAVLENAR
+LMLQTETIQAGADDFKERYENEQPFRKAAEEEINSLYKVIDEANLTKMDLESQIESLKEE
+LGSLSRNYEEDVKLLHKQLAGCELEQMDAPIGTGLDDILETIRIQWERDVEKNRVEAGAL
+LQAKQQAEVAHMSQTQEEKLAAALRVELHNTSCQVQSLQAETESLRALKRGLENTLHDAK
+HWHDMELQNLGAVVGRLEAELREIRAEAEQQQQERAHLLARKCQLQKDVASYHALLDREE
+S
+>P16278_PF01301_40 <unknown description>
+SFLKDGQPFRYISGSIHYSRVPRFYWKDRLLKMKMAGLNAIQTYVPWNFHEPWPGQYQFS
+EDHDVEYFLRLAHELGLLVILRPGPYICAEWEMGGLPAWLLEKESILLRSSDPDYLAAVD
+KWLGVLLPKMKPLLYQNGGPVITVQVENEYGSYFACDFDYLRFLQKRFRHHLGDDVVLFT
+TDGAHKTFLKCGALQGLYTTVDFGTGSNITDAFLSQRKCEPKGPLINSEFYTGWLDHWGQ
+PHSTIKTEAVASSLYDILARGASVNLYMFIGGTNFAYWNGANSPYAAQPTSYDYDAPLSE
+AGDLTEKYFALRNIIQK
+>P16278_PF13364_548 <unknown description>
+LPAFYMGNFSIPSGIPDLPQDTFIQFPGWTKGQVWINGFNLGRYWPARGPQLTLFVPQHI
+LMTSAPNTITVL
+>Q15582_PF02469_122 <unknown description>
+TDRTEKLRPEMEGPGSFTIFAPSNEAWASLPAEVLDSLVSNVNIELLNALRYHMVGRRVL
+TDELKHGMTLTSMYQNSNIQIHHYPNGIVTVNCARLLKADHHATNGVVHLIDKVI
+>Q15582_PF02469_252 <unknown description>
+TFETLRAAVAASGLNTMLEGNGQYTLLAPTNEAFEKIPSETLNRILGDPEALRDLLNNHI
+LKSAMCAEAIVAGLSVETLEGTTLEVGCSGDMLTINGKAIISNKDILATNGVIHYIDELL
+IP
+>Q15582_PF02469_387 <unknown description>
+DVSTAIDLFRQAGLGNHLSGSERLTLLAPLNSVFKDGTPPIDAHTRNLLRNHIIKDQLAS
+KYLYHGQTLETLGGKKLRVFVYRNSLCIENSCIAAHDKRGRYGTLFTMDRVLTP
+>Q15582_PF02469_514 <unknown description>
+RFSMLVAAIQSAGLTETLNREGVYTVFAPTNEAFRALPPRERSRLLGDAKELANILKYHI
+GDEILVSGGIGALVRLKSLQGDKLEVSLKNNVVSVNKEPVAEPDIMATNGVVHVITNVLQ
+P
+>P08236_PF02837_39 <unknown description>
+KELDGLWSFRADFSDNRRRGFEEQWYRRPLWESGPTVDMPVPSSFNDISQDWRLRHFVGW
+VWYEREVILPERWTQDLRTRVVLRIGSAHSYAIVWVNGVDTLEHEGGYLPFEADISNLVQ
+VGPLPSRLRITIAINNTLTPTTLPPGTIQYLTDTSKYPKGYFVQNTYFDFFNYAGLQRSV
+LLYTTP
+>P08236_PF00703_226 <unknown description>
+TYIDDITVTTSVEQDSGLVNYQISVKGSNLFKLEVRLLDAENKVVANGTGTQGQLKVPGV
+SLWWPYLMHERPAYLYSLEVQLTAQTSLGPVSDFYTLPVGIR
+>P08236_PF02836_329 <unknown description>
+VAVTKSQFLINGKPFYFHGVNKHEDADIRGKGFDWPLLVKDFNLLRWLGANAFRTSHYPY
+AEEVMQMCDRYGIVVIDECPGVGLALPQFFNNVSLHHHMQVMEEVVRRDKNHPAVVMWSV
+ANEPASHLESAGYYLKMVIAHTKSLDPSRPVTFVSNSNYAADKGAPYVDVICLNSYYSWY
+HDYGHLELIQLQLATQFENWYKKYQKPIIQSEYGAETIAGFHQDPPLMFTEEYQKSLLEQ
+YHLGLDQKRRKYVVGELIWNFADFMTEQSPTRVLGNKKGIFTRQRQPKSAAFLLRERYWK
+IA
+>Q7RTU4_PF00010_66 <unknown description>
+RRMAANVRERKRILDYNEAFNALRRALRHDLGGKRLSKIATLRRAIHRIAAL
+>Q7RTS1_PF00010_76 <unknown description>
+RRLESNERERQRMHKLNNAFQALREVIPHVRADKKLSKIETLTLAKNYIKSL
+>Q8NFJ8_PF00010_244 <unknown description>
+RLNINARERRRMHDLNDALDELRAVIPYAHSPSVRKLSKIATLLLAKNYIL
+>O14503_PF00010_56 <unknown description>
+PHRLIEKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHVKAL
+>O14503_PF07527_141 <unknown description>
+MFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVV
+>Q9C0J9_PF00010_48 <unknown description>
+PHRLIEKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHLKAL
+>Q9C0J9_PF07527_131 <unknown description>
+FHSGFQTCAKEVLQYLSRFESWTPREPRCVQLINHLHAVA
+>Q93088_PF02574_23 <unknown description>
+VIGDGGFVFALEKRGYVKAGPWTPEAAVEHPEAVRQLHREFLRAGSNVMQTFTFYASEDK
+LENRGNYVLEKISGQEVNEAACDIARQVADEGDALVAGGVSQTPSYLSCKSETEVKKVFL
+QQLEVFMKKNVDFLIAEYFEHVEEAVWAVETLIASGKPVAATMCIGPEGDLHGVPPGECA
+VRLVKAGASIIGVNCHFDPTISLKTVKLMKEGLEAARLKAHLMSQPLAYHTPDCNKQGFI
+DLPEFPFGLEPRVATRWDIQKYAREAYNLGVRYIGGCCGFEPYHIRAIAEEL
+>Q9H2M3_PF02574_23 <unknown description>
+VIGDGSFLITLEKRGYVKAGLWTPEAVIEHPDAVRQLHMEFLRAGSNVMQTFTFSASEDN
+MESKWEDVNAAACDLAREVAGKGDALVAGGICQTSIYKYQKDEARIKKLFRQQLEVFAWK
+NVDFLIAEYFEHVEEAVWAVEVLKESDRPVAVTMCIGPEGDMHDITPGECAVRLVKAGAS
+IVGVNCRFGPDTSLKTMELMKEGLEWAGLKAHLMVQPLGFHAPDCGKEGFVDLPEYPFGL
+ESRVATRWDIQKYAREAYNLGVRYIGGCCGFEPYHIRAIAEEL
+>P55061_PF01027_24 <unknown description>
+QQHLKKVYASFALCMFVAAAGAYVHMVTHFIQAGLLSALGSLILMIWLMATPHSHETEQK
+RLGLLAGFAFLTGVGLGPALEFCIAVNPSILPTAFMGTAMIFTCFTLSALYARRRSYLFL
+GGILMSALSLLLLSSLGNVFFGSIWLFQANLYVGLVVMCGFVLFDTQLIIEKAEHGDQDY
+IWHCIDLFLDFITVFRKLMMIL
+>Q9UHR4_PF08397_16 <unknown description>
+YRNVMEQFNPGLRNLINLGKNYEKAVNAMILAGKAYYDGVAKIGEIATGSPVSTELGHVL
+IEISSTHKKLNESLDENFKKFHKEIIHELEKKIELDVKYMNATLKRYQTEHKNKLESLEK
+SQAELKKIRRKSQGSRNALKYEHKEIEYVETVTSRQSEIQKFIADGCKEALLEEKRRFCF
+LVDKHCGFANHIHYYHLQSAELLNSKLPRWQETCVDAIKVP
+>Q9UHR4_PF14604_348 <unknown description>
+FPHTAGSNKTLLSFAQGDVITLLIPEEKDGWLYGEHDVSKARGWFPSSYTK
+>Q6UXY1_PF08397_16 <unknown description>
+YKSIMEQFNPALENLVYLGNNYLRAFHALSEAAEVYFSAIQKIGERALQSPTSQILGEIL
+VQMSDTQRHLNSDLEVVVQTFHGGLLQHMEKNTKLDMQFIKDSRQHYELEYRHRAANLEK
+CMSELWRMERKRDKNVREMKESVNRLHAQMQAFVSESQRAAELEEKRRYRFLAEKHLLLS
+NTFLQFFGRARGMLQNRVLLWKEQSEASRSP
+>Q6UXY1_PF14604_340 <unknown description>
+NHTLLRFSAGDVVEVLVPEAQNGWLYGKLEGSSASGWFPEAYVK
+>Q9H694_PF00013_134 <unknown description>
+TLKMDVSHTEHSHVIGKGGNNIKKVMEETGCHIHFPDSNRNNQAEKSNQVSIAGQPAGVE
+SARVRIRE
+>Q9H694_PF00013_287 <unknown description>
+TQLDIAAQHHLFMMGRNGSNIKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQ
+YLM
+>Q9H694_PF00536_879 <unknown description>
+PELFSKLGLGKYTDVFQQQEIDLQTFLTLTDQDLKELGITTFGARRKMLLAISEL
+>Q96G01_PF09730_74 <unknown description>
+GQSFSIHRKVAEDGETREETLLQESASKEAYYLGKILEMQNELKQSRAVVTNVQAENERL
+TAVVQDLKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENITLQKLVSTLKQNQ
+VEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKNEREQKNNLRKELS
+QYISLNDNHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLVKLNGDYRTPTLRKGESLNP
+VSDLFSELNISEIQKLKQQLMQVEREKAILLANLQESQTQLEHTKGALTEQHERVHRLTE
+HVNAMRGLQSSKELKAELDGEKGRDSGEEAHDYEVDINGLEILECKYRVAVTEVIDLKAE
+IKALKEKYNKSVENYTDEKAKYESKIQMYDEQVTSLEKTTKESGEKMAHMEKELQKMTSI
+ANENHSTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQSRVTRSGSLKGPD
+DPRGLLSPRLARRGVSSPVETRTSSEPVAKESTEASKEPSPTKTPTISPVITAPPSSPVL
+DTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELAPMIDKDKEALMEE
+ILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMVTETMTKLRNELKA
+LKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLLRMAIQQKLALTQR
+LEDLEF
+>Q8TD16_PF09730_83 <unknown description>
+GQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLELQTELKQLRNVLTNTQSENERL
+ASVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQDYSELEEENISLQKQVSVLRQNQ
+VEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQLEEALETLKTEREQKNSLRKELS
+HYMSINDSFYTSHLHVSLDGLKFSDDAAEPNNDAEALVNGFEHGGLAKLPLDNKTSTPKK
+EGLAPPSPSLVSDLLSELNISEIQKLKQQLMQMEREKAGLLATLQDTQKQLEHTRGSLSE
+QQEKVTRLTENLSALRRLQASKERQTALDNEKDRDSHEDGDYYEVDINGPEILACKYHVA
+VAEAGELREQLKALRSTHEAREAQHAEEKGRYEAEGQALTEKVSLLEKASRQDRELLARL
+EKELKKVSDVAGETQGSLSVAQDELVTFSEELANLYHHVCMCNNETPNRVMLDYYREGQG
+GAGRTSPGGRTSPEARGRRSPILLPKGLLAPEAGRADGGTGDSSPSPGSSLPSPLSDPRR
+EPMNIYNLIAIIRDQIKHLQAAVDRTTELSRQRIASQELGPAVDKDKEALMEEILKLKSL
+LSTKREQITTLRTVLKANKQTAEVALANLKSKYENEKAMVTETMMKLRNELKALKEDAAT
+FSSLRAMFATRCDEYITQLDEMQRQLAAAEDEKKTLNSLLRMAIQQKLALTQRLELLEL
+>Q9NZM4_PF15249_1092 <unknown description>
+QGSVLHPDYKTAFPSFEDALHRLLPYHVYQGALPSPSDYHKVDEEFETVSTQLLKRTQAM
+LNKYRLLLLEESRRVSPSAEMVMIDRMFIQEEKTTLALDK
+>Q6AI39_PF15249_711 <unknown description>
+DQAHTVTPDKSHFRSLSDAVQRLLSYHVCQGSMPTEEDLRKVDNEFETVATQLLKRTQAM
+LNKYRCLLLEDAMRINPSAEMVMIDRMFNQEERASLSRDKR
+>P55957_PF06393_3 <unknown description>
+CEVNNGSSLRDECITNLLVFGFLQSCSDNSFRRELDALGHELPVLAPQWEGYDELQTDGN
+RSSHSRLGRIEADSESQEDIIRNIARHLAQVGDSMDRSIPPGLVNGLALQLRNTSRSEED
+RNRDLATALEQLLQAYPRDMEKEKTMLVLALLLAKKVASHTPSLLRDVFHTTVNFINQNL
+RTYVRSLARN
+>P53004_PF01408_9 <unknown description>
+FGVVVVGVGRAGSVRMRDLRNPHPSSAFLNLIGFVSRRELGSIDGVQQISLEDALSSQEV
+EVAYICSESSSHEDYIRQFLNAGKHVLVEYPMTLSLAAAQELWELAEQKGKVLHEE
+>P53004_PF09166_133 <unknown description>
+FAFLKKEVVGKDLLKGSLLFTAGPLEEERFGFPAFSGISRLTWLVSLFGELSLVSATLEE
+RKEDQYMKMTVCLETEKKSPLSWIEEKGPGLKRNRYLSFHFKSGSLENVPN
+>Q9Y6D6_PF16213_29 <unknown description>
+HHSQLRKACEVALEEIKAETEKQSPPHGEAKAGSSTLPPVKSKTNFIEADKYFLPFELAC
+QSKCPRIVSTSLDCLQKLIAYGHLTGNAPDSTTPGKKLIDRIIETICGCFQGPQTDEGVQ
+LQIIKALLTAVTSQHIEIHEGTVLQAVRTCYNIYLASKNLINQTTAKATLTQMLNVIFAR
+MEN
+>Q9Y6D6_PF12783_420 <unknown description>
+QKDAFLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPIFRTNEMFIN
+AIKQYLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETST
+SSFDHKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANI
+>Q9Y6D6_PF01369_698 <unknown description>
+QKEIIEQGIDLFNKKPKRGIQYLQEQGMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDK
+FNKEVMYAYVDQHDFSGKDFVSALRMFLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTL
+FASADTAYVLAYSIIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEI
+AGKKI
+>Q9Y6D6_PF09324_1220 <unknown description>
+KFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCIAQMVNSQAANIRSGWKNIF
+SVFHLAASDQDESIVELAFQTT
+>Q9Y6D5_PF16213_23 <unknown description>
+EVKRPQHSQLRRACQVALDEIKAEIEKQRLGTAAPPKANFIEADKYFLPFELACQSKSPR
+VVSTSLDCLQKLIAYGHITGNAPDSGAPGKRLIDRIVETICSCFQGPQTDEGVQLQIIKA
+LLTAVTSPHIEIHEGTILQTVRTCYNIYLASKNLINQTTAKATLTQMLNVIFTRME
+>Q9Y6D5_PF12783_371 <unknown description>
+QKDAFLVFRSLCKLSMKPLGEGPPDPKSHELRSKVVSLQLLLSVLQNAGPVFRTHEMFIN
+AIKQYLCVALSKNGVSSVPDVFELSLAIFLTLLSNFKMHLKMQIEVFFKEIFLNILETST
+SSFEHRWMVIQTLTRICADAQCVVDIYVNYDCDLNAANI
+>Q9Y6D5_PF01369_643 <unknown description>
+QKEIIEHGIELFNKKPKRGIQFLQEQGMLGTSVEDIAQFLHQEERLDSTQVGDFLGDSAR
+FNKEVMYAYVDQLDFCEKEFVSALRTFLEGFRLPGEAQKIDRLMEKFAARYIECNQGQTL
+FASADTAYVLAYSIIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSSIYEEI
+EGKKI
+>Q9Y6D5_PF09324_1167 <unknown description>
+KFLEKGELANFRFQKDFLRPFEHIMKKNRSPTIRDMAIRCIAQMVNSQAANIRSGWKNIF
+AVFHQAASDHDGNIVELAFQTT
+>Q5TH69_PF16213_5 <unknown description>
+LRKLQKEASGSKYKAIKESCTWALETLGGLDTIVKIPPHVLREKCLLPLQLALESKNVKL
+AQHALAGMQKLLSEERFVSMETDSDEKQLLNQILNAVKVTPSLNEDLQVEVMKVLLCITY
+TPTFDLNGSAVLKIAEVCIETYISSCHQRSINTAVRATLSQMLS
+>Q5TH69_PF09324_1245 <unknown description>
+NEPPHFHFNEALFRPFERIMQLELCDEDVQDQVVTSIGELVEVCSTQIQSGWRPLFSALE
+TVH
+>Q13323_PF12201_1 <unknown description>
+MSEVRPLSRDILMETLLYEQLLEPPTMEVLGMTDSEEDLDPMEDFDSLECMEGSDALALR
+LACIGDEMDVSLRAPRLAQLSEVAMHSLGLAFIYDQTEDIRDVLRSFMDGFTTLKENIMR
+FWRSPNPGSWVSCEQVLLALLLLLALLLPLLSGGLHLLL
+>O00499_PF03114_19 <unknown description>
+QKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTRLQKDLRTYLASVKAMHEA
+SKKLNECLQEVYEPDWPGRDEANKIAENNDLLWMDYHQKLVDQALLTMDTYLGQFPDIKS
+RIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEKAAPQWCQGKLQAHLVAQT
+NLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVNTFQSIAGLEENFHKEMSK
+LNQNLN
+>O00499_PF14604_527 <unknown description>
+AQHDYTATDTDELQLKAGDVVLVIPFQNPEEQDEGWLMGVKESDWNQHKELEKCRGVFPE
+NFTE
+>Q9UBW5_PF03114_18 <unknown description>
+QKKFSRAQEKVLQKLGKAVETKDERFEQSASNFYQQQAEGHKLYKDLKNFLSAVKVMHES
+SKRVSETLQEIYSSEWDGHEELKAIVWNNDLLWEDYEEKLADQAVRTMEIYVAQFSEIKE
+RIAKRGRKLVDYDSARHHLEAVQNAKKKDEAKTAKAEEEFNKAQTVFEDLNQELLEELPI
+LYNSRIGCYVTIFQNISNLRDVFYREMSKLNHNLYEVMS
+>Q9NQY0_PF03114_16 <unknown description>
+VPKTVERDFEREYGKLQQLEEQTRRLQKDMKKSTDADLAMSKSAVKISLDLLSNPLCEQD
+QDLLNMVTALDTAMKRMDAFNQEKVNQIQKTVIEPLKKFGSVFPSLNMAVKRREQALQDY
+RRLQAKVEKYEEKEKTGPVLAKLHQAREELRPVREDFEAKNRQLLEEMPRFYGSRLDYFQ
+PSFESLIRAQVVYYSEMHKIFGDLSHQLD
+>P11021_PF00012_30 <unknown description>
+VVGIDLGTTYSCVGVFKNGRVEIIANDQGNRITPSYVAFTPEGERLIGDAAKNQLTSNPE
+NTVFDAKRLIGRTWNDPSVQQDIKFLPFKVVEKKTKPYIQVDIGGGQTKTFAPEEISAMV
+LTKMKETAEAYLGKKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVMRIINEPTAAAIAY
+GLDKREGEKNILVFDLGGGTFDVSLLTIDNGVFEVVATNGDTHLGGEDFDQRVMEHFIKL
+YKKKTGKDVRKDNRAVQKLRREVEKAKRALSSQHQARIEIESFYEGEDFSETLTRAKFEE
+LNMDLFRSTMKPVQKVLEDSDLKKSDIDEIVLVGGSTRIPKIQQLVKEFFNGKEPSRGIN
+PDEAVAYGAAVQAGVLSGDQDTGDLVLLDVCPLTLGIETVGGVMTKLIPRNTVVPTKKSQ
+IFSTASDNQPTVTIKVYEGERPLTKDNHLLGTFDLTGIPPAPRGVPQIEVTFEIDVNGIL
+RVTAEDKGTGNKNKITITNDQNRLTPEEIERMVNDAEKFAEEDKKLKERIDTRNELESYA
+YSLKNQIGDKEKLGGKLSSEDKETMEKAVEEKIEWLESHQDADIEDFKAKKKELEEIVQP
+IISKLY
+>Q13075_PF00653_63 <unknown description>
+RLKTFVTYEPYSSWIPQEMAAAGFYFTGVKSGIQCFCCSLILFGAGLTRLPIEDHKRFHP
+DCGFL
+>Q13075_PF00653_162 <unknown description>
+RLASFRNWPFYVQGISPCVLSEAGFVFTGKQDTVQCFSCGGCLGNWEEGDDPWKEHAKWF
+PKCEFL
+>Q13075_PF00653_281 <unknown description>
+RLDSFKDWPRESAVGVAALAKAGLFYTGIKDIVQCFSCGGCLEKWQEGDDPLDDHTRCFP
+NCPFLQ
+>Q13075_PF05729_465 <unknown description>
+VMCVEGEAGSGKTVLLKKIAFLWASGCCPLLNRFQLVFYLSLSSTRPDEGLASIICDQLL
+EKEGSVTEMCVRNIIQQLKNQVLFLLDDYKEICSIPQVIGKLIQKNHLSRTCLLIAVRTN
+RARDIRRYLETILEIKAFPFYNTVCILRKLFSH
+>Q13075_PF17779_688 <unknown description>
+TVSSCGELALKGFFSCCFEFNDDDLAEAGVDEDEDLTMCLMSKFTAQRLRPFYRF
+>Q13075_PF17889_766 <unknown description>
+EHQDLGLYHLKQINSPMMTVSAYNNFLNYVSSLPSTKAGPKIVSHLLHLVDNKESLENIS
+ENDDYLKHQPEISLQMQLLRGLWQICPQAYFSMVSEHLLVLALKTAY
+>Q13490_PF00653_49 <unknown description>
+RMSTYSTFPAGVPVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKLGDSPIQKHKQLYP
+SCSFIQ
+>Q13490_PF00653_187 <unknown description>
+RFLTYHMWPLTFLSPSELARAGFYYIGPGDRVACFACGGKLSNWEPKDDAMSEHRRHFPN
+CPFL
+>Q13490_PF00653_272 <unknown description>
+RMRTFMYWPSSVPVQPEQLASAGFYYVGRNDDVKCFCCDGGLRCWESGDDPWVEHAKWFP
+RCEFL
+>Q13490_PF00619_459 <unknown description>
+SLIRKNRMALFQQLTCVLPILDNLLKANVINKQEHDIIKQKTQIPLQARELIDTILVKGN
+AAANIFKNCLKEIDSTLYKNLF
+>Q13490_PF13920_568 <unknown description>
+ERTCKVCMDKEVSVVFIPCGHLVVCQECAPSLRKCPICRGIIK
+>Q13489_PF00653_32 <unknown description>
+RMSTYSTFPAGVPVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKRGDSPTEKHKKLYP
+SCRFVQ
+>Q13489_PF00653_172 <unknown description>
+RLLTFQTWPLTFLSPTDLAKAGFYYIGPGDRVACFACGGKLSNWEPKDNAMSEHLRHFPK
+CPFI
+>Q13489_PF00653_258 <unknown description>
+RFKTFFNWPSSVLVNPEQLASAGFYYVGNSDDVKCFCCDGGLRCWESGDDPWVQHAKWFP
+RCEYL
+>Q13489_PF00619_446 <unknown description>
+LIRKNRMALFQHLTCVIPILDSLLTAGIINEQEHDVIKQKTQTSLQARELIDTILVKGNI
+AATVFRNSLQEAEAVLYEHLF
+>Q13489_PF13920_554 <unknown description>
+ERTCKVCMDKEVSIVFIPCGHLVVCKDCAPSLRKCPICRSTIKG
+>Q9NR09_PF00653_289 <unknown description>
+RRETFTSWPHVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEH
+ERHSPNCPFVK
+>Q9NR09_PF12356_3463 <unknown description>
+VAAMKRSGRMNYMCPNSSTVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDQELF
+ELLFNWSMSLPCNMVLKKAVDSLLCSMCHVHPNYFSLLMGWMGITPPPVQCHHRLSMTDD
+SKKQDLSSSLTDDSKNAQAPLALTESHLATLASSSQ
+>Q9NR09_PF00179_4577 <unknown description>
+RLAQEAVTLSTSLPLSSSSSVFVRCDEERLDIMKVLITGPADTPYANGCFEFDVYFPQDY
+PSSPPLVNLETTGGHSVRFNPNLYNDGKVCLSILNTWHGRPEEKWNPQTSSFLQVLVSVQ
+SLILVAEPYFNEPGYERSRGTPSGTQSSREYDGNIRQ
+>Q96CA5_PF00653_90 <unknown description>
+RLASFYDWPLTAEVPPELLAAAGFFHTGHQDKVRCFFCYGGLQSWKRGDDPWTEHAKWFP
+SCQFL
+>Q96CA5_PF13920_249 <unknown description>
+ERTCKVCLDRAVSIVFVPCGHLVCAECAPGLQLCPICRAPVRS
+>P46663_PF00001_54 <unknown description>
+GNLFVLLVFLLPRRQLNVAEIYLANLAASDLVFVLGLPFWAENIWNQFNWPFGALLCRVI
+NGVIKANLFISIFLVVAISQDRYRVLVHPMASRRQQRRRQARVTCVLIWVVGGLLSIPTF
+LLRSIQAVPDLNITACILLLPHEAWHFARIVELNILGFLLPLAAIVFFNYHILASLRTRE
+EVSRTRCGGRKDSKTTALILTLVVAFLVCWAPYHFFAFLEFLFQVQAVRGCFWEDFIDLG
+LQLANFFAFTNSSLNPVIY
+>P30411_PF00001_74 <unknown description>
+ENIFVLSVFCLHKSSCTVAEIYLGNLAAADLILACGLPFWAITISNNFDWLFGETLCRVV
+NAIISMNLYSSICFLMLVSIDRYLALVKTMSMGRMRGVRWAKLYSLVIWGCTLLLSSPML
+VFRTMKEYSDEGHNVTACVISYPSLIWEVFTNMLLNVVGFLLPLSVITFCTMQIMQVLRN
+NEMQKFKEIQTERRATVLVLVVLLLFIICWLPFQISTFLDTLHRLGILSSCQDERIIDVI
+TQIASFMAYSNSCLNPLVY
+>P78537_PF06320_33 <unknown description>
+LLKEHQAKQNERKELQEKRRREAITAATCLTEALVDHLNVGVAQAYMNQRKLDHEVKTLQ
+VQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYK
+>Q6QNY1_PF10046_43 <unknown description>
+DMFSKMATYLTGELTATSEDYKLLENMNKLTSLKYLEMKDIAINISRNLKDLNQKYAGLQ
+PYLDQINVIEEQVAALEQAAYKLDAYSKKLEAKYK
+>Q6QNY0_PF15753_16 <unknown description>
+TVVPGEATETDSERSASSSEEEELYLGPSGPTRGRPTGLRVAGEAAETDSEPEPEPEPTA
+APRDLPPLVVQRESAEEAWGTEEAPAPAPARSLLQLRLAESQARLDHDVAAAVSGVYRRA
+GRDVAALASRLAAAQAAGLAAAHSVRLARGDLCALAERLDIVAGCRLLPDIR
+>Q8TDH9_PF14942_36 <unknown description>
+IKDLGEIHSRLLDHRPVIQGETRYFVKEFEEKRGLREMRVLENLKNMIHETNEHTLPKCR
+DTMRDSLSQVLQRLQAANDSVCRLQQREQERKKIHSDHLVASEKQHMLQWDNFMKEQPNK
+RAEVDEEHRKAMERLKEQYAEMEKDL
+>Q9UL45_PF14712_50 <unknown description>
+EQLAEGLLSHYLPDLQRSKQALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFAEAK
+HYHAKLVNIRKEMLMLHEKTSKLKKRALKLQ
+>P62952_PF06726_1 <unknown description>
+MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYS
+CWGNC
+>P51451_PF00018_64 <unknown description>
+VALYDYTAMNDRDLQMLKGEKLQVLKGTGDWWLARSLVTGREGYVPS
+>P51451_PF00017_124 <unknown description>
+WFFRSQGRKEAERQLLAPINKAGSFLIRESETNKGAFSLSVKDVTTQGELIKHYKIRCLD
+EGGYYISPRITFPSLQALVQHY
+>P51451_PF07714_241 <unknown description>
+LRLVRKLGSGQFGEVWMGYYKNNMKVAIKTLKEGTMSPEAFLGEANVMKALQHERLVRLY
+AVVTKEPIYIVTEYMARGCLLDFLKTDEGSRLSLPRLIDMSAQIAEGMAYIERMNSIHRD
+LRAANILVSEALCCKIADFGLARIIDSEYTAQEGAKFPIKWTAPEAIHFGVFTIKADVWS
+FGVLLMEVVTYGRVPYPGMSNPEVIRNLERGYRMPRPDTCPPELYRGVIAECWRSRPEER
+PTFEFLQSV
+>Q13867_PF03051_6 <unknown description>
+LNSEKVAALIQKLNSDPQFVLAQNVGTTHDLLDICLKRATVQRAQHVFQHAVPQEGKPIT
+NQKSSGRCWIFSCLNVMRLPFMKKLNIEEFEFSQSYLFFWDKVERCYFFLSAFVDTAQRK
+EPEDGRLVQFLLMNPANDGGQWDMLVNIVEKYGVIPKKCFPESYTTEATRRMNDILNHKM
+REFCIRLRNLVHSGATKGEISATQDVMMEEIFRVVCICLGNPPETFTWEYRDKDKNYQKI
+GPITPLEFYREHVKPLFNMEDKICLVNDPRPQHKYNKLYTVEYLSNMVGGRKTLYNNQPI
+DFLKKMVAASIKDGEAVWFGCDVGKHFNSKLGLSDMNLYDHELVFGVSLKNMNKAERLTF
+GESLMTHAMTFTAVSEKDDQDGAFTKWRVENSWGEDHGHKGYLCMTDEWFSEYVYEVVVD
+RKHVPEEVLAVLEQEPIILPAWDPMG
+>P54132_PF16202_1 <unknown description>
+MAAVPQNNLQEQLERHSARTLNNKLSLSKPKFSGFTFKKKTSSDNNVSVTNVSVAKTPVL
+RNKDVNVTEDFSFSEPLPNTTNQQRVKDFFKNAPAGQETQRGGSKSLLPDFLQTPKEVVC
+TTQNTPTVKKSRDTALKKLEFSSSPDSLSTINDWDDMDDFDTSETSKSFVTPPQSHFVRV
+STAQKSKKGKRNFFKAQLYTTNTVKTDLPPPSSESEQIDLTEEQKDDSEWLSSDVICIDD
+GPIAEVHINEDAQESDSLKTHLEDERDNSEKKKNLEEAELHSTEKVPCIEFDDDDYDTDF
+VPPSPEEIISASSSSSKCLSTLKDLDTSDRKEDVLSTSKDLLSKPEKMSMQELNPETSTD
+CDARQISL
+>P54132_PF08072_372 <unknown description>
+LIHVMEHICKLIDTIPDDKLKLLDCGNELLQQRNIRRKLL
+>P54132_PF16204_425 <unknown description>
+GSLWRYRPDSLDGPMEGDSCPTGNSMKELNFSHLPSNSVSPGDCLLTTTLGKTGFSATRK
+NLFERPLFNTHLQKSFVSSNWAETPRLGKKNESSYFPGNVLTSTAVKDQNKHTASINDLE
+RETQPSYDIDNFDIDDFDDDDDWEDIMHNLAASKSSTAAYQPIKEGRPIKSVSERLSSAK
+TDCLPVSSTAQNINFSESIQNYTDKSAQNLASRNLKHERFQSL
+>P54132_PF00270_671 <unknown description>
+NQLEAINAALLGEDCFILMPTGGGKSLCYQLPACVSPGVTVVISPLRSLIVDQVQKLTSL
+DIPATYLTGDKTDSEATNIYLQLSKKDPIIKLLYVTPEKICASNRLISTLENLYERKLLA
+RFVIDEAHCVSQWGHDFRQDYKRMNMLRQKFPSVPVMALTATANPRVQ
+>P54132_PF00271_883 <unknown description>
+RKHHPYDSGIIYCLSRRECDTMADTLQRDGLAALAYHAGLSDSARDEVQQKWINQDGCQV
+ICATIAFGMGIDKPDVRFVIHASLPKSVEGYYQESGRAGRD
+>P54132_PF16124_995 <unknown description>
+YHDVTRLKRLIMMEKDGNHHTRETHFNNLYSMVHYCENITECRRIQLLAYFGENGFNPDF
+CKKHPDVSCDNCC
+>P54132_PF09382_1072 <unknown description>
+YKTRDVTDDVKSIVRFVQEHSSSQGMRNIKHVGPSGRFTMNMLVDIFLGSKSAKIQSGIF
+GKGSAYSRHNAERLFKKLILDKILDEDLYINANDQAIAYVMLGNKAQTVLNGNLKVDFME
+TENSS
+>P54132_PF00570_1217 <unknown description>
+KCLGELTEVCKSLGKVFGVHYFNIFNTVTLKKLAESLSSDPEVLLQIDGVTEDKLEKYGA
+EVISV
+>Q8WV28_PF00017_346 <unknown description>
+WYAGACDRKSAEEALHRSNKDGSFLIRKSSGHDSKQPYTLVVFFNKRVYNIPVRFIEATK
+QYALGRKKNGEEYFGSVAEIIRNH
+>Q6BDS2_PF12624_3 <unknown description>
+GIIKKQILKHLSRFTKNLSPDKINLSTLKGEGQLTNLELDEEVLQNVLELPTWLAITRVY
+CNRASIRIQWTKLKTHPICLCLDKVEVEMKTCE
+>A0JNW5_PF12624_3 <unknown description>
+GIIKKQILKHLSRFTKNLSPDKINLSTLKGEGELKNLELDEEVLQNMLDLPTWLAINKVF
+CNKASIRIPWTKLKTHPICLSLDKVIMEMSTCE
+>Q14667_PF10344_27 <unknown description>
+VRLATKWCQRKLQAELKIGSFRFFWIQNVSLKFQQHQQTVEIDNLWISSKLLSHDLPHYV
+ALCFGEVRIRTDLQKVSDLSAPFSQSAGVDQKELSFSPSLLKIFCQLFSIHVDAINIMVL
+KVDTSESLWHIQISRSRFLLDSDGKRLICEVSLCKINSKVLKSGQLEDTCLVELSLALDL
+CLKVGISSRHLTAITVDVWTLHAELHEGLFQSQLLCQGPSLASKPVPCSEVTENLVEPTL
+PGLFLLQQLPDQVKVKMENTSVVLSMNSQKRHLTWTLKLLQFLYHRDEDQLPLRSFTANS
+DMAQMSTELLLEDGLLLSQSRQRIVCLNSLKASVQVTTIDLSASLVLNTCIIHYRHQEFS
+HWLHLLALETQGSSSPVLKQRKKRTFPQILAPIIFSTSISNVNISIQLGDTPPFALGFNS
+ISLDYQHLR
+>Q14667_PF10344_477 <unknown description>
+DSHIQRAPHPPNMHVWGEALVLDSFTLQGSYNQPLGLSSTQSDTLFLDCTIRGLQVEASD
+TCAQCLSRILSLMGPQSGKSAVSRHSSFGESVSLLWKVDLKVEDMNLFTLSALVGASEVR
+LDTLTILGSAETSTVGIQGLVLALVKSVTEKMQPCCKAPDIPTPVLSLSMLSITYHSSIR
+SLEVQCGAGLTLLWSP
+>Q14667_PF10347_1028 <unknown description>
+DYPRYLFEIRDWRLMGRLVGTEQSGQPCSRRRQILHLGLPWGNVAVERNMPPLKFYHDFH
+SEIFQYTVVWGPCWDPAWTLIGQCVDLLTKPSADPSPPLPWWDKSRLLFHGDWHMDIEQA
+NLHQLATEDPYN
+>Q14667_PF10351_1703 <unknown description>
+IISRCNCRMYYISYSHDIDPELATQIKPPEVLENQEKEDLLKKQEGAVDTFTLIHHELEI
+STNPAQYAMILDIVNNLLLHVEPKRKEHSEKKQRVRFQLEISSNPEEQRSSILHLQEAVR
+QHVAQIRQLEKQMYSIMKSLQDDSKNENLLDLNQKLQLQLNQEKANLQLESEELNILIRC
+FKDFQLQRANKMELRKQQEDVSVVRRTEFYFAQARWRLTEEDGQLGIAELELQRFLYSKV
+NKSDDTAEHLLELGWFTMNNLLPNAVYKVVLRPQSSCQSGRQLALRLFSKVRPPVGGISV
+KEHFEVNVVPLTIQLTHQFFHRMMGFFFPGRSVEDDEVGDEEDKSKLVTTGIPVVKPRQL
+IATDDAVPLGPGKGVAQGLTRSSGVRRSFRKSPEHPVDDIDKMKERAAMNNSFIYIKIPQ
+VPLCVSYKGEKNSVDWGDLNLVLPCLEYHNNTWTWLDFAMAVKRDSRKALVAQ
+>P30043_PF13460_10 <unknown description>
+GATGQTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPRPAHVVVGDVLQAADVDKTVAGQD
+AVIVLLGTRNDLSPTTVMSEGARNIVAAMKAHGVDKVVACTSAFLLWDPTKVPPRLQAVT
+DDHIRMHKVLRESGLKYVAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHDLGHFMLRCL
+TT
+>O00327_PF00010_74 <unknown description>
+REAHSQIEKRRRDKMNSFIDELASLVPTCNAMSRKLDKLTVLRMAVQHMKTL
+>O00327_PF00989_149 <unknown description>
+HLILRAADGFLFVVGCDRGKILFVSESVFKILNYSQNDLIGQSLFDYLHPKDIAKVKEQL
+SSSDTAPRERLIDAKTGLPVKTDITPGPSRLCSGARRSFFCRMK
+>O00327_PF14598_339 <unknown description>
+EYVSRHAIDGKFVFVDQRATAILAYLPQELLGTSCYEYFHQDDIGHLAECHRQVLQTREK
+ITTNCYKFKIKDGSFITLRSRWFSFMNPWTKEVEYIVSTNTVV
+>Q8WYA1_PF00010_109 <unknown description>
+REAHSQTEKRRRDKMNNLIEELSAMIPQCNPMARKLDKLTVLRMAVQHLRSL
+>Q8WYA1_PF00989_183 <unknown description>
+RHLILKTAEGFLFVVGCERGKILFVSKSVSKILNYDQASLTGQSLFDFLHPKDVAKVKEQ
+LS
+>Q8WYA1_PF14598_370 <unknown description>
+EFITRFAVNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHNNLTDKHKAVLQSKEK
+ILTDSYKFRAKDGSFVTLKSQWFSFTNPWTKELEYIVSVNTLV
+>Q96LC9_PF15185_5 <unknown description>
+QCVEELEDDVFQPEDGEPVTQPGSLLSADLFAQSLLDCPLSRLQLFPLTHCCGPGLRPTS
+QEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYGNAGYRLPLPASFPAVLPIGEQPPEGQ
+WQHQAEVQIARKLQCIADQFHRLHVQQHQQNQNRVWWQILLFLHNLALNGEENRNGAGP
+>P35226_PF13923_17 <unknown description>
+MCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPIC
+>P35226_PF16207_162 <unknown description>
+RYLRCPAAMTVMHLRKFLRSKMDIPNTFQIDVMYEEEPLKDYYTLMDIAYIYTWRRNGPL
+PLKYR
+>O95393_PF00688_55 <unknown description>
+LQSMKDEFLKTLNLSDIPTQDSAKVDPPEYMLELYNKFATDRTSMPSANIIRSFKNEDLF
+SQPVSFNGLRKYPLLFNVSIPHHEEVIMAELRLYTLVQRDRMIYDGVDRKITIFEVLESK
+GDNEGERNMLVLVSGEIYGTNSEWETFDVTDAIRRWQKSGSSTHQLEVHIESKHDEAEDA
+SSGRLEIDTSAQNKHNPLLIVF
+>O95393_PF00019_323 <unknown description>
+CKRTPLYIDFKEIGWDSWIIAPPGYEAYECRGVCNYPLAEHLTPTKHAIIQALVHLKNSQ
+KASKACCVPTKLEPISILYLDKGVVTYKFKYEGMAVSECGC
+>O95972_PF00019_290 <unknown description>
+QCSLHPFQISFRQLGWDHWIIAPPFYTPNYCKGTCLRVLRDGLNSPNHAIIQNLINQLVD
+QSVPRPSCVPYKYVPISVLMIEANGSILYKEYEGMIAESCTC
+>P13497_PF01400_129 <unknown description>
+VWPDGVIPFVIGGNFTGSQRAVFRQAMRHWEKHTCVTFLERTDEDSYIVFTYRPCGCCSY
+VGRRGGGPQAISIGKNCDKFGIVVHELGHVVGFWHEHTRPDRDRHVSIVRENIQPGQEYN
+FLKMEPQEVESLGETYDFDSIMHYARNTFSRGIFLDTIVPKYEVNGVKPPIGQRTRLSKG
+DIAQARKLYKCP
+>P13497_PF00431_322 <unknown description>
+CGETLQDSTGNFSSPEYPNGYSAHMHCVWRISVTPGEKIILNFTSLDLYRSRLCWYDYVE
+VRDGFWRKAPLRGRFCGSKLPEPIVSTDSRLWVEFRSSSNWVGKGFFAVY
+>P13497_PF00431_435 <unknown description>
+CGGDVKKDYGHIQSPNYPDDYRPSKVCIWRIQVSEGFHVGLTFQSFEIERHDSCAYDYLE
+VRDGHSESSTLIGRYCGYEKPDDIKSTSSRLWLKFVSDGSINKAGFAVNF
+>P13497_PF14670_555 <unknown description>
+NRGGCEQRCLNTLGSYKCSCDPGYELAPDKRRC
+>P13497_PF00431_591 <unknown description>
+CGGFLTKLNGSITSPGWPKEYPPNKNCIWQLVAPTQYRISLQFDFFETEGNDVCKYDFVE
+VRSGLTADSKLHGKFCGSEKPEVITSQYNNMRVEFKSDNTVSKKGFKAHF
+>P13497_PF07645_703 <unknown description>
+DKDECSKDNGGCQQDCVNTFGSYECQCRSGFVLHDNKHDC
+>P13497_PF00431_747 <unknown description>
+CDHKVTSTSGTITSPNWPDKYPSKKECTWAISSTPGHRVKLTFMEMDIESQPECAYDHLE
+VFDGRDAKAPVLGRFCGSKKPEPVLATGSRMFLRFYSDNSVQRKGFQAS
+>P13497_PF00431_860 <unknown description>
+CGGQVRADVKTKDLYSHAQFGDNNYPGGVDCEWVIVAEEGYGVELVFQTFEVEEETDCGY
+DYMELFDGYDSTAPRLGRYCGSGPPEEVYSAGDSVLVKFHSDDTITKKGFHLRY
+>Q9NSY1_PF00069_54 <unknown description>
+EESLAEGGFSTVFLVRTHGGIRCALKRMYVNNMPDLNVCKREITIMKELSGHKNIVGYLD
+CAVNSISDNVWEVLILMEYCRAGQVVNQMNKKLQTGFTEPEVLQIFCDTCEAVARLHQCK
+TPIIHRDLKVENILLNDGGNYVLCDFGSATNKFLNPQKDGVNVVEEEIKKYTTLSYRAPE
+MINLYGGKPITTKADIWALGCLLYKLCFFTLPFGESQVAICDGNFTIPDNSRYSRNIHCL
+IRFMLEPDPEHRPDIFQ
+>Q9NSY1_PF15282_893 <unknown description>
+EEFDVFTKAPFSKKVNVQECHAVGPEAHTIPGYPKSVDVFGSTPFQPFLTSTSKSESNED
+LFGLVPFDEITGSQQQKVKQRSLQKLSSRQRRTKQDMSKSNGKRHHGTPTSTKKTLKPTY
+RTPERARRHKKVGRRDSQSSNEFLTISDSKENISVALTDGKDRGNVLQPEESLLDPFGAK
+PFHSPDLSWHPPHQGLSDIRADHNTVLPGRPRQNSLHGSFHSADVLKMDDFGAVPFTELV
+VQSITPHQSQQSQPVELDPFGAAPFPSKQ
+>P12643_PF00688_47 <unknown description>
+DEVLSEFELRLLSMFGLKQRPTPSRDAVVPPYMLDLYRRHSGQPGSPAPDHRLERAASRA
+NTVRSFHHEESLEELPETSGKTTRRFFFNLSSIPTEEFITSAELQVFREQMQDALGNNSS
+FHHRINIYEIIKPATANSKFPVTRLLDTRLVNQNASRWESFDVTPAVMRWTAQGHANHGF
+VVEVAHLEEKQGVSKRHVRISRSLHQDEHSWSQIRPLLVTF
+>P12643_PF00019_295 <unknown description>
+SCKRHPLYVDFSDVGWNDWIVAPPGYHAFYCHGECPFPLADHLNSTNHAIVQTLVNSVNS
+KIPKACCVPTELSAISMLYLDENEKVVLKNYQDMVVEGCGC
+>P12645_PF00019_369 <unknown description>
+NCARRYLKVDFADIGWSEWIISPKSFDAYYCSGACQFPMPKSLKPSNHATIQSIVRAVGV
+VPGIPEPCCVPEKMSSLSILFFDENKNVVLKVYPNMTVESCAC
+>P12644_PF00688_52 <unknown description>
+ELLRDFEATLLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEQIHSTGLEYPERPA
+SRANTVRSFHHEEHLENIPGTSENSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDW
+ERGFHRINIYEVMKPPAEVVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPN
+YGLAIEVTHLHQTRTHQGQHVRISRSLPQGSGNWAQLRPLLVTF
+>P12644_PF00019_307 <unknown description>
+NCRRHSLYVDFSDVGWNDWIVAPPGYQAFYCHGDCPFPLADHLNSTNHAIVQTLVNSVNS
+SIPKACCVPTELSAISMLYLDEYDKVVLKNYQEMVVEGCGC
+>P22003_PF00688_32 <unknown description>
+NHVHSSFIYRRLRNHERREIQREILSILGLPHRPRPFSPGKQASSAPLFMLDLYNAMTNE
+ENPEESEYSVRASLAEETRGARKGYPASPNGYPRRIQLSRTTPLTTQSPPLASLHDTNFL
+NDADMVMSFVNLVERDKDFSHQRRHYKEFRFDLTQIPHGEAVTAAEFRIYKDRSNNRFEN
+ETIKISIYQIIKEYTNRDADLFLLDTRKAQALDVGWLVFDITVTSNHWVINPQNNLGLQL
+CAETGDGRSINVKSAGLVGRQGPQSKQPFMVAF
+>P22003_PF00019_353 <unknown description>
+CKKHELYVSFRDLGWQDWIIAPEGYAAFYCDGECSFPLNAHMNATNHAIVQTLVHLMFPD
+HVPKPCCAPTKLNAISVLYFDDSSNVILKKYRNMVVRSCGC
+>P22004_PF00688_62 <unknown description>
+SSSGFLYRRLKTQEKREMQKEILSVLGLPHRPRPLHGLQQPQPPALRQQEEQQQQQQLPR
+GEPPPGRLKSAPLFMLDLYNALSADNDEDGASEGERQQSWPHEAASSSQRRQPPPGAAHP
+LNRKSLLAPGSGSGGASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPRQRHHKEFKFN
+LSQIPEGEVVTAAEFRIYKDCVMGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWAS
+EEGWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGVHVHPRAAGLVGRDGPYDKQPFMVA
+F
+>P22004_PF00019_412 <unknown description>
+CRKHELYVSFQDLGWQDWIIAPKGYAANYCDGECSFPLNAHMNATNHAIVQTLVHLMNPE
+YVPKPCCAPTKLNAISVLYFDDNSNVILKKYRNMVVRACGC
+>P18075_PF00688_35 <unknown description>
+NEVHSSFIHRRLRSQERREMQREILSILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEE
+GGGPGGQGFSYPYKAVFSTQGPPLASLQDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHR
+EFRFDLSKIPEGEAVTAAEFRIYKDYIRERFDNETFRISVYQVLQEHLGRESDLFLLDSR
+TLWASEEGWLVFDITATSNHWVVNPRHNLGLQLSVETLDGQSINPKLAGLIGRHGPQNKQ
+PFMVAF
+>P18075_PF00019_330 <unknown description>
+CKKHELYVSFRDLGWQDWIIAPEGYAAYYCEGECAFPLNSYMNATNHAIVQTLVHFINPE
+TVPKPCCAPTQLNAISVLYFDDSSNVILKKYRNMVVRACGC
+>Q7Z5Y6_PF00688_33 <unknown description>
+QRRLGARERRDVQREILAVLGLPGRPRPRAPPAASRLPASAPLFMLDLYHAMAGDDDEDG
+APAEQRLGRADLVMSFVNMVERDRALGHQEPHWKEFRFDLTQIPAGEAVTAAEFRIYKVP
+SIHLLNRTLHVSMFQVVQEQSNRESDLFFLDLQTLRAGDEGWLVLDVTAASDCWLLKRHK
+DLGLRLYVETEDGHSVDPGLAGLLGQRAPRSQQPFVVTF
+>Q7Z5Y6_PF00019_301 <unknown description>
+CRRHELYVSFQDLGWLDWVIAPQGYSAYYCEGECSFPLDSCMNATNHAILQSLVHLMKPN
+AVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGC
+>P34820_PF00688_33 <unknown description>
+QRRLGARERRDVQREILAVLGLPGRPRPRAPPAASRLPASAPLFMLDLYHAMAGDDDEDG
+APAERRLGRADLVMSFVNMVERDRALGHQEPHWKEFRFDLTQIPAGEAVTAAEFRIYKVP
+SIHLLNRTLHVSMFQVVQEQSNRESDLFFLDLQTLRAGDEGWLVLDVTAASDCWLLKRHK
+DLGLRLYVETEDGHSVDPGLAGLLGQRAPRSQQPFVVTF
+>P34820_PF00019_301 <unknown description>
+CRRHELYVSFQDLGWLDWVIAPQGYSAYYCEGECSFPLDSCMNATNHAILQSLVHLMMPD
+AVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGC
+>Q8N8U9_PF00093_166 <unknown description>
+CVFEGVQYQEGEEFQPEGSKCTKCSCTGGRTQCVREVCPILSCPQHLSHIPPGQCCPKC
+>Q8N8U9_PF00093_301 <unknown description>
+CKFGNKIFQDGEMWSSINCTICACVKGRTECRNKQCIPISSCPQGKILNRKGCCPIC
+>Q8N8U9_PF00094_364 <unknown description>
+CTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKSVEL
+VLGESRVSLQQHLTVRWNGSRIALPCRAPHFHIDLDGYLLKVTTKAGLEISWDGDSFVEV
+MAAPHLKGKLCGLCGNYNGHKRDDLIGG
+>Q8N8U9_PF08742_559 <unknown description>
+ECQKLKSWEFQTCHSTVDYATFYRSCVTDMCECPVHKNCYCESFLAYTRACQREGIKVHW
+EPQQNC
+>Q8N8U9_PF01826_629 <unknown description>
+CKHGAVYDTCGPGCIKTCDNWNEIGPCNKPCVAGCHCPANLVLHKGRCIKPVLC
+>Q13873_PF01064_49 <unknown description>
+SRISHENGTILCSKGSTCYGLWEKSKGDINLVKQGCWSHIGDPQECHYEECVVTTTPPSI
+QNGTYRFCCCSTDLCNVN
+>Q13873_PF00069_205 <unknown description>
+LLELIGRGRYGAVYKGSLDERPVAVKVFSFANRQNFINEKNIYRVPLMEHDNIARFIVGD
+ERVTADGRMEYLLVMEYYPNGSLCKYLSLHTSDWVSSCRLAHSVTRGLAYLHTELPRGDH
+YKPAISHRDLNSRNVLVKNDGTCVISDFGLSMRLTGNRLVRPGEEDNAAISEVGTIRYMA
+PEVLEGAVNLRDCESALKQVDMYALGLIYWEIFMRCTDLFPGESVPEYQMAFQTEVGNHP
+TFEDMQVLVSREKQRPKFPEAWKENSLAVRSLKETIEDCWDQDAEARLTAQC
+>P36894_PF01064_59 <unknown description>
+LKCYCSGHCPDDAINNTCITNGHCFAIIEEDDQGETTLASGCMKYEGSDFQCKDSPKAQL
+RRTIECCRTNLCNQ
+>P36894_PF08515_205 <unknown description>
+SLKDLIDQSQSSGSGSGLPLLVQRTIAK
+>P36894_PF07714_234 <unknown description>
+IQMVRQVGKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIA
+ADIKGTGSWTQLYLITDYHENGSLYDFLKCATLDTRALLKLAYSAACGLCHLHTEIYGTQ
+GKPAIAHRDLKSKNILIKKNGSCCIADLGLAVKFNSDTNEVDVPLNTRVGTKRYMAPEVL
+DESLNKNHFQPYIMADIYSFGLIIWEMARRCITGGIVEEYQLPYYNMVPSDPSYEDMREV
+VCVKRLRPIVSNRWNSDECLRAVLKLMSECWAHNPASRLTALRIKKT
+>O00238_PF01064_30 <unknown description>
+LRCKCHHHCPEDSVNNICSTDGYCFTMIEEDDSGLPVVTSGCLGLEGSDFQCRDTPIPHQ
+RRSIECCTERNECNKD
+>O00238_PF08515_175 <unknown description>
+SLRDLIEQSQSSGSGSGLPLLVQRTIAK
+>O00238_PF07714_204 <unknown description>
+IQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIA
+ADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKSMLKLAYSSVSGLCHLHTEIFSTQ
+GKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISDTNEVDIPPNTRVGTKRYMPPEVL
+DESLNRNHFQSYIMADMYSFGLILWEVARRCVSGGIVEEYQLPYHDLVPSDPSYEDMREI
+VCIKKLRPSFPNRWSSDECLRQMGKLMTECWAHNPASRLTALRVKK
+>Q14692_PF08142_231 <unknown description>
+EIHNLGRFITVMKFRPLTWQTSHPYILADRMEDLTNPEDIRTNIKCDRKVSLYGYLRGAH
+LKNKSQIHMPGVGDFAVSDISFLPDP
+>Q14692_PF04950_817 <unknown description>
+EEESAKKKHLDKKRKLKEMFDAEYDEGESTYFDDLKGEMQKQAQLNRAEFEDQDDEARVQ
+YEGFRPGMYVRIEIENVPCEFVQNFDPHYPIILGGLGNSEGNVGYVQMRLKKHRWYKKIL
+KSRDPIIFSVGWRRFQTIPLYYIEDHNGRQRLLKYTPQHMHCGAAFWGPITPQGTGFLAI
+QSVSGIMPDFRIAATGVVLDLDKSIKIVKKLKLTGFPYKIFKNTSFIKGMFNSALEVAKF
+EGAVIRTVSGIRGQIKKALRAPEGAFRASFEDKLLMSDIVFMRTWYPVS
+>P51813_PF00169_7 <unknown description>
+LEELLLKRSQQKKKMSPNNYKERLFVLTKTNLSYYEYDKMKRGSRKGSIEIKKIRCVEKV
+NLEEQTPVERQYPFQIVYKDGLLYVYASNEESRSQWLKALQKEI
+>P51813_PF00779_119 <unknown description>
+KYHSGFFVDGKFLCCQQSCKAAPGCTLWE
+>P51813_PF00017_296 <unknown description>
+WFAGNISRSQSEQLLRQKGKEGAFMVRNSSQVGMYTVSLFSKAVNDKKGTVKHYHVHTNA
+ENKLYLAENYCFDSIPKLIHYH
+>P51813_PF07714_417 <unknown description>
+ITLLKELGSGQFGVVQLGKWKGQYDVAVKMIKEGSMSEDEFFQEAQTMMKLSHPKLVKFY
+GVCSKEYPIYIVTEYISNGCLLNYLRSHGKGLEPSQLLEMCYDVCEGMAFLESHQFIHRD
+LAARNCLVDRDLCVKVSDFGMTRYVLDDQYVSSVGTKFPVKWSAPEVFHYFKYSSKSDVW
+AFGILMWEVFSLGKQPYDLYDNSQVVLKVSQGHRLYRPHLASDTIYQIMYSCWHELPEKR
+PTFQQLLSS
+>Q7Z5W3_PF06859_158 <unknown description>
+FDIGFCMSITMWIHLNHGDHGLWEFLAHLSSLCHYLLVEPQPWKCYRAAARRLRKLGLHD
+FDHFHSLAIRGDMPNQIVQILTQDHGMELICCFGNTSWDRSLLLFR
+>Q01954_PF12874_358 <unknown description>
+FCTACEKTFYDKGTLKIHYN
+>Q01954_PF12874_929 <unknown description>
+TCHLCQKTYSNKGTFRAHYK
+>Q6ZN30_PF12874_442 <unknown description>
+FCNACGKTFYDKGTLKIHYN
+>Q6ZN30_PF00096_1037 <unknown description>
+CNICHKMYSNKGTLRVHYKTVH
+>O60238_PF06553_31 <unknown description>
+GLNSSWVELPMNSSNGNDNGNGKNGGLEHVPSSSSIHNGDMEKILLDAQHESGQSSSRGS
+SHCDSPSPQEDGQIMFDVEMHTSRDHSSQSEEEVVEGEKEVEALKKSADWVSDWSSRPEN
+IPPKEFHFRHPKRSVSLSMRKSGAMKKGGIFSAEFLKVFIPSLFLSHVLALGLGIYIGKR
+LSTPSAS
+>Q12982_PF12496_50 <unknown description>
+RKKLMAPDISLTLDPSDGSVLSDDLDESGEIDLDGLDTPSENSNEFEWEDDLPKPKTTEV
+IRKGSITEYTAAEEKEDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDG
+>Q12982_PF13716_166 <unknown description>
+AIVVFAVCFMPESSQPNYRYLMDNLFKYVIGTLELLVAENYMIVYLNGATTRRKMPSLGW
+LRKCYQQIDRRLRKNLKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELV
+PMEYVGIPECIKQVD
+>Q12983_PF06553_10 <unknown description>
+QEESLQGSWVELHFSNNGNGGSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDSPPR
+SQTPQDTNRASETDTHSIGEKNSSQSEEDDIERRKEVESILKKNSDWIWDWSSRPENIPP
+KEFLFKHPKRTATLSMRNTSVMKKGGIFSAEFLKVFLPSLLLSHLLAIGLGIYIGRRLTT
+STST
+>P0C671_PF15661_14 <unknown description>
+KKARSLDRPQAPGKGSESWDCHWLSLPTAPSRKALHWTTSDWARHSDSPAPSAEAHCTTA
+AAPTPEETGDFLPSEQRPSQDTKKGWLKTMLNFFVRTGPEEPREKASRRPRGKEGISQHP
+EPLEAAGEPALRKKAHHDKKPSRKKQGHKKHAAEVTKAAQDQEARGREEGLSKAAAALRS
+GEADLGPARRGGEDSDHQSFLIKVDGTGALDVSPHATGHQQEEELKKPDQDAIIQMIVEL
+LKRVGDQWEEEQSLASQLGVALPNPAPAVRKKSQEKKTSLKRTSKTNPKKHGSEEAKRGA
+ADVSSPEAWPPKKSSFLPLCVSGHRPSISSSYGLEEPKVQEAPSTEAGAPGPSVLPTPSE
+SQEPGEELPLDRASEYKEFIQKIISMLQDAEEQQGEEQPQVQQEEVGVENPAPHCRRKSQ
+EKRSSFRRAFYHKKHTSKEPRRAGAAGAASPEARRPKRPSFLPLCVGGHRPSTSSSLDPE
+DLECREPLPAEGEPVVISEAPSQARGHTPEGAPQLSGACESKEIIIQKLVALLQEVDGQL
+GQQIRRHPSFKRFFYEFSDSSLSKLVATLRSQVAHSSKLDRNRARRLYQFDVSLANKFAG
+SNSHAMCILMGLRDHYNCTQFPYREDQPNITSPKVESPD
+>Q7Z465_PF12496_84 <unknown description>
+RKRLSAPELRLSLTKGPGNDGASPTQSAPSSPDGSSDLEIDELETPSDSEQLDSGHEFEW
+EDELPRAEGLGTSETAERLGRGCMWDVTGEDGHHWRVFRMGPREQRVDMTVIEPYKKVLS
+HGGYHGDG
+>Q7Z465_PF13716_214 <unknown description>
+AVILFASCYLPRSSIPNYTYVMEHLFRYMVGTLELLVAENYLLVHLSGGTSRAQVPPLSW
+IRQCYRTLDRRLRKNLRALVVVHATWYVKAFLALLRPFISSKFTRKIRFLDSLGELAQLI
+SLDQVHIPEAVRQLDR
+>Q9BWV1_PF13927_36 <unknown description>
+PQVTVQPASTVQKPGGTVILGCVVEPPRMNVTWRLNGKELNGSDDALGVLITHGTLVITA
+LNNHTVGRYQCVAR
+>Q9BWV1_PF00047_137 <unknown description>
+VIEVDEGNTAVIACHLPESHPKAQVRYSVKQEWLEASRGNYLIMPSGNLQIVNASQEDEG
+MYKCAAYNPVTQ
+>Q9BWV1_PF13927_231 <unknown description>
+IIYPPEAQTIIVTKGQSLILECVASGIPPPRVTWAKDGSSVTGYNKTRFLLSNLLIDTTS
+EEDSGTYRCMADN
+>Q9BWV1_PF07679_323 <unknown description>
+PEVTMELSQLVIPWGQSAKLTCEVRGNPPPSVLWLRNAVPLISSQRLRLSRRALRVLSMG
+PEDEGVYQCMAENEVGSAHAVVQL
+>Q9BWV1_PF16625_409 <unknown description>
+SRPSITPRLWQDAELATGTPPVSPSKLGNPEQMLRGQPALPRPPTSVGPASPQCPGEKGQ
+GAPAE
+>Q9BWV1_PF00041_485 <unknown description>
+KTDSYELVWRPRHEGSGRAPILYYVVKHRKQVTNSSDDWTISGIPANQHRLTLTRLDPGS
+LYEVEMAAYNCAGEGQ
+>Q9BWV1_PF00041_609 <unknown description>
+APDRPTISTASETSVYVTWIPRGNGGFPIQSFRVEYKKLKKVGDWILATSAIPPSRLSVE
+ITGLEKGTSYKFRVRALNMLGESEPS
+>Q9BWV1_PF00041_720 <unknown description>
+TFTDAVNETTIMLKWMYIPASNNNTPIHGFYIYYRPTDSDNDSDYKKDMVEGDKYWHSIS
+HLQPETSYDIKMQCFNEGGESEFS
+>Q96IK1_PF05205_55 <unknown description>
+IVEQLKSRGLFDSFRRDCLADVDTKPAYQNLRQKVDNFVSTHLDKQEWNPTMNKNQLRNG
+LRQSVVQSGMLEAGVDRIISQVVDPKLNHIFRPQIE
+>O75936_PF06155_15 <unknown description>
+HLMQILWYDEEESLYPAVWLRDNCPCSDCYLDSAKARKLLVEALDVNIGIKGLIFDRKKV
+YITWPDEHYSEFQADWL
+>O75936_PF02668_116 <unknown description>
+WGSELQLPTLDFEDVLRYDEHAYKWLSTLKKVGIVRLTGASDKPGEVSKLGKRMGFLYLT
+FYGHTWQVQDKIDANNVAYTTGKLSFHTDYPALHHPPGVQLLHCIKQTVTGGDSEIVDGF
+NVCQKLKKNNPQAFQILSSTFVDFTDIGVDYCDFSVQSKHKIIELDDKGQVVRINFNNAT
+RDTIFDVPVERVQPFYAALKEFVDLMNSKESKFTFKMNPGDVITFDNWRLLHGRRSYEAG
+TEISRHLEGAY
+>Q9UMX3_PF00452_70 <unknown description>
+LLRLGDELEMIRPSVYRNVARQLHISLQSEPVVTDAFLAVAGHIFSAGITWGKVVSLYAV
+AAGLAVDCVRQAQPAMVHALVDCLGEFVRKTLATWLRRRGGW
+>Q9Y3E2_PF01722_40 <unknown description>
+LEEALSPEVLELRNESGGHAVPPGSETHFRVAVVSSRFEGLSPLQRHRLVHAALAEELGG
+PVHALAIQARTPAQ
+>Q9H3K6_PF01722_13 <unknown description>
+QRDLEAEHVEVEDTTLNRCSCSFRVLVVSAKFEGKPLLQRHRLVNACLAEELPHIHAFEQ
+KTLTPDQ
+>Q53S33_PF01722_57 <unknown description>
+GGCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEEIKEMHGLRIFTSVP
+>Q8N9W6_PF00076_35 <unknown description>
+IFVGGIDFKTNESDLRKFFSQYGSVKEVKIVNDRAGVSKGYGFVTFETQEDAQKILQEAE
+KLNYKDKKL
+>Q14137_PF08145_144 <unknown description>
+YDDFPHVGYDLDGRRIYKPLRTRDELDQFLDKMDDPDYWRTVQDPMTGRDLRLTDEQVAL
+VRRLQSGQFGDVGFNPYEPAVDFFSGDVMIHPVTNRPADKRSFIPSLVEKEKVSRMVHAI
+KMGWIQPRRPRDPTPSFYDLWAQEDPNAVLGRHKMHVPAPKLALPGHAESYNPPPEYLLS
+EEERLAWEQQEPGERKLSFLPRKFPSLRAVPAYGRFIQERFERCLDLYLCPRQRKMRVNV
+DPEDLIPKLPRPRDLQPFP
+>Q14137_PF00400_408 <unknown description>
+VYRGHSDLVRCLSVSPGGQWLVSGSDDGSLRLW
+>Q14137_PF00400_655 <unknown description>
+PYRMLRHHKKALRAVAFHPRYPLFASGSDDGSVI
+>Q14137_PF00400_706 <unknown description>
+PVKVLKGHVLTRDLGVLDVIFHPTQPWVFSSGADGTVRLF
+>Q7L3V2_PF16297_95 <unknown description>
+DFCWVPGSDPGTFDGSPWLLDRFLAQLGDYMSFHFEHYQDNISRVCEILRRLTGRAQAWA
+APYLDGDLPLPDDYELFCQDLKEV
+>Q6PGQ7_PF15280_7 <unknown description>
+SKMQITPETPGRIPVLNPFESPSDYSNLHEQTLASPSVFKSTKLPTPGKFRWSIDQLAVI
+NPVEIDPEDIHRQALYLSHSRIDKDVEDKRQKAIEEFFTKDVIVPSPWTDHEGKQLSQCH
+SSKCTNINSDSPVGKKLTIHSEKSDAACQTLLSLPVDFNLENILGDYFRADEFADQSPGN
+LSSSSLRRKLFLDGNGSISD
+>Q969J3_PF10158_61 <unknown description>
+TFQPLLKGLLSGQTSPTNAKLEKLDSQQVLQLCLRYQDHLHQCAEAVAFDQNALVKRIKE
+MDLSVETLFSFMQERQKRYAKYAEQIQKVNEMSAILRRIQMGIDQTVPLLDRLNSMLPEG
+ERLEPFSMKPD
+>Q96GS4_PF10157_210 <unknown description>
+HGDLTHFVANNLQLKIRLSGAPPPPPSAPARPCPAPAPTPTPAIPPIDPEVLRDLERLSR
+ELGGRVDRLLRGLGGAVQELTALSVGCIQTYRDAVDSLGEAVDMSIKGMYTLLARCEELE
+RALQPVQGLARQVRDIRRTLEVLEA
+>Q96B45_PF16088_1 <unknown description>
+MMATGTPESQARFGQSVKGLLTEKVTTCGTDVIALTKQVLKGSRSSELLGQAARNMVLQE
+DAILHSEDSLRKMAIITTHLQYQQEAIQKNVEQSSDLQDQLNH
+>Q96FH0_PF10167_4 <unknown description>
+PEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQSQGA
+IYTVEYACSAVKNLVDSSVYFRSVEGLLKQAISIRDHMNASAQGH
+>Q53HL2_PF10444_20 <unknown description>
+KLASFLKDFDREVEIRIKQIESDRQNLLKEVDNLYNIEILRLPKALREMNWLDYFA
+>Q53HL2_PF10512_163 <unknown description>
+RSSRANTVTPAVGRLEVSMVKPTPGLTPRFDSRVFKTPGLRTPAAGERIYNISGNGSPLA
+DSKEIFLTVPVGGGESLRLLASDLQRHSIAQLDPEALGNIKKLSNRLAQICS
+>O14613_PF00786_29 <unknown description>
+MISPPLGDFRHTIHIGSGGGSDMFGDISFLQGKFHLLPGTMVEGPEEDGTFDLPFQFT
+>O14613_PF14957_103 <unknown description>
+LLKNAISLPVIGGPQALTLPTAQAPPKPPRLHLETPQPSPQ
+>O14613_PF14957_166 <unknown description>
+ESGAEEPFLSNASSLLSLHVDLGPSILDDVLQIMDQ
+>Q9UKI2_PF00786_30 <unknown description>
+MISPPLGDFRHTIHIGKEGQHDVFGDISFLQGNYELLPGNQEKAHLGQFPGHNEF
+>Q9UKI2_PF14957_102 <unknown description>
+VLKNAISLPTIGGSQALMLPLLSPVTFNSKQESFGPAKLPRLSCEPVMEEKAQEKSSLLE
+NGTVHQGDTSWGSSGSASQSSQGRDSHSSSLSEQYPDWPAEDMFDHPTPCELIKGKTKSE
+ESLSDLTGSLLSLQLDLGPSLLDEVLNVMDKN
+>Q6NZY7_PF00786_23 <unknown description>
+ISAPLGDFRHTLHVGRGGDAFGDTSFL
+>Q6NZY7_PF14957_78 <unknown description>
+QSAAPSPADPLLSFHLDLGPSMLDAVLGVMDA
+>Q9H3Q1_PF00786_26 <unknown description>
+MISAPLGDFRHTMHVGRAGDAFGDTSFLNSKAGEPDGESLDEQPSSSSSKRSLLSRKFR
+>Q9H3Q1_PF14957_112 <unknown description>
+FVKNAMSLPQLNEKEAAEKGTSKLPKSLSSSPVKKANDGEGGDEEAGTEEAVPRRNGAAG
+PHSPDPLLDEQAFGDLTDLPVVPKATYGLKHAESIMSFHIDLGPSMLGDVLSIMDKE
+>Q00587_PF00786_37 <unknown description>
+MISHPLGDFRHTMHVGRGGDVFGDTSFL
+>Q00587_PF14957_181 <unknown description>
+FPSEPGLRRSDSLLSFRLDLDLGPSLLSELLGVMS
+>Q86WA6_PF00561_62 <unknown description>
+AVLLLPGMLGSGETDFGPQLKNLNKKLFTVVAWDPRGYGHSRPPDRDFPADFFERDAKDA
+VDLMKALKFKKVSLLGWSDGGITALIAAAKYPSYIHKMVIWGANAYVTDEDSMIYEGIR
+>Q9NP55_PF01273_58 <unknown description>
+GLLSGGLLGILENLPLLDILKPGGGTSGGLLGGLLGKVTSVIPGLNNIIDIKVTDPQLLE
+LGLVQSPDGHRLYVTIPLGIKLQVNTPLVGASLLRLAVKLDITAEILAVRDKQERIHLVL
+GDCTHSPGSLQISLLDGLGPLPIQGLLDSLTGILNKVLPELVQGNVCPLVNEVLRG
+>Q96DR5_PF01273_62 <unknown description>
+LGVLQKSSAWQLAKQKAQEAEKLLNNVISKLLPTNTDIFGLKISNSLILDVKAEPIDDGK
+GLNLSFPVTANVTVAGPIIGQIINLKASLDLLTAVTIETDPQTHQPVAVLGECASDPTSI
+SLSLLDKHSQIINKFVNSVINTLKSTVSSLLQKEICPLIRIFIHSL
+>Q9BQP9_PF01273_52 <unknown description>
+NAESRIQNIHFGDRLNASAQVAPGLVGWLISGRKHQQQQESSINITNIQLDCGGIQISFH
+KEWFSANISLEFDLELRPSFDNNIVKMCAHMSIVVEFWLEKDEFGRRDLVIGKCDAEPSS
+VHVAILTEAIPPKMNQFLYNLKENLQKVLPHMVESQVCPLIGEILGQL
+>Q8TDL5_PF01273_44 <unknown description>
+LKDHNATSILQQLPLLSAMREKPAGGIPVLGSLVNTVLKHIIWLKVITANILQLQVKPSA
+NDQELLVKIPLDMVAGFNTPLVKTIVEFHMTTEAQATIRMDTSASGPTRLVLSDCATSHG
+SLRIQLLHKLSFLVNALAKQVMNLLVPSLPNLVKNQLCPVIEASFNG
+>Q8TDL5_PF02886_362 <unknown description>
+VLEVFPSSEALRPLFTLGIEASSEAQFYTKGDQLILNLNNISSDRIQLMNSGIGWFQPDV
+LKNIITEIIHSILLPNQNGKLRSGVPVSLVKALGFEA
+>Q8N4F0_PF01273_33 <unknown description>
+ALSYVSEIGKAPLQRALQVTVPHFLDWSGEALQPTRIRILNVHVPRLHLKFIAGFGVRLL
+AAANFTFKVFRAPEPLELTLPVELLADTRVTQSSIRTPVVSISACSLFSGHANEFDGSNS
+TSHALLVLVQKHIKAVLSNKLCLSISNLVQ
+>Q8N4F0_PF02886_250 <unknown description>
+TEGSMATVGLSQQLFDSALLLLQKAGALNLDITGQLRSDDNLLNTSALGRLIPEVARQFP
+EPMPVVLKVRLGATPVAMLHTNNATLRLQPFVEVLATASNSAFQSLFSLDVVVNLRLQLS
+VSKVKLQGTTSVLGDVQLTVASSNVGFIDTDQVRTLMGTVFEKPLLDHLNALLAMGIALP
+GVVNLHYVAPEIFVYEGYVVIS
+>P59826_PF01273_35 <unknown description>
+LGKAIQNSLVGEPILQNVLGSVTAVNRGLLGSGGLLGGGGLLGHGGVFGVVEELSGLKIE
+ELTLPKVLLKLLPGFGVQLSLHTKVGMHCSGPLGGLLQLAAEVNVTSRVALAVSSRGTPI
+LILKRCSTLLGHISLFSGLLPTPLFGVVEQMLFKVLPGLLCPVVDSVLG
+>P59826_PF02886_265 <unknown description>
+KVPPKKDHTSQVMVPLYLFNTTFGLLQTNGALDMDITPELVPSDVPLTTTDLAALLPEAL
+GKLPLHQQLLLFLRVREAPTVTLHNKKALVSLPANIHVLFYVPKGTPESLFELNSVMTVR
+AQLAPSATKLHISLSLERLSVKVASSFTHAFDGSRLEEWLSHVVGAVYAPKLNVALDVGI
+PLPKVLNINFSNSVLEIVENAV
+>P59827_PF01273_211 <unknown description>
+VLGEGGILSTVQGITGLRIVELTLPRVSVRLLPGVGVYLSLYTRVAINGKSLIGFLDIAV
+EVNITAKVRLTMDRTGYPRLVIERCDTLLGGIKVKLLRGLLPNLVDNLVNRVLADVLPDL
+LCPIVDVVLG
+>P59827_PF02886_419 <unknown description>
+QLAMSANFLGSVLTLLQKQHALDLDITNGMFEELPPLTTATLGALIPKVFQQYPESCPLI
+IRIQVLNPPSVMLQKDKALVKVLATAEVMVSQPKDLETTICLIDVDTEFLASFSTEGDKL
+MIDAKLEKTSLNLRTSNVGNFDIGLMEVLVEKIFDLAFMPAMNAVLGSGVPLPKILNIDF
+SNADIDVLEDLLVLSA
+>Q8NFQ5_PF01273_22 <unknown description>
+ALLRLGMDIMNQVQSAMDESHILEKMAAEAGKKQPGMKPIKGITNLKVKDVQLPVITLNF
+VPGVGIFQCVSTGMTVTGKSFMGGNMEIIVALNITATNRLLRDEETGLPVFKSEGCEVIL
+VNVKTNLPSNMLPKMVNKFLDSTLHKVLPGLMCPAIDAVLV
+>Q8NFQ5_PF02886_271 <unknown description>
+QKSFHVNIQDTMIGELPPQTTKTLARFIPEVAVAYPKSKPLTTQIKIKKPPKVTMKTGKS
+LLHLHSTLEMFAARWRSKAPMSLFLLEVHFNLKVQYSVHENQLQMATSLDRLLSLSRKSS
+SIGNFNERELTGFITSYLEEAYIPVVNDVLQVGLPLPDFLAMNYNLAELDIVENALML
+>Q8NFQ6_PF01273_38 <unknown description>
+ALDYGVQAGMKMIEQMLKEKKLPDLSGSESLEFLKVDYVNYNFSNIKISAFSFPNTSLAF
+VPGVGIKALTNHGTANISTDWGFESPLFQDTGGADLFLSGVYFTGIIILTRNDFGHPTLK
+LQDCYAQLSHAHVSFSGELSVLYNSFAEPMEKPILKNLNEMLCPIIASEVK
+>Q8NFQ6_PF02886_243 <unknown description>
+YLDLNLKGVFYPLENLTDPPFSPVPFVLPERSNSMLYIGIAEYFFKSASFAHFTAGVFNV
+TLSTEEISNHFVQNSQGLGNVLSRIAEIYILSQPFMVRIMATEPPIINLQPGNFTLDIPA
+SIMMLTQPKNSTVETIVSMDFVASTSVGLVILGQRLVCSLSLNRFRLALPESNRSNIEVL
+RFENILSSILHFGVLPLANAKLQQGFPLSNPHKFLFVNSDIEVLEGFLLISTDLKY
+>P50747_PF09825_459 <unknown description>
+KDRMIVHVPFGTRGGEAVLCQVHLELPPSSNIVQTPEDFNLLKSSNFRRYEVLREILTTL
+GLSCDMKQVPALTPLYLLSAAEEIRDPLMQWLGKHVDSEGEIKS
+>P50747_PF03099_619 <unknown description>
+AEVTPTTMRLLDGLMFQTPQEMGLIVIAARQTEGKGRGGNVWLSPVGCALSTLLISIPLR
+SQLGQRIPFVQHLMSVAVVEAVRSIPEYQDINLRVKWPNDIYYSDLMKIGGVLVNSTLMG
+ETFYILIGCGFN
+>P50747_PF02237_816 <unknown description>
+HSGQQVHLGSAEGPKVSIVGLDDSGFLQVHQEGGEVVTVHPDGNSFDM
+>O95861_PF00459_13 <unknown description>
+ASAYSIAQKAGMIVRRVIAEGDLGIVEKTCATDLQTKADRLAQMSICSSLARKFPKLTII
+GEEDLPSEEVDQELIEDSQWEEILKQPCPSQYSAIKEEDLVVWVDPLDGTKEYTEGLLDN
+VTVLIGIAYEGKAIAGVINQPYYNYEAGPDAVLGRTIWGVLGLGAFGFQLKEVPAGKHII
+TTTRSHSNKLVTDCVAAMNPDAVLRVGGAGNKIIQLIEGKASAYVFASPGCKKWDTCAPE
+VILHAVGGKLTDIHGNVLQYHKDVKHMNSAGVLATLRNYDYYASRVPES
+>Q12830_PF02791_241 <unknown description>
+EHIMNVIAIYEVLRNFGTVLRLSPFRFEDFCAALVSQEQCTLMAEMHVVLLKAVLRE
+>Q12830_PF00628_393 <unknown description>
+CRVCHKLGDLLCCETCSAVYHLECVKPPLEEVPEDEWQCEVCV
+>Q12830_PF15613_456 <unknown description>
+IRHEPIGYDRSRRKYWFLNRRLIIEEDTENENEKKIWYYSTKVQLAELIDCLDKDYWEAE
+LCKILEE
+>Q12830_PF00628_2743 <unknown description>
+YCICKTPYDESKFYIGCDRCQNWYHGRCVGILQSEAELIDEYVCPQCQS
+>Q12830_PF00439_2811 <unknown description>
+KRVLRSLQAHKMAWPFLEPVDPNDAPDYYGVIKEPMDLATMEERVQRRYYEKLTEFVADM
+TKIFDNCRYYNPSDSPFYQCAE
+>P15056_PF02196_157 <unknown description>
+VRVFLPNKQRTVVPARCGVTVRDSLKKALMMRGLIPECCAVYRIQDGEKKPIGWDTDISW
+LTGEELHVE
+>P15056_PF00130_235 <unknown description>
+HNFVRKTFFTLAFCDFCRKLLFQGFRCQTCGYKFHQRCSTEVPLMC
+>P15056_PF07714_458 <unknown description>
+TVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQLQAFKNEVGVLRKTRHVNILLFM
+GYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLIDIARQTAQGMDYLHAKSIIHRDL
+KSNNIFLHEDLTVKIGDFGLATVKSRWSGSHQFEQLSGSILWMAPEVIRMQDKNPYSFQS
+DVYAFGIVLYELMTGQLPYSNINNRDQIIFMVGRGYLSPDLSKVRSNCPKAMKRLMAECL
+KKKRDERPLFPQILA
+>Q7Z569_PF07576_155 <unknown description>
+EDVRRSAMLCILTVPAAMTSHDLMKFVAPFNEVIEQMKIIRDSTPNQYMVLIKFRAQADA
+DSFYMTCNGRQFNSIEDDVCQLVYVERAEVLKSEDG
+>Q7Z569_PF13639_263 <unknown description>
+KCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTCPVCR
+>Q7Z569_PF02148_317 <unknown description>
+CFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQLTNHRVWDYAGDNYVHR
+>Q6PJG6_PF02985_501 <unknown description>
+LFPVLQKRLCHPCWEVRDSALEFLTQLSRH
+>Q6PJG6_PF02985_547 <unknown description>
+PQLALQLLQDPESYVRASAVTAMGQLSS
+>P38398_PF00097_24 <unknown description>
+CPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQCPLC
+>P38398_PF12820_345 <unknown description>
+DPLCERKEWNKQKLPCSENPRDTEDVPWITLNSSIQKVNEWFSRSDELLGSDDSHDGESE
+SNAKVADVLDVLNEVDEYSGSSEKIDLLASDPHEALICKSERVHSKSVESNIEDKIFGKT
+YRKKASLPNLSHVTENLIIGAFVTEPQIIQERPLTNKLKRKRR
+>P38398_PF00533_1658 <unknown description>
+TPEEFMLVYKFARKHHITLTNLITEETTHVVMKTDAEFVCERTLKYFLGIAGGKWVVSYF
+WVTQSI
+>P38398_PF00533_1757 <unknown description>
+DRKIFRGLEICCYGPFTNMPTDQLEWMVQLCGASVVKELSSFTLGTGVHPIVVVQPDAWT
+EDNGFHAIGQMCEAPVVTREWVLDS
+>P51587_PF00634_1005 <unknown description>
+FGGSFRTASNKEIKLSEHNIKKSKMFFKD
+>P51587_PF00634_1216 <unknown description>
+FRGFYSAHGTKLNVSTEALQKAVKLFSD
+>P51587_PF00634_1424 <unknown description>
+SDTFFQTASGKNISVAKESFNKIVNFFDQ
+>P51587_PF00634_1522 <unknown description>
+LGFHTASGKKVKIAKESLDKVKNLFDE
+>P51587_PF00634_1667 <unknown description>
+SALAFYTSCSRKTSVSQTSLLEAKKWLRE
+>P51587_PF00634_1975 <unknown description>
+CGIFSTASGKSVQVSDASLQNARQVFSE
+>P51587_PF00634_2055 <unknown description>
+FSGFSTASGKQVSILESSLHKVKGVLEE
+>P51587_PF09169_2482 <unknown description>
+TSLQNARDIQDMRIKKKQRQRVFPQPGSLYLAKTSTLPRISLKAAVGGQVPSACSHKQLY
+TYGVSKHCIKINSKNAESFQFHTEDYFGKESLWTGKGIQLADGGWLIPSNDGKAGKEEFY
+RALCDTPGVDPKLISRIWVYNHYRWIIWKLAAMECAFPKEFANRCLSPERVLLQLKYRYD
+TEIDRS
+>P51587_PF09103_2670 <unknown description>
+SAIKKIMERDDTAAKTLVLCVSDIISLSANISETSSNKTSSADTQKVAIIELTDGWYAVK
+AQLDPPLLAVLKNGRLTVGQKIILHGAELVGSPDACTPLEAPESLMLKISANSTRPARWY
+TKLGFF
+>P51587_PF09121_2831 <unknown description>
+MEKTSSGLYIFRNEREEEKEAAKYVEAQQKRLEALFTKIQEE
+>P51587_PF09104_3052 <unknown description>
+REPLHFSKFLDPDFQPSCSEVDLIGFVVSVVKKTGLAPFVYLSDECYNLLAIKFWIDLNE
+DIIKPHMLIAASNLQWRPESKSGLLTLFAGDFSVFSASPKEGHFQETFNKMKNTVENIDI
+LCNEAENKLMHILH
+>P46736_PF01398_7 <unknown description>
+QAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDTRSDSKFAYTGTEMRTVAEKV
+DAVRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGWYHSHPH
+ITVWPSHVDVRTQAMYQMMDQG
+>P46736_PF18110_203 <unknown description>
+CLESAVELPKILCQEEQDAYRRIHSLTHLDSVTKIHNGSVFTKNLCSQMSAVSGPLLQWL
+EDRLEQNQQHLQELQQEKEEL
+>O95696_PF10513_47 <unknown description>
+RLHRISIFDPLEIILEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEALPS
+AHGTPASASALPEPKVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWL
+EIVNEKRKGDCVPAVSQSMFEFLMDRFEKE
+>O95696_PF13831_229 <unknown description>
+NVILFCDMCNLAVHQECYGVPYIPEGQWLCRHC
+>O95696_PF13832_270 <unknown description>
+DCVLCPNKGGAFKKTDDDRWGHVVCALWIPEVGFANTVFIEPIDGVRNIPPARWKLTCYL
+CKQKGVGACIQCHKANCYTAFHVTCAQKAGLYMKMEPVKELTGGGTTFSVRKTAYCDVH
+>O95696_PF00439_572 <unknown description>
+RSVLDQLQDKDPARIFAQPVSLKEVPDYLDHIKHPMDFATMRKRLEAQGYKNLHEFEEDF
+DLIIDNCMKYNARDTVFYRAA
+>O95696_PF00855_1062 <unknown description>
+KVVWAKCSGYPSYPALIIDPKMPRVPGHHNGVTIPAPPLDVLKIGEHMQTKSDEKLFLVL
+FFDNKRSWQWLPKSKMVPLGIDETIDKLKMMEGRNSSIRKAVRIAF
+>P25440_PF00439_86 <unknown description>
+VMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPMDMGTIKRRLENNYYWAASECMQDF
+NTMFTNCYIYNKPTDDIVLMA
+>P25440_PF00439_353 <unknown description>
+CNGILKELLSKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQ
+EFAADVRLMFSNCYKYNPPDHDVVAMAR
+>P25440_PF17035_641 <unknown description>
+PMSYDEKRQLSLDINKLPGEKLGRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELE
+RYV
+>Q15059_PF00439_46 <unknown description>
+VVKTLWKHQFAWPFYQPVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDF
+NTMFTNCYIYNKPTDDIVLMA
+>Q15059_PF00439_315 <unknown description>
+CDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTVKRKMDGREYPDAQ
+GFAADVRLMFSNCYKYNPPDHEVVAMAR
+>Q15059_PF17035_571 <unknown description>
+PMSYDEKRQLSLDINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELE
+RYVKS
+>O60885_PF00439_70 <unknown description>
+VLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYWNAQECIQDF
+NTMFTNCYIYNKPGDDIVLMA
+>O60885_PF00439_357 <unknown description>
+CSGILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLEAREYRDAQ
+EFGADVRLMFSNCYKYNPPDHEVVAMAR
+>O60885_PF17035_609 <unknown description>
+PMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETLKPSTLRELE
+RYVT
+>O60885_PF17105_1319 <unknown description>
+SMLDQQRELARKREQERRRREAMAATIDMNFQSDLLSIFEENLF
+>Q9NPI1_PF00439_140 <unknown description>
+LNQLMRQLQRKDPSAFFSFPVTDFIAPGYSMIIKHPMDFSTMKEKIKNNDYQSIEELKDN
+FKLMCTNAMIYNKPETIYYKAAKK
+>Q9NPI1_PF12024_299 <unknown description>
+KDMLEDKFKSNNLEREQEQLDRIVKESGGKLTRRLVNSQCEFERRKPDGTTTLGLLHPVD
+PIVGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNKVTPVLYLNYGPYSSYAPHYDSTF
+ANISKDDSDLIYSTYGEDSDLPSDFSIHEFLATCQDYPYVMADSLLDVLTKGGHSRTLQE
+MEM
+>Q9H0E9_PF00439_724 <unknown description>
+ANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIRSTAEFQRDIMLMFQNA
+VMYNSSDHDVYHMAV
+>Q9H0E9_PF00439_1116 <unknown description>
+WKMIASHRFSSPFLKPVSERQAPGYKDVVKRPMDLTSLKRNLSKGRIRTMAQFLRDLMLM
+FQNAVMYNDSDHHVYHMA
+>Q9H8M2_PF00439_146 <unknown description>
+EHFLRQLQRKDPHGFFAFPVTDAIAPGYSMIIKHPMDFGTMKDKIVANEYKSVTEFKADF
+KLMCDNAMTYNRPDTVYYKLAKK
+>Q9H8M2_PF12024_287 <unknown description>
+ACSLTDSTAEEHVLALVEHAADEARDRINRFLPGGKMGYLKRNGDGSLLYSVVNTAEPDA
+DEEETHPVDLSSLSSKLLPGFTTLGFKDERRNKVTFLSSATTALSMQNNSVFGDLKSDEM
+ELLYSAYGDETGVQCALSLQEFVKDAGSYSKKVVDDLLDQITGGDHSRTLFQLKQRRN
+>Q58F21_PF00439_39 <unknown description>
+VLKDLWKHSFSWPFQRPVDAVKLQLPDYYTIIKNPMDLNTIKKRLENKYYAKASECIEDF
+NTMFSNCYLYNKPGDDIVLMA
+>Q58F21_PF00439_276 <unknown description>
+CSEILKEMLAKKHFSYAWPFYNPVDVNALGLHNYYDVVKNPMDLGTIKEKMDNQEYKDAY
+KFAADVRLMFMNCYKYNPPDHEVVTMA
+>Q58F21_PF17035_509 <unknown description>
+PMNYDEKRQLSLNINKLPGDKLGRVVHIIQSREPSLSNSNPDEIEIDFETLKASTLRELE
+KYVS
+>Q58F21_PF17105_906 <unknown description>
+LLKDRDLARQKEQERRRREAMVGTIDMTLQSDIMTMFENNFD
+>Q5VTR2_PF00097_922 <unknown description>
+CPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKC
+>O75150_PF00097_948 <unknown description>
+CPCCNTRKKDAVLTKCFHVFCFECVRGRYEARQRKCPKC
+>Q9HAW0_PF08271_6 <unknown description>
+RCPDCGSTELVEDSHYSQSQLVCSDCGCVVTE
+>Q8WY22_PF14965_50 <unknown description>
+VNTFSQSVSSLFGEDNVRAAQKFLARLTERFVLGVDMFVETLWKVWTELLDVLGLDVSNL
+SQYFSPASVSSSPARALLLVGVVLLAYWFLSLTLGFTFSVLHVVFGRFFWIVRVVLFSMS
+CVYILHKYEGEPENAVLPLCFVVAVYFMTGPMGFYWRSSPSGPSNPSNPSVEEKLEHLEK
+QVRLLNIRL
+>O95415_PF10164_28 <unknown description>
+HGYGAIPAAPPPPPYPYLVTGIPTHHPRVYNIHSRTVTRYPANSIVVVGGCPVCRVGVLE
+DCFTFLGIFLAIILFPFGFICCFALRKRRCPNCGATF
+>Q6PL45_PF04089_100 <unknown description>
+SNHSWAVLFDGQSGCICYRPEEHQVCFLRLMEDSDRETLRLLVDTSKVQEAWVPSQDTHH
+TQELLAVQGSLEVDPAQAGALVQRLCMRTPIYW
+>Q5PSV4_PF08598_62 <unknown description>
+LDEMSNLEKQFTDLKDQLYKERLSQVDAKLQEVIAGKAPEYLEPLATLQENMQIRTKVAG
+IYRELCLESVKNKYECEIQASRQHCESEKLLLYDTVQSELEEKIRRLEEDRHSIDITSEL
+WNDELQSR
+>Q0VDD7_PF15710_1 <unknown description>
+MTKRKKLRTSGEGLCPPKPLKNPRLGDFYGDPQSSMLGCLHHPEEPEGKLGPVPSTQQHG
+EEPGKAVSSSPDEETGSPCRLLRQPEKEPAPLPPSQNSFGRFVPQFAKSRKTVTRKEEMK
+DEDRGSGAFSLETIAESSAQSPGCQLLVETLGVPLQEATELGDPTQADSARPEQSSQSPV
+QAVPGSGDSQPDDPPDRGTGLSASQRASQDHLSEQGADDSKPETDRVPGDGGQKEHLPSI
+DSEGEKPDRGAPQEGGAQRTAGAGLPGGPQEEGDGVPCTPASAPTSGPAPGLGPASWCLE
+PGSVAQGSPDPQQTPSRMGREGEGTHSSLGCSSLGMVVIADLSTDPTELEERALEVAGPD
+GQASAISPASPRRKAADGGHRRALPGCTSLTGETTGESGEAGQDGKPPGDVLVGPTASLA
+LAPGSGESMMGAGDSGHASPDTGPCVNQKQEPGPAQEEAELGGQNLERDLEGFRVSPQAS
+VVLEHREIADDPLQEPGAQQGIPDTTSELAGQRDHLPHSADQGTWADSLAVELDFLLDSQ
+IQDALDASDFEAPPEQLFPSGNKPGPCWPGPSSHANGDPVAVAKAQPRTFVGIQASEASR
+MEDATNVVRGLIVELSNLNRLIMGTHRDLEAFKRLNYRKTKLGGKAPLPYPSKGPGNIPR
+GDPPWREL
+>Q9HCU9_PF08598_61 <unknown description>
+VSEMLDLEKQFSELKEKLFRERLSQLRLRLEEVGAERAPEYTEPLGGLQRSLKIRIQVAG
+IYKGFCLDVIRNKYECELQGAKQHLESEKLLLYDTLQGELQERIQRLEEDRQSLDLSSEW
+>O60477_PF01823_94 <unknown description>
+PVPLMPEFQRSIRLLGRRPTTQQFIDTIIKKYGTHLLISATLGGEEALTMYMDKSRLDRK
+SGNATQ
+>O60477_PF19052_306 <unknown description>
+NSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQNRYKLLQSATEAQRQKIQRTARKLFG
+LSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTTLCQRP
+IPCVIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETDLDFQD
+LELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRMSLTLKSNKNRMDFIHMVIGMS
+MRICQMRNSSLDPMFFVYVNPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNWTLLLG
+NRWKTFFETVHIYLRSRTRLPTLLRNETGQGPVDLSDPSKRQFYIKISDVQVFGYSLRFN
+ADLLRSAVQQVNQSYTQGGQFYSSSSVMLLLLDIRDRINRLAPPVAPGKPQLDLFSCMLK
+HRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC
+>Q9C0B6_PF01823_93 <unknown description>
+ARWKVNNLALERKDFFSLPLPLAPEFIRNIRLLGRRPNLQQVTENLIKKYGTHFLLSATL
+GGEESLTIFVDKQKLGRKTET
+>Q9C0B6_PF19052_336 <unknown description>
+ESEEFQALLKRLPDDRFLNSTAISQFWAMDTSLQHRYQQLGAGLKVLFKKTHRILRRLFN
+LCKRCHRQPRFRLPKERSLSYWWNRIQSLLYCGESTFPGTFLEQSHSCTCPYDQSSCQGP
+IPCALGEGPACAHCAPDNSTRCGSCNPGYVLAQGLCRPEVAESLENFLGLETDLQDLELK
+YLLQKQDSRIEVHSIFISNDMRLGSWFDPSWRKRMLLTLKSNKYKPGLVHVMLALSLQIC
+LTKNSTLEPVMAIYVNPFGGSHSESWFMPVNEGSFPDWERTNVDAAAQCQNWTITLGNRW
+KTFFETVHVYLRSRIKSLDDSSNETIYYEPLEMTDPSKNLGYMKINTLQVFGYSLPFDPD
+AIRDLILQLDYPYTQGSQDSALLQLIELRDRVNQLSPPGKVRLDLFSCLLRHRLKLANNE
+VGRIQSSLRAFNSKLPNPVEYETGKLCS
+>Q76B58_PF01823_53 <unknown description>
+PFHRSQEYTDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIR
+LLGRRPTLQQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRAEGSDSTTNSS
+>Q76B58_PF19052_319 <unknown description>
+ESDEFKLFMKRLPMNYFLNTSTIMHLWTMDSNFQRRYEQLENSMKQLFLKAQKIVHKLFS
+LSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFSEETHSCTCPNDQVVCTAF
+LPCTVGDASACLTCAPDNRTRCGTCNTGYMLSQGLCKPEVAESTDHYIGFETDLQDLEMK
+YLLQKTDRRIEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSNKYKSSLVHMILGLSLQIC
+LTKNSTLEPVLAVYVNPFGGSHSESWFMPVNENSFPDWERTKLDLPLQCYNWTLTLGNKW
+KTFFETVHIYLRSRIKSNGPNGNESIYYEPLEFIDPSRNLGYMKINNIQVFGYSMHFDPE
+AIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRRLDLFSCLLRHRLKLSTSE
+VVRIQSALQAFNAKLPNTMDYDTTKLCS
+>Q96NH3_PF14961_12 <unknown description>
+LQAMLRRLFQSVKEKITGAPSLECAEEILLHLEETDENFHNYEFVKYLRQHIGNTLGSMI
+EEEMEKCTSDRNQGEECGYDTVVQQVTKRTQESKEYKEMMHYLKNIMIAVVESMINKFEE
+DETRNQERQKKIQKEKSHSYRTDNCSDSDSSLNQSYKFCQGKLQLILDQLDPGQPKEVRY
+EALQTLCSAPPSDVLNCENWTTLCEKLTVSLSDPDPVFSDRILKFCAQTFLLSPLHMTKE
+IYTSLAKYLESYFLSRENHIPTLSAGVDITNPNMTRLLKKVRLLNEYQKEAPSFWIRHPE
+KYMEEIVESTLSLLTVKHNQSHVVSQKILDPIYFFALVDTKAVWFKKWMHAHYSRTTVLR
+LLETKYKSLVTTAIQQCVQYFEMCKTRKADETLGHSKHCRNKQKTFYYLGQELQYIYFIH
+SLCLLGRLLIYKQGRKLFPIKLKNKKGLVSLIDLLVLFTQLIYYSPSCPKMTSAAHSENY
+SPASMVTEVLWILSDQKECAVECLYNNIVIETLLQPIHNLMKGNEASPNCSETALIHIAG
+ILARIASVEEGLILLLYGANMNSSEESPTGAHIIAQFSKKLLDEDISIFSGSEMLPVVKG
+AFISVCRHIYSTCEGLQVLITYNLHESIAKAWKKTSLLSERIPTPVEGSDSVSSVSQESQ
+NIMAWEDNLLDDLLHFAATPKGLLLLQRTGAINECVTFIFNRYAKKLQVSRHKKFGYGVL
+VTRVASTAAGGIALKKSGFINELITELWSNLEYGRDDVRVTHPRTTPVDPIDRSCQKSFL
+ALVNLLSYPAIYELVRNQDLPNKTEYSLREVPTCVIDIIDRLIILNSEAKIRSLFNYEQS
+HIFGLR
+>Q96NH3_PF14961_857 <unknown description>
+RDFIIDGLSVERNHVLVRINLVGGPLERILPPRLLEKSDNPYPWPMFSSYPLPNCYLSDI
+TRNAGIKQDNDLDKLLLCLKISDKQTEWIENCQRQFCKMMKAKPDIISGEALIELLEKFV
+LHLTESPSECYFPSVEYTATDANVKNESLSSVQQLGIKMTVRYGKFLSLLKDGAENDLTW
+VLKHCERFLKQQQTSIKSSLLCLQGNYAGHDWFVSSLFMIMLGDKEKTFQFLHQFSRLLT
+SAFLWLPRLHISSYLPNDTVESGIHPVYFCSTHYIEMLLKAELPLVFSAFHMSGFAPSQI
+CLQWITQCFWNYLDWIEICHYIATCVFLGPDYQVYICIAVFKHLQQDILQHTQTQDLQVF
+LKEEALHGFRVSDYFEYMEILEQNYRTVLLRDMRNIR
+>Q5VW32_PF03097_86 <unknown description>
+YIQNFKWTDTLQGQVPSAQQDAVFELISMGFNVALWYTKYASRLAGKENITEDEAKEVHR
+SLKIAAGIFKHLKESHLPKLITPAEKGRDLESRLIEAYVIQCQAEAQEVTIARAIELKHA
+PGLIAALAYETANFYQKADHTLSSLEPAYSAKWRKYLHLKMCFYTAYAYCYHGETLLASD
+KCGEAIRSLQEAEKLYAKAEALCKEYGETKGPGPTVKPSGHLFFRKLGNLVKNTLEKCQR
+ENGFIYFQKIPTEAPQLELKANYGLVEPIPFE
+>P55201_PF10513_106 <unknown description>
+RVHRISIFDNLDVVSEDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHH
+HNVSASTTPKLPEVVYRELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWL
+DIMNERRKTEGVSPIPQEIFEYLMDRLEKE
+>P55201_PF13831_288 <unknown description>
+NVILFCDMCNLAVHQECYGVPYIPEGQWLCRRC
+>P55201_PF13832_329 <unknown description>
+DCALCPNKGGAFKQTDDGRWAHVVCALWIPEVCFANTVFLEPIDSIEHIPPARWKLTCYI
+CKQRGSGACIQCHKANCYTAFHVTCAQQAGLYMKMEPVRETGANGTSFSVRKTAYCDIH
+>P55201_PF00439_638 <unknown description>
+RKTLEQLQEKDTGNIFSEPVPLSEVTELDEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFD
+DFEEDFNLIVSNCLKYNAKDTIFYRAA
+>P55201_PF00855_1093 <unknown description>
+DLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHLYLVL
+FFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRALQH
+>Q9ULD4_PF10513_48 <unknown description>
+RLHRISIYDPLKIITEDELTAQDITECNSNKENSEQPQFPGKSKKPSSKGKKKESCSKHA
+SGTSFHLPQPSFRMVDSGIQPEAPPLPAAYYRYIEKPPEDLDAEVEYDMDEEDLAWLDMV
+NEKRRVDGHSLVSADTFELLVDRLEKE
+>Q9ULD4_PF13831_227 <unknown description>
+NVILFCDICNLAVHQECYGVPYIPEGQWLCRCC
+>Q9ULD4_PF13832_268 <unknown description>
+DCILCPNKGGAFKQTSDGHWAHVVCAIWIPEVCFANTVFLEPIEGIDNIPPARWKLTCYI
+CKQKGLGAAIQCHKVNCYTAFHVTCAQRAGLFMKIEPMRETSLNGTIFTVRKTAYCEAH
+>Q9ULD4_PF00439_599 <unknown description>
+RTTLDLLQEKDPAHIFAEPVNLSEVPDYLEFISKPMDFSTMRRKLESHLYRTLEEFEEDF
+NLIVTNCMKYNAKDTIFHRAA
+>Q9ULD4_PF00855_1078 <unknown description>
+ELVWAKCRGYPSYPALIIDPKMPREGLLHNGVPIPVPPLDVLKLGEQKQAEAGEKLFLVL
+FFDNKRTWQWLPRDKVLPLGVEDTVDKLKMLEGRKTSIRKSVQVAYDRAMI
+>P32247_PF00001_64 <unknown description>
+GNAILIKVFFKTKSMQTVPNIFITSLAFGDLLLLLTCVPVDATHYLAEGWLFGRIGCKVL
+SFIRLTSVGVSVFTLTILSADRYKAVVKPLERQPSNAILKTCVKAGCVWIVSMIFALPEA
+IFSNVYTFRDPNKNMTFESCTSYPVSKKLLQEIHSLLCFLVFYIIPLSIISVYYSLIART
+LYKSTLNIPTEEQSHARKQIESRKRIARTVLVLVALFALCWLPNHLLYLYHSFTSQTYVD
+PSAMHFIFTIFSRVLAFSNSCVNPF
+>Q8TDC3_PF00069_34 <unknown description>
+YRLEKTLGKGQTGLVKLGVHCITGQKVAIKIVNREKLSESVLMKVEREIAILKLIEHPHV
+LKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIVSALDFCHSYSIC
+HRDLKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIKGEKYDGRRAD
+MWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVEPEKR
+LSLEQIQKHPW
+>Q8IWQ3_PF00069_19 <unknown description>
+YRLEKTLGKGQTGLVKLGVHCVTCQKVAIKIVNREKLSESVLMKVEREIAILKLIEHPHV
+LKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIISALDFCHSHSIC
+HRDLKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIRGEKYDGRKAD
+VWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVDAARR
+LTLEHIQKHIW
+>Q9NSI6_PF00400_183 <unknown description>
+LGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWS
+>Q9NSI6_PF00400_218 <unknown description>
+GRLLSTLRGHSAEISDMAVNYENTMIAAGSCDKIIRVW
+>Q9NSI6_PF00400_262 <unknown description>
+PVAVLQGHTGSITSLQFSPMAKGSQRYMVSTGADGTVCFW
+>Q9NSI6_PF00400_361 <unknown description>
+IAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIW
+>Q9NSI6_PF00400_458 <unknown description>
+GQLLHNLMGHADEVFVLETHPFDSRIMLSAGHDGSIFIWD
+>Q9NSI6_PF00439_1167 <unknown description>
+DRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCTVVAYPTDLYTIRMRLVNRFYRRLSALV
+WEVRYIEHNARTFNEPESVIARSAKK
+>Q9NSI6_PF00439_1322 <unknown description>
+CKELVNLIFQCEDSEPFRQPVDLVEYPDYRDIIDTPMDFGTVRETLDAGNYDSPLEFCKD
+IRLIFSNAKAYTPNKRSKIYS
+>Q6RI45_PF00400_176 <unknown description>
+ILGHLSSVYCVAFDRSGRRIFTGSDDCLVKIW
+>Q6RI45_PF00400_212 <unknown description>
+GRLLATLRGHSAEISDMAVNYENTLIAAGSCDKVVRVW
+>Q6RI45_PF00400_256 <unknown description>
+PVAVLQGHSASITSIQFCPSTKGTNRYLTSTGADGTICFW
+>Q6RI45_PF00400_355 <unknown description>
+IAELESHTDKVVAVQFCNNGDSLRFVSGSRDGTARIW
+>Q6RI45_PF00400_455 <unknown description>
+GQLLHTLSGHDDEVFVLEAHPFDQRIILSAGHDGNIFIWD
+>Q6RI45_PF00439_1148 <unknown description>
+ERVIQGINHLLSLDFASPFAVPVDLSAYPLYCTVVAYPTDLNTIRRRLENRFYRRISALM
+WEVRYIEHNARTFNEPDSPIVKAAK
+>Q6RI45_PF00439_1357 <unknown description>
+SLSEDYQDVIDTPVDFSTVKETLEAGNYGSPLEFYKDVRQIFNNSKAYTSNKKSRIYSM
+>Q8TDN6_PF04427_67 <unknown description>
+SRGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLFVINEVCEMKNCNKCIYFEAKKKQDL
+YMWLSNSPHGPSAKFLVQNIHTLAELKMTGNCLKGSRPLLSFDPAFDELPHYALLKELLI
+QIFSTPRYHPKSQPFVDHVFTFTILDNRIWFRNFQIIEEDAALVEIGPRFVLNLIK
+>Q96G97_PF06775_104 <unknown description>
+LLLWVSVFLYGSFYYSYMPTVSHLSPVHFYYRTDCDSSTTSLCSFPVANVSLTKGGRDRV
+LMYGQPYRVTLELELPESPVNQDLGMFLVTISCYTRGGRIISTSSRSVMLHYRSDLLQML
+DTLVFSSLLLFGFAEQKQLLEVELYADYRENSYVPTTGAIIEIHSKRIQLYGAYLRIHAH
+FTGLRYLLYNFPMTCAFIGVAS
+>Q9NW68_PF03909_146 <unknown description>
+WLSQFCLEEKKGEISELLVGSPSIRALYTKMVPAAVSHSEFWHRYFYKVHQLEQEQAR
+>Q3C1V8_PF00046_111 <unknown description>
+RKARTVFSDSQLSGLEKRFEIQRYLSTPERVELATALSLSETQVKTWFQNRRMKHKK
+>Q8WZ55_PF15462_27 <unknown description>
+SHDRPQVYGTFYAMGSVMVIGGIIWSMCQCYPKITFVPADSDFQGILSPKAMGLLENGLA
+AEMKSPSPQPPYVRLWEEAAYDQSLPDFSHIQMKVMSYSEDHRSLLAPEMGQPKLGTSDG
+GEGGPGDVQAWMEAAVVIHKGSDESEGERRLTQSWPGPLACPQGPAPLASFQDDLDMDSS
+EGSSPNASPHDREEACSPQQEPQGCRCPLDRFQDFALIDAPTLED
+>Q9UPA5_PF05715_169 <unknown description>
+LCPICKTSDLTSTPSQPNFNTCTQCHNKVCNQCGFNPNPHLTQVKEWLCLNCQMQRAL
+>Q9UPA5_PF05715_464 <unknown description>
+ICPLCQAELNVGSKSPANYNTCTTCRLQVCNLCGFNPTPHLVEKTEWLCLNCQTKRLL
+>Q075Z2_PF00040_45 <unknown description>
+CVFPFHYKNGTYYDCIKSKARHKWCSLNKTYEGYWKFC
+>Q075Z2_PF00040_90 <unknown description>
+CVFPFWYRRLIYWECTDDGEAFGKKWCSLTKNFNKDRIWKYC
+>Q5W0U4_PF13765_234 <unknown description>
+IDERTVSPFLQLSDDRKTLTFSTKKSKACADGPERFDHWPNALAATSF
+>Q5W0U4_PF00622_286 <unknown description>
+HAWMVNVQNSCAYKVGVASGHLPRKGSGSDCRLGHNAFSWVFSRYDQEFRFSHNGQHEPL
+GLLRGPAQLGVVLDLQVQELLFYEPASGTVLCAHHVSFPGPLFPVFAVADQT
+>Q9GZN4_PF00089_50 <unknown description>
+VVGGEDSTDSEWPWIVSIQKNGTHHCAGSLLTSRWVITAAHCFKDNLNKPYLFSVLLGAW
+QLGNPGSRSQKVGVAWVEPHPVYSWKEGACADIALVRLERSIQFSERVLPICLPDASIHL
+PPNTHCWISGWGSIQDGVPLPHPQTLQKLKVPIIDSEVCSHLYWRGAGQGPITEDMLCAG
+YLEGERDACLGDSGGPLMCQVDGAWLLAGIISWGEGCAERNRPGVYISLSAHRSWV
+>Q10588_PF02267_41 <unknown description>
+AHLRDIFLGRCAEYRALLSPEQRNKNCTAIWEAFKVALDKDPCSVLPSDYDLFINLSRHS
+IPRDKSLFWENSHLLVNSFADNTRRFMPLSDVLYGRVADFLSWCRQKNDSGLDYQSCPTS
+EDCENNPVDSFWKRASIQYSKDSSGVIHVMLNGSEPTGAYPIKGFFADYEIPNLQKEKIT
+RIEIWVMHEIGGPNVESCGEGSMKVLEKRLKDMGFQYSCINDYRPVKLLQCVDHSTHPDC
+>Q10589_PF16716_49 <unknown description>
+NSEACRDGLRAVMECRNVTHLLQQELTEAQKGFQDVEAQAATCNHTVMALMASLDAEKAQ
+GQKKVEELEGEITTLNHKLQDASAEVER
+>Q13410_PF07686_34 <unknown description>
+PPEPILAVVGEDAELPCRLSPNASAEHLELRWFRKKVSPAVLVHRDGREQEAEQMPEYRG
+RATLVQDGIAKGRVALRIRGVRVSDDGEYTCFFREDGSYEEALVHLKV
+>Q13410_PF08205_153 <unknown description>
+QVQENGEICLECTSVGWYPEPQVQWRTSKGEKFPSTSESRNPDEEGLFTVAASVIIRDTS
+AKNVSCYIQN
+>Q13410_PF13765_305 <unknown description>
+VTLDPDTAHPHLFLYEDSKSVRLEDSRQKLPEKTERFDSWPCVLGRETF
+>Q13410_PF00622_357 <unknown description>
+RHYWEVEVGDRTDWAIGVCRENVMKKGFDPMTPENGFWAVELYGNGYWALTPLRTPLPLA
+GPPRRVGIFLDYESGDISFYNMNDGSDIYTFSNVTFSGPLRPFFCLW
+>Q7KYR7_PF07686_38 <unknown description>
+PILATVGENTTLRCHLSPEKNAEDMEVRWFRSQFSPAVFVYKGGRERTEEQMEEYRGRTT
+FVSKDISRGSVALVIHNITAQENGTYRCYFQEGRSYDEAILHLVV
+>Q7KYR7_PF13765_330 <unknown description>
+VVLDPDTAHPDLFLSEDRRSVRRCPFRHLGESVPDNPERFDSQPCVLGRESF
+>Q7KYR7_PF00622_385 <unknown description>
+KHYWEVEVENVIEWTVGVCRDSVERKGEVLLIPQNGFWTLEMHKGQYRAVSSPDRILPLK
+ESLCRVGVFLDYEAGDVSFYNMRDRSHIYTCPRSAFSVPVRPFFRL
+>Q8WVV5_PF07686_42 <unknown description>
+PILAMVGENTTLRCHLSPEKNAEDMEVRWFRSQFSPAVFVYKGGRERTEEQMEEYRGRIT
+FVSKDINRGSVALVIHNVTAQENGIYRCYFQEGRSYDEAILRLVV
+>Q8WVV5_PF13765_329 <unknown description>
+VVLDPDTAHPELFLSEDRRSVRRGPYRQRVPDNPERFDSQPCVLGWESF
+>Q8WVV5_PF00622_381 <unknown description>
+KHYWEVEVENVMVWTVGVCRHSVERKGEVLLIPQNGFWTLEMFGNQYRALSSPERILPLK
+ESLCRVGVFLDYEAGDVSFYNMRDRSHIYTCPRSAFTVPVRPFFRLGSD
+>O00481_PF07686_37 <unknown description>
+SGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGR
+TSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKV
+>O00481_PF13765_342 <unknown description>
+VILDPKTANPILLVSEDQRSVQRAKEPQDLPDNPERFNWHYCVLGCESF
+>O00481_PF00622_394 <unknown description>
+RHYWEVEVGDRKEWHIGVCSKNVQRKGWVKMTPENGFWTMGLTDGNKYRTLTEPRTNLKL
+PKPPKKVGVFLDYETGDISFYNAVDGSHIHTFLDVSFSEALYPVF
+>P78410_PF07686_37 <unknown description>
+SGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGR
+TSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKV
+>O00478_PF07686_37 <unknown description>
+SGPILAMVGEDADLPCHLFPTMSAETMELRWVSSSLRQVVNVYADGKEVEDRQSAPYRGR
+TSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKV
+>O00478_PF13765_342 <unknown description>
+VILDPDTANAILLVSEDQRSVQRAEEPRDLPDNPERFEWRYCVLGCENF
+>O00478_PF00622_394 <unknown description>
+RHYWEVEVGDRKEWHIGVCSKNVERKKGWVKMTPENGYWTMGLTDGNKYRALTEPRTNLK
+LPEPPRKVGIFLDYETGEISFYNATDGSHIYTFPHASFSEPLYPVF
+>Q96K17_PF01849_36 <unknown description>
+DKKLQSSLKKLAVNNIAGIEEVNMIKDDGTVIHFNNPKVQASLSANTFAITGHAEA
+>O14981_PF12054_586 <unknown description>
+VWMELLSKASVQYVVAAACPWMGAWLCLMMQPSHLPIDLNMLLEVKARAKEKTGGKVRQG
+QSQNKEVLQEYIAGADTIMEDPATRDFVVMRARMMAAKLLGALCCCICDPGVNVVTQEIK
+PAESLGQLLLFHLNSKSALQRISVALVICEWAALQKECKAVTLAVQPRLLDILSEHLYYD
+EIAVPFTRMQNECKQLISSLADVHIEVGNRVNNNVLTIDQASDLVTTVFNEATSSFDLNP
+QVLQQLDSKRQQVQMTVTETNQEWQVLQLRVHTFAACAVVSLQQLPEKLNPIIKPLMETI
+KKEENTLVQNYAAQCIAKLLQQCTTRTPCPNSKIIKNLCSSLCVDPYLTPCVTCPVPTQS
+GQENSKGSTSEKDGMHHTVTKHRGIITLYRHQKAAFAITSRRGPTPKAVKAQIADLPAGS
+SGNILVELDEAQKPYLVQRRGAEFALTTIVKHFGGEMAVKLPHLWD
+>O14981_PF00176_1284 <unknown description>
+KLHGILCDDMGLGKTLQSICILAGDHCHRAQEYARSKLAECMPLPSLVVCPPTLTGHWVD
+EVGKFCSREYLNPLHYTGPPTERIRLQHQVKRHNLIVASYDVVRNDIDFFRNIKFNYCIL
+DEGHVIKNGKTKLSKAVKQLTANYRIILSGTPIQNNVLELWSLFDFLMPGFLGTERQFAA
+RYGKPILASRDARSSSREQEAGVLAMDALHRQVLPFLLRRMKEDVLQDLPPKIIQDYYCT
+LSPLQVQLYEDFAKSRAKCDVDETVSSATLSEETEKPKLKATGHVFQALQYLRKLCNHPA
+LV
+>O14981_PF00271_1640 <unknown description>
+SVVAQHRILIFCQLKSMLDIVEHDLLKPHLPSVTYLRLDGSIPPGQRHSIVSRFNNDPSI
+DVLLLTTHVGGLGLNLTGADTVVFVEHDWNPMRDLQAMDRAHRIG
+>Q9H0C5_PF00651_62 <unknown description>
+LFNSELLSDVRFVLGKGRGAAAAGGPQRIPAHRFVLAAGSAVFDAMFNGGMATTSAEIEL
+PDVEPAAFLALLRFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLTKH
+>Q9H0C5_PF07707_183 <unknown description>
+LLTQARLFDEPQLASLCLDTIDKSTMDAISAEGFTDIDIDTLCAVLERDTLSIRESRLFG
+AVVRWAEAECQRQQLPVTFGNKQKVLGKALSLIRFPLMTIE
+>Q9H0C5_PF08005_333 <unknown description>
+NRFQQVESRWGYSGTSDRIRFTVNRRISIVGFGLYGSIHGPTDYQVNIQIIEYEKKQTLG
+QNDTGFSCDGTANTFRVMFKEPIEILPNVCYTACATLKGPDSHYGTKGLKKVVHETPAAS
+KTVFFFFSSPGNNNGTSIEDGQIPEIIFY
+>Q9BX70_PF00651_110 <unknown description>
+LFNNEVLCDVHFLVGKGLSSQRIPAHRFVLAVGSAVFDAMFNGGMATTSTEIELPDVEPA
+AFLALLKFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLKKN
+>Q9BX70_PF07707_225 <unknown description>
+LLTQARLFDEPQLASLCLENIDKNTADAITAEGFTDIDLDTLVAVLERDTLGIREVRLFN
+AVVRWSEAECQRQQLQVTPENRRKVLGKALGLIRFPLMTIEE
+>Q9BX70_PF08005_375 <unknown description>
+NRFQQVESRWGYSGTSDRIRFSVNKRIFVVGFGLYGSIHGPTDYQVNIQIIHTDSNTVLG
+QNDTGFSCDGSASTFRVMFKEPVEVLPNVNYTACATLKGPDSHYGTKGLRKVTHESPTTG
+AKTCFTFCYAAGNNNGTSVEDGQIPEVIFY
+>Q9Y2F9_PF00651_113 <unknown description>
+MFNNDLMADVHFVVGPPGGTQRLPGHKYVLAVGSSVFHAMFYGELAEDKDEIRIPDVEPA
+AFLAMLKYIYCDEIDLAADTVLATLYAAKKYIVPHLARACVNFLETS
+>Q9Y2F9_PF07707_234 <unknown description>
+LFEEPDLTQRCWEVIDAQAELALKSEGFCDIDFQTLESILRRETLNAKEIVVFEAALNWA
+EVECQRQ
+>Q9Y2F9_PF08005_376 <unknown description>
+HRFQSCAYRSNQWRYRGRCDSIQFAVDKRVFIAGFGLYGSSCGSAEYSAKIELKRQGVVL
+GQNLSKYFSDGSSNTFPVWFEYPVQIEPDTFYTASVILDGNELSYFGQEGMTEVQCGKVT
+VQFQCSSDSTNGTGVQGGQIPELIFY
+>Q96KE9_PF00651_129 <unknown description>
+MFNNELMADVHFVVGPPGATRTVPAHKYVLAVGSSVFYAMFYGDLAEVKSEIHIPDVEPA
+AFLILLKYMYSDEIDLEADTVLATLYAAKKYIVPALAKACVNFLETS
+>Q96KE9_PF07707_246 <unknown description>
+SQSRLFEEPELTQRCWEVIDAQAEMALRSEGFCEIDRQTLEIIVTREALNTKEAVVFEAV
+LNWAEAECKR
+>Q96KE9_PF08005_392 <unknown description>
+HRFQSSAYRSNQWRYRGRCDSIQFAVDRRVFIAGLGLYGSSSGKAEYSVKIELKRLGVVL
+AQNLTKFMSDGSSNTFPVWFEHPVQVEQDTFYTASAVLDGSELSYFGQEGMTEVQCGKVA
+FQFQCSSDSTNGTGVQGGQIPELIFY
+>Q9P203_PF00651_135 <unknown description>
+LYEYKYCTDVDLIFQETCFPVHRAILAARCPFFKTLLSSSPEYGAEIIMDINTAGIDMPM
+FSALLHYLYTGEFGMEDSRFQNVDILVQLSEEFGTPNS
+>Q9P203_PF00651_271 <unknown description>
+DEELKAHKAVISARSPFFRNLLQRRIRTGEEITDRTLRTPTRIILDESIIPKKYATVILH
+CMYTDVVDLSVLHCSPSVGSLSEVQALVAGKPNMTRAEEAMELYHIALFLEFNMLAQGCE
+DIIAES
+>Q9P203_PF07707_413 <unknown description>
+YGSKWVHRQALHFLCEEFSQVMTSDVFYELSKDHLLTAIQSDYLQASEQDILKYLIKWGE
+HQLMKR
+>Q5XKL5_PF00651_51 <unknown description>
+LLREEFHTDVTFSVGCTLFKAHKAVLLARVPDFYFHTIGQTSNSLTNQEPIAVENVEALE
+FRTFLQIIYSSNRNIKNYEEEIL
+>Q5XKL5_PF00651_205 <unknown description>
+CPDIDIFVDGKRFKAHRAILSARSSYFAAMLSGCWAESSQEYVTLQGISHVELNVMMHFI
+YGGTLDIPDKTNVGQILNMADMYGLEGLKEVAIYILR
+>Q5XKL5_PF15363_1749 <unknown description>
+DTLNRWSELTSPLDSSASITMASFSSEDCSPQGEWTILELETQH
+>Q96Q07_PF00651_33 <unknown description>
+EEYGDVTFVVEKKRFPAHRVILAARCQYFRALLYGGMRESQPEAEIPLQDTTAEAFTMLL
+KYIYTGRATLTDEKEEVLLDFLSLAHKYGFPELEDSTSEYLCT
+>Q96Q07_PF07707_143 <unknown description>
+CMTFDVASLYSLPKLTCMCCMFMDRNAQEVLSSEGFLSLSKTALLNIVLRDSFAAPEKDI
+FLALLNWCKHNSKENHAEIMQAVRLPLMSLTELLNVV
+>Q96Q07_PF00754_292 <unknown description>
+KSALLDGDTQNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRILLWDRDSRSYSYFI
+EVSMDELDWVRVIDHSQYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHI
+>Q96Q07_PF00754_443 <unknown description>
+SRNALLNGDTKNYDWDSGYTCHQLGSGAIVVQLAQPYMIGSIRLLLWDCDDRSYSYYVEV
+STNQQQWTMVADRTKVSCKSWQSVTFERQPASFIRIVGTHNTANEVFH
+>Q9BSF8_PF16017_168 <unknown description>
+RVTLIVDNTRFVVDPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRA
+ILDYYKTGIIRCPDGISIPELREACDYLCISFEYSTIKCRDLSALM
+>A6NE02_PF00651_53 <unknown description>
+LQELLRQGNASDVVLRVQAAGTDEVRVFHAHRLLLGLHSELFLELLSNQSEAVLQEPQDC
+AAVFDKFIRYLYCGELTVLLTQAIPLHRLATKYGVSSLQRGVADYMR
+>A6NE02_PF07707_170 <unknown description>
+VGWYHYAVGTGDEALRESCLQFLAWNLSAVAASTEWGAVSPELLWQLLQRSDLVLQDELE
+LFHALEAWLGRARPPPAVAERALRAIRYPMIPPAQLFQL
+>B2RXH4_PF00651_25 <unknown description>
+HHQQQSDVFCDVLLQAEGEAVPAHCCILSACSPFFTERLERERPAQGGKVVLELGGLKIS
+TLRKLVDFLYTSEMEVSQEEAQDVLSAARQLRVSELE
+>C9JJ37_PF00651_21 <unknown description>
+SLVNNPRYSDVCFVVGQERQEVFAHRCLLACRCNFFQRLLGTEPGPGVPSPVVLSTVPTE
+AFLAVLEFLYTNSVKLYRHSVLEVLTAAVEYGLEELRELCLQFVV
+>C9JJ37_PF07707_134 <unknown description>
+CEALQVAVTFGLGQLQERCVAFIEAHSQEALRTRGFLELSAAALLPLLRSDKLCVDEAEL
+VRAARSWARVGAAVLERPVAEVAAPVVKELRLALLAPAELSA
+>P43251_PF00795_68 <unknown description>
+QNLDIYEQQVMTAAQKDVQIIVFPEDGIHGFNFTRTSIYPFLDFMPSPQVVRWNPCLEPH
+RFNDTEVLQRLSCMAIRGDMFLVANLGTKEPCHSSDPRCPKDGRYQFNTNVVFSNNGTLV
+DRYRKHNLYFEAAFDVPLKVDLITFDTPFAGRFGIFTCFDILFFDPAIRVLRDYKVKHVV
+YPTAWMN
+>P43251_PF19018_374 <unknown description>
+FHSEMMYDNFTLVPVWGKEGYLHVCSNGLCCYLLYERPTLSKELYALGVFDGLHTVHGTY
+YIQVCALVRCGGLGFDTCGQEITEATGIFEFHLWGNFSTSYIFPLFLTSGMTLEVPDQLG
+WENDHYFLRKSRLSSGLVTAALYGRLYERD
+>P20290_PF01849_85 <unknown description>
+DKKLQFSLKKLGVNNISGIEEVNMFTNQGTVIHFNNPKVQASLAANTFTITGHAETK
+>P62324_PF07742_11 <unknown description>
+MIGEIAAAVSFISKFLRTKGLTSERQLQTFSQSLQELLAEHYKHHWFPEKPCKGSGYRCI
+RINHKMDPLIGQAAQRIGLSSQELFRLLPSELTLWVDPYEVSYRIGEDGSICVLY
+>P78543_PF07742_9 <unknown description>
+MLPEIAAAVGFLSSLLRTRGCVSEQRLKVFSGALQEALTEHYKHHWFPEKPSKGSGYRCI
+RINHKMDPIISRVASQIGLSQPQLHQLLPSELTLWVDPYEVSYRIGEDGSICVLY
+>Q14201_PF07742_1 <unknown description>
+MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTLILQEKYKNHWYPEKPSKGQAYRCI
+RVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAF
+>Q06187_PF00169_5 <unknown description>
+ILESIFLKRSQQKKKTSPLNFKKRLFLLTVHKLSYYEYDFERGRRGSKKGSIDVEKITCV
+ETVVPEKNPPPERQIPRRGEESSEMEQISIIERFPYPFQVVYDEGPLYVFSPTEELRKRW
+IHQLKNVIR
+>Q06187_PF00779_141 <unknown description>
+KYHPCFWIDGQYLCCSQTAKNAMGCQI
+>Q06187_PF00018_220 <unknown description>
+VALYDYMPMNANDLQLRKGDEYFILEESNLPWWRARDKNGQEGYIPS
+>Q06187_PF00017_281 <unknown description>
+WYSKHMTRSQAEQLLKQEGKEGGFIVRDSSKAGKYTVSVFAKSTGDPQGVIRHYVVCSTP
+QSQYYLAEKHLFSTIPELINYH
+>Q06187_PF07714_402 <unknown description>
+LTFLKELGTGQFGVVKYGKWRGQYDVAIKMIKEGSMSEDEFIEEAKVMMNLSHEKLVQLY
+GVCTKQRPIFIITEYMANGCLLNYLREMRHRFQTQQLLEMCKDVCEAMEYLESKQFLHRD
+LAARNCLVNDQGVVKVSDFGLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIW
+AFGVLMWEIYSLGKMPYERFTNSETAEHIAQGLRLYRPHLASEKVYTIMYSCWHEKADER
+PTFKILLSN
+>Q7Z6A9_PF00047_43 <unknown description>
+QSEHSILAGDPFELECPVKYCANRPHVTWCKLNGTTCVKLEDRQTSWKEEKNISFFILHF
+EPVLPNDNGSYRCSANFQSN
+>Q6UXE8_PF07686_28 <unknown description>
+VQALVGEDAVFSCSLFPETSAEAMEVRFFRNQFHAVVHLYRDGEDWESKQMPQYRGRTEF
+VKDSIAGGRVSLRLKNITPSDIGLYGCWFSSQIYDEEATWELRV
+>Q6UXE8_PF13765_290 <unknown description>
+VTLDPETAHPKLCVSDLKTVTHRKAPQEVPHSEKRFTRKSVVASQGF
+>Q6UXE8_PF00622_340 <unknown description>
+KHYWEVDVGQNVGWYVGVCRDDVDRGKNNVTLSPNNGYWVLRLTTEHLYFTFNPHFISLP
+PSTPPTRVGVFLDYEGGTISFFNTNDQSLIYTLLTCQFEGLLRPYIQH
+>Q6UX41_PF07686_25 <unknown description>
+DKPVQALVGEDAAFSCFLSPKTNAEAMEVRFFRGQFSSVVHLYRDGKDQPFMQMPQYQGR
+TKLVKDSIAEGRISLRLENITVLDAGLYGCRISSQSYYQKAIWELQ
+>Q6UX41_PF13765_290 <unknown description>
+VTLDPETAHPKLCVSDLKTVTHRKAPQEVPHSEKRFTRKSVVASQSF
+>Q6UX41_PF00622_340 <unknown description>
+KHYWEVDGGHNKRWRVGVCRDDVDRRKEYVTLSPDHGYWVLRLNGEHLYFTLNPRFISVF
+PRTPPTKIGVFLDYECGTISFFNINDQSLIYTLTCRFEGLLRPYIE
+>Q6UXG8_PF07686_45 <unknown description>
+YPILALVGEEVEFPCHLWPQLDAQQMEIRWFRSQTFNVVHLYQEQQELPGRQMPAFRNRT
+KLVKDDIAYGSVVLQLHSIIPSDKGTYGCRFHSDNFSGE
+>Q6UXG8_PF13765_330 <unknown description>
+VTLDPASAHPSLEVSEDGKSVSSRGAPPGPAPGHPQRFSEQTCALSLERF
+>Q6UXG8_PF00622_383 <unknown description>
+RHYWEVHVGRRSRWFLGACLAAVPRAGPARLSPAAGYWVLGLWNGCEYFVLAPHRVALTL
+RVPPRRLGVFLDYEAGELSFFNVSDGSHIFTFHDTFSGALCAYF
+>O60566_PF08311_57 <unknown description>
+QQKRAFEYEIRFYTGNDPLDVWDRYISWTEQNYPQGGKESNMSTLLERAVEALQGEKRYY
+SDPRFLNLWLKLGRLCNEPLDMYSYLHNQGIGVSLAQFYISWAEEYEARENFRKADAIFQ
+EGI
+>O43683_PF08311_9 <unknown description>
+QMLEAHMQSYKGNDPLGEWERYIQWVEENFPENKEYLITLLEHLMKEFLDKKKYHNDPRF
+ISYCLKFAEYNSDLHQFFEFLYNHGIGTLSSPLYIAWAGHLEAQGELQHASAVLQRGI
+>O43683_PF00069_790 <unknown description>
+HHLLGEGAFAQVYEATQGDLNDAKNKQKFVLKVQKPANPWEFYIGTQLMERLKPSMQHMF
+MKFYSAHLFQNGSVLVGELYSYGTLLNAINLYKNTPEKVMPQGLVISFAMRMLYMIEQVH
+DCEIIHGDIKPDNFILGNGFLEQDDEDDLSAGLALIDLGQSIDMKLFPKGTIFTAKCETS
+GFQCVEMLSNKPWNYQIDYFGVAATVYCMLFGTYM
+>O43684_PF00400_16 <unknown description>
+GISSVKFSPNTSQFLLVSSWDTSVRLYD
+>O43684_PF00400_94 <unknown description>
+THDAPIRCVEYCPEVNVMVTGSWDQTVKLWD
+>O43684_PF00400_222 <unknown description>
+KCHRLKENNIEQIYPVNAISFHNIHNTFATGGSDGFVNIWD
+>Q9BRD0_PF09736_460 <unknown description>
+ETVFRDKSGRKRNLKLERLEQRRKAEKDSERDELYAQWGKGLAQSRQQQQNVEDAMKEMQ
+KPLARYIDDEDLDRMLREQEREGDPMANFIKKNKAKENKNKKVRPRYSGPAPPPNRFNIW
+PGYRWDGVDRSNGFEQKRFAR
+>O43709_PF08241_58 <unknown description>
+LDIGCGTGLSGSYLSDEGHYWVGLDISPAMLDEAVDREIEGDLLLGDMGQGIPFKPGTFD
+GCISISAVQWLCNAN
+>O43709_PF12589_204 <unknown description>
+LFSGPSTFIPEGLSENQDEVEPRESVFTNERFPLRMSRRGMVRKSRAWVLEKKERHRRQG
+REVRPDTQYTGRKRK
+>P41223_PF01125_1 <unknown description>
+MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYI
+FDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICR
+VPKSKLEVGRIIECTHCGCRGCS
+>Q9UBR1_PF00795_74 <unknown description>
+VGLVQNRIPLPANAPVAEQVSALHRRIKAIVEVAAMCGVNIICFQEAWTMPFAFCTREKL
+PWTEFAESAEDGPTTRFCQKLAKNHDMVVVSPILERDSEHGDVLWNTAVVISNSGAVLGK
+TRKNHIPRVGDFNESTYYMEGNLGHPVFQTQFGRIAVNICYGRHHPLNWLMYSINGAEII
+FNPSATIGALSESLWPIEARNAAIANHCFTCAINRVGTEHFPNEFTSGDGKKAHQDFGYF
+YGSSYVAAPDSSRTPGLSRSRDGLLVAKLDLNLCQQV
+>Q69YU5_PF14990_1 <unknown description>
+MPAGVPMSTYLKMFAASLLAMCAGAEVVHRYYRPDLTIPEIPPKRG
+>Q13895_PF05291_143 <unknown description>
+ARRTLADIIMEKLTEKQTEVETVMSEVSGFPMPQLDPRVLEVYRGVREVLSKYRSGKLPK
+AFKIIPALSNWEQILYVTEPEAWTAAAMYQATRIFASNLKERMAQRFYNLVLLPRVRDDV
+AEYKRLNFHLYMALKKALFKPGAWFKGILIPLCESGTCTLREAIIVGSIITKCSIPVLHS
+SAAMLKIAEMEYSGANSIFLRLLLDKKYALPYRVLDALVFHFLGFRTEKRELPVLWHQCL
+LTLVQRYKADLATDQKEALLELLRLQPHPQLSPEIRRELQSAVPRDVE
+>Q96A19_PF01576_318 <unknown description>
+ELGRMSEDLEDELGARSSMDRKMAELRGEMERLQAENAAEWGRRERLETEKLGLERENKK
+LRAQVGDLEEALARRRRQTASALDCDLRASQAALFEKNKELADLKHVHGKLKKQFQEKVA
+ELAHANRRVEQHEAEVKKLRLRVEELKKELAQAEDELDEAHNQARKLQRSLDEQTEQSEN
+LQVQLEHLQSRL
+>Q99622_PF14974_11 <unknown description>
+LSAEQAKVVLAEVIQAFSAPENAVRMDEARDNACNDMGKMLQFVLPVATQIQQEVIKAYG
+FSCDGEGVLKFARLVKSYEAQDPEIASLSGKLKALFLPPMTLP
+>P15538_PF00067_42 <unknown description>
+PRRPGNRWLRLLQIWREQGYEDLHLEVHQTFQELGPIFRYDLGGAGMVCVMLPEDVEKLQ
+QVDSLHPHRMSLEPWVAYRQHRGHKCGVFLLNGPEWRFNRLRLNPEVLSPNAVQRFLPMV
+DAVARDFSQALKKKVLQNARGSLTLDVQPSIFHYTIEASNLALFGERLGLVGHSPSSASL
+NFLHALEVMFKSTVQLMFMPRSLSRWTSPKVWKEHFEAWDCIFQYGDNCIQKIYQELAFS
+RPQQYTSIVAELLLNAELSPDAIKANSMELTAGSVDTTVFPLLMTLFELARNPNVQQALR
+QESLAAAASISEHPQKATTELPLLRAALKETLRLYPVGLFLERVASSDLVLQNYHIPAGT
+LVRVFLYSLGRNPALFPRPERYNPQRWLDIRGSGRNFYHVPFGFGMRQCLGRRLAEAEML
+LLLHHVLKHLQVETLTQEDIKMVYSFIL
+>P19099_PF00067_42 <unknown description>
+PQHPGNRWLRLLQIWREQGYEHLHLEMHQTFQELGPIFRYNLGGPRMVCVMLPEDVEKLQ
+QVDSLHPCRMILEPWVAYRQHRGHKCGVFLLNGPEWRFNRLRLNPDVLSPKAVQRFLPMV
+DAVARDFSQALKKKVLQNARGSLTLDVQPSIFHYTIEASNLALFGERLGLVGHSPSSASL
+NFLHALEVMFKSTVQLMFMPRSLSRWISPKVWKEHFEAWDCIFQYGDNCIQKIYQELAFN
+RPQHYTGIVAELLLKAELSLEAIKANSMELTAGSVDTTAFPLLMTLFELARNPDVQQILR
+QESLAAAASISEHPQKATTELPLLRAALKETLRLYPVGLFLERVVSSDLVLQNYHIPAGT
+LVQVFLYSLGRNAALFPRPERYNPQRWLDIRGSGRNFHHVPFGFGMRQCLGRRLAEAEML
+LLLHHVLKHFLVETLTQEDIKMVYSFILRP
+>Q86VB7_PF00530_55 <unknown description>
+DGENKCSGRVEVKVQEEWGTVCNNGWSMEAVSVICNQLGCPTAIKAPGWANSSAGSGRIW
+MDHVSCRGNESALWDCKHDGWGKHSNCTHQQDAGVTCS
+>Q86VB7_PF00530_163 <unknown description>
+RGGNMCSGRIEIKFQGRWGTVCDDNFNIDHASVICRQLECGSAVSFSGSSNFGEGSGPIW
+FDDLICNGNESALWNCKHQGWGKHNCDHAEDAGVICS
+>Q86VB7_PF00530_270 <unknown description>
+DGVTECSGRLEVRFQGEWGTICDDGWDSYDAAVACKQLGCPTAVTAIGRVNASKGFGHIW
+LDSVSCQGHEPAIWQCKHHEWGKHYCNHNEDAGVTCS
+>Q86VB7_PF00530_377 <unknown description>
+GGGSRCAGTVEVEIQRLLGKVCDRGWGLKEADVVCRQLGCGSALKTSYQVYSKIQATNTW
+LFLSSCNGNETSLWDCKNWQWGGLTCDHYEEAKITCS
+>Q86VB7_PF00530_482 <unknown description>
+GGDIPCSGRVEVKHGDTWGSICDSDFSLEAASVLCRELQCGTVVSILGGAHFGEGNGQIW
+AEEFQCEGHESHLSLCPVAPRPEGTCSHSRDVGVVCS
+>Q86VB7_PF00530_586 <unknown description>
+VNGKTPCEGRVELKTLGAWGSLCNSHWDIEDAHVLCQQLKCGVALSTPGGARFGKGNGQI
+WRHMFHCTGTEQHMGDCPVTALGASLCPSEQVASVICS
+>Q86VB7_PF00530_723 <unknown description>
+NGGGRCAGRVEIYHEGSWGTICDDSWDLSDAHVVCRQLGCGEAINATGSAHFGEGTGPIW
+LDEMKCNGKESRIWQCHSHGWGQQNCRHKEDAGVICS
+>Q86VB7_PF00530_831 <unknown description>
+SREACAGRLEVFYNGAWGTVGKSSMSETTVGVVCRQLGCADKGKINPASLDKAMSIPMWV
+DNVQCPKGPDTLWQCPSSPWEKRLASPSEETWITC
+>Q86VB7_PF00530_933 <unknown description>
+EGPTSCSGRVEIWHGGSWGTVCDDSWDLDDAQVVCQQLGCGPALKAFKEAEFGQGTGPIW
+LNEVKCKGNESSLWDCPARRWGHSECGHKEDAAVNCT
+>Q9NR16_PF00530_52 <unknown description>
+NGDGPCSGTVEVKFQGQWGTVCDDGWNTTASTVVCKQLGCPFSFAMFRFGQAVTRHGKIW
+LDDVSCYGNESALWECQHREWGSHNCYHGEDVGVNC
+>Q9NR16_PF00530_159 <unknown description>
+DGNNSCSGRVEVKFQERWGTICDDGWNLNTAAVVCRQLGCPSSFISSGVVNSPAVLRPIW
+LDDILCQGNELALWNCRHRGWGNHDCSHNEDVTLTC
+>Q9NR16_PF00530_265 <unknown description>
+VGGTNRCMGRVELKIQGRWGTVCHHKWNNAAADVVCKQLGCGTALHFAGLPHLQSGSDVV
+WLDGVSCSGNESFLWDCRHSGTVNFDCLHQNDVSVICS
+>Q9NR16_PF00530_373 <unknown description>
+DGSNNCSGRVEVRIHEQWWTICDQNWKNEQALVVCKQLGCPFSVFGSRRAKPSNEARDIW
+INSISCTGNESALWDCTYDGKAKRTCFRRSDAGVICS
+>Q9NR16_PF00530_480 <unknown description>
+GAHSPCYGRLEVKYQGEWGTVCHDRWSTRNAAVVCKQLGCGKPLHVFGMTYFKEASGPIW
+LDDVSCIGNESNIWDCEHSGWGKHNCVHREDVIVTCS
+>Q9NR16_PF00530_586 <unknown description>
+VGGSNRCSGRLEVYFQGRWGTVCDDGWNSKAAAVVCSQLDCPSSIIGMGLGNASTGYGKI
+WLDDVSCDGDESDLWSCRNSGWGNNDCSHSEDVGVICS
+>Q9NR16_PF00530_693 <unknown description>
+VGGSSRCAGKVEVNVQGAVGILCANGWGMNIAEVVCRQLECGSAIRVSREPHFTERTLHI
+LMSNSGCTGGEASLWDCIRWEWKQTACHLNMEASLICS
+>Q9NR16_PF00530_800 <unknown description>
+ADMPCSGRVEVKHADTWRSVCDSDFSLHAANVLCRELNCGDAISLSVGDHFGKGNGLTWA
+EKFQCEGSETHLALCPIVQHPEDTCIHSREVGVVCS
+>Q9NR16_PF00530_903 <unknown description>
+VNGKSQCDGQVEINVLGHWGSLCDTHWDPEDARVLCRQLSCGTALSTTGGKYIGERSVRV
+WGHRFHCLGNESLLDNCQMTVLGAPPCIHGNTVSVICT
+>Q9NR16_PF00530_1040 <unknown description>
+DGDSRCAGRVEIYHDGFWGTICDDGWDLSDAHVVCQKLGCGVAFNATVSAHFGEGSGPIW
+LDDLNCTGMESHLWQCPSRGWGQHDCRHKEDAGVICS
+>Q9NR16_PF00530_1149 <unknown description>
+TESCAGRLEVFYNGTWGSVGRRNITTAIAGIVCRQLGCGENGVVSLAPLSKTGSGFMWVD
+DIQCPKTHISIWQCLSAPWERRISSPAEETWITCE
+>Q9NR16_PF00530_1250 <unknown description>
+GGDTECSGRVEIWHAGSWGTVCDDSWDLAEAEVVCQQLGCGSALAALRDASFGQGTGTIW
+LDDMRCKGNESFLWDCHAKPWGQSDCGHKEDAGVRCS
+>Q6UWJ8_PF05283_54 <unknown description>
+CKQLEVCEHCVEGDGARNLSSCVWEQCRPEEPGHCVAQSEVVKEGCSIYNRSEACPAAHH
+HPTYEPKTV
+>Q6UWJ8_PF05283_121 <unknown description>
+TVTTGSPPVPEAHSPGFDGASFIGGVVLVLSLQAVAFFVLHFLKAKDSTYQTL
+>Q9Y4F5_PF00498_25 <unknown description>
+VGREECELMLQSRSVDKQHAVINYDQDRDEHWVKDLGSLNGTFVNDMRIPDQKYVTLKLN
+DVIRFG
+>Q9Y4F5_PF15308_843 <unknown description>
+RMVIQLRPGRSPEPDGPAPAFLRQESFTKEPASGPPAPGKPPHISSHPLLQDLAATRAAR
+MDFHSQDTHLILKETETALAALEARLLSNSVDAECEGGSTPRPPEDALSGDSDVDTASTV
+SLRSGKSGPSPTTPQPLRAQKEMSPSPPAAQDPGGTALVSAREQSSERQHHPLGPTDMGR
+GEPVRRSAIRRGHRPRGSLDWPSEERGPVLAHLPSSDVMASNHETPEATGAGRLGSRRKP
+AAPPPSPAAREEQSRSSASSQKGPQALTRSNSLSTPRPTRASRLRRARLGDASDTEAADG
+ERGSLGNPEPVGRPAAEQAKKLSRLDILAMPRKRAGSFTGTSDPEAAPARTSFSGRSVEL
+CCASRKPTMAEARAVSRKAANTATTTGPRQPFSRARSGSARYTSTT
+>Q9Y4F5_PF15308_1246 <unknown description>
+STTQTPRAGSSSRARSRAPGPRDTDDDEEEPDPYGFIVQTAEIAEIARLSQTLVKDVAIL
+AQEIHDVAGDGDTLGSSEPAHSASLSNMPSTPASTISAREELVQRIPEASLNFQKVPPGS
+LNSRDFDQNMNDSCEDALANKTRPRNREEVIFDNLMLNPVSQLSQAIRENTEHLAEKMKI
+LFQNTGRAWEDLEARINAENEVPILKTSNKEISSILKELRRVQKQLEVINAIVDPSGSLD
+LLTGN
+>Q69YN2_PF04677_322 <unknown description>
+PQPPGPCWFCLASPEVEKHLVVNIGTHCYLALAKGGLSDDHVLILPIGHYQSVVELSAEV
+VEEVEKYKATLRRFFKSRGKWCVVFERNYKSHHLQLQVIPVPISCST
+>Q69YN2_PF04676_444 <unknown description>
+QIELLEIPEHSDIKQIAQPGAAYFYVELDTGEKLFHRIKKNFPLQFGREVLASEAILNVP
+DKSDWRQCQISKEDEETLARRFRKDFEPYDFT
+>Q2TBE0_PF04677_664 <unknown description>
+EHRSLAAQMEKCLYCFDSSQFPKHLIVAIGVKVYLCLPNVRSLTEGHCLIVPLQHHRAAT
+LLDEDIWEEIQMFRKSLVKMFEDKGLDCIFLETNMSMKKQYHMVYECIPLPKEVGDMAPI
+YFK
+>Q2TBE0_PF04676_795 <unknown description>
+EWSMNKKLIDLSSKDIRKSVPRGLPYFSVDFGLHGGFAHVIEDQHKFPHYFGKEIIGGML
+DIEPRLWRKGIRESFEDQRKKALQFAQWWKPYDFT
+>Q13901_PF04000_19 <unknown description>
+SAFENSIGAVDEMLKTMMSVSRNELLQKLDPLEQAKVDLVSAYTLNSMFWVYLATQGVNP
+KEHPVKQELERIRVYMNR
+>Q9NS00_PF02434_93 <unknown description>
+VMTGPQNLEKKAKHVKATWAQRCNKVLFMSSEENKDFPAVGLKTKEGRDQLYWKTIKAFQ
+YVHEHYLEDADWFLKADDDTYVILDNLRWLLSKYDPEEPIYFGRRFKPYVKQGYMSGGAG
+YVLSKEALKRFVDAFKTDKCTHSSSIEDLALGRCMEIMNVE
+>P02745_PF01391_62 <unknown description>
+GIQGLKGDQGEPGPSGNPGKVGYPGPSGPLGARGIPGIKGTKGSPGN
+>P02745_PF00386_116 <unknown description>
+AFSAIRRNPPMGGNVVIFDTVITNQEEPYQNHSGRFVCTVPGYYYFTFQVLSQWEICLSI
+VSSSRGQVRRSLGFCDTTNKGLFQVVSGGMVLQLQQGDQVWVEKDPKKGHIYQGSEADSV
+FSGFLI
+>Q07021_PF02330_86 <unknown description>
+LSDEIKEERKIQKHKTLPKMSGGWELELNGTEAKLVRKVAGEKITVTFNINNSIPPTFDG
+EEEPSQGQKVEEQEPELTSTPNFVVEVIKNDDGKKALVLDCHYPEDEVGQEDEAESDIFS
+IREVSFQSTGESEWKDTNYTLNTDSLDWALYDHLMDFLADRGVDNTFADELVELSTALEH
+QEYITFLEDLKSFV
+>P02747_PF01391_66 <unknown description>
+PGIRGPKGQKGEPGLPGHPGKNGPMGPPGMPGVPGPMGIPGEPGEEGR
+>P02747_PF00386_121 <unknown description>
+VFTVTRQTHQPPAPNSLIRFNAVLTNPQGDYDTSTGKFTCKVPGLYYFVYHASHTANLCV
+LLYRSGVKVVTFCGHTSKTNQVNSGGVLLRLQVGEEVWLAVNDYYDMVGIQGSDSVFSGF
+LL
+>Q7Z5L3_PF01391_76 <unknown description>
+GPKGDPGRPGKPGPRGPPGEPGPPGPRGPPGEKGDSGRPGLPGLQ
+>Q7Z5L3_PF00386_160 <unknown description>
+AFYVGLKSPHEGYEVLKFDDVVTNLGNHYDPTTGKFSCQVRGIYFFTYHILMRGGDGTSM
+WADLCKNGQVRASAIAQDADQNYDYASNSVVLHLDSGDEVYVKLDGGKAHGGNNNKYSTF
+SGFLL
+>Q5VWW1_PF01391_61 <unknown description>
+GPKGEAGRPGKAGPRGPPGEPGPPGPMGPPGEKGEPGRQGLPGPPGAPGL
+>Q5VWW1_PF00386_128 <unknown description>
+AFYAGLKRQHEGYEVLKFDDVVTNLGNHYDPTTGKFTCSIPGIYFFTYHVLMRGGDGTSM
+WADLCKNNQVRASAIAQDADQNYDYASNSVVLHLEPGDEVYIKLDGGKAHGGNNNKYSTF
+SGFII
+>Q86Z23_PF00386_111 <unknown description>
+AFYAGLRRPHEGYEVLRFDDVVTNVGNAYEAASGKFTCPMPGVYFFAYHVLMRGGDGTSM
+WADLMKNGQVRASAIAQDADQNYDYASNSVILHLDVGDEVFIKLDGGKVHGGNTNKYSTF
+SGFII
+>Q9NPY3_PF00059_44 <unknown description>
+LSAAEAQNHCNQNGGNLATVKSKEEAQHVQRVLAQLLRREAALTARMSKFWIGLQREKGK
+CLDPSLPLKGFSWVGGGEDTPYSNWHKELRNSCISKRCVSLLLDLSQPLLPSRLPKWSEG
+PCG
+>Q9NPY3_PF12662_326 <unknown description>
+YTCRCPQGYQLDSSQLDCVDVDE
+>Q9NPY3_PF07645_385 <unknown description>
+DVDECALGRSPCAQGCTNTDGSFHCSCEEGYVLAGEDGTQC
+>Q9NPY3_PF07645_427 <unknown description>
+DVDECVGPGGPLCDSLCFNTQGSFHCGCLPGWVLAPNGVSC
+>O75973_PF01391_67 <unknown description>
+GPQGKPGRTGKPGPPGPPGDPGPPGPVGPPGEKGEPGKPGPPGLPGAGG
+>O75973_PF00386_131 <unknown description>
+AFYAGLKNPHEGYEVLKFDDVVTNLGNNYDAASGKFTCNIPGTYFFTYHVLMRGGDGTSM
+WADLCKNGQVRASAIAQDADQNYDYASNSVILHLDAGDEVFIKLDGGKAHGGNSNKYSTF
+SGFII
+>Q9BXJ1_PF01391_99 <unknown description>
+GEKGDRGDRGLQGKYGKTGSAGARGHTGPKGQKGSMGAPGER
+>Q9BXJ1_PF00386_147 <unknown description>
+AFSVGRKKPMHSNHYYQTVIFDTEFVNLYDHFNMFTGKFYCYVPGLYFFSLNVHTWNQKE
+TYLHIMKNEEEVVILFAQVGDRSIMQSQSLMLELREQDQVWVRLYKGERENAIFSEELDT
+YITFSGYLV
+>Q9BXJ5_PF01391_43 <unknown description>
+GPPGPPGAPGPSGMMGRMGFPGKDGQDGHDGDRGDSGEEGPPGRTGNRGKPGPKGKAG
+>Q9BXJ5_PF01391_82 <unknown description>
+GPPGRTGNRGKPGPKGKAGAIGRAGPRGPKGVNGTPGKHGTPGKKGPKGKKGEPGLPGP
+>Q9BXJ5_PF00386_151 <unknown description>
+AFSVAVTKSYPRERLPIKFDKILMNEGGHYNASSGKFVCGVPGIYYFTYDITLANKHLAI
+GLVHNGQYRIRTFDANTGNHDVASGSTILALKQGDEVWLQIFYSEQNGLFYDPYWTDSLF
+TGFLI
+>Q9BXJ4_PF01391_127 <unknown description>
+GPPGPPGPPGIPGNHGNNGNNGATGHEGAKGEKGDKGDLGPRGERGQHGPKGEKGYP
+>Q9BXJ4_PF00386_192 <unknown description>
+AFMASLATHFSNQNSGIIFSSVETNIGNFFDVMTGRFGAPVSGVYFFTFSMMKHEDVEEV
+YVYLMHNGNTVFSMYSYEMKGKSDTSSNHAVLKLAKGDEVWLRMGNGALHGDHQRFSTFA
+GFLL
+>Q9BXJ3_PF00386_31 <unknown description>
+AFSAARTTPLEGTSEMAVTFDKVYVNIGGDFDVATGQFRCRVPGAYFFSFTAGKAPHKSL
+SVMLVRNRDEVQALAFDEQRRPGARRAASQSAMLQLDYGDTVWLRLHGAPQYALGAPGAT
+FSGYLV
+>Q9BXJ3_PF00386_178 <unknown description>
+AFSAARTRSLVGSDAGPGPRHQPLAFDTEFVNIGGDFDAAAGVFRCRLPGAYFFSFTLGK
+LPRKTLSVKLMKNRDEVQAMIYDDGASRRREMQSQSVMLALRRGDAVWLLSHDHDGYGAY
+SNHGKYITFSGFLV
+>Q9BXJ0_PF01391_36 <unknown description>
+GTPGHHGSQGLPGRDGRDGRDGAPGAPGEKGEGGRPGLPGPRGDPGPRGEAGPAGPTG
+>Q9BXJ0_PF00386_105 <unknown description>
+AFSAKRSESRVPPPSDAPLPFDRVLVNEQGHYDAVTGKFTCQVPGVYYFAVHATVYRASL
+QFDLVKNGESIASFFQFFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTD
+STFSGFLV
+>Q9BXI9_PF01391_97 <unknown description>
+GDKGDPGPMGLPGYMGREGPQGEPGPQGSKGDKGEMGSPGAP
+>Q9BXI9_PF00386_145 <unknown description>
+AFSVGRKTALHSGEDFQTLLFERVFVNLDGCFDMATGQFAAPLRGIYFFSLNVHSWNYKE
+TYVHIMHNQKEAVILYAQPSERSIMQSQSVMLDLAYGDRVWVRLFKRQRENAIYSNDFDT
+YITFSGHLI
+>Q9BXJ2_PF01391_37 <unknown description>
+PGLPGPPGPPGANGSPGPHGRIGLPGRDGRDGRKGEKGEKGTAGLRGKTGPLGLAGE
+>Q9BXJ2_PF01391_80 <unknown description>
+GLRGKTGPLGLAGEKGDQGETGKKGPIGPEGEKGEVGPIGPPGPKGDRGEQGDPGLPG
+>Q9BXJ2_PF00386_149 <unknown description>
+AFSVGITTSYPEERLPIIFNKVLFNEGEHYNPATGKFICAFPGIYYFSYDITLANKHLAI
+GLVHNGQYRIKTFDANTGNHDVASGSTVIYLQPEDEVWLEIFFTDQNGLFSDPGWADSLF
+SGFLL
+>P60827_PF01391_70 <unknown description>
+GEKGEAGVRGRAGRSGKEGPPGARGLQGRRGQKGQVGPPG
+>P60827_PF00386_118 <unknown description>
+AFSVGRREGLHSSDHFQAVPFDTELVNLDGAFDLAAGRFLCTVPGVYFLSLNVHTWNYKE
+TYLHIMLNRRPAAVLYAQPSERSVMQAQSLMLLLAAGDAVWVRMFQRDRDNAIYGEHGDL
+YITFSGHLV
+>Q9NZP8_PF00431_47 <unknown description>
+ELPQQLTSPGYPEPYGKGQESSTDIKAPEGFAVRLVFQDFDLEPSQDCAGDSVTISFVGS
+DPSQFCGQQGSPLGRPPGQREFVSSGRSLRLTFRTQPSSENK
+>Q9NZP8_PF00089_247 <unknown description>
+GSSRAKLGNFPWQAFTSIHGRGGGALLGDRWILTAAHTIYPKDSVSLRKNQSVNVFLGHT
+AIDEMLKLGNHPVHRVVVHPDYRQNESHNFSGDIALLELQHSIPLGPNVLPVCLPDNETL
+YRSGLLGYVSGFGMEMGWLTTELKYSRLPVAPREACNAWLQKRQRPEVFSDNMFCVGDET
+QRHSVCQGDSGSVYVVWDNHAHHWVATGIVSWGIGCGEGYDFYTKVLSYVDWI
+>P09871_PF00431_19 <unknown description>
+MYGEILSPNYPQAYPSEVEKSWDIEVPEGYGIHLYFTHLDIELSENCAYDSVQIISGDTE
+EGRLCGQRSSNNPHSPIVEEFQVPYNKLQVIFKSDFSNEERFTGFAAYY
+>P09871_PF14670_142 <unknown description>
+PCSHFCNNFIGGYFCSCPPEYFLHDDMKNC
+>P09871_PF00431_175 <unknown description>
+CSGDVFTALIGEIASPNYPKPYPENSRCEYQIRLEKGFQVVVTLRREDFDVEAADSAGNC
+LDSLVFVAGDRQFGPYCGHGFPGPLNIETKSNALDIIFQTDLTGQKKGWKLRY
+>P09871_PF00084_294 <unknown description>
+CPKEDTPNSVWEPAKAKYVFRDVVQITCLDGFEVVEGRVGATSFYSTCQSNGKWSNSKLK
+C
+>P09871_PF00084_359 <unknown description>
+CGIPESIENGKVEDPESTLFGSVIRYTCEEPYYYMENGGGGEYHCAGNGSWVNEV
+>P09871_PF00089_438 <unknown description>
+IIGGSDADIKNFPWQVFFDNPWAGGALINEYWVLTAAHVVEGNREPTMYVGSTSVQTSRL
+AKSKMLTPEHVFIHPGWKLLEVPEGRTNFDNDIALVRLKDPVKMGPTVSPICLPGTSSDY
+NLMDGDLGLISGWGRTEKRDRAVRLKAARLPVAPLRKCKEVKVEKPTADAEAYVFTPNMI
+CAGGEKGMDSCKGDSGGAFAVQDPNDKTKFYAAGLVSWGPQCGTYGLYTRVKNYVDWI
+>P0C862_PF01391_25 <unknown description>
+QGHPGIPGNPGHNGLPGRDGRDGAKGDKGDAGEPGRPGSPGKDGTSGEKGERGADGK
+>P0C862_PF01391_90 <unknown description>
+DQGSRGSPGKHGPKGLAGPMGEKGLRGETGPQGQKGNKGDVGPTGPEGPRGNIGPLG
+>P0C862_PF01391_131 <unknown description>
+GPTGPEGPRGNIGPLGPTGLPGPMGPIGKPGPKGEAGPTGPQGEPGVRGIRGWKGDRGE
+>P0C862_PF00386_203 <unknown description>
+AFTVGLTVLSKFPSSDMPIKFDKILYNEFNHYDTAAGKFTCHIAGVYYFTYHITVFSRNV
+QVSLVKNGVKILHTKDAYMSSEDQASGGIVLQLKLGDEVWLQVTGGERFNGLFADEDDDT
+TFTGFLL
+>P11586_PF00763_7 <unknown description>
+LNGKEISAQIRARLKNQVTQLKEQVPGFTPRLAILQVGNRDDSNLYINVKLKAAEEIGIK
+ATHIKLPRTTTESEVMKYITSLNEDSTVHGFLVQLPLDSENSINTEEVINAIAPEKDVD
+>P11586_PF02882_129 <unknown description>
+SINAGKLARGDLNDCFIPCTPKGCLELIKETGVPIAGRHAVVVGRSKIVGAPMHDLLLWN
+NATVTTCHSKTAHLDEEVNKGDILVVATGQPEMVKGEWIKPGAIVIDCGINYVPDDKKPN
+GRKVVGDVAYDEAKERASFITPVPGGVGPMTVAMLMQSTVESAKR
+>P11586_PF01268_318 <unknown description>
+SDIDISRSCKPKPIGKLAREIGLLSEEVELYGETKAKVLLSALERLKHRPDGKYVVVTGI
+TPTPLGEGKSTTTIGLVQALGAHLYQNVFACVRQPSQGPTFGIKGGAAGGGYSQVIPMEE
+FNLHLTGDIHAITAANNLVAAAIDARIFHELTQTDKALFNRLVPSVNGVRRFSDIQIRRL
+KRLGIEKTDPTTLTDEEINRFARLDIDPETITWQRVLDTNDRFLRKITIGQAPTEKGHTR
+TAQFDISVASEIMAVLALTTSLEDMRERLGKMVVASSKKGEPVSAEDLGVSGALTVLMKD
+AIKPNLMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLVGPEGFVVTEAGFGADIGM
+EKFFNIKCRYSGLCPHVVVLVATVRALKMHGGGPTVTAGLPLPKAYIQENLELVEKGFSN
+LKKQIENARMFGIPVVVAVNAFKTDTESELDLISRLSREHGAFDAVKCTHWAEGGKGALA
+LAQAVQRAAQAPSSFQLLYDLKLPVEDKIRIIAQKIYGADDIELLPEAQHKAEVYTKQGF
+GNLPICMAKTHLSLSHNPEQKGVPTGFILPIRDIRASVGAGFLYPLVGTMSTMPGLPTRP
+CFYDIDLDPETEQVNGLF
+>Q6UB35_PF00763_84 <unknown description>
+EVLSLLQEKNPAFKPVLAIIQAGDDNLMQEINQNLAEEAGLNITHICLPPDSSEAEIIDE
+ILKINEDTRVHGLALQISENLFSNKVLNALKPEKDVD
+>Q6UB35_PF02882_184 <unknown description>
+DINLGKLVRGDAHECFVSPVAKAVIELLEKSGVNLDGKKILVVGAHGSLEAALQCLFQRK
+GSMTMSIQWKTRQLQSKLHEADIVVLGSPKPEEIPLTWIQPGTTVLNCSHDFLS
+>Q6UB35_PF01268_361 <unknown description>
+SDIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVRLSVLERLKDQADGKYVLVAGI
+TPTPLGEGKSTVTIGLVQALTAHLNVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEE
+FNLHLTGDIHAITAANNLLAAAIDTRILHENTQTDKALYNRLVPLVNGVREFSEIQLARL
+KKLGINKTDPSTLTEEEVSKFARLDIDPSTITWQRVLDTNDRFLRKITIGQGNTEKGHYR
+QAQFDIAVASEIMAVLALTDSLADMKARLGRMVVASDKSGQPVTADDLGVTGALTVLMKD
+AIKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGM
+EKFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCN
+LQKQIQITQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSVGGKGSVD
+LARAVREAASKRSRFQFLYDVQVPIVDKIRTIAQAVYGAKDIELSPEAQAKIDRYTQQGF
+GNLPICMAKTHLSLSHQPDKKGVPRDFILPISDVRASIGAGFIYPLVGTMSTMPGLPTRP
+CFYDIDLDTETEQVKGLF
+>Q96HQ2_PF11952_25 <unknown description>
+EQFRSYSESEKQWKARMEFILRHLPDYRDPPDGSGRLDQLLSLSMVWANHLFLGCSYNKD
+LLDKVMEMADGIEVEDLPQFTTRSELMKK
+>O14523_PF18696_102 <unknown description>
+WQRAWVRALNEQACRNGSSIQIAFEEVPQLPPRASISHVTCVDQSEHTMVLRCQLSAEEV
+RFPVSVTQQSPAAVSMETYHVTLTLPPTQLEVNLEEIPGEGLLISWAFTDRPDLSLTVLP
+KLQARERGEEQVELSTIEELIKDAIVSTQPAM
+>Q8TF44_PF00168_321 <unknown description>
+RLRVHLLAAEGLYDRLCDARSINCCVGLCLVPGKLQKQRSTIVKNSRRPVFNEDFFFDGL
+GPASVRKLALRIKVVNKGSSLKRDTLLGEKELPLTSL
+>Q9Y426_PF18696_77 <unknown description>
+WQAAWVTALNEEAERKGGPPFLSFEEDPRQQALELVVQEVSSVLRSAEEKVVVCHVVGQA
+IQFLVSETPALGAGCRLYDMRLSPFHLQLEFHMKEKREDLQISWSFISVPEMAVNIQPKA
+LGEDQVAETSAMSDVLKDILKHLAGSASPSV
+>Q9Y426_PF00168_270 <unknown description>
+IHVLLLSEPGASGHINAVCVVQLNDPVQRFSSTLTKNTPDLMWEEEFTFELNAKSKELHL
+QISEAGRSSEGLLATATVPLDLFKKQPSGPQSF
+>Q4AC94_PF00168_824 <unknown description>
+KQSPCNVYLNCKLFSTEEVTRSVIAWGTTQPVFNFSQVIPVSLSSKYLERLKNNVMVIET
+WNKVRSPGQDKLLGLVKLPLHQF
+>Q4AC94_PF00168_1199 <unknown description>
+ISVQIIRACGLQAAAKALAEREPALQFSATVGVNASVTTHLSFLPQGEQRRTHPVACSFC
+PEFSHHVEFTCNLVTQHCSGEACFLAELLEFAEVIFAVYHENTKSASDIISIESCKEYLL
+GVVKVPTKELLIKRSGITGWYPI
+>Q4AC94_PF00168_1641 <unknown description>
+VERAMHLSLKGSPLTERKVSIPSCCVSFATADESSPVYTQVVENTDSPIWNFQQQSRLSK
+ELLLDPQQTLVFKVWHKGDEERVIGFASVDLSPLLSGFQFVCGWYN
+>Q86YS7_PF00168_3 <unknown description>
+GKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEVDD
+EDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPI
+>Q6P1N0_PF00168_661 <unknown description>
+IVKGINLPTPPGLSPGDLDVFVRFDFPYPNVEEAQKDKTSVIKNTDSPEFKEQFKLCINR
+SHRGFRRAIQTKGIKFEVVHKGGLFKTDRVLGTAQLKLDAL
+>Q5T0F9_PF00168_696 <unknown description>
+HLIIVRGMNLPAPPGVTPDDLDAFVRFEFHYPNSDQAQKSKTAVVKNTNSPEFDQLFKLN
+INRNHRGFKRVIQSKGIKFEIFHKGSFFRSDKLVGTAHLKLERLENECEIR
+>Q9P2K1_PF17661_131 <unknown description>
+LRSPSKKELETEFGTEPGKEVERTQQEVDSQSYSRVKFHDSARKIKPKPQVPPGFPSAEE
+AYNFFTFNFDPEPEGSEEKPKARHRAGTNQEEEEGEEEEPPAQGGGKEMDEEELLNGDDA
+EDFLLGLDHVADDFVAVRPADYESIHDRLQMEREMLFIPSRQTVPTYKKLPENVQPRFLE
+DEGLYTGVRPEVARTNQNIMENRLLMQDPERRWFGDDGRILALPNPIKPFPSRPPVLTQE
+QSIKAELETLYKK
+>Q9P2K1_PF15625_647 <unknown description>
+EPTLVPELSLAGSVTPNDQCPRAEVSRREDVKKRSVYLKVLFNNKEVSRTVSRPLGADFR
+VHFGQIFNLQIVNWPESLTLQVYETVGHSSPTLLAEVFLPIPETTVVTGRAPTEEVEFSS
+NQHVTLDHEGVGSGVPFSFEADGSNQLTLMTSGKVSHSVAWAIGENGIPLIPP
+>Q9P2K1_PF00168_1043 <unknown description>
+LLVNIVRAYDIPVRKPAVSKFQQPSRSSRMFSEKHAASPSTYSPTHNADYPLGQVLVRPF
+VEVSFQRTVCHTTTAEGPNPSWNEELELPFRAPNGDYSTASLQSVKDVVFINIFDEVLHD
+VLEDDRERGSGIHTRIERHWLGCVKMPFSTIYFQARIDGTF
+>Q6DHV5_PF17661_23 <unknown description>
+EEIIDKHLQKDLDAEENQNVAKTLRGKVREKLKISKINKGEKSSTEQLIDSEIHQRSKLS
+PQTEVSLDESLSFFILSGEEGSALGKSSEQRPVNRSYPKCFSLGVNLQNVAESEEEEFMK
+EFILTDILKVKAADYEDDQEQIKKQKANIFVPSSSPVVNQRKLPKDMMPRILEDEGFYIQ
+RKPEIYKKTCNKMENRLLKLEEGKCWFGESGEIMSLPTPIKQSWNFRLNVRKEPLNPLLK
+TIYRK
+>Q6DHV5_PF15625_471 <unknown description>
+LRPQLSFTAELTSLSKCSLHEQKRRAKIQKLKYFIKIFYNNKQVSCTSVSPLQFDFKVMF
+QQIFNIQLMYWPEVICLEVYEKSKRTSLLAKLYIPLPNYTELKGKTALQYVEFSSDKLVM
+PADGEVGSNVPFLLEGNGTEELCLLTSGKLSYSLSWSLDENGLPLIP
+>B7Z1M9_PF00168_232 <unknown description>
+GRLRLRLVSAEGLPRPRSRPGSGGGGCCVVLRLRPRVRPREQQSRVVKCSANPIFNEDFF
+FDGLGPPDLAARSLRAKVLDRGAGLRRDVLLGECETPL
+>Q16581_PF00001_40 <unknown description>
+GNGLVLWVAGLKMQRTVNTIWFLHLTLADLLCCLSLPFSLAHLALQGQWPYGRFLCKLIP
+SIIVLNMFASVFLLTAISLDRCLVVFKPIWCQNHRNVGMACSICGCIWVVAFVMCIPVFV
+YREIFTTDNHNRCGYKF
+>Q16581_PF00001_334 <unknown description>
+VAITITRLVVGFLLPSVIMIACYSFIVFRMQRGRFAKSQSKTFRVAVVVVAVFLVCWTPY
+HIFGVLSLLTDPETPLGKTLMSWDHVCIALASANSCFNPFLY
+>Q9NRR3_PF00786_28 <unknown description>
+MIGEPTNFVHTAHVGS
+>P04003_PF00084_50 <unknown description>
+CGPPPTLSFAAPMDITLTETRFKTGTTLKYTCLPGYVRSHSTQTLTCNSDGEWVYN
+>P04003_PF00084_113 <unknown description>
+CRHPGELRNGQVEIKTDLSFGSQIEFSCSEGFFLIGSTTSRCEVQDRGVGWSHPLPQC
+>P04003_PF00084_175 <unknown description>
+CKPPPDIRNGRHSGEENFYAYGFSVTYSCDPRFSLLGHASISCTVENETIGVWRPSPPTC
+>P04003_PF00084_239 <unknown description>
+CRKPDVSHGEMVSGFGPIYNYKDTIVFKCQKGFVLRGSSVIHCDADSKWNPSPPAC
+>P04003_PF00084_299 <unknown description>
+CINLPDIPHASWETYPRPTKEDVYVVGTVLRYRCHPGYKPTTDEPTTVICQKNLRWTPYQ
+>P04003_PF00084_365 <unknown description>
+CPEPKLNNGEITQHRKSRPANHCVYFYGDEISFSCHETSRFSAICQGDGTWSPRTPSC
+>P04003_PF00084_426 <unknown description>
+CNFPPKIAHGHYKQSSSYSFFKEEIIYECDKGYILVGQAKLSCSYSHWSAPAPQC
+>P04003_PF00084_484 <unknown description>
+CRKPELVNGRLSVDKDQYVEPENVTIQCDSGYGVVGPQSITCSGNRTWYPEVPKC
+>P04003_PF18453_541 <unknown description>
+ETPEGCEQVLTGKRLMQCLPNPEDVKMALEVYKLSLEIEQLELQRDSAR
+>P20851_PF00084_46 <unknown description>
+TYVCIKGYHLVGKKTLFCNASKEWDNTTTEC
+>P20851_PF00084_81 <unknown description>
+CPDPVLVNGEFSSSGPVNVSDKITFMCNDHYILKGSNRSQCLEDHTWAPPFPIC
+>P20851_PF00084_139 <unknown description>
+CDPPGNPVHGYFEGNNFTLGSTISYYCEDRYYLVGVQEQQCVDGEWSSALPVC
+>Q99643_PF01127_48 <unknown description>
+SNRPLSPHITIYSWSLPMAMSICHRGTGIALSAGVSLFGMSALLLPGNFESYLELVKSLC
+LGPALIHTAKFALVFPLMYHTWNGIRHLMWDLGKGLKIPQLYQSGVVVLVLTVLSS
+>Q8N8Q1_PF03188_54 <unknown description>
+WHPVFMALAFCLCMAEAILLFSPEHSLFFFCSRKARIRLHWAGQTLAILCAALGLGFIIS
+SRTRSELPHLVSWHSWVGALTLLATAVQALCGLCLLCPRAARVSRVARLKLYHLTCGLVV
+YLMATVTVLLGMYSVWFQ
+>O14569_PF03188_47 <unknown description>
+WHPVLMSLAFSFLMTEALLVFSPESSLLHSLSRKGRARCHWVLQLLALLCALLGLGLVIL
+HKEQLGKAHLVTRHGQAGLLAVLWAGLQCSGGVGLLYPKLLPRWPLAKLKLYHATSGLVG
+YLLGSASLLLGMCSLWFT
+>P21730_PF00001_54 <unknown description>
+GNALVVWVTAFEAKRTINAIWFLNLAVADFLSCLALPILFTSIVQHHHWPFGGAACSILP
+SLILLNMYASILLLATISADRFLLVFKPIWCQNFRGAGLAWIACAVAWGLALLLTIPSFL
+YRVVREEYFPPKVLCGVDYSHDKRRERAVAIVRLVLGFLWPLLTLTICYTFILLRTWSRR
+ATRSTKTLKVVVAVVASFFIFWLPYQVTGIMMSFLEPSSPTFLLLKKLDSLCVSFAYINC
+CINPIIY
+>Q9P296_PF00001_52 <unknown description>
+GNAMVAWVAGKVARRRVGATWLLHLAVADLLCCLSLPILAVPIARGGHWPYGAVGCRALP
+SIILLTMYASVLLLAALSADLCFLALGPAWWSTVQRACGVQVACGAAWTLALLLTVPSAI
+YRRLHQEHFPARLQCVVDYGGSSSTENAVTAIRFLFGFLGPLVAVASCHS
+>A0A1B0GU71_PF13879_27 <unknown description>
+HRRKVQSAQPLVDTRAPLTFRHLHLKLKRLKLEEERLSVIERDNRLLLEKVASVMRTRGQ
+TDSKNNSKHR
+>Q8TCZ2_PF12301_30 <unknown description>
+FNLEDAVKETSSVKQPWDHTTTTTTNRPGTTRAPAKPPGSGLDLADALDDQDDGRR
+>Q8TCZ2_PF12301_93 <unknown description>
+ERWNHVTTTTKRPVTTRAPANTLGNDFDLADALDDRNDRDDGRRKPIAGGGGFSDKDLED
+IVGGGEYKPDKGKGDGRYGSNDDPGSGMVAEPGTIAGVASALAMALIGAVSSYISYQQKK
+FCFSIQQGLNADYVKGENLEAVVCEEP
+>Q9H246_PF15389_2 <unknown description>
+GCASAKHVATVQNEEEAQKGKNYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQE
+NLEKSASSNVRLKTNKEVPGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEE
+>Q9BWL3_PF07406_6 <unknown description>
+NWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKEEIDIR
+LSRVQDIKYEPQLLADDDARLLQLETQGNQSCYNYLYRMKALDAIRTSEIPFHSEGRHPR
+SLMGKNFRSYLLDLRNTSTPFKGVRKALIDTLLDGYETARYGTGVFGQNEYLRYQEALSE
+LA
+>Q9BV19_PF10504_28 <unknown description>
+ALVELTPTPGGLALVSPYHTHRAGDPLDLVALAEQVQKADEFIRANATNKLTVIAEQIQH
+LQEQARKVLEDAHRDANLHHVACNIVKKPGNIYYLYKRESGQQYFSIISPKEWGTSCPHD
+FLGAYKLQHDLSWTPYEDIEKQDAKISMMDTLLS
+>Q8N6N3_PF15559_23 <unknown description>
+SDEEDNIEPEETSRRTPDPAKSAGGCRNKAEKRLPGPDELFRSVTRPAFLYNPLNKQIDW
+ERHVVKAPEEPPKEFKIWKSNYVPPPETYTTEKKPPPPELDMAIK
+>Q5VUE5_PF17653_83 <unknown description>
+HAAACAAGQLNYVDPATGYVVLTQIAHLQRGECCGSACRHCPYGQVN
+>Q8WWF1_PF15465_1 <unknown description>
+MDVLFVAIFAVPLILGQEYEDEERLGEDEYYQVVYYYTVTPSYDDFSADFTIDYSIFESE
+DRLNRLDKDITEAIETTISLETARADHPKPVTVKPVTTEPSPDLNDAVSSLRSPIPLLLS
+CAFVQVGMY
+>Q96LT6_PF14953_22 <unknown description>
+TLGMGKRRSPPQAICLHLAGEVLAVARGLKPAVLYDCNCAGASELQSYLEELKGLGFLTF
+GLHILEIGENSLIVSPEHVCQHLEQVLLGTIAFVDVSSCQRHPSVCSLDQLQDLKALVAE
+IITHLQGLQRDLSLAVSYSRLHSSDWNLCTVFGILLGYPVPYTFHLNQGDDNCLALTPLR
+VFTARISWLLGQPPILLYSFSVPESLFPGLRDILNTWEKDLRTRFRTQNDFADLSISSEI
+VTLPAVAL
+>Q8N0U7_PF17743_1 <unknown description>
+MSSAWKTPRGSDAMPEIMVKIIGSKHFQYLVEKPKIKENDSLKTETQTMHQKPMTDNARQ
+MSRDTPVPINFTDQQTTDNPDDVKEKKHPENNQKSENNQKLLTGANSSRFLDGNIPSQAN
+VHCSSVPTGDQSLSYVHGIPRRKLRDWSLEQMVRGSSDQPEDIGQSPSGTTNEDAFLLAL
+VRRELKSRPLSSNLLEKLQKELKILDPISSGFLLQSQLSRLFLKHEVPLQLPTVKILCQR
+FSKRGSPEMVNYEKLLWFLNSAASDYPQQNKAAADLRKTESHGTHSQSTPPQHSSSQPEV
+NRSLLEILKMALRTTNGRLNIDNLNLSFRKEDRSFSGCLPLPKVRAICGKHGLYLTLSLL
+ETLLNHQDLGYQNEIKWQNFVEMLTRASSDLLSDLPTGKNEKKAPAPPMEPEVPEMSQSK
+TEHMKTPEEELQPESSPAETSACKDPLKPLKIRPVSQPFVNPAVKNKAEECETWIDRFRK
+LENALYLCDLSNTGVLEKERARRLIHNYNLIYNLSLSPQKIDQALRRFRSGENMLLEPAL
+RYLKEL
+>Q6P1W5_PF15752_199 <unknown description>
+DCDSATSTVTDILCAAEVKSSKGTEDRGRILGDSNLQVSKLLSQFPLKSTETSKVPDNKN
+VLDKTRVTKDFLQDNLFSGPGPKEPTGLSPFLLLPPRPPPARPDKLPELPAQKRQLPVFA
+KICSKPKADPAVERHHLMEWSPGTKEPKKGQGSLFLSQWPQSQKDACGEEGCCDAVGTAS
+LTLPPKKPTCPAEKNLLYEFLGATKNPSGQPRLRNKVEVDGPELKFNAPVTVADKNNPKY
+TGNVFTPHFPTAMTSATLNQPLWLNLNYPPPPVFTNHSTFLQYQGLYPQQAARMPYQQAL
+HPQLGCYSQQVMPYNPQQMGQQIFRSSYTPLLSYIPFVQPNYPYPQRTPPKMSANPRDPP
+LMAGDGPQYLFPQGYGFGSTSGGPLMHSPYFSSSGNGINF
+>Q5SVJ3_PF17670_1 <unknown description>
+MTAIRLREFIERRPVIPPSIFIAHQGRDVQGYYPGQLARLHFDHSAKRAPRPLIDLTIPP
+KTKYHYQPQLDQQTLIRYICLRRHSKPAEPWYKETTYRRDYSLPFYEIDWNQKLATVSLN
+PRPLNSLPELYCCEERSSFE
+>O95561_PF15081_17 <unknown description>
+PWLSEASLVNKPLVLSLPRRYPHTSATFLTSSKKNMNLPILFQVPDVLSKARRNQCDSML
+LRNQQLCSTCQEMKMVQPRTMKIPDDPKASFENCMSYRMSLHQPKFQTTPEPFHDDIPTE
+SIHYRLPILGPRTAVFHGLLTEAYKTLKERQRSSLPRKEPIGKTTR
+>Q9NX04_PF15011_13 <unknown description>
+ALKKCFPVVEEQQGLWQSALRDCQPLLSSLSNLAEQLQAAQNLRFEDVPALRAFPDLKER
+LRRKQLVAGDIVLDKLGERLAILLKVRDMVSSHVERVFQIYEQHADTVGIDAVLQPSAVS
+PSVADMLEWLQDIERHYRKSYLKRKYLLSSIQWGDLANIQAL
+>Q9NSG2_PF14868_176 <unknown description>
+HAFHANTWKFIIKQSLKHQSIIKSQLKHKDIITSLCEDILFSFHSCLQLAEQMTQSDAQD
+NADYRLFQKTLKLCRFFANSLLHYAKEFLPFLSDSCCTLHQLYLQIHSKFPPSLYATRIS
+KAHQEEIAGAFLVTLDPLISQLLTFQPFMQVVLDSKLDLPCELQFPQCLLLVVVMDKLPS
+QPKEVQTLWCTDSQVSETTTRISLLKAVFYSFEQCSGELSLPVHLQGLKSKGKAEVAVTL
+YQHVCVHLCTFITSFHPSLFAELDAALLNAVLSANMITSLLAMDAWCFLARYGTAELCAH
+HVTIVAHLIKSCPGECYQLINLSILLKRLFFFMAPPHQLEFIQKFSPKEAENLPLWQHIS
+FQALPPELREQTVHEVTTVGTAECRKWLSRSRTLGELESLNTVLSALLAVCNSAGEALDT
+GKQTAIIEVVSQLWAFLNIKQVADQPYVQQTFSLLLPLLGFFIQTLDPKLILQAVTLQTS
+LLKLELPDYVRLAMLDFVSSLGKLFIPEAIQDRILPNLSCMFALLLADRSWLLEQHTLEA
+FTQFAEGTNHEEI
+>Q6ZSJ8_PF15855_10 <unknown description>
+SRGEAAGVDRGKAGLGLGGRPPPQPPREERAQQLLDAVEQRQRQLLDTIAACEEMLRQLG
+RRRPEPAGGGNVSAKPGAPPQPAVSARGGFPKDAGDGAAEP
+>Q8NDD1_PF15375_135 <unknown description>
+SNKKRKLTPDHNKNTKQANPSVLERDVDTQEFNLEKARLEVHRFGITGYGKGKERILEQE
+RAIMLGAKPPKKSYVNYKVLQEQIKEKKAAKEEEKRLAQETDIFKKKKRKGQEDRKSKKK
+>Q5JVX7_PF15078_1 <unknown description>
+MAEKILEKLDVLDKQAEIILARRTKINRLQSEGRKTTMAIPLTFDFQLEFEEALATSASK
+AISKIKEDKSCSITKSKMHVSFKCEPEPRKSNFEKSNLRPFFIQTNVKNKESEST
+>Q5JVX7_PF15078_115 <unknown description>
+TAQIEKKPRKPLDSVGLLEGDRNKRKKSPQMNDFNIKENKSVRNYQLSKYRSVRKKSLLP
+LCFEDELKNPHAKIVNVSPTKTVTSHMEQKDTNPIIFHDTEYVRMLLLTKNRFSSHPLEN
+ENIYPHKRTNFILERNCEILKSIIGNQSISLFKPQKTMPTVQRKDIQIPMSFKAGHTTVD
+DKLKKKTNKQTLENRSWNTLYNFSQNFSSLTKQFVGYLDKAVIHEMSAQTGKFERMFSAG
+KPTSIPTSSALPVKCYSKPFKYIYELNNVTPLDNLLN
+>Q96HA4_PF14946_25 <unknown description>
+AQLPECCVDVVGVNASCPGASLCGPGCYRRWNADGSASCVRCGNGTLPAYNGSECRSFAG
+PGAPFPMNRSSGTPGRPHPGAPRVAASLFLGTFFISSGLILSVAGFFYLKRSSKLPRACY
+RRNKAPALQPGEAAAMIPPPQSSVRKPRYVRRERPLDRATDPAAFPGEARISNV
+>Q8IYL3_PF15772_6 <unknown description>
+LTGAVRSSARLKARSCSAARLASAQEVAGSTSAKTACLTSSSHKATDTRTSKKFKCDKGH
+LVKSELQKLVPKNDSASLPKVTPETPCENEFAEGSALLPGSEAGVSVQQGAASLPLGGCR
+VVSDSRLAKTRDGLSVPKHSAGSGAEESNSSSTVQKQNEPGLQTEDVQKPPLQMDNSVFL
+DDDSNQPMPVSRFFGNVELMQDLPPASSSCPSMSRREFRKMHFRAKDDDDDD
+>Q5T7R7_PF15842_6 <unknown description>
+GFFNYLTYFLAAGAVTLGIGFFALASALWFLICKRREIFQNSKFKAIDERCRQRPSMAKI
+KSHSQCVFISRNFHTGRFQLQEEQRKKEAAHIKAIKDHSKDEPQLATKNIICDPSETSST
+TNRSSVTLSLSTLPSDSYYSQSIEAADDWFSDDSLVKRNSPMPSLGEPLMEKVFSYLSTI
+SLEE
+>Q9H425_PF15797_31 <unknown description>
+TYFSSLSPMARKIMQDKEKIREKYGPEWARLPPAQQDEIIDRCLVGPRAPAPRDPGDSEE
+LTRFPGLRGPTGQKVVRFGDEDLTWQDEHSAPFSWETKSQMEFS
+>Q8IVY1_PF15176_27 <unknown description>
+GARAWPVLVGFVLGAVVLSLLIALAAKCHLCRRYHASYRHRPLPET
+>Q8TAB5_PF15546_1 <unknown description>
+MFAIQPGLAEGGQFLGDPPPGLCQPELQPDSNSNFMASAKDANENWHGMPGRVEPILRRS
+SSESPSDNQAFQAPGSPEEGVRSPPEGAEIPGAEPEKMGGAGTVCSPLEDNGYASSSLSI
+DSRSSSPEPACGTPRGPGPPDPLLPSVAQAVQHLQVQERYKEQEKEKHHVHLVMYRRLAL
+LQWIRGLQHQLIDQQARLQESFDTILDNRKELIRCLQQRAAPSRPQDQ
+>A1L170_PF15429_1 <unknown description>
+MFENLNTALTPKLQASRSFPHLSKPVAPGSAPLGSGEPGGPGLWVGSSQHLKNLGKAMGA
+KVNDFLRRKEPSSLGSVGVTEINKTAGAQLASGTDAAPEAWLEDERSVLQETFPRLDPPP
+PITRKRTPRALKTTQDMLISSQPVLSSLEYGTEPSPGQAQDSAPTAQPDVPADASQPEAT
+MEREERGKVLPNGEVSLSVPDLIHKDSQDESKLKMTECRRASSPSLIERNGFKLSLSPIS
+LAESWEDGSPPPQARTSSLDNEGPHPDLLSFE
+>P54289_PF08399_104 <unknown description>
+AEKVQAAHQWREDFASNEVVYYNAKDDLDPEKNDSEPGSQRIKPVFIEDANFGRQISYQH
+AAVHIPTDIYEGSTIVLNELNWTSALDEVFKKNREEDPSLLWQVFGSATGLARYYPASPW
+>P54289_PF13768_253 <unknown description>
+DMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQDVSCFQHLVQANV
+RNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIMLFTDGGEERAQEI
+FNKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYY
+>P54289_PF08473_633 <unknown description>
+SETLKPDNFEESGYTFIAPRDYCNDLKISDNNTEFLLNFNEFIDRKTPNNPSCNADLINR
+VLLDAGFTNELVQNYWSKQKNIKGVKARFVVTDGGITRVYPKEAGENWQENPETYEDSFY
+KRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKAVEIYIQGKLLKPAVVGIKIDVNSWIEN
+FTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGGFLLMANHDDYTNQIGRFFGEIDPSLMR
+HLVNISVYAFNKSYDYQSVCEPGAAPKQGAGHRSAYVPSVADILQIGWWATAAAWSILQQ
+FLLSLTFPRLLEAVEMEDDDFTASLSKQSCITEQTQYFFDNDSKSFSGVLDCGNCSRIFH
+GEKLMNTNLIFIMVESKGTCPCDTRLLIQAEQTSDGPNPCDMVKQPRYRKGPDVCFDNNV
+LEDYTDCG
+>Q9NY47_PF08399_141 <unknown description>
+AENFQKAHRWQDNIKEEDIVYYDAKADAELDDPESEDVERGSKASTLRLDFIEDPNFKNK
+VNYSYAAVQIPTDIYKGSTVILNELNWTEALENVFMENRRQDPTLLWQVFGSATGVTRYY
+PATPW
+>Q9NY47_PF00092_291 <unknown description>
+DMVIIVDVSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANV
+RNKKVFKEAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDV
+FEKYNWPNRTVRVFTFSVGQHNYDVTPLQWMACANKGYYFEIPSIG
+>Q9NY47_PF08473_662 <unknown description>
+YFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTPDSKQCNNFLLH
+NLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAEDWTENPEPFNAS
+FYRRSLDNHGYVFKPPHQDALLRPLELENDTVGILVSTAVELSLGRRTLRPAVVGVKLDL
+EAWAEKFKVLASNRTHQDQPQKCGPNSHCEMDCEVNNEDLLCVLIDDGGFLVLSNQNHQW
+DQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPRGVFVPTVADFL
+NLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQTQYYFGSVNAS
+YNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHSDGPEQCELVQR
+PRYRRGPHICFDYNATEDTSDCG
+>Q8IZS8_PF08399_113 <unknown description>
+AEEAHLKHEFDADLQYEYFNAVLINERDKDGNFLELGKEFILAPNDHFNNLPVNISLSDV
+QVPTNMYNKDPAIVNGVYWSESLNKVFVDNFDRDPSLIWQYFGSAKGFFRQYPGIKW
+>Q8IZS8_PF13768_255 <unknown description>
+KDVVILVDVSGSMKGLRLTIAKQTVSSILDTLGDDDFFNIIAYNEELHYVEPCLNGTLVQ
+ADRTNKEHFREHLDKLFAKGIGMLDIALNEAFNILSDFNHTGQGSICSQAIMLITDGAVD
+TYDTIFAKYNWPDRKVRIFTYLIGREAAFADNLKWMACANKGF
+>Q8IZS8_PF08473_654 <unknown description>
+SYCNTDLHPEHRHLSQLEAIKLYLKGKEPLLQCDKELIQEVLFDAVVSAPIEAYWTSLAL
+NKSENSDKGVEVAFLGTRTGLSRINLFVGAEQLTNQDFLKAGDKENIFNADHFPLWYRRA
+AEQIPGSFVYSIPFSTGPVNKSNVVTASTSIQLLDERKSPVVAAVGIQMKLEFFQRKFWT
+ASRQCASLDGKCSISCDDETVNCYLIDNNGFILVSEDYTQTGDFFGEIEGAVMNKLLTMG
+SFKRITLYDYQAMCRANKESSDGAHGLLDPYNAFLSAVKWIMTELVLFLVEFNLCSWWHS
+DMTAKAQKLKQTLEPCDTEYPAFVSERTIKETTGNIACEDCSKSFVIQQIPSSNLFMVVV
+DSSCLCESVAPITMAPIEIRYNESLKCERLKAQKIRRRPESCHGFHPEENARECG
+>Q7Z3S7_PF08399_148 <unknown description>
+AEEADLNHEFNESLVFDYYNSVLINERDEKGNFVELGAEFLLESNAHFSNLPVNTSISSV
+QLPTNVYNKDPDILNGVYMSEALNAVFVENFQRDPTLTWQYFGSATGFFRIYPGIKW
+>Q7Z3S7_PF13768_290 <unknown description>
+KDIVILVDVSGSMKGLRMTIAKHTITTILDTLGENDFINIIAYNDYVHYIEPCFKGILVQ
+ADRDNREHFKLLVEELMVKGVGVVDQALREAFQILKQFQEAKQGSLCNQAIMLISDGAVE
+DYEPVFEKYNWPDCKVRVFTYLIGREVSFADRMKWIACNNKGYY
+>Q7Z3S7_PF08473_687 <unknown description>
+YCITDIDPDHRKLSQLEAMIRFLTRKDPDLECDEELVREVLFDAVVTAPMEAYWTALALN
+MSEESEHVVDMAFLGTRAGLLRSSLFVGSEKVSDRKFLTPEDEASVFTLDRFPLWYRQAS
+EHPAGSFVFNLRWAEGPESAGEPMVVTASTAVAVTVDKRTAIAAAAGVQMKLEFLQRKFW
+AATRQCSTVDGPCTQSCEDSDLDCFVIDNNGFILISKRSRETGRFLGEVDGAVLTQLLSM
+GVFSQVTMYDYQAMCKPSSHHHSAAQPLVSPISAFLTATRWLLQELVLFLLEWSVWGSWY
+DRGAEAKSVFHHSHKHKKQDPLQPCDTEYPVFVYQPAIREANGIVECGPCQKVFVVQQIP
+NSNLLLLVTDPTCDCSIFPPVLQEATEVKYNASVKCDRMRSQKLRRRPDSCHAFHPEENA
+QDCG
+>Q9H8G2_PF15335_133 <unknown description>
+LKPVSFYISDKKEMLQQCFCIIGEKKLQKMLPDVLKNCSIEEIKKLCQEQLELLSEKKIL
+KIL
+>Q9Y376_PF08569_4 <unknown description>
+PFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEKEPQ
+TEAVAQLAQELYNSGLLSTLVADLQLIDFEGKKDVAQIFNNILRRQIGTRTPTVEYICTQ
+QNILFMLLKGYESPEIALNCGIMLRECIRHEPLAKIILWSEQFYDFFRYVEMSTFDIASD
+AFATFKDLLTRHKLLSAEFLEQHYDRFFSEYEKLLHSENYVTKRQSLKLLGELLLDRHNF
+TIMTKYISKPENLKLMMNLLRDKSRNIQFEAFHVFKVFVANPNKTQPILDILLKNQAKLI
+EFLSKFQNDRTEDEQFNDEKTYLVKQIRDLK
+>Q9Y6J0_PF09047_2156 <unknown description>
+TLLSPKGSISEETKQKLKSAILSAQSAANVRKESL
+>Q8TDN4_PF00134_527 <unknown description>
+AQEDCGLEEPTVAMAFVYFEKLALKGKLNKQNRKLCAGACVLLAAKIGSDLKKHEVKHLI
+DKLEEKFRLNRRELIAFEFPVLVALEFAL
+>Q9BTV7_PF00134_367 <unknown description>
+REMRSLSEECSLEPVTVAMAYVYFEKLVLQGKLSKQNRKLCAGACVLLAAKISSDLRKSG
+VTQLIDKLEERFRFNRRDLIGFEFTVLVALELAL
+>Q9NZU7_PF13499_228 <unknown description>
+EELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTEMELIELSQQINMNLGGHVDFDDFV
+ELM
+>Q9NZU7_PF13499_306 <unknown description>
+ELRDAFREFDTNGDGEISTSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFV
+RMMS
+>Q9NPB3_PF00036_82 <unknown description>
+ELQVAFQEFDRDRDGYIGCRELGACMRTL
+>Q9NPB3_PF13499_156 <unknown description>
+ELRDAFREFDTNGDGRISVGELRAALKALLGERLSQREVDEILQDVDLNGDGLVDFEEFV
+RMMS
+>P57796_PF00036_133 <unknown description>
+ELQAAFEEFDTDRDGYISHRELGDCMRTL
+>P57796_PF13499_210 <unknown description>
+ELRIAFREFDRDRDGRITVAELREAVPALLGEPLAGPELDEMLREVDLNGDGTVDFDEFV
+>Q9NP86_PF13499_31 <unknown description>
+EELREAFLEFDKDRDGFISCKDLGNLMRTMGYMPTEMELIELGQQIRMNLGGRVDFDDFV
+ELM
+>Q9NP86_PF13499_109 <unknown description>
+EMRDAFKEFDTNGDGEITLVELQQAMQRLLGERLTPREISEVVREADVNGDGTVDFEEFV
+KMMS
+>Q86V35_PF13499_36 <unknown description>
+EEIREAFKVFDRDGNGFISKQELGTAMRSLGYMPNEVELEVIIQRLDMDGDGQVDFEEFV
+TL
+>Q9BXU9_PF13499_81 <unknown description>
+DEIREAFRVLDRDGNGFISKQELGMAMRSLGYMPSEVELAIIMQRLDMDGDGQVDFDEFM
+TI
+>Q96KC9_PF15367_1 <unknown description>
+MAEDGLPKIYSHPPTESSKTPTAATIFFGADNAIPKSETTITSEGDHVTSVNEYMLESDF
+STTTDNKLTAKKEKLKSEDDMGTDFIKSTTHLQKEITSLTGTTNSITRDSITEHFMPVKI
+GNISSPVTTVSLIDFSTDIAKEDILLATIDTGDAEISITSEVSGTLKDSSAGVADAPAFP
+RKKDEADMSNYNSSIKSNVPADEAVQVTDSTIPEAEIPPAPEESFTTIPDITALEEEKIT
+EIDLSVLEDDTSAVATLTDSDEKFITVFELTTSAEKDKDKREDTLLTDEETTEGASIWME
+RDTANEAETHSVLLTAVESRYDFVVPASIATNLVEESSTEEDLSETDNTETVPKITEPFS
+GTTSVLDTPDYKEDTSTTETDIFELLKEEPDEFMI
+>O75952_PF02197_12 <unknown description>
+YGLKTLLEGISRAVLKTNPSNINQFAAAYFQELTMYR
+>O00555_PF00520_98 <unknown description>
+PPFEYMILATIIANCIVLALEQHLPDDDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGF
+AFHKGSYLRNGWNVMDFVVVLTGILATVGTEFDLRTLRAVRVLRPLKLVSGIPSLQVVLK
+SIMKAMIPLLQIGLLLFFAILIFAIIGLEFYMGKFHTTCFEEGTDDIQGESPAPCGTEEP
+ARTCPNGTKCQPYWEGPNNGITQFDNILFAVLTVFQCITMEGWTDLLYNSNDASGNTWNW
+LYFIPLIIIGSFFMLNLVLGVLSGEFAKERERV
+>O00555_PF00520_486 <unknown description>
+QAFYWTVLSLVALNTLCVAIVHYNQPEWLSDFLYYAEFIFLGLFMSEMFIKMYGLGTRPY
+FHSSFNCFDCGVIIGSIFEVIWAVIKPGTSFGISVLRALRLLRIFKVTKYWASLRNLVVS
+LLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFDEGTPPTNFDTFPAAIMTVFQILT
+GEDWNEVMYDGIKSQGGVQGGMVFSIYFIVLTLFGNYTLLNVFLAIAVDNLANAQEL
+>O00555_PF00520_1243 <unknown description>
+RYFEMCILMVIAMSSIALAAEDPVQPNAPRNNVLRYFDYVFTGVFTFEMVIKMIDLGLVL
+HQGAYFRDLWNILDFIVVSGALVAFAFTGNSKGKDINTIKSLRVLRVLRPLKTIKRLPKL
+KAVFDCVVNSLKNVFNILIVYMLFMFIFAVVAVQLFKGKFFHCTDESKEFEKDCRGKYLL
+YEKNEVKARDREWKKYEFHYDNVLWALLTLFTVSTGEGWPQVLKHSVDATFENQGPSPGY
+RMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQG
+>O00555_PF00520_1565 <unknown description>
+PPFEYTIMAMIALNTIVLMMKFYGASVAYENALRVFNIVFTSLFSLECVLKVMAFGILNY
+FRDAWNIFDFVTVLGSITDILVTEFGNNFINLSFLRLFRAARLIKLLRQGYTIRILLWTF
+VQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIGIDVEDEDSDEDEFQITEHNNFRTFFQA
+LMLLFRSATGEAWHNIMLSCLSGKPCDKNSGILTRECGNEFAYFYFVSFIFLCSFLMLNL
+FVAVIMDNFEYLTRDS
+>O00555_PF16905_1830 <unknown description>
+EYVRVWAEYDPAAWGRMPYLDMYQMLRHMSPPLGLGKKCPARVAYKRLLRMDLP
+>O00555_PF08763_1893 <unknown description>
+NSTLMALIRTALDIKIAKGGADKQQMDAELRKEMMAIWPNLSQKTLDLLVTPHKSTDLTV
+GKIYAAMMIMEYYRQSKA
+>Q00975_PF00520_95 <unknown description>
+PPFEYMILATIIANCIVLALEQHLPDGDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGF
+VFHKGSYLRNGWNVMDFVVVLTGILATAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLK
+SIMKAMVPLLQIGLLLFFAILMFAIIGLEFYMGKFHKACFPNSTDAEPVGDFPCGKEAPA
+RLCEGDTECREYWPGPNFGITNFDNILFAILTVFQCITMEGWTDILYNTNDAAGNTWNWL
+YFIPLIIIGSFFMLNLVLGVLSGEFAKERERV
+>Q00975_PF00520_482 <unknown description>
+QSFYWVVLCVVALNTLCVAMVHYNQPRRLTTTLYFAEFVFLGLFLTEMSLKMYGLGPRSY
+FRSSFNCFDFGVIVGSVFEVVWAAIKPGSSFGISVLRALRLLRIFKVTKYWSSLRNLVVS
+LLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQILT
+GEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQEL
+>Q00975_PF00520_1150 <unknown description>
+RYFEVVILVVIALSSIALAAEDPVRTDSPRNNALKYLDYIFTGVFTFEMVIKMIDLGLLL
+HPGAYFRDLWNILDFIVVSGALVAFAFSGSKGKDINTIKSLRVLRVLRPLKTIKRLPKLK
+AVFDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQYLDY
+EKEEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSPGYR
+MELSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDK
+>Q00975_PF00520_1471 <unknown description>
+PPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCLNIVFTSMFSMECVLKIIAFGVLNY
+FRDAWNVFDFVTVLGSITDILVTEIAETNNFINLSFLRLFRAARLIKLLRQGYTIRILLW
+TFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDDDTSINRHNNFRTFLQALMLLFR
+SATGEAWHEIMLSCLSNQACDEQANATECGSDFAYFYFVSFIFLCSFLMLNLFVAVIMDN
+FEYLTRDS
+>Q00975_PF16905_1728 <unknown description>
+EFIRVWAEYDPAACGRISYNDMFEMLKHMSPPLGLGKKCPARVAYKRLVRMNMP
+>Q00975_PF08763_1792 <unknown description>
+TSTLMALIRTALEIKLAPAGTKQHQCDAELRKEISVVWANLPQKTLDLLVPPHKPDEMTV
+GKVYAALMIFDFYKQNK
+>Q13936_PF00520_124 <unknown description>
+KPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIAYGL
+LFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFRVLR
+PLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYNQEG
+IADVPAEDDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCITME
+GWTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKA
+>Q13936_PF00520_524 <unknown description>
+NVFYWLVIFLVFLNTLTIASEHYNQPNWLTEVQDTANKALLALFTAEMLLKMYSLGLQAY
+FVSLFNRFDCFVVCGGILETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVAS
+LLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQIL
+TGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAES
+>Q13936_PF00520_900 <unknown description>
+TIFTNLILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKMTAYGAFL
+HKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVV
+QCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDG
+EVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYR
+VEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQE
+>Q13936_PF00520_1219 <unknown description>
+TYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGY
+FSDPWNVFDFLIVIGSIIDVILSETNPAEHTQCSPSMNAEENSRISITFFRLFRVMRLVK
+LLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNN
+FQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFIS
+FYMLCAFLIINLFVAVIMDNFDYLTRDW
+>Q13936_PF16905_1496 <unknown description>
+EFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMP
+>Q13936_PF08763_1559 <unknown description>
+NATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKF
+YATFLIQEYFRKFKK
+>Q13936_PF16885_1653 <unknown description>
+AGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAAS
+>Q13936_PF16885_2014 <unknown description>
+VSLMVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEE
+MESAADNILSGGAP
+>Q01668_PF00520_126 <unknown description>
+KPFDIFILLAIFANCVALAIYIPFPEDDSNSTNHNLEKVEYAFLIIFTVETFLKIIAYGL
+LLHPNAYVRNGWNLLDFVIVIVGLFSVILEQLTKETEGGNHSSGKSGGFDVKALRAFRVL
+RPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFIGKMHKTCFFAD
+SDIVAEEDPAPCAFSGNGRQCTANGTECRSGWVGPNGGITNFDNFAFAMLTVFQCITMEG
+WTDVLYWMNDAMGFELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKA
+>Q01668_PF00520_524 <unknown description>
+TFYWLVIVLVFLNTLTISSEHYNQPDWLTQIQDIANKVLLALFTCEMLVKMYSLGLQAYF
+VSLFNRFDCFVVCGGITETILVELEIMSPLGISVFRCVRLLRIFKVTRHWTSLSNLVASL
+LNSMKSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDETQTKRSTFDNFPQALLTVFQILT
+GEDWNAVMYDGIMAYGGPSSSGMIVCIYFIILFICGNYILLNVFLAIAVDNLADAE
+>Q01668_PF00520_885 <unknown description>
+HHIFTNLILVFIMLSSAALAAEDPIRSHSFRNTILGYFDYAFTAIFTVEILLKMTTFGAF
+LHKGAFCRNYFNLLDMLVVGVSLVSFGIQSSAISVVKILRVLRVLRPLRAINRAKGLKHV
+VQCVFVAIRTIGNIMIVTTLLQFMFACIGVQLFKGKFYRCTDEAKSNPEECRGLFILYKD
+GDVDSPVVRERIWQNSDFNFDNVLSAMMALFTVSTFEGWPALLYKAIDSNGENIGPIYNH
+RVEISIFFIIYIIIVAFFMMNIFVGFVIVTFQEQGEKE
+>Q01668_PF00520_1205 <unknown description>
+SPFEYMMFVLIMLNTLCLAMQHYEQSKMFNDAMDILNMVFTGVFTVEMVLKVIAFKPKGY
+FSDAWNTFDSLIVIGSIIDVALSEADPTESENVPVPTATPGNSEESNRISITFFRLFRVM
+RLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIAMLFFIYAVIGMQMFGKVAMRDNNQIN
+RNNNFQTFPQAVLLLFRCATGEAWQEIMLACLPGKLCDPESDYNPGEEYTCGSNFAIVYF
+ISFYMLCAFLIINLFVAVIMDNFDYLTRDW
+>Q01668_PF16905_1484 <unknown description>
+EFKRIWSEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVAMNMP
+>Q01668_PF08763_1547 <unknown description>
+NATLFALVRTALKIKTEGNLEQANEELRAVIKKIWKKTSMKLLDQVVPPAGDDEVTVGKF
+YATFLIQDYFRKFKK
+>Q01668_PF16885_1642 <unknown description>
+AGLRTLHDIGPEIRRAISCDLQDDEPEETKREEEDDVFKRNGALLGNHVNHVNSDRRDSL
+QQTNTTHRPLHVQRPSIPPASDTEKPLFPPAGNSVCHNHHNHNSIGKQVPTSTNANLNNA
+NMSKAAHGKRPSIGNLEHVSENGHHSSHKHDREPQRRSSVKRTRYYETYIRSDSGDEQLP
+TICREDPEIHGYFRDPHCLGEQEYFSSEECYEDDSSPTWSRQNYGYYSRYPGRNIDSERP
+RGYHHPQGFLEDDDSPVCYDSRRSPRRRLLPPTPASHRRSSFNFECLRRQSSQEEVPSSP
+IFP
+>Q01668_PF16885_1969 <unknown description>
+AQKYSPSHSTRSWATPPATPPYRDWTPCYTPLIQVEQSEALDQVNGSLPSLHRSSWYTDE
+PDISYRTFTPASLTVPSSFRNKNSDKQRSADSLVEAVLISEGLGRYARDPKFVSATKHEI
+ADACDLTIDEMESAASTLLNGNVRPRANGDVGPLSHRQDYELQDFGPGYSDEEPDPGRDE
+EDLADEMICIT
+>Q15878_PF00520_89 <unknown description>
+PPFEYMILATIIANCIVLALEQHLPEDDKTPMSRRLEKTEPYFIGIFCFEAGIKIVALGF
+IFHKGSYLRNGWNVMDFIVVLSGILATAGTHFNTHVDLRTLRAVRVLRPLKLVSGIPSLQ
+IVLKSIMKAMVPLLQIGLLLFFAILMFAIIGLEFYSGKLHRACFMNNSGILEGFDPPHPC
+GVQGCPAGYECKDWIGPNDGITQFDNILFAVLTVFQCITMEGWTTVLYNTNDALGATWNW
+LYFIPLIIIGSFFVLNLVLGVLSGEFAKERERV
+>Q15878_PF00520_476 <unknown description>
+QVFYWIVLSLVALNTACVAIVHHNQPQWLTHLLYYAEFLFLGLFLLEMSLKMYGMGPRLY
+FHSSFNCFDFGVTVGSIFEVVWAIFRPGTSFGISVLRALRLLRIFKITKYWASLRNLVVS
+LMSSMKSIISLLFLLFLFIVVFALLGMQLFGGRFNFNDGTPSANFDTFPAAIMTVFQILT
+GEDWNEVMYNGIRSQGGVSSGMWSAIYFIVLTLFGNYTLLNVFLAIAVDNLANAQEL
+>Q15878_PF00520_1153 <unknown description>
+RYFEMCILLVIAASSIALAAEDPVLTNSERNKVLRYFDYVFTGVFTFEMVIKMIDQGLIL
+QDGSYFRDLWNILDFVVVVGALVAFALANALGTNKGRDIKTIKSLRVLRVLRPLKTIKRL
+PKLKAVFDCVVTSLKNVFNILIVYKLFMFIFAVIAVQLFKGKFFYCTDSSKDTEKECIGN
+YVDHEKNKMEVKGREWKRHEFHYDNIIWALLTLFTVSTGEGWPQVLQHSVDVTEEDRGPS
+RSNRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQG
+>Q15878_PF00520_1478 <unknown description>
+PSFEYTIMAMIALNTVVLMMKYYSAPCTYELALKYLNIAFTMVFSLECVLKVIAFGFLNY
+FRDTWNIFDFITVIGSITEIILTDSKLVNTSGFNMSFLKLFRAARLIKLLRQGYTIRILL
+WTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIKLDEESHINRHNNFRSFFGSLMLLF
+RSATGEAWQEIMLSCLGEKGCEPDTTAPSGQNENERCGTDLAYVYFVSFIFFCSFLMLNL
+FVAVIMDNFEYLTRDS
+>Q15878_PF16905_1743 <unknown description>
+EFVRVWAEYDRAACGRIHYTEMYEMLTLMSPPLGLGKRCPSKVAYKRLVLMNMP
+>Q15878_PF08763_1806 <unknown description>
+TSTLMALIRTALDIKIAKGGADRQQLDSELQKETLAIWPHLSQKMLDLLVPMPKASDLTV
+GKIYAAMMIMDYYKQSK
+>O60840_PF00520_92 <unknown description>
+KPFDILILLTIFANCVALGVYIPFPEDDSNTANHNLEQVEYVFLVIFTVETVLKIVAYGL
+VLHPSAYIRNGWNLLDFIIVVVGLFSVLLEQGPGRPGDAPHTGGKPGGFDVKALRAFRVL
+RPLRLVSGVPSLHIVLNSIMKALVPLLHIALLVLFVIIIYAIIGLELFLGRMHKTCYFLG
+SDMEAEEDPSPCASSGSGRACTLNQTECRGRWPGPNGGITNFDNFFFAMLTVFQCVTMEG
+WTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKA
+>O60840_PF00520_521 <unknown description>
+YWAVLLLVFLNTLTIASEHHGQPVWLTQIQEYANKVLLCLFTVEMLLKLYGLGPSAYVSS
+FFNRFDCFVVCGGILETTLVEVGAMQPLGISVLRCVRLLRIFKVTRHWASLSNLVASLLN
+SMKSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDQTHTKRSTFDTFPQALLTVFQILTGE
+DWNVVMYDGIMAYGGPFFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLAS
+>O60840_PF00520_859 <unknown description>
+HHVFTNLILVFIILSSVSLAAEDPIRAHSFRNHILGYFDYAFTSIFTVEILLKMTVFGAF
+LHRGSFCRSWFNMLDLLVVSVSLISFGIHSSAISVVKILRVLRVLRPLRAINRAKGLKHV
+VQCVFVAIRTIGNIMIVTTLLQFMFACIGVQLFKGKFYTCTDEAKHTPQECKGSFLVYPD
+GDVSRPLVRERLWVNSDFNFDNVLSAMMALFTVSTFEGWPALLYKAIDAYAEDHGPIYNY
+RVEISVFFIVYIIIIAFFMMNIFVGFVIITFRAQGEQ
+>O60840_PF00520_1179 <unknown description>
+AAFEYLMFLLILLNTVALAMQHYEQTAPFNYAMDILNMVFTGLFTIEMVLKIIAFKPKHY
+FTDAWNTFDALIVVGSIVDIAVTEVNNGGHLGESSEDSSRISITFFRLFRVMRLVKLLSK
+GEGIRTLLWTFIKSFQALPYVALLIAMIFFIYAVIGMQMFGKVALQDGTQINRNNNFQTF
+PQAVLLLFRCATGEAWQEIMLASLPGNRCDPESDFGPGEEFTCGSNFAIAYFISFFMLCA
+FLIINLFVAVIMDNFDYLTRDW
+>O60840_PF16905_1450 <unknown description>
+EFKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGKLCPHRVACKRLVAMNMP
+>O60840_PF08763_1513 <unknown description>
+NATLFALVRTSLKIKTEGNLEQANQELRIVIKKIWKRMKQKLLDEVIPPPDEEEVTVGKF
+YATFLIQDYFRKFR
+>O60840_PF16885_1608 <unknown description>
+AGLRSLQDLGPEMRQALTCDTEEEEEEGQEGVEEEDEKDLETNKATMVSQPSARRGSGIS
+VSLPVGDRLPDSLSFGPSDDDRGTPTSSQPSVPQAGSNTHRRGSGALIFTIPEEGNSQPK
+GTKGQNKQDEDEEVPDRLSYLDEQAGTPPCSVLLPPHRAQRYMDGHLVPRRRLLPPTPAG
+RKPSFTIQCLQRQGSCEDLPIPGTYHRGRNSGPNRAQGSWATPPQRGRLLYAPLLLVEEG
+AAGEGYLGRSSGPLRTFTCLHVPGTHSDPSHGKRGSADSLVEAVLISEGLGLFARDPRFV
+ALAKQEIADACRLTLDEMDNAASDLLAQGTSSLYSDEESILSRFDEEDLGDEMACVHA
+>O43497_PF00520_80 <unknown description>
+NPWFERISMLVILLNCVTLGMFRPCEDIACDSQRCRILQAFDDFIFAFFAVEMVVKMVAL
+GIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVRTVRVLRPLRAINRVPSMRI
+LVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLPENFSLPLSVDLERYY
+QTENEDESPFICSQPRENGMRSCRSVPTLRGDGGGGPPCGLDYEAYNSSSNTTCVNWNQY
+YTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIMYFVMDAHSFYNFIYFILLI
+IVGSFFMINLCLVVIATQFSETKQRE
+>O43497_PF00520_743 <unknown description>
+KYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNIVFTSLFALEMLLKLLVYGPFGY
+IKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMRVLKLVRFLPALQRQLVVLMKTM
+DNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTLPDRKNFDSLLWAIVTVFQILTQ
+EDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLVAILVEGFQA
+>O43497_PF00520_1275 <unknown description>
+HKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGW
+CFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISR
+AQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSD
+CAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWML
+LYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQ
+>O43497_PF00520_1611 <unknown description>
+HYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRF
+FQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMR
+ALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGM
+AFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVL
+MKHLEESNKEA
+>O95180_PF00520_99 <unknown description>
+NPWFEHVSMLVIMLNCVTLGMFRPCEDVECGSERCNILEAFDAFIFAFFAVEMVIKMVAL
+GLFGQKCYLGDTWNRLDFFIVVAGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRI
+LVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLDSAFVRNNNLTFLRPY
+YQTEEGEENPFICSSRRDNGMQKCSHIPGRRELRMPCTLGWEAYTQPQAEGVGAARNACI
+NWNQYYNVCRSGDSNPHNGAINFDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIY
+FILLIIVGSFFMINLCLVVIATQFSETKQRE
+>O95180_PF00520_793 <unknown description>
+KYFSRGIMMAILVNTLSMGVEYHEQPEELTNALEISNIVFTSMFALEMLLKLLACGPLGY
+IRNPYNIFDGIIVVISVWEIVGQADGGLSVLRTFRLLRVLKLVRFLPALRRQLVVLVKTM
+DNVATFCTLLMLFIFIFSILGMHLFGCKFSLKTDTGDTVPDRKNFDSLLWAIVTVFQILT
+QEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNLLVAILVEGFQA
+>O95180_PF00520_1293 <unknown description>
+HKMFDHVVLVFIFLNCVTIALERPDIDPGSTERVFLSVSNYIFTAIFVAEMMVKVVALGL
+LSGEHAYLQSSWNLLDGLLVLVSLVDIVVAMASAGGAKILGVLRVLRLLRTLRPLRVISR
+APGLKLVVETLISSLRPIGNIVLICCAFFIIFGILGVQLFKGKFYYCEGPDTRNISTKAQ
+CRAAHYRWVRRKYNFDNLGQALMSLFVLSSKDGWVNIMYDGLDAVGVDQQPVQNHNPWML
+LYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQ
+>O95180_PF00520_1617 <unknown description>
+HYLDLFITFIICVNVITMSMEHYNQPKSLDEALKYCNYVFTIVFVFEAALKLVAFGFRRF
+FKDRWNQLDLAIVLLSLMGITLEEIEMSAALPINPTIIRIMRVLRIARVLKLLKMATGMR
+ALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLECSEDNPCEGLSRHATFSNFGM
+AFLTLFRVSTGDNWNGIMKDTLRECSREDKHCLSYLPALSPVYFVTFVLVAQFVLVNVVV
+AVLMKHLEESNKE
+>Q9P0X4_PF00520_78 <unknown description>
+NPWFECVSMLVILLNCVTLGMYQPCDDMDCLSDRCKILQVFDDFIFIFFAMEMVLKMVAL
+GIFGKKCYLGDTWNRLDFFIVMAGMVEYSLDLQNINLSAIRTVRVLRPLKAINRVPSMRI
+LVNLLLDTLPMLGNVLLLCFFVFFIFGIIGVQLWAGLLRNRCFLEENFTIQGDVALPPYY
+QPEEDDEMPFICSLSGDNGIMGCHEIPPLKEQGRECCLSKDDVYDFGAGRQDLNASGLCV
+NWNRYYNVCRTGSANPHKGAINFDNIGYAWIVIFQVITLEGWVEIMYYVMDAHSFYNFIY
+FILLIIVGSFFMINLCLVVIATQFSETKQRE
+>Q9P0X4_PF00520_640 <unknown description>
+KYFNRGIMMAILVNTVSMGIEHHEQPEELTNILEICNVVFTSMFALEMILKLAAFGLFDY
+LRNPYNIFDSIIVIISIWEIVGQADGGLSVLRTFRLLRVLKLVRFMPALRRQLVVLMKTM
+DNVATFCMLLMLFIFIFSILGMHIFGCKFSLRTDTGDTVPDRKNFDSLLWAIVTVFQILT
+QEDWNVVLYNGMASTSPWASLYFVALMTFGNYVLFNLLVAILVEGFQA
+>Q9P0X4_PF00520_1169 <unknown description>
+HKLFDYVVLAFIFLNCITIALERPQIEAGSTERIFLTVSNYIFTAIFVGEMTLKVVSLGL
+YFGEQAYLRSSWNVLDGFLVFVSIIDIVVSLASAGGAKILGVLRVLRLLRTLRPLRVISR
+APGLKLVVETLISSLKPIGNIVLICCAFFIIFGILGVQLFKGKFYHCLGVDTRNITNRSD
+CMAANYRWVHHKYNFDNLGQALMSLFVLASKDGWVNIMYNGLDAVAVDQQPVTNHNPWML
+LYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQ
+>Q9P0X4_PF00520_1487 <unknown description>
+HYLDIFITFIICLNVVTMSLEHYNQPTSLETALKYCNYMFTTVFVLEAVLKLVAFGLRRF
+FKDRWNQLDLAIVLLSVMGITLEEIEINAALPINPTIIRIMRVLRIARVLKLLKMATGMR
+ALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGKLVCNDENPCEGMSRHATFENFGM
+AFLTLFQVSTGDNWNGIMKDTLRDCTHDERSCLSSLQFVSPLYFVSFVLTAQFVLINVVV
+AVLMKHLDDSNKEA
+>Q13698_PF00520_51 <unknown description>
+KPFETIILLTIFANCVALAVYLPMPEDDNNSLNLGLEKLEYFFLIVFSIEAAMKIIAYGF
+LFHQDAYLRSGWNVLDFTIVFLGVFTVILEQVNVIQSHTAPMSSKGAGLDVKALRAFRVL
+RPLRLVSGVPSLQVVLNSIFKAMLPLFHIALLVLFMVIIYAIIGLELFKGKMHKTCYFIG
+TDIVATVENEEPSPCARTGSGRRCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCIT
+MEGWTDVLYWVNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREK
+>Q13698_PF00520_432 <unknown description>
+KVFYWLVILIVALNTLSIASEHHNQPLWLTRLQDIANRVLLSLFTTEMLMKMYGLGLRQY
+FMSIFNRFDCFVVCSGILEILLVESGAMTPLGISVLRCIRLLRIFKITKYWTSLSNLVAS
+LLNSIRSIASLLLLLFLFIVIFALLGMQLFGGRYDFEDTEVRRSNFDNFPQALISVFQVL
+TGEDWTSMMYNGIMAYGGPSYPGMLVCIYFIILFVCGNYILLNVFLAIAVDNLAEAESL
+>Q13698_PF00520_799 <unknown description>
+TWFTNFILLFILLSSAALAAEDPIRADSMRNQILKHFDIGFTSVFTVEIVLKMTTYGAFL
+HKGSFCRNYFNMLDLLVVAVSLISMGLESSAISVVKILRVLRVLRPLRAINRAKGLKHVV
+QCMFVAISTIGNIVLVTTLLQFMFACIGVQLFKGKFFRCTDLSKMTEEECRGYYYVYKDG
+DPMQIELRHREWVHSDFHFDNVLSAMMSLFTVSTFEGWPQLLYKAIDSNAEDVGPIYNNR
+VEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGET
+>Q13698_PF00520_1118 <unknown description>
+SYFEYLMFALIMLNTICLGMQHYNQSEQMNHISDILNVAFTIIFTLEMILKLMAFKARGY
+FGDPWNVFDFLIVIGSIIDVILSEIDTFLASSGGLYCLGGGCGNVDPDESARISSAFFRL
+FRVMRLIKLLSRAEGVRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQMFGKIALVDG
+TQINRNNNFQTFPQAVLLLFRCATGEAWQEILLACSYGKLCDPESDYAPGEEYTCGTNFA
+YYYFISFYMLCAFLVINLFVAVIMDNFDYLTRDW
+>Q13698_PF16905_1401 <unknown description>
+EFKAIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCPHRVACKRLVGMNMP
+>Q13698_PF08763_1464 <unknown description>
+NATLFALVRTALKIKTEGNFEQANEELRAIIKKIWKRTSMKLLDQVIPPIGDDEVTVGKF
+YATFLIQEHFRKF
+>Q02641_PF12052_58 <unknown description>
+GSAESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTK
+>Q02641_PF00625_228 <unknown description>
+SMRPIILVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKH
+IIIERSNTRSSLAEVQSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKIT
+SPKVLQRLIKSRGKSQSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEA
+Y
+>Q08289_PF12052_72 <unknown description>
+GSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTK
+>Q08289_PF00625_280 <unknown description>
+SMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKH
+AIIERSNTRSSLAEVQSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKIS
+SPKVLQRLIKSRGKSQAKHLNVQMVAADKLAQCPPELFDVILDENQLEDACEHLADYLEA
+Y
+>P54284_PF12052_16 <unknown description>
+GSADSYTSRPSLDSDVSLEEDRESARREVESQAQQQLERAKHK
+>P54284_PF00625_176 <unknown description>
+SMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSVLNNPGKR
+TIIERSSARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPIIVFVKVS
+SPKVLQRLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEHLAEYLE
+>O00305_PF12052_50 <unknown description>
+GSADSYTSRPSDSDVSLEEDREAIRQEREQQAAIQLERAKSK
+>O00305_PF00625_218 <unknown description>
+SMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKR
+AIIERSNTRSSLAEVQSEIERIFELARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVS
+SPKVLQRLIKSRGKSQSKHLNVQLVAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEA
+Y
+>Q86Y37_PF00888_137 <unknown description>
+WPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVCQQHSEQMYSDLIKKITNHLERVSK
+ELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMNKFYIETKLNRDLKDDLIKLFTEHV
+AEKHIYSLMPLLLEAQSTPFQVTPSTMANIVKGLYT
+>Q9P1Z2_PF17751_15 <unknown description>
+VNFLNVARTYIPNTKVECHYTLPPGTMPSASDWIGIFKVEAACVRDYHTFVWSSVPESTT
+DGSPIHTSVQFQASYLPKPGAQLYQFRYVNRQGQVCGQSPPFQF
+>Q9P1Z2_PF07888_122 <unknown description>
+RPMDELVTLEEADGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEGQVTELRSRV
+QELERALATARQEHTELMEQYKGISRSHGEITEERDILSRQQGDHVARILELEDDIQTIS
+EKVLTKEVELDRLRDTVKALTREQEKLLGQLKEVQADKEQSEAELQVAQQENHHLNLDLK
+EAKSWQEEQSAQAQRLKDKVAQMKDTLGQAQQRVAELEPLKEQLRGAQELAASSQQKATL
+LGEELASAAAARDRTIAELHRSRLEVAEVNGRLAELGLHLKEEKCQWSKERAGLLQSVEA
+EKDKILKLSAEILRLEKAVQEERTQNQVFKTELAREKDSSLVQLSESKRELTELRSALRV
+LQKEKEQLQEEKQELLEYMRKLEARLEKVADEKWNEDATTEDEEAAVGLSCPAALTDSED
+ESPEDMRLPPYGLCERGDPGSSPAGPREASPLVVISQPAPISPHLSGPAEDSSSD
+>Q9P1Z2_PF18112_654 <unknown description>
+KECPICKERFPAESDKDALEDHMDGHF
+>Q13137_PF17751_23 <unknown description>
+VIFNSVEKFYIPGGDVTCHYTFTQHFIPRRKDWIGIFRVGWKTTREYYTFMWVTLPIDLN
+NKSAKQQEVQFKAYYLPKDDEYYQFCYVDEDGVVRGASIPFQF
+>P43155_PF00755_38 <unknown description>
+LPVPPLQQSLDHYLKALQPIVSEEEWAHTKQLVDEFQASGGVGERLQKGLERRARKTENW
+LSEWWLKTAYLQYRQPVVIYSSPGVMLPKQDFVDLQGQLRFAAKLIEGVLDFKVMIDNET
+LPVEYLGGKPLCMNQYYQILSSCRVPGPKQDTVSNFSKTKKPPTHITVVHNYQFFELDVY
+HSDGTPLTADQIFVQLEKIWNSSLQTNKEPVGILTSNHRNSWAKAYNTLIKDKVNRDSVR
+SIQKSIFTVCLDATMPRVSEDVYRSHVAGQMLHGGGSRLNSGNRWFDKTLQFIVAEDGSC
+GLVYEHAAAEGPPIVTLLDYVIEYTKKPELVRSPLVPLPMPKKLRFNITPEIKSDIEKAK
+QNLSIMIQDLDITVMVFHHFGKDFPKSEKLSPDAFIQMALQLAYYRIYGQACATYESASL
+RMFHLGRTDTIRSASMDSLTFVKAMDDSSVTEHQKVELLRKAVQAHRGYTDRAIRGEAFD
+RHLLGLKLQAIEDLVSMPDIFMDTSYAIAMHFHLSTSQVPAKTDCVMFFGPVVPDGYGVC
+YNPMEAHINFSLSAYNSCAETNAARLAHYLEK
+>Q9Y6N8_PF00028_70 <unknown description>
+SDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDREEKAFYTLRA
+QAINRRTLRPVEPESEFVIKI
+>Q9Y6N8_PF00028_165 <unknown description>
+YTASVPEMSVVGTSVVQVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPN
+MNRENREQYQVVIQAKDMGGQMGGLSGTTTVNITL
+>Q9Y6N8_PF00028_275 <unknown description>
+HLRVLESSPVGTAIGSVKATDADTGKNAEVEYRIIDGDGTDMFDIVTEKDTQEGIITVKK
+PLDYESRRLYTLKVEAENTHVDPRF
+>Q9Y6N8_PF00028_389 <unknown description>
+YLFEVHEDIEVGTIIGTVMARDPDSISSPIRFSLDRHTDLDRIFNIHSGNGSLYTSKPLD
+RELSQWHNLTVIAAEINNPKETTRVAVFVR
+>Q9Y6N8_PF00028_494 <unknown description>
+DTFVCENARPGQLIQTISAVDKDDPLGGQKFFFSLAAVNPNFTVQDNEDNTARILTRKNG
+FNRHEISTYLLPVVISDNDYPIQSSTGTLTIRVC
+>Q9Y6N8_PF01049_635 <unknown description>
+KRQRKKEPLILSKEDIRDNIVSYNDEGGGEEDTQAFDIGTLRNPAAIEEKKLRRDIIPET
+LFIPRRTPTAPDNTDVRDFINERLKEHDLDPTAPPYDSLATYAYEGNDSIAESLSSLESG
+TTEGDQNYDYLREWGPRFNKLAEMYG
+>P55287_PF00028_64 <unknown description>
+EEYTGPDPVLVGRLHSDIDSGDGNIKYILSGEGAGTIFVIDDKSGNIHATKTLDREERAQ
+YTLMAQAVDRDTNRPLEPPSEFIVKVQ
+>P55287_PF00028_164 <unknown description>
+YHANVPERSNVGTSVIQVTASDADDPTYGNSAKLVYSILEGQPYFSVEAQTGIIRTALPN
+MDREAKEEYHVVIQAKDMGGHMGGLSGTTKVTITL
+>P55287_PF00028_273 <unknown description>
+YQMSVSEAAVPGEEVGRVKAKDPDIGENGLVTYNIVDGDGMESFEITTDYETQEGVIKLK
+KPVDFETKRAYSLKVEAANVHIDPKFISNGPFKDTVTVKISV
+>P55287_PF00028_391 <unknown description>
+EVQENAAAGTVVGRVHAKDPDAANSPIRYSIDRHTDLDRFFTINPEDGFIKTTKPLDREE
+TAWLNITVFAAEIHNRHQEAKVPVAIRVL
+>P55287_PF01049_641 <unknown description>
+RRQKKEPLIVFEEEDVRENIITYDDEGGGEEDTEAFDIATLQNPDGINGFIPRKDIKPEY
+QYMPRPGLRPAPNSVDVDDFINTRIQEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLES
+ATTDSDLDYDYLQNWGPRFKKLADLYG
+>P55289_PF00028_63 <unknown description>
+VLEEYVGSEPQYVGKLHSDLDKGEGTVKYTLSGDGAGTVFTIDETTGDIHAIRSLDREEK
+PFYTLRAQAVDIETRKPLEPESEFIIKVQ
+>P55289_PF00028_165 <unknown description>
+YVATVPEMSPVGAYVLQVKATDADDPTYGNSARVVYSILQGQPYFSIDPKTGVIRTALPN
+MDREVKEQYQVLIQAKDMGGQLGGLAGTTIVNITL
+>P55289_PF00028_275 <unknown description>
+HLKVPESSPIGSAIGRIRAVDPDFGQNAEIEYNIVPGDGGNLFDIVTDEDTQEGVIKLKK
+PLDFETKKAYTFKVEASNLHLDHRFHSAGPFKDTATVKISVL
+>P55289_PF00028_389 <unknown description>
+YTMEVYEDTPVGTIIGAVTAQDLDVGSSAVRYFIDWKSDGDSYFTIDGNEGTIATNELLD
+RESTAQYNFSIIASKVSNPLLTSKVNILINVL
+>P55289_PF00028_493 <unknown description>
+YETAVCENAKPGQIIQIVSAADRDLSPAGQQFSFRLSPEAAIKPNFTVRDFRNNTAGIET
+RRNGYSRRQQELYFLPVVIEDSSYPVQSSTNTMTIRVC
+>P55289_PF01049_638 <unknown description>
+RRQKKKDTLMTSKEDIRDNVIHYDDEGGGEEDTQAFDIGALRNPKVIEENKIRRDIKPDS
+LCLPRQRPPMEDNTDIRDFIHQRLQENDVDPTAPPYDSLATYAYEGSGSVAESLSSIDSL
+TTEADQDYDYLTDWGPRFKVLADMFG
+>P55290_PF08758_27 <unknown description>
+CTPGFQQKVFHINQPAEFIEDQSILNLTFSDCKGNDKLRYEVSSPYFKVNSDGGLVALRN
+ITAVGKTLFVHARTPHAEDMAEL
+>P55290_PF00028_146 <unknown description>
+LIPENQRQPFPRDVGKVVDSDRPERSKFRLTGKGVDQEPKGIFRINENTGSVSVTRTLDR
+EVIAVYQLFVETTDVNGKTLEGPVPLEVIV
+>P55290_PF00028_251 <unknown description>
+IGHVMEGSPTGTTVMRMTAFDADDPATDNALLRYNIRQQTPDKPSPNMFYIDPEKGDIVT
+VVSPALLDRETLENPKYELIIEAQDMAGLDVGLTGTATATIMI
+>P55290_PF00028_369 <unknown description>
+QATVEEGAVGVIVNLTVEDKDDPTTGAWRAAYTIINGNPGQSFEIHTNPQTNEGMLSVVK
+PLDYEISAFHTLLIKVENEDPLVPDVSYGPSSTATVHITVL
+>P55290_PF00028_487 <unknown description>
+QEDLSVGSVLLTVNATDPDSLQHQTIRYSVYKDPAGWLNINPINGTVDTTAVLDRESPFV
+DNSVYTALFLAIDSGNPPATGTGTLLITL
+>P55290_PF00028_589 <unknown description>
+VAEVCDDAKNLSVVILGASDKDLHPNTDPFKFEIHKQAVPDKVWKISKINNTHALVSLLQ
+NLNKANYNLPIMVTDSGKPPMTNITDLRVQVC
+>P55291_PF00028_52 <unknown description>
+ISVSENHKRLPYPLVQIKSDKQQLGSVIYSIQGPGVDEEPRGVFSIDKFTGKVFLNAMLD
+REKTDRFRLRAFALDLGGSTLEDPTDLEIVV
+>P55291_PF00028_158 <unknown description>
+TGRVLEGAVPGTYVTRAEATDADDPETDNAALRFSILQQGSPELFSIDELTGEIRTVQVG
+LDREVVAVYNLTLQVADMSGDGLTATASAIITL
+>P55291_PF00028_272 <unknown description>
+AVSGVDVGRLEVEDRDLPGSPNWVARFTILEGDPDGQFTIRTDPKTNEGVLSIVKALDYE
+SCEHYELKVSVQNEAPLQAAALRAERGQAKVRVHVQ
+>P55291_PF00028_382 <unknown description>
+TSLAEGAPPGTLVATFSARDPDTEQLQRLSYSKDYDPEDWLQVDAATGRIQTQHVLSPAS
+PFLKGGWYRAIVLAQDDASQPRTATGTLSIEIL
+>P55291_PF01049_634 <unknown description>
+SRGKGLLHGPQDDLRDNVLNYDEQGGGEEDQDAYDISQLRHPTALSLPLGPPPLRRDAPQ
+GRLHPQPPRVLPTSPLDIADFINDGLEAADSDPSVPPYDTALIYDYEGDGSVAGTLSSIL
+SSQGDEDQDYDYLRDWGPRFARLADMYG
+>O75309_PF00028_132 <unknown description>
+RARLSRGTRPGIPFLFLEASDRDEPGTANSDLRFHILSQAPAQPSPDMFQLEPRLGALAL
+SPKGSTSLDHALERTYQLLVQVKDMGDQASGHQATATVEV
+>O75309_PF00028_267 <unknown description>
+DVHYHLESHPPGPFEVNAEGNLYVTRELDREAQAEYLLQVRAQNSHGEDYAAPLELHVL
+>O75309_PF00028_342 <unknown description>
+TVSIPELSPPGTEVTRLSAEDADAPGSPNSHVVYQLLSPEPEDGVEGRAFQVDPTSGSVT
+LGVLPLRAGQNILLLVLAMDLAGAEGGFSSTCEVEVAV
+>O75309_PF00028_457 <unknown description>
+ISLPEDVEPGTLVAMLTAIDADLEPAFRLMDFAIERGDTEGTFGLDWEPDSGHVRLRLCK
+NLSYEAAPSHEVVVVVQSVAKLVGPGPGPGATATVTVLV
+>Q12864_PF00028_131 <unknown description>
+YEGSVRQNSRPGKPFLYVNATDLDDPATPNGQLYYQIVIQLPMINNVMYFQINNKTGAIS
+LTREGSQELNPAKNPSYNLVISVKDMGGQSENSFSDTTSVDIIV
+>Q12864_PF00028_268 <unknown description>
+GAQYSLVDKEKLPRFPFSIDQEGDIYVTQPLDREEKDAYVFYAVAKDEYGKPLSYPLEIH
+VKV
+>Q12864_PF00028_346 <unknown description>
+VFEVQENERLGNSIGTLTAHDRDEENTANSFLNYRIVEQTPKLPMDGLFLIQTYAGMLQL
+AKQSLKKQDTPQYNLTIEVSDKD
+>Q12864_PF00028_458 <unknown description>
+TLAEDTNIGSTILTIQATDADEPFTGSSKILYHIIKGDSEGRLGVDTDPHTNTGYVIIKK
+PLDFETAAVSNIVFKAENP
+>Q12864_PF00028_571 <unknown description>
+FQAKVSEDVAIGTKVGNVTAKDPEGLDISYSLRGDTRGWLKIDHVTGEIFSVAPLDREAG
+SPYRVQVVATEVGGSSLSSVS
+>Q13634_PF00028_67 <unknown description>
+MGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQKTHYVL
+HAQAIDRRTNKPLEPESEFIIKVQ
+>Q13634_PF00028_165 <unknown description>
+IVTVPEMSDMGTSVLQVTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNM
+DREAREHYSVVIQAKDMAGQVGGLSGSTTVNITL
+>Q13634_PF00028_273 <unknown description>
+YQLYVPESAQVGSAVGKIKANDADTGSNADMTYSIINGDGMGIFSISTDKETREGILSLK
+KPLNYEKKKSYTLNIEGANT
+>Q13634_PF00028_388 <unknown description>
+YLMEVYENAKIGTVVGTVLAQDPDSTNSLVRYFINYNVEDDRFFNIDANTGTIRTTKVLD
+REETPWYNITVTASEIDNPDLLSHVTVGIRVL
+>Q13634_PF00028_492 <unknown description>
+YDIIVCENSKPGQVIHTISATDKDDFANGPRFNFFLDERLPVNPNFTLKDNEDNTASILT
+RRRRFSRTVQDVYYLPIMISDGGIPSLSSSSTLTIRVC
+>Q13634_PF01049_637 <unknown description>
+RRSKKEPLIISEEDVRENVVTYDDEGGGEEDTEAFDITALRNPSAAEELKYRRDIRPEVK
+LTPRHQTSSTLESIDVQEFIKQRLAEADLDPSVPPYDSLQTYAYEGQRSEAGSISSLDSA
+TTQSDQDYHYLGDWGPEFKKLAELYG
+>Q9H159_PF00028_50 <unknown description>
+FFVPEEMNTTSHHIGQLRSDLDNGNNSFQYKLLGAGAGSTFIIDERTGDIYAIQKLDREE
+RSLYILRAQVIDIATGRAVEPESEFVIKV
+>Q9H159_PF00028_153 <unknown description>
+YEAIVPEMSPEGTLVIQVTASDADDPSSGNNARLLYSLLQGQPYFSVEPTTGVIRISSKM
+DRELQDEYWVIIQAKDMIGQPGALSGTTSVLIKL
+>Q9H159_PF00028_261 <unknown description>
+YRLTVSESAPTGTSIGTIMAYDNDIGENAEMDYSIEEDDSQTFDIITNHETQEGIVILKK
+KVDFEHQNHYGIRAKVKNHHVPEQLMKYHTEASTT
+>Q9H159_PF00028_375 <unknown description>
+YVFEVFEETPQGSFVGVVSATDPDNRKSPIRYSITRSKVFNINDNGTITTSNSLDREISA
+WYNLSITATEKYNIEQISSIPLYVQV
+>Q9H159_PF00028_474 <unknown description>
+YETYVCENAGSGQVIQTISAVDRDESIEEHHFYFNLSVEDTNNSSFTIIDNQDNTAVILT
+NRTGFNLQEEPVFYISILIADNGIPSLTSTNTLTIHVC
+>Q9H159_PF01049_620 <unknown description>
+QRRKQILFPEKSEDFRENIFQYDDEGGGEEDTEAFDIAELRSSTIMRERKTRKTTSAEIR
+SLYRQSLQVGPDSAIFRKFILEKLEEANTDPCAPPFDSLQTYAFEGTGSLAGSLSSLESA
+VSDQDESYDYLNELGPRFKRLACMFG
+>Q9HBT6_PF00028_68 <unknown description>
+VLEEYTGTDPLYVGKLHSDMDRGDGSIKYILSGEGAGIVFTIDDTTGDIHAIQRLDREER
+AQYTLRAQALDRRTGRPMEPESEFIIKIQ
+>Q9HBT6_PF00028_170 <unknown description>
+YVATVPEMSPVGTSVIQVTATDADDPTYGNSARVVYSILQGQPYFSVDSKTGVIRTALMN
+MDREAKEYYEVIIQAKDMGGQLGGLAGTTTVNITL
+>Q9HBT6_PF00028_279 <unknown description>
+YQMSVLESAPISSTVGRVFAKDLDEGINAEMKYTIVDGDGADAFDISTDPNFQVGIITVK
+KPLSFESKKSYTLKVEGANPHLEMRFLNLGPFQDTTTVHISV
+>Q9HBT6_PF00028_394 <unknown description>
+YFVEVPEDVAIGTTIQIISAKDPDVTNNSIRYSIDRSSDPGRFFYVDITTGALMTARPLD
+REEFSWHNITVLAMEMNNPSQVGSVPVTIKVL
+>Q9HBT6_PF00028_498 <unknown description>
+YEAFVCENAKAGQLIQTVSAVDQDDPRNGQHFYYSLAPEAANNPNFTIRDNQDNTARILT
+RRSGFRQQEQSVFHLPILIADSGQPVLSSTGTLTIQVC
+>Q9HBT6_PF01049_643 <unknown description>
+RRHRKQPYIIDDEENIHENIVRYDDEGGGEEDTEAFDIAAMWNPREAQAGAAPKTRQDML
+PEIESLSRYVPQTCAVNSTVHSYVLAKLYEADMDLWAPPFDSLQTYMFEGDGSVAGSLSS
+LQSATSDSEQSFDFLTDWGPRFRKLAELYG
+>Q9UJ99_PF00028_71 <unknown description>
+VVEEYTGTEPLYVGKIHSDSDEGDGAIKYTISGEGAGTIFLIDELTGDIHAMERLDREQK
+TFYTLRAQARDRATNRLLEPESEFIIKV
+>Q9UJ99_PF00028_174 <unknown description>
+IGSVAELSPTGTSVMQVMASDADDPTYGSSARLVYSVLDGEHHFTVDPKTGVIRTAVPDL
+DRESQERYEVVIQATDMAGQLGGLSGSTTVTIVVT
+>Q9UJ99_PF00028_282 <unknown description>
+YQFSIQESAPIGTAVGRVKAEDSDVGENTDMTYHLKDESSSGGDVFKVTTDSDTQEAIIV
+VQKRLDFESQPVHTVILEALNKFVDP
+>Q9UJ99_PF00028_402 <unknown description>
+EVQEDAQVGSLVGVVTARDPDAANRPVRYAIDRESDLDQIFDIDADTGAIVTGKGLDRET
+AGWHNITVLAMEADNHAQLSRASLRIRIL
+>Q9UJ99_PF00028_503 <unknown description>
+YEAAVCEDAKPGQLIQTISVVDRDEPQGGHRFYFRLVPEAPSNPHFSLLDIQDNTAAVHT
+QHVGFNRQEQDVFFLPILVVDSGPPTLSSTGTLTIRIC
+>Q9UJ99_PF01049_648 <unknown description>
+RRHHKSHLSSDEDEDMRDNVIKYNDEGGGEQDTEAYDMSALRSLYDFGELKGGDGGGSAG
+GGAGGGSGGGAGSPPQAHLPSERHSLPQGPPSPEPDFSVFRDFISRKVALADGDLSVPPY
+DAFQTYAFEGADSPAASLSSLHSGSSGSEQDFAYLSSWGPRFRPLAALY
+>Q9H251_PF00028_42 <unknown description>
+ISEDTPVGSSVTQLLAQDMDNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEF
+TVEFSVSDHQG
+>Q9H251_PF00028_137 <unknown description>
+YSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSQFFAIDSARGIVTVIRELDYE
+TTQAYQLTVNATDQDKTRPLSTLANLAIII
+>Q9H251_PF00028_241 <unknown description>
+YSTNIYEHSPPGTTVRIITAIDQDKGRPRGIGYTIVSGNTNSIFALDYISGVLTLNGLLD
+RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNI
+>Q9H251_PF00028_465 <unknown description>
+YNISLYENVTVGTSVLTVLATDNDAGTFGEVSYFFSDDPDRFSLDKDTGLIMLIARLDYE
+LIQRFTLTIIARDGGGEETTGRVRINV
+>Q9H251_PF00028_566 <unknown description>
+YVGALRENEPSVTQLVRLRATDEDSPPNNQITYSIVSASAFGSYFDISLYEGYGVISVSR
+PLDYEQISNGLIYLTVMAMDAGNPPLNSTVPVTIEV
+>Q9H251_PF00028_676 <unknown description>
+YFVSVVENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSTQFRINARSGEITTTSLLD
+RETKSEYILIVRAVDGGVGHNQKTGIATVNITL
+>Q9H251_PF00028_784 <unknown description>
+YINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIQPPNKFYSLNSTTGKIRTTHAMLDRE
+NPDPHEAELMRKIVVSVTDCGRPPLKATSSATVFV
+>Q9H251_PF00028_896 <unknown description>
+VAEVLEGIPAGVSIYQVVAIDLDEGLNGLVSYRMPVGMPRMDFLINSSSGVVVTTTELDR
+ERIAEYQLRVVASDAGTPTKSSTSTLTIHVL
+>Q9H251_PF00028_1000 <unknown description>
+YNVSVSEDVPREFRVVWLNCTDNDVGLNAELSYFITGGNVDGKFSVGYRDAVVRTVVGLD
+RETTAAYMLILEAIDNGPVGKRHTGTATVFVT
+>Q9H251_PF00028_1107 <unknown description>
+YEASVPEDIPEGHSILQLKATDADEGEFGRVWYRILHGNHGNNFRIHVSNGLLMRGPRPL
+DRERNSSHVLIVEAYNHDLGPMRSSVRVIVYV
+>Q9H251_PF00028_1218 <unknown description>
+LRETAGIGTSVIVVQATDRDSGDGGLVNYRILSGAEGKFEIDESTGLIITVNYLDYETKT
+SYMMNVSATDQAPPFNQGFCSVYITL
+>Q9H251_PF00028_1318 <unknown description>
+YEAAILENLALGTEIVRVQAYSIDNLNQITYRFNAYTSTQAKALFKIDAITGVITVQGLV
+DREKGDFYTLTVVADDGGPKVDSTVKVYITVL
+>Q9H251_PF00028_1426 <unknown description>
+VSIPEDCPVGQRVATVKAWDPDAGSNGQVVFSLASGNIAGAFEIVTTNDSIGEVFVARPL
+DREELDHYILQVVASDRGTPPRKKDHILQVTIL
+>Q9H251_PF00028_1533 <unknown description>
+YNVSVNENVGGGTAVVQVRATDRDIGINSVLSYYITEGNKDMAFRMDRISGEIATRPAPP
+DRERQSFYHLVATVEDEGTPTLSATTHVYVTI
+>Q9H251_PF00028_1650 <unknown description>
+LNTSLITIQALDLDEGPNGTVTYAIVAGNIVNTFRIDRHMGVITAAKELDYEISHGRYTL
+IVTATDQCPILSHRLTSTTTVLVNV
+>Q9H251_PF00028_1752 <unknown description>
+FEVTEGQPGPRVWTFLAHDRDSGPNGQVEYSIMDGDPLGEFVISPVEGVLRVRKDVELDR
+ETIAFYNLTICARDRGMPPLSSTMLVGIRVL
+>Q9H251_PF00028_1857 <unknown description>
+NITISENSPVSSFVAHVLASDADSGCNARLTFNITAGNRERAFFINATTGIVTVNRPLDR
+ERIPEYKLTISVKDNPENP
+>Q9H251_PF00028_2076 <unknown description>
+VHLLENCPPGFSVLQVTATDEDSGLNGELVYRIEAGAQDRFLIHLVTGVIRVGNATIDRE
+EQESYRLTVVATDRGTVPLSGTAIVTILI
+>Q9H251_PF00028_2180 <unknown description>
+TVSVLESAEPGTVIANITAIDHDLNPKLEYHIVGIVAKDDTDRLVPNQEDAFAVNINTGS
+VMVKSPMNRELVATYEVTLSVIDNASDLP
+>Q9H251_PF00028_2304 <unknown description>
+RILEGATPGTTLIAVAAVDPDKGLNGLVTYTLLDLVPPGYVQLEDSSAGKVIANRTVDYE
+EVHWLNFTVRASDNGSPPRAAEIPVYLEI
+>Q9H251_PF00028_2410 <unknown description>
+AVFEDVPVGTIILTVTATDADSGNFALIEYSLGDGESKFAINPTTGDIYVLSSLDREKKD
+HYILTALAKDNPGDVASNRRENSVQVVIQVL
+>Q9H251_PF00028_2515 <unknown description>
+STSVYENEPAGTSVITMMATDQDEGPNGELTYSLEGPGVEAFHVDMDSGLVTTQRPLQSY
+EKFSLTVVATDGGEPPLWGTTMLLVEV
+>Q9H251_PF00028_2621 <unknown description>
+HIREEIPLRSNVYEVYATDKDEGLNGAVRYSFLKTAGNRDWEFFIIDPISGLIQTAQRLD
+RESQAVYSLILVASDLGQPVPYETMQ
+>Q9H251_PF00028_2736 <unknown description>
+TVPEHSPRGTLVGNVTGAVDADEGPNAIVYYFIAAGNEEKNFHLQPDGCLLVLRDLDRER
+EAIFSFIVKASSNRSWTPP
+>Q86UP0_PF00028_55 <unknown description>
+EEYAGPEPVLIGKLHSDVDRGEGRTKYLLTGEGAGTVFVIDEATGNIHVTKSLDREEKAQ
+YVLLAQAVDRASNRPLEPPSEFIIKVQ
+>Q86UP0_PF00028_155 <unknown description>
+YHATVPEMSNVGTSVIQVTAHDADDPSYGNSAKLVYTVLDGLPFFSVDPQTGVVRTAIPN
+MDRETQEEFLVVIQAKDMGGHMGGLSGSTTVTVTL
+>Q86UP0_PF00028_264 <unknown description>
+YQFSVVETAGPGTLVGRLRAQDPDLGDNALMAYSILDGEGSEAFSISTDLQGRDGLLTVR
+KPLDFESQRSYSFRVEATNTLIDP
+>Q86UP0_PF00028_379 <unknown description>
+YHLTVPENKAPGTLVGQISAADLDSPASPIRYSILPHSDPERCFSIQPEEGTIHTAAPLD
+REARAWHNLTVLATELDSSAQASRVQVAIQ
+>Q86UP0_PF01049_625 <unknown description>
+RRQKQEALMVLEEEDVRENIITYDDEGGGEEDTEAFDITALQNPDGAAPPAPGPPARRDV
+LPRARVSRQPRPPGPADVAQLLALRLREADEDPGVPPYDSVQVYGYEGRGSSCGSLSSLG
+SGSEAGGAPGPAEPLDDWGPLFRTLAELYG
+>Q8IXH8_PF00028_170 <unknown description>
+FNITVQENQSAGQPIFQMLAVDLDEENTPNSQVLYFLISQTPLLKESGFRVDRLSGEIRL
+SGCLDYETAPQFTLLIRARDCGEPSLSSTTTVHVDVQ
+>Q8IXH8_PF00028_280 <unknown description>
+YKVQIPEGRASQGVLRLLVQDRDSPFTSAWRAKFNILHGNEEGHFDISTDPETNEGILNV
+IKPLDYETRPAQSLIIVVENEERLVFCERGKLQPPRKAAASATVSVQ
+>Q8IXH8_PF00028_406 <unknown description>
+EEGARPGTLLGTFNAMDPDSQIRYELVHDPANWVSVDKNSGVVITVEPIDRESPHVNNSF
+YVIIIHAVDDGFPPQTATGTLM
+>P12830_PF08758_27 <unknown description>
+PCHPGFDAESYTFTVPRRHLERGRVLGRVNFEDCTGRQRTAYFSLDTRFKVGTDGVITVK
+RPLRFHNPQIHFLVYAWDSTYRKFSTKVT
+>P12830_PF00028_161 <unknown description>
+ISCPENEKGPFPKNLVQIKSNKDKEGKVFYSITGQGADTPPVGVFIIERETGWLKVTEPL
+DRERIATYTLFSHAVSSNGNAVEDPMEILITVT
+>P12830_PF00028_268 <unknown description>
+KGSVMEGALPGTSVMEVTATDADDDVNTYNAAIAYTILSQDPELPDKNMFTINRNTGVIS
+VVTTGLDRESFPTYTLVVQAADLQGEGLSTTATAVITVT
+>P12830_PF00028_380 <unknown description>
+YKGQVPENEANVVITTLKVTDADAPNTPAWEAVYTILNDDGGQFVVTTNPVNNDGILKTA
+KGLDFEAKQQYILHVAVTNVVPFEVSLTTSTATVTVDVL
+>P12830_PF00028_492 <unknown description>
+RVEVSEDFGVGQEITSYTAQEPDTFMEQKITYRIWRDTANWLEINPDTGAISTRAELDRE
+DFEHVKNSTYTALIIATDNGSPVATGTGTL
+>P12830_PF00028_600 <unknown description>
+IFFCERNPKPQVINIIDADLPPNTSPFTAELTHGASANWTIQYNDPTQESIILKPKMALE
+VGDYKINLKLMDNQNKDQVTTLEVSVC
+>P12830_PF01049_734 <unknown description>
+RAVVKEPLLPPEDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDARPEVTRNDVAPTLMS
+VPRYLPRPANPDEIGNFIDENLKAADTDPTAPPYDSLLVFDYEGSGSEAASLSSLNSSES
+DKDQDYDYLNEWGNRFKKLADMYG
+>P19022_PF08758_32 <unknown description>
+CKTGFPEDVYSAVLSKDVHEGQPLLNVKFSNCNGKRKVQYESSEPADFKVDEDGMVYAVR
+SFPLSSEHAKFLIYAQDKETQEKWQVAVK
+>P19022_PF00028_166 <unknown description>
+INLPENSRGPFPQELVRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPL
+DREQIARFHLRAHAVDINGNQVENPIDIVINV
+>P19022_PF00028_274 <unknown description>
+GTVPEGSKPGTYVMTVTAIDADDPNALNGMLRYRIVSQAPSTPSPNMFTINNETGDIITV
+AAGLDREKVQQYTLIIQATDMEGNPTYGLSNTATAVITVT
+>P19022_PF00028_389 <unknown description>
+GEVPENRVDIIVANLTVTDKDQPHTPAWNAVYRISGGDPTGRFAIQTDPNSNDGLVTVVK
+PIDFETNRMFVLTVAAENQVPLAKGIQHPPQSTATVSVTV
+>P19022_PF00028_504 <unknown description>
+IRQEEGLHAGTMLTTFTAQDPDRYMQQNIRYTKLSDPANWLKIDPVNGQITTIAVLDRES
+PNVKNNIYNATFLASDNGIPPMSGTGTLQIYL
+>P19022_PF00028_609 <unknown description>
+EAETCETPDPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTITRLNGDFAQLNLKI
+KFLEAGIYEVPIIITDSGNPPKSNISILRVKVC
+>P19022_PF01049_752 <unknown description>
+ERQAKQLLIDPEDDVRDNILKYDEEGGGEEDQDYDLSQLQQPDTVEPDAIKPVGIRRMDE
+RPIHAEPQYPVRSAAPHPGDIGDFINEGLKAADNDPTAPPYDSLLVFDYEGSGSTAGSLS
+SLNSSSSGGEQDYDYLNDWGPRFKKLADMYG
+>P22223_PF00028_114 <unknown description>
+ISVPENGKGPFPQRLNQLKSNKDRDTKIFYSITGPGADSPPEGVFAVEKETGWLLLNKPL
+DREEIAKYELFGHAVSENGASVEDPMNISIIV
+>P22223_PF00028_221 <unknown description>
+RGSVLEGVLPGTSVMQVTATDEDDAIYTYNGVVAYSIHSQEPKDPHDLMFTIHRSTGTIS
+VISSGLDREKVPEYTLTIQATDMDGDGSTTTAVAVVEI
+>P22223_PF00028_333 <unknown description>
+YEAHVPENAVGHEVQRLTVTDLDAPNSPAWRATYLIMGGDDGDHFTITTHPESNQGILTT
+RKGLDFEAKNQHTLYVEVTNEAPFVLKLPTSTATIVVHV
+>P22223_PF00028_446 <unknown description>
+VVEVQEGIPTGEPVCVYTAEDPDKENQKISYRILRDPAGWLAMDPDSGQVTAVGTLDRED
+EQFVRNNIYEVMVLAMDNGSPPTTGTGTLLLT
+>P22223_PF01049_680 <unknown description>
+KRKIKEPLLLPEDDTRDNVFYYGEEGGGEEDQDYDITQLHRGLEARPEVVLRNDVAPTII
+PTPMYRPRPANPDEIGNFIIENLKAANTDPTAPPYDTLLVFDYEGSGSDAASLSSLTSSA
+SDQDQDYDYLNEWGSRFKKLADMYG
+>P55283_PF08758_30 <unknown description>
+TCKAGFSEDDYTALISQNILEGEKLLQVKFSSCVGTKGTQYETNSMDFKVGADGTVFATR
+ELQVPSEQVAFTVTAWDSQTAEKWDAVVR
+>P55283_PF00028_176 <unknown description>
+INVPENSRGPFPQQLVRIRSDKDNDIPIRYSITGVGADQPPMEVFSIDSMSGRMYVTRPM
+DREEHASYHLRAHAVDMNGNKVENPIDLYIYV
+>P55283_PF00028_282 <unknown description>
+YNGSVDEGSKPGTYVMTVTANDADDSTTANGMVRYRIVTQTPQSPSQNMFTINSETGDIV
+TVAAGLDREKVQQYTVIVQATDMEGNLNYGLSNTATAIITVT
+>P55283_PF00028_398 <unknown description>
+AGEVPENRVETVVANLTVMDRDQPHSPNWNAVYRIISGDPSGHFSVRTDPVTNEGMVTVV
+KAVDYELNRAFMLTVMVSNQAPLASGIQMSFQSTAGVTISI
+>P55283_PF00028_514 <unknown description>
+IRLEEGVPPGTVLTTFSAVDPDRFMQQAVRYSKLSDPASWLHINATNGQITTAAVLDRES
+LYTKNNVYEATFLAADNGIPPASGTGTLQIY
+>P55283_PF01049_762 <unknown description>
+ERHTKQLLIDPEDDVRDNILKYDEEGGGEEDQDYDLSQLQQPEAMGHVPSKAPGVRRVDE
+RPVGAEPQYPIRPMVPHPGDIGDFINEGLRAADNDPTAPPYDSLLVFDYEGSGSTAGSVS
+SLNSSSSGDQDYDYLNDWGPRFKKLADMYG
+>P33151_PF00028_54 <unknown description>
+MHIDEEKNTSLPHHVGKIKSSVSRKNAKYLLKGEYVGKVFRVDAETGDVFAIERLDRENI
+SEYHLTAVIVDKDTGENLETPSSFTIKV
+>P33151_PF00028_156 <unknown description>
+FNASVPESSAVGTSVISVTAVDADDPTVGDHASVMYQILKGKEYFAIDNSGRIITITKSL
+DREKQARYEIVVEARDAQGLRGDSGTATVLVTL
+>P33151_PF00028_263 <unknown description>
+YTFVVPEDTRVGTSVGSLFVEDPDEPQNRMTKYSILRGDYQDAFTIETNPAHNEGIIKPM
+KPLDYEYIQQYSFIVEATDPTIDLRYMSPPAGNRAQVIINIT
+>P33151_PF00028_377 <unknown description>
+YHFQLKENQKKPLIGTVLAMDPDAARHSIGYSIRRTSDKGQFFRVTKKGDIYNEKELDRE
+VYPWYNLTVEAKELDSTGTPTGKESIVQVHIEVL
+>P33151_PF00028_485 <unknown description>
+PKVCENAVHGQLVLQISAIDKDITPRNVKFKFILNTENNFTLTDNHDNTANITVKYGQFD
+REHTKVHFLPVVISDNGMPSRTGTSTLTVAVC
+>P33151_PF01049_625 <unknown description>
+RKQARAHGKSVPEIHEQLVTYDEEGGGEMDTTSYDVSVLNSVRRGGAKPPRPALDARPSL
+YAQVQKPPRHAPGAHGGPGEMAAMIEVKKDEADHDGDGPPYDTLHIYGYEGSESIAESLS
+SLGTDSSDSDVDYDFLNDWGPRFKMLAELYG
+>P55285_PF00028_74 <unknown description>
+VGKLHSDQDRGDGSLKYILSGDGAGDLFIINENTGDIQATKRLDREEKPVYILRAQAINR
+RTGRPVEPESEFIIKI
+>P55285_PF00028_164 <unknown description>
+YTATVPEMSDVGTFVVQVTATDADDPTYGNSAKVVYSILQGQPYFSVESETGIIKTALLN
+MDRENREQYQVVIQAKDMGGQMGGLSGTTTVNITL
+>P55285_PF00028_273 <unknown description>
+YQFKTPESSPPGTPIGRIKASDADVGENAEIEYSITDGEGLDMFDVITDQETQEGIITVK
+KLLDFEKKKVYTLKVEASNPYVEPR
+>P55285_PF00028_390 <unknown description>
+LQIREDAQINTTIGSVTAQDPDAARNPVKYSVDRHTDMDRIFNIDSGNGSIFTSKLLDRE
+TLLWHNITVIATEINNPKQSSRVPLYIKVL
+>P55285_PF00028_492 <unknown description>
+YETFVCEKAKADQLIQTLHAVDKDDPYSGHQFSFSLAPEAASGSNFTIQDNKDNTAGILT
+RKNGYNRHEMSTYLLPVVISDNDYPVQSSTGTVTVRVC
+>P55285_PF01049_637 <unknown description>
+RRQRKKEPLIISKEDIRDNIVSYNDEGGGEEDTQAFDIGTLRNPEAIEDNKLRRDIVPEA
+LFLPRRTPTARDNTDVRDFINQRLKENDTDPTAPPYDSLATYAYEGTGSVADSLSSLESV
+TTDADQDYDYLSDWGPRFKKLADMYG
+>Q9ULB5_PF00028_62 <unknown description>
+GSDPLYVGKLHSDVDKGDGSIKYILSGEGASSIFIIDENTGDIHATKRLDREEQAYYTLR
+AQALDRLTNKPVEPESEFVIKI
+>Q9ULB5_PF00028_158 <unknown description>
+YTAGVPEMSPVGTSVVQVTATDADDPTYGNSARVVYSILQGQPYFSVEPKTGVIKTALPN
+MDREAKDQYLLVIQAKDMVGQNGGLSGTTSVTVTL
+>Q9ULB5_PF00028_267 <unknown description>
+YQYNVPESLPVASVVARIKAADADIGANAEMEYKIVDGDGLGIFKISVDKETQEGIITIQ
+KELDFEAKTSYTLRIEAANKDADPRFLSLGPFSDTTTVKIIV
+>Q9ULB5_PF00028_382 <unknown description>
+YPMEVSEATQVGNIIGTVAAHDPDSSNSPVRYSIDRNTDLERYFNIDANSGVITTAKSLD
+RETNAIHNITVLAMESQNPSQVGRGYVAITIL
+>Q9ULB5_PF00028_486 <unknown description>
+YETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDATNNHNFSLKDNKDNTASILT
+RRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVC
+>Q9ULB5_PF01049_631 <unknown description>
+RRRKKEPLIFDEERDIRENIVRYDDEGGGEEDTEAFDMAALRNLNVIRDTKTRRDVTPEI
+QFLSRPAFKSIPDNVIFREFIWERLKEADVDPGAPPYDSLQTYAFEGNGSVAESLSSLDS
+ISSNSDQNYDYLSDWGPRFKRLADMYG
+>P55286_PF00028_75 <unknown description>
+SGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDVTGDIHAIKRLDREEKAEYTL
+TAQAVDWETSKPLEPPSEFIIKVQ
+>P55286_PF00028_172 <unknown description>
+YHATVPEMSILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPN
+MDREAKEEYLVVIQAKDMGGHSGGLSGTTTLTVTL
+>P55286_PF00028_281 <unknown description>
+YHFSVPEDVVLGTAIGRVKANDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGIIRLR
+KPLDFETKKSYTLKVEAANVHIDPRFSGRGPFKDTATVKIVV
+>P55286_PF00028_397 <unknown description>
+LLEVHENAALNSVIGQVTARDPDITSSPIRFSIDRHTDLERQFNINADDGKITLATPLDR
+ELSVWHNITIIATEIRNHSQISRVPVAIKVL
+>P55286_PF00028_500 <unknown description>
+YEAFLCENGKPGQVIQTVSAMDKDDPKNGHYFLYSLLPEMVNNPNFTIKKNEDNSLSILA
+KHNGFNRQKQEVYLLPIIISDSGNPPLSSTSTLTIRVC
+>P55286_PF01049_645 <unknown description>
+RRHKNEPLIIKDDEDVRENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPRKDIKPDL
+QFMPRQGLAPVPNGVDVDEFINVRLHEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLES
+TTSDSDQNFDYLSDWGPRFKRLGELY
+>Q9ULB4_PF00028_68 <unknown description>
+GTDTQYVGKLHTDQDKGDGNLKYILTGDGAGSLFVIDENTGDIHAAKKLDREEKSLYILR
+AKAIDRKTGRQVEPESEFIIK
+>Q9ULB4_PF00028_164 <unknown description>
+YTASVPEMSGVGTSVIQVTATDADDANYGNSAKVVYSILQGQPYFSVDPESGIIKTALPD
+MSRENREQYQVVIQAKDMGGQMGGLSGTTTVNITL
+>Q9ULB4_PF00028_273 <unknown description>
+YQFNSPESVPLGTHLGRIKANDPDVGENAEMEYSIAEGDGADMFDVITDKDTQEGIITVK
+QNLDFENQMLYTLRVDASNTHPDPRFL
+>Q9ULB4_PF00028_388 <unknown description>
+YLIEVDEDVKEGSIIGQVTAYDPDARNNLIKYSVDRHTDMDRIFGIHSENGSIFTLKALD
+RESSPWHNITVTATEINNPKQSSHIPVFIRI
+>Q9ULB4_PF00028_492 <unknown description>
+YETFVCENAKPGQLIQTVSVMDKDDPPRGHKFFFEPVPEFTLNPNFTIVDNKDNTAGIMT
+RKDGYSRNKMSTYLLPILIFDNDYPIQSSTGTLTIRVC
+>Q9ULB4_PF01049_637 <unknown description>
+KRQRKKEPLIISKDDVRDNIVTYNDEGGGEEDTQAFDIGTLRNPEAREDSKLRRDVMPET
+IFQIRRTVPLWENIDVQDFIHRRLKENDADPSAPPYDSLATYAYEGNDSIADSLSSLESL
+TADCNQDYDYLSDWGPRFKKLADMYG
+>Q9BY67_PF07686_50 <unknown description>
+KDVTVIEGEVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKV
+SLTNVSISDEGRYFCQLYTDPPQESYTTITVL
+>Q9BY67_PF08205_155 <unknown description>
+TAVEGEEIEVNCTAMASKPATTIRWFKGNTELKGKSEVEEWSDMYTVTSQLMLKVHKEDD
+GVPVICQVEHPAV
+>Q9BY67_PF13927_243 <unknown description>
+PQVHIQMTYPLQGLTREGDALELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPNLFINN
+LNKTDNGTYRCEASN
+>Q8N3J6_PF07686_40 <unknown description>
+NVTVVEGGTAILTCRVDQNDNTSLQWSNPAQQTLYFDDKKALRDNRIELVRASWHELSIS
+VSDVSLSDEGQYTCSLFTMPV
+>Q8N3J6_PF08205_152 <unknown description>
+QLTCKTSGSKPAADIRWFKNDKEIKDVKYLKEEDANRKTFTVSSTLDFRVDRSDDGVAVI
+CRVDHESL
+>Q8N3J6_PF13927_236 <unknown description>
+PSVKIIPSTPFPQEGQPLILTCESKGKPLPEPVLWTKDGGELPDPDRMVVSGRELNILFL
+NKTDNGTYRCEATN
+>Q8N126_PF07686_39 <unknown description>
+TVVAGGTVVLKCQVKDHEDSSLQWSNPAQQTLYFGEKRALRDNRIQLVTSTPHELSISIS
+NVALADEGEYTCSIFTMP
+>Q8N126_PF08205_134 <unknown description>
+IITGYKSSLREKDTATLNCQSSGSKPAARLTWRKGDQELHGEPTRIQEDPNGKTFTVSSS
+VTFQVTREDDGASIVCSVNHESLKG
+>Q8N126_PF13927_235 <unknown description>
+AMIRPDPPHPREGQKLLLHCEGRGNPVPQQYLWEKEGSVPPLKMTQESALIFPFLNKSDS
+GTYGCTAT
+>Q8NFZ8_PF07686_30 <unknown description>
+ENVTVAEGGVAEITCRLHQYDGSIVVIQNPARQTLFFNGTRALKDERFQLEEFSPRRVRI
+RLSDARLEDEGGYFCQLYTEDTHHQIATLTVLV
+>Q8NFZ8_PF08205_130 <unknown description>
+EVREQAVEGGEVELSCLVPRSRPAATLRWYRDRKELKGVSSSQENGKVWSVASTVRFRVD
+RKDDGGIIICEAQNQAL
+>Q8NFZ8_PF13927_224 <unknown description>
+PTARIHASQAVVREGDTLVLTCAVTGNPRPNQIRWNRGNESLPERAEAVGETLTLPGLVS
+ADNGTYTCEASN
+>Q13111_PF15557_1 <unknown description>
+MLEELECGAPGARGAATAMDCKDRPAFPVKKLIQARLPFKRLNLVPKGKADDMSDDQGTS
+VQSKSPDLEASLDTLENNCHVGSDIDFRPKLVNGKGPLDNFLRNRIETSIGQSTVIIDLT
+EDSNEQPDSLVDHNKLNSEASPSREAINGQREDTGDQQGLLKAIQNDKLAFPGETLSDIP
+CKTEEEGVGCGGAGRRGDSQECSPRSCPELTSGPRMCPRKEQDSWS
+>Q13111_PF11600_320 <unknown description>
+RITKKFVKGSTEKNKLRLQRDQERLGKQLKLRAEREEKEKLKEEAKRAKEEAKKKKEEEK
+ELKEKERREKREKDEKEKAEKQRLKEERRKERQEALEAKLEEKRKKEEEKRLREEEKRIK
+AEKAEITRFFQKPKTPQAPKTLAGSCGKFAPFEIKEHMVL
+>Q13111_PF12253_558 <unknown description>
+KLLQFCENHRPAYWGTWNKKTALIRARDPWAQDTKLLDYEVDSDEEWEEEEPGESLSHSE
+GDDDDDMGEDED
+>Q13111_PF15539_665 <unknown description>
+AKEWDEFLAKGKRFRVLQPVKIGCVWAADRDCAGDDLKVLQQFAACFLETLPAQEEQTPK
+ASKRERRDEQILAQLLPLLHGNVNGSKVIIREFQEHCRRGLLSNHTGSPRSPSTTYLHTP
+TPSEDAAIPSKSRLKRLISENSVYEKRPDFRMCWYVHPQVLQSFQQEHLPVPCQWSYVTS
+VPSAPKEDSGSVPSTGPSQGTPISLKRKSAGSMCITQFMKKRRHDGQIGAEDMDGFQADT
+EEEEEEEGDCMIVDVPDAAEVQAPCGAASGAGGGVGVDTGKATLTASPLG
+>Q13112_PF00400_64 <unknown description>
+RHTKAVNVVRFSPTGEILASGGDDAVILLWK
+>Q13112_PF00400_120 <unknown description>
+TVVKTLRGHLEDVYDICWATDGNLMASASVDNTAIIWD
+>Q13112_PF00400_161 <unknown description>
+GQKISIFNEHKSYVQGVTWDPLGQYVATLSCDRVLRVY
+>Q13112_PF15512_381 <unknown description>
+GIPLKEKPVLNMRTPDTAKKTKSQTHRGSSPGPRPVEGTPASRTQDPSSPGTTPPQARQA
+PAPTVIRDPPSITPAVKSPLPGPSEEKTLQPSSQNTKAHPSRRVTLNTLQAWSKTTPRRI
+NLTPLKTDTPPSSVPTSVISTPSTEEIQSETPGDAQGSPPELKRPRLDE
+>Q8TC20_PF15066_30 <unknown description>
+MSESDTMNVSNLSQGVMLSHSPICMETTGTTCDLPQNEIKNFERENEYESTLCEDAYGTL
+DNLLNDNNIENYSTNALIQPVDTISISSLRQFETVCKFHWVEAFDDEMTEKPEFQSQVYN
+YAKDNNIKQDSFKEENPMETSVSANTDQLGNEYFRQPPPRSPPLIHCSGEMLKFTEKSLA
+KSIAKESALNPSQPPSFLCKTAV
+>Q8TC20_PF15066_230 <unknown description>
+TAVPSKEIQNYGEIPEMSVSYEKEVTAEGVERPEIVSTWSSAGISWRSEACRENCEMPDW
+EQSAESLQPVQEDMALNEVLQKLKHTNRKQEVRIQELQCSNLYLEKRVKELQMKITKQQV
+FIDVINKLKENVEELIEDKYKIILEKNDTKKTLQNLEEVLANTQKHLQESRNDKEMLQLQ
+FKKIKANYVCLQERYMTEMQQKNKSVSQYLEMDKTLSKKEEEVERLQQLKKELEKATASA
+LDLLKREKEAQEQEFLSLQEEFQKLEKENLEERQKLKSRLEKLLTQVR
+>Q9NS85_PF00194_55 <unknown description>
+SAWNLCSVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHL
+VNISGGPMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVQLIHYNHELYTNVTEAAK
+SPNGLVVVSIFIKVSDSSNPFLNRMLNRDTITRITYKNDAYLLQGLNIEELYPETSSFIT
+YDGSMTIPPCYETASWIIMNKPVYITRMQMHSLRLLSQNQPSQIFLSMSDNFRPVQPLNN
+RCIRTN
+>O75493_PF00194_57 <unknown description>
+AAWSLCAVGKRQSPVDVELKRVLYDPFLPPLRLSTGGEKLRGTLYNTGRHVSFLPAPRPV
+VNVSGGPLLYSHRLSELRLLFGARDGAGSEHQINHQGFSAEVQLIHFNQELYGNFSAASR
+GPNGLAILSLFVNVASTSNPFLSRLLNRDTITRISYKNDAYFLQDLSLELLFPESFGFIT
+YQGSLSTPPCSETVTWILIDRALNITSLQMHSLRLLSQNPPSQIFQSLSGNSRPLQPLAH
+RALR
+>O43570_PF00194_39 <unknown description>
+GENSWSKKYPSCGGLLQSPIDLHSDILQYDASLTPLEFQGYNLSANKQFLLTNNGHSVKL
+NLPSDMHIQGLQSRYSATQLHLHWGNPNDPHGSEHTVSGQHFAAELHIVHYNSDLYPDAS
+TASNKSEGLAVLAVLIEMGSFNPSYDKIFSHLQHVKYKGQEAFVPGFNIEELLPERTAEY
+YRYRGSLTTPPCNPTVLWTVFRNPVQISQEQLLALETALYCTHMDDPSPREMINNFRQVQ
+KFDERLVYTSF
+>Q8N1Q1_PF00194_13 <unknown description>
+GPIHWKEFFPIADGDQQSPIEIKTKEVKYDSSLRPLSIKYDPSSAKIISNSGHSFNVDFD
+DTENKSVLRGGPLTGSYRLRQVHLHWGSADDHGSEHIVDGVSYAAELHVVHWNSDKYPSF
+VEAAHEPDGLAVLGVFLQIGEPNSQLQKITDTLDSIKEKGKQTRFTNFDLLSLLPPSWDY
+WTYPGSLTVPPLLESVTWIVLKQPINISSQQLAKFRSLLCTAEGEAAAFLVSNHRPPQPL
+KGRKVRASF
+>Q9ULX7_PF00194_29 <unknown description>
+GQDHWPASYPECGNNAQSPIDIQTDSVTFDPDLPALQPHGYDQPGTEPLDLHNNGHTVQL
+SLPSTLYLGGLPRKYVAAQLHLHWGQKGSPGGSEHQINSEATFAELHIVHYDSDSYDSLS
+EAAERPQGLAVLGILIEVGETKNIAYEHILSHLHEVRHKDQKTSVPPFNLRELLPKQLGQ
+YFRYNGSLTTPPCYQSVLWTVFYRRSQISMEQLEKLQGTLFSTEEEPSKLLVQNYRALQP
+LNQRMVFASF
+>P00915_PF00194_12 <unknown description>
+NGPEQWSKLYPIANGNNQSPVDIKTSETKHDTSLKPISVSYNPATAKEIINVGHSFHVNF
+EDNDNRSVLKGGPFSDSYRLFQFHFHWGSTNEHGSEHTVDGVKYSAELHVAHWNSAKYSS
+LAEAASKADGLAVIGVLMKVGEANPKLQKVLDALQAIKTKGKRAPFTNFDPSTLLPSSLD
+FWTYPGSLTHPPLYESVTWIICKESISVSSEQLAQFRSLLSNVEGDNAVPMQHNNRPTQP
+LKGRTVRASF
+>P00918_PF00194_11 <unknown description>
+NGPEHWHKDFPIAKGERQSPVDIDTHTAKYDPSLKPLSVSYDQATSLRILNNGHAFNVEF
+DDSQDKAVLKGGPLDGTYRLIQFHFHWGSLDGQGSEHTVDKKKYAAELHLVHWNTKYGDF
+GKAVQQPDGLAVLGIFLKVGSAKPGLQKVVDVLDSIKTKGKSADFTNFDPRGLLPESLDY
+WTYPGSLTTPPLLECVTWIVLKEPISVSSEQVLKFRKLNFNGEGEPEELMVDNWRPAQPL
+KNRQIKASF
+>P07451_PF00194_11 <unknown description>
+NGPDHWHELFPNAKGENQSPVELHTKDIRHDPSLQPWSVSYDGGSAKTILNNGKTCRVVF
+DDTYDRSMLRGGPLPGPYRLRQFHLHWGSSDDHGSEHTVDGVKYAAELHLVHWNPKYNTF
+KEALKQRDGIAVIGIFLKIGHENGEFQIFLDALDKIKTKGKEAPFTKFDPSCLFPACRDY
+WTYQGSFTTPPCEECIVWLLLKEPMTVSSDQMAKLRSLLSSAENEPPVPLVSNWRPPQPI
+NNRVVRASF
+>P22748_PF00194_41 <unknown description>
+KWGGNCQKDRQSPINIVTTKAKVDKKLGRFFFSGYDKKQTWTVQNNGHSVMMLLENKASI
+SGGGLPAPYQAKQLHLHWSDLPYKGSEHSLDGEHFAMEMHIVHEKEKGTSRNVKEAQDPE
+DEIAVLAFLVEAGTQVNEGFQPLVEALSNIPKPEMSTTMAESSLLDLLPKEEKLRHYFRY
+LGSLTTPTCDEKVVWTVFREPIQLHREQILAFSQKLYYDKEQTVSMKDNVRPLQQLGQRT
+VIKS
+>P35218_PF00194_52 <unknown description>
+WTVPVSVPGGTRQSPINIQWRDSVYDPQLKPLRVSYEAASCLYIWNTGYLFQVEFDDATE
+ASGISGGPLENHYRLKQFHFHWGAVNEGGSEHTVDGHAYPAELHLVHWNSVKYQNYKEAV
+VGENGLAVIGVFLKLGAHHQTLQRLVDILPEIKHKDARAAMRPFDPSTLLPTCWDYWTYA
+GSLTTPPLTESVTWIIQKEPVEVAPSQLSAFRTLLFSALGEEEKMMVNNYRPLQPLMNRK
+VWASF
+>Q9Y2D0_PF00194_52 <unknown description>
+WESVDLVPGGDRQSPINIRWRDSVYDPGLKPLTISYDPATCLHVWNNGYSFLVEFEDSTD
+KSVIKGGPLEHNYRLKQFHFHWGAIDAWGSEHTVDSKCFPAELHLVHWNAVRFENFEDAA
+LEENGLAVIGVFLKLGKHHKELQKLVDTLPSIKHKDALVEFGSFDPSCLMPTCPDYWTYS
+GSLTTPPLSESVTWIIKKQPVEVDHDQLEQFRTLLFTSEGEKEKRMVDNFRPLQPLMNRT
+VRSSF
+>P23280_PF00194_31 <unknown description>
+DEAHWPQHYPACGGQRQSPINLQRTKVRYNPSLKGLNMTGYETQAGEFPMVNNGHTVQIS
+LPSTMRMTVADGTVYIAQQMHFHWGGASSEISGSEHTVDGIRHVIEIHIVHYNSKYKSYD
+IAQDAPDGLAVLAAFVEVKNYPENTYYSNFISHLANIKYPGQRTTLTGLDVQDMLPRNLQ
+HYYTYHGSLTTPPCTENVHWFVLADFVKLSRTQVWKLENSLLDHRNKTIHNDYRRTQPLN
+HRVVESNF
+>P43166_PF00194_14 <unknown description>
+GPSHWHKLYPIAQGDRQSPINIISSQAVYSPSLQPLELSYEACMSLSITNNGHSVQVDFN
+DSDDRTVVTGGPLEGPYRLKQFHFHWGKKHDVGSEHTVDGKSFPSELHLVHWNAKKYSTF
+GEAASAPDGLAVVGVFLETGDEHPSMNRLTDALYMVRFKGTKAQFSCFNPKCLLPASRHY
+WTYPGSLTTPPLSESVTWIVLREPICISERQMGKFRSLLFTSEDDERIHMVNNFRPPQPL
+KGRVVKASF
+>P35219_PF00194_36 <unknown description>
+EWGLVFPDANGEYQSPINLNSREARYDPSLLDVRLSPNYVVCRDCEVTNDGHTIQVILKS
+KSVLSGGPLPQGHEFELYEVRFHWGRENQRGSEHTVNFKAFPMELHLIHWNSTLFGSIDE
+AVGKPHGIAIIALFVQIGKEHVGLKAVTEILQDIQYKGKSKTIPCFNPNTLLPDPLLRDY
+WVYEGSLTIPPCSEGVTWILFRYPLTISQLQIEEFRRLRTHVKGAELVEGCDGILGDNFR
+PTQPLSDRVIRAA
+>Q16790_PF00194_148 <unknown description>
+PWPRVSPACAGRFQSPVDIRPQLAAFCPALRPLELLGFQLPPLPELRLRNNGHSVQLTLP
+PGLEMALGPGREYRALQLHLHWGAAGRPGSEHTVEGHRFPAEIHVVHLSTAFARVDEALG
+RPGGLAVLAAFLEEGPEENSAYEQLLSRLEEIAEEGSETQVPGLDISALLPSDFSRYFQY
+EGSLTTPPCAQGVIWTVFNQTVMLSAKQLHTLSDTLWGPGDSRLQLNFRATQPLNGRVIE
+ASF
+>Q5VU97_PF08399_91 <unknown description>
+KIREKFNRYLDVVNRNKQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTI
+SCDRLSTTVNSRAFNPGRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKF
+>Q5VU97_PF00092_229 <unknown description>
+IVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADTVRTCSLDQCYKTFLSP
+ATSETKRKMSTFVSSVKSSDSPTQHAVGFQKAFQLIRSTNNNTKFQANTDMVIIYLSAGI
+TSKDSSEEDKKATLQVINEENSFLNNSVMILTYALMNDGVTGLKELA
+>Q8IU99_PF14798_2 <unknown description>
+MDKFRMIFQFLQSNQESFMNGICGIMALASAQMYSAFDFNCPCLPGYNAAYSAGILLAPP
+LVLFLLGLVMNNNVSMLAEEWKRPLGRRAKDPAVLRYMFCSMAQRALIAPVVWVAVTLLD
+GKCFLCAFCTAVPVSALGNGSLAPGLPAPELARLLARVPCPEIYDGDWLLAREVAVRYLR
+CISQALGWSFVLLTTLLAFVVRSVRPCFTQAAFLKSKYWSHYIDIERKLFDETCTEHAKA
+FAKVCIQQFFEAMN
+>Q9HA72_PF14798_7 <unknown description>
+ENFRFLSLFFKSKDVMIFNGLVALGTVGSQELFSVVAFHCPCSPARNYLYGLAAIGVPAL
+VLFIIGIILNNHTWNLVAECQHRRTKNCSAAPTFLLLSSILGRAAVAPVTWSVISLLRGE
+AYVCALSEFVDPSSLTAREEHFPSAHATEILARFPCKENPDNLSDFREEVSRRLRYESQL
+FGWLLIGVVAILVFLTKCLKHYCSPLSYRQEAYWAQYRANEDQLFQRTAEVHSRVLAANN
+VRRFFGFV
+>Q86XJ0_PF14798_1 <unknown description>
+MDKFRMLFQHFQSSSESVMNGICLLLAAVTVKLYSSFDFNCPCLVHYNALYGLGLLLTPP
+LALFLCGLLANRQSVVMVEEWRRPAGHRRKDPGIIRYMCSSVLQRALAAPLVWILLALLD
+GKCFVCAFSSSVDPEKFLDFANMTPSQVQLFLAKVPCKEDELVRDSPARKAVSRYLRCLS
+QAIGWSVTLLLIIAAFLARCLRPCFDQTVFLQRRYWSNYVDLEQKLFDETCCEHARDFAH
+RCVLHFFASMR
+>Q5JW98_PF14798_13 <unknown description>
+QRNGIFINSLIAALTIGGQQLFSSSTFSCPCQVGKNFYYGSAFLVIPALILLVAGFALRS
+QMWTITGEYCCSCAPPYRRISPLECKLACLRFFSITGRAVIAPLTWLAVTLLTGTYYECA
+ASEFASVDHYPMFDNVSASKREEILAGFPCCRSAPSDVILVRDEIALLHRYQSQMLGWIL
+ITLATIAALVSCCVAKCCSPLTSLQHCYWTSHLQNERELFEQAAEQHSRLLMMHRIKKLF
+GFI
+>Q8N5C1_PF14798_1 <unknown description>
+MDAFQGILKFFLNQKTVIGYSFMALLTVGSERLFSVVAFKCPCSTENMTYGLVFLFAPAW
+VLLILGFFLNNRSWRLFTGCCVNPRKIFPRGHSCRFFYVLGQITLSSLVAPVMWLSVALL
+NGTFYECAMSGTRSSGLLELICKGKPKECWEELHKVSCGKTSMLPTVNEELKLSLQAQSQ
+ILGWCLICSASFFSLLTTCYARCRSKVSYLQLSFWKTYAQKEKEQLENTFLDYANKLSER
+NLKCFFENKR
+>Q5R3K3_PF14798_1 <unknown description>
+MEKFRAVLDLHVKHHSALGYGLVTLLTAGGERIFSAVAFQCPCSAAWNLPYGLVFLLVPA
+LALFLLGYVLSARTWRLLTGCCSSARASCGSALRGSLVCTQISAAAALAPLTWVAVALLG
+GAFYECAATGSAAFAQRLCLGRNRSCAAELPLVPCNQAKASDVQDLLKDLKAQSQVLGWI
+LIAVVIIILLIFTSVTRCLSPVSFLQLKFWKIYLEQEQQILKSKATEHATELAKENIKCF
+FEGSH
+>P05937_PF13499_103 <unknown description>
+FMKTWRKYDTDHSGFIETEELKNFLKDLLEKANKTVDDTKLAEYTDLMLKLFDSNNDGKL
+ELTEMAR
+>P05937_PF00036_190 <unknown description>
+EFNKAFELYDQDGNGYIDENELDALLKD
+>P22676_PF13499_21 <unknown description>
+FLEIWKHFDADGNGYIEGKELENFFQELEKARKGSGMMSKSDNFGEKMKEFMQKYDKNSD
+GKIEMAELAQI
+>P22676_PF13499_112 <unknown description>
+FMEAWRKYDTDRSGYIEANELKGFLSDLLKKANRPYDEPKLQEYTQTILRMFDLNGDGKL
+GLSEMSR
+>P22676_PF13405_199 <unknown description>
+EFNAIFTFYDKDRSGYIDEHELDALLKD
+>P10092_PF00214_1 <unknown description>
+MGFRKFSPFLALSILVLYQAGSLQAAPFRSALESSPDPATLSKEDARLLLAALVQDYVQM
+KASELKQEQETQGSSSAAQKRACNTATCVTHRLAGLLSRSGGMVKSNFVPTNVGSKAFGR
+RR
+>P30988_PF02793_70 <unknown description>
+PYCNRTWDGWLCWDDTPAGVLSYQFCPDYFPDFDPSEKVTKYCDEKGVWFKHPENNRTWS
+NYTMCN
+>P30988_PF00002_146 <unknown description>
+YVLYYLAIVGHSLSIFTLVISLGIFVFFRSLGCQRVTLHKNMFLTYILNSMIIIIHLVEV
+VPNGELVRRDPVSCKILHFFHQYMMACNYFWMLCEGIYLHTLIVVAVFTEKQRLRWYYLL
+GWGFPLVPTTIHAITRAVYFNDNCWLSVETHLLYIIHGPVMAALVVNFFFLLNIVRVLVT
+KMRETHEAESHMYLKAVKATMILVPLLGIQFVVFPWRPSNKMLGKIYDYVMHSLIHFQGF
+FV
+>P01258_PF00214_1 <unknown description>
+MGFQKFSPFLALSILVLLQAGSLHAAPFRSALESSPADPATLSEDEARLLLAALVQDYVQ
+MKASELEQEQEREGSSLDSPRSKRCGNLSTCMLGTYTQDFNKFHTFPQTAIGVGAPGKKR
+>Q05682_PF02029_31 <unknown description>
+DDDEEEAARERRRRARQERLRQKQEEESLGQVTDQVEVNAQNSVPDEEAKTTTTNTQVEG
+DDEAAFLERLARREERRQKRLQEALERQKEFDPTITDASLSLPSRRMQNDTAENETTEKE
+EKSESRQERYEIEETETVTKSYQKNDWRDAEENKKEDKEKEEEEEEKPKRGSIGENQVEV
+MVEEKTTESQEETVVMSLKNGQISSEEPKQEEEREQGSDEISHHEKMEEEDKERAEAERA
+RLEAEERERIKAEQDKKIADERARI
+>Q05682_PF02029_298 <unknown description>
+EEKAAAQERERREAEERERMREEEKRAAEERQRIKEEEKRAAEERQRIKEEEKRAAEERQ
+RIKEEEKRAAEERQRARAEEEEKAKVEEQKRNKQLEEKKHAMQETKIKGEKVEQKIEGKW
+VNEKKAQEDKLQTAVLKKQGEEKGTKVQAKREKLQEDKPTFKKEEIKDEKIKKDKEPKEE
+VKSFMDRKKGFTEVKSQNGEFMTHKLKHTENTFSRPGGRASVDTKEAEGAPQVEAGKRLE
+ELRRRRGETESEEFEKLKQKQQEAALELEELKKKREERRKVLEEEEQRRKQEEADRKLRE
+EEEKRRLKEEIERRRAEAAEKRQKMPEDGLSDDKKPFKCFTPKGSSLKIEERAEFLNKSV
+QKSSGVKSTHQAAIVSKIDSRLEQYTSAIEGTKSAKPTKPAASDLPVPAEGVRNIKSMWE
+KGNVFSSPTAAGTPNKETAGLKVGVSSRINEWLTKTPDGNKSPAPKPSDLRPGDVSSKRN
+LWE
+>Q13939_PF00651_18 <unknown description>
+LNRQRKRKEYWDMALSVDNHVFFAHRNVLAAVSPLVRSLISSNDMKTADELFITIDTSYL
+SPVTVDQLLDYFYSGKVVISEQNVEELLRGAQYFNTPRLRVHCNDFLIKS
+>Q13939_PF07707_133 <unknown description>
+CLRYLFLAELFELKEVSDVAYSGIRDNFHYWASPEGSMHFMRCPPVIFGRLLRDENLHVL
+NEDQALSALINWVYFRKEDREKYFKKFFNYINLNAVSNKTLV
+>Q13939_PF13964_318 <unknown description>
+AALSATSAGRYIYISGGTTEQISGLKTAWRYDMDDNSWTKLPDLPIG
+>Q13939_PF01344_367 <unknown description>
+FHTMVTCGGTVYSVGGSIAPRRYVSNIYRYDERKEVWCLAGKMS
+>P27482_PF13499_12 <unknown description>
+EFKEAFSLFDKDGDGCITTRELGTVMRSLGQNPTEAELRDMMSEIDRDGNGTVDFPEFLG
+MM
+>P27482_PF13499_83 <unknown description>
+EEEIREAFRVFDKDGNGFVSAAELRHVMTRLGEKLSDEEVDEMIRAADTDGDGQVNYEEF
+VR
+>Q9NZT1_PF13499_12 <unknown description>
+QYKKAFSAVDTDGNGTINAQELGAALKATGKNLSEAQLRKLISEVDSDGDGEISFQEFLT
+AA
+>Q9NZT1_PF13499_81 <unknown description>
+EDLQVAFRAFDQDGDGHITVDELRRAMAGLGQPLPQEELDAMIREADVDQDGRVNYEEFA
+RM
+>Q8TD86_PF13833_50 <unknown description>
+NGEVKTGELEWLMSLLGINPTKSELASMAKDVDRDNKGFFNCDGFLALM
+>Q8TD86_PF13499_110 <unknown description>
+SELRAAFRVFDKEGKGYIDWNTLKYVLMNAGEPLNEVEAEQMMKEADKDGDRTIDYEEFV
+AMM
+>P0DP23_PF13499_12 <unknown description>
+EFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLT
+MM
+>P0DP23_PF13499_83 <unknown description>
+EEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEF
+VQMMT
+>P0DP24_PF13499_12 <unknown description>
+EFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLT
+MM
+>P0DP24_PF13499_83 <unknown description>
+EEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEF
+VQMMT
+>P0DP25_PF13499_12 <unknown description>
+EFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLT
+MM
+>P0DP25_PF13499_83 <unknown description>
+EEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEF
+VQMMT
+>Q96L12_PF00262_23 <unknown description>
+FQEEFLDGEHWRNRWLQSTNDSRFGHFRLSSGKFYGHKEKDKGLQTTQNGRFYAISARFK
+PFSNKGKTLVIQYTVKHEQKMDCGGGYIKVFPADIDQKNLNGKSQYYIMFGPDICGFDIK
+KVHVILHFKNKYHENKKLIRCKVDGFTHLYTLILRPDLSYDVKIDGQSIESGSIEYDWNL
+TSLKKETSPAESKDWEQTKDNKAQDWEKHFLDASTSKQSDWNG
+>Q96L12_PF00262_249 <unknown description>
+GDWPAPMLQKPPYQDGLKPEGIHKDVWLHRKMKNTDYLTQYDLSEFENIGAIGLELWQVR
+SGTIFDNFLI
+>Q16602_PF02793_63 <unknown description>
+VYCNRTWDGWLCWNDVAAGTESMQLCPDYFQDFDPSEKVTKICDQDGNWFRHPASNRTWT
+NYTQCNV
+>Q16602_PF00002_140 <unknown description>
+NLFYLTIIGHGLSIASLLISLGIFFYFKSLSCQRITLHKNLFFSFVCNSVVTIIHLTAVA
+NNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGIYLHTLIVVAVFAEKQHLMWYYFLG
+WGFPLIPACIHAIARSLYYNDNCWISSDTHLLYIIHGPICAALLVNLFFLLNIVRVLITK
+LKVTHQAESNLYMKAVRATLILVPLLGIEFVLIPWRPEGKIAEEVYDYIMHILMHFQGLL
+V
+>P27797_PF00262_23 <unknown description>
+FKEQFLDGDGWTSRWIESKHKSDFGKFVLSSGKFYGDEEKDKGLQTSQDARFYALSASFE
+PFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPNSLDQTDMHGDSEYNIMFGPDICGPGTK
+KVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPDNTYEVKIDNSQVESGSLEDDWDF
+LPPKKIKDPDASKPEDWDERAKIDDPTDSKPEDWDKPEHIPDPDAKKPEDWDEEM
+>P27797_PF00262_259 <unknown description>
+GEWEPPVIQNPEYKGEWKPRQIDNPDYKGTWIHPEIDNPEYSPDPSIYAYDNFGVLGLDL
+WQVKSGTIFDNFLI
+>O43852_PF13202_79 <unknown description>
+KIDGDKDGFVTVDELK
+>O43852_PF13202_194 <unknown description>
+QETMEDIDKNADGFIDLEEY
+>O43852_PF13833_267 <unknown description>
+EAEARHLVYESDQNKDGKLTKEEIV
+>P27824_PF00262_71 <unknown description>
+FADSFDRGTLSGWILSKAKKDDTDDEIAKYDGKWEVEEMKESKLPGDKGLVLMSRAKHHA
+ISAKLNKPFLFDTKPLIVQYEVNFQNGIECGGAYVKLLSKTPELNLDQFHDKTPYTIMFG
+PDKCGEDYKLHFIFRHKNPKTGIYEEKHAKRPDADLKTYFTDKKTHLYTLILNPDNSFEI
+LVDQSVVNSGNLLNDMTPPVNPSREIEDPEDRKPEDWDERPKIPDPEAVKPDDWDEDAPA
+KIPDEEATKPEGWLDDEPEYVPDPDAEKPEDWDEDMDGEWEAPQIANPRCESAPGCGVWQ
+RPVIDNPNYKGKWKPPMIDNPSYQGIWKPRKIPNPDFFEDLEPFRMTPFSAIGLELWSMT
+SDIFFDNFII
+>Q9NYX4_PF06387_1 <unknown description>
+MVKLGCSFSGKPGKDPGDQDGAAMDSVPLISPLDISQLQPPLPDQVVIKTQTEYQLSSPD
+QQNFPDLEGQRLNCSHPEEGRRLPTARMIAFAMALLGCVLIMYKAIWYDQFTCPDGFLLR
+HKICTPLTLEMYYTEMDPERHRSILAAIGAYPLSRKHGTETPAAWGDGYRAAKEERKGPT
+>Q8NCB2_PF00069_34 <unknown description>
+EFCEIFRAKDKTTGKLHTCKKFQKRDGRKVRKAAKNEIGILKMVKHPNILQLVDVFVTRK
+EYFIFLELATGREVFDWILDQGYYSERDTSNVVRQVLEAVAYLHSLKIVHRNLKLENLVY
+YNRLKNSKIVISDFHLAKLENGLIKEPCGTPEYLAPEVVGRQRYGRPVDCWAIGVIMYIL
+LSGNPPFYEEVEEDDYENHDKNLFRKILAGDYEFDSPYWDDISQAAKDLVTRLMEVEQDQ
+RITAEEAISHEWI
+>P49069_PF14963_23 <unknown description>
+SASQRRAELRRRKLLMNSEQRINRIMGFHRPGSGAEEESQTKSKQQDSDKLNSLSVPSVS
+KRVVLGDSVSTGTTDQQGGVAEVKGTQLGDKLDSFIKPPECSSDVNLELRQRNRGDLTAD
+SVQRGSRHGLEQYLSRFEEAMKLRKQLISEKPSQEDGNTTEEFDSFRIFRLVGCALLALG
+VRAFVCKYLSIFAPFLTLQLAYMGLYKYFPKSEKKIKTTVLTAALLLSGIPAEVINRSMD
+TYSKMGEVFTDLCVYFFTFIFCHELLDYWG
+>Q5T5Y3_PF11971_230 <unknown description>
+LSARQSPYFPLLEDLMRDGSDGAALLAVIHYYCPEQMKLDDICLKEVTSMADSLYNIRLL
+REFSNEYLNKCFYLTLEDMLYA
+>Q5T5Y3_PF17095_869 <unknown description>
+DPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSARQRLKLGKAAFLHVVKK
+>Q5T5Y3_PF08683_1464 <unknown description>
+PKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILEELEKCDANHYIILFRDAGCQF
+RALYCYYPDTEEIYKLTGTGPKNITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDALTI
+>Q08AD1_PF11971_226 <unknown description>
+KQLPCIPLVENLLKDGTDGCALAALIHFYCPDVVRLEDICLKETMSLADSLYNLQLIQEF
+CQEYLNQCCHFTLEDMLYA
+>Q08AD1_PF17095_742 <unknown description>
+TTQLLASEMVHLRMKLEEKRRAIEAQKKKMEAAFTKQRQKMGRTAFLTVVKK
+>Q08AD1_PF08683_1339 <unknown description>
+PKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDANNFLILFRDSGCQF
+RSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIPAKTLSASVDAITI
+>Q9P1Y5_PF11971_217 <unknown description>
+ARRAPCFPTVTSLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDF
+CASRLPRGCPLSLEDLLYV
+>Q9P1Y5_PF17095_590 <unknown description>
+PAPPEALSSEMSELSARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQP
+>Q9P1Y5_PF08683_1110 <unknown description>
+PRLYKEPSAKSNKFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQF
+RALYTLSGETEELSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTI
+>P49913_PF00666_31 <unknown description>
+QVLSYKEAVLRAIDGINQRSSDANLYRLLDLDPRPTMDGDPDTPKPVSFTVKETVCPRTT
+QQSPEDCDFKKDGLVKRCMGTVTLNQARGSFDISCDKDNK
+>P49913_PF12153_136 <unknown description>
+GDFFRKSKEKIGKEFKRIVQRIKDFLRN
+>Q9HC96_PF00648_14 <unknown description>
+FRDAAFPAADSSLFCDLSTPLAQFREDITWRRPQEICATPRLFPDDPREGQVKQGLLGDC
+WFLCACAALQKSRHLLDQVIPPGQPSWADQEYRGSFTCRIWQFGRWVEVTTDDRLPCLAG
+RLCFSRCQREDVFWLPLLEKVYAKVHGSYEHLWAGQVADALVDLTGGLAERWNLKGVAGS
+GGQQDRPGRWEHRTCRQLLHLKDQCLISCCVLSPRAGARELGEFHAFIVSDLRELQGQAG
+QCILLLRIQNPWGRRCWQGLWREGGEGWSQVDAAVASELLSQLQEGEFWVEEEEFLREFD
+ELTV
+>Q9HC96_PF01067_344 <unknown description>
+GAWVKGQSAGGCRNNSGFPSNPKFWLRVSEPSEVYIAVLQRSRLHAADWAGRARALVGDS
+HTSWSPASIPGKHYQAVGLHLWKVEKRRVNLPRVLSMPPVAGTACHAYDREVHLRCELSP
+GYYLAVPSTFLKDAPGEFLLRVF
+>Q9HC96_PF01067_520 <unknown description>
+RGSWRVGQTAGGSRNFASYPTNPCFPFSVPEGPGPRCVRITLHQHCRPSDTEFHPIGFHI
+FQVPEGGRSQDAPPLLLQEPLLSCVPHRYAQEVSRLCLLPAGTYKVVPSTYLPDTEGAFT
+VTI
+>Q9UMQ6_PF00648_80 <unknown description>
+FEDPLFPAEPSSLGFKDLGPNSKNVQNISWQRPKDIINNPLFIMDGISPTDICQGILGDC
+WLLAAIGSLTTCPKLLYRVVPRGQSFKKNYAGIFHFQIWQFGQWVNVVVDDRLPTKNDKL
+VFVHSTERSEFWSALLEKAYAKLSGSYEALSGGSTMEGLEDFTGGVAQSFQLQRPPQNLL
+RLLRKAVERSSLMGCSIEVTSDSELESMTDKMLVRGHAYSVTGLQDVHYRGKMETLIRVR
+NPWGRIEWNGAWSDSAREWEEVASDIQMQLLHKTEDGEFWMSYQDFLNNFTLLEICN
+>Q9UMQ6_PF01067_395 <unknown description>
+YEGSWRRGSSAGGCRNHPGTFWTNPQFKISLPEGDDPEDDAEGNVVVCTCLVALMQKNWR
+HARQQGAQLQTIGFVLYAVPKEFQNIQDVHLKKEFFTKYQDHGFSEIFTNSREVSSQLRL
+PPGEYIIIPSTFEPHRDADFLLRVF
+>Q6ZSI9_PF00648_46 <unknown description>
+FRDPYFPAGPDALGYDQLGPDSEKAKGVKWMRPHEFCAEPKFICEDMSRTDVCQGSLGNC
+WFLAAAASLTLYPRLLRRVVPPGQDFQHGYAGVFHFQLWQFGRWMDVVVDDRLPVREGKL
+MFVRSEQRNEFWAPLLEKAYAKLHGSYEVMRGGHMNEAFVDFTGGVGEVLYLRQNSMGLF
+SALRHALAKESLVGATALSDRGEYRTEEGLVKGHAYSITGTHKVFLGFTKVRLLRLRNPW
+GCVEWTGAWSDSCPRWDTLPTECRDALLVKKEDGEFWMELRDFLLHFDTVQICS
+>Q6ZSI9_PF01067_359 <unknown description>
+FQGRWVRGFNSGGSQPNAETFWTNPQFRLTLLEPDEEDDEDEEGPWGGWGAAGARGPARG
+GRTPKCTVLLSLIQRNRRRLRAKGLTYLTVGFHVFQIPEELLGLWDSPRSHALLPRLLRA
+DRSPLSARRDVTRRCCLRPGHYLVVPSTAHAGDEADFTLRVF
+>Q6MZZ7_PF00648_35 <unknown description>
+FKDETFPAADSSIGQKLLQEKRLSNVIWKRPQDLPGGPPHFILDDISRFDIQQGGAADCW
+FLAALGSLTQNPQYRQKILMVQSFSHQYAGIFRFRFWQCGQWVEVVIDDRLPVQGDKCLF
+VRPRHQNQEFWPCLLEKAYAKLLGSYSDLHYGFLEDALVDLTGGVITNIHLHSSPVDLVK
+AVKTATKAGSLITCATPSGPTDTAQAMENGLVSLHAYTVTGAEQIQYRRGWEEIISLWNP
+WGWGEAEWRGRWSDGSQEWEETCDPRKSQLHKKREDGEFWMSCQDFQQKFIAMFICS
+>Q6MZZ7_PF01067_359 <unknown description>
+GNTAGGPRNDAQFNFSVQEPMEGTNVVVCVTVAVTPSNLKAEDAKFPLDFQVILAGSQRF
+REKFPPVFFSSFRNTVQSSNNKFRRNFTMTYHLSPGNYVVVAQTRRKSAEFLLRIF
+>A8MX76_PF00648_44 <unknown description>
+FEDTSFPATLSSIGSGSLLQKLPPRLQWKRPPELHSNPQFYFAKAKRLDLCQGIVGDCWF
+LAALQALALHQDILSRVVPLNQSFTEKYAGIFRFWFWHYGNWVPVVIDDRLPVNEAGQLV
+FVSSTYKNLFWGALLEKAYAKLSGSYEDLQSGQVSEALVDFTGGVTMTINLAEAHGNLWD
+ILIEATYNRTLIGCQTHSGEKILENGLVEGHAYTLTGIRKVTCKHRPEYLVKLRNPWGKV
+EWKGDWSDSSSKWELLSPKEKILLLRKDNDGEFWMTLQDFKTHFVLLVICK
+>A8MX76_PF01067_354 <unknown description>
+EGRWEKRSTAGGQRQLLQDTFWKNPQFLLSVWRPEEGRRSLRPCSVLVSLLQKPRHRCRK
+RKPLLAIGFYLYRMNKYHDDQRRLPPEFFQRNTPLSQPDRFLKEKEVSQELCLEPGTYLI
+VPCILEAHQKSEFVLRVF
+>O75808_PF00641_5 <unknown description>
+GEWSCVRCTFLNPAGQRQCSICEAPR
+>O75808_PF00641_145 <unknown description>
+GGWACPRCTLHNTPVASSCSVCGGPR
+>O75808_PF00641_412 <unknown description>
+RPGQWACPACTLLNALRAKHCAACHTP
+>O75808_PF00648_488 <unknown description>
+FVDDSFPPGPESVGFPAGDSVQQRVRQWLRPQEINCSVFRDHRATWSVFHTLRPSDILQG
+LLGNCWFLSALAVLAERPDLVERVMVTRSLCAEGAYQVRLCKDGTWTTVLVDDMLPCDEA
+GCLLFSQAQRKQLWVALIEKALAKLHGSYFALQAGRAIEGLATLTGAPCESLALQLSSTN
+PREEPVDTDLIWAKMLSSKEAGFLMGASCGGGNMKVDDSAYESLGLRPRHAYSILDVRDV
+QGTRLLRLRNPWGRFSWNGSWSDEWPHWPGHLRGELMPHGSSEGVFWMEYGDFVRYFDSV
+DICK
+>P07384_PF00648_56 <unknown description>
+FRDEAFPPVPQSLGYKDLGPNSSKTYGIKWKRPTELLSNPQFIVDGATRTDICQGALGDC
+WLLAAIASLTLNDTLLHRVVPHGQSFQNGYAGIFHFQLWQFGEWVDVVVDDLLPIKDGKL
+VFVHSAEGNEFWSALLEKAYAKVNGSYEALSGGSTSEGFEDFTGGVTEWYELRKAPSDLY
+QIILKALERGSLLGCSIDISSVLDMEAITFKKLVKGHAYSVTGAKQVNYRGQVVSLIRMR
+NPWGEVEWTGAWSDSSSEWNNVDPYERDQLRVKMEDGEFWMSFRDFMREFTRLEICN
+>P07384_PF01067_371 <unknown description>
+YEGTWRRGSTAGGCRNYPATFWVNPQFKIRLDETDDPDDYGDRESGCSFVLALMQKHRRR
+ERRFGRDMETIGFAVYEVPPELVGQPAVHLKRDFFLANASRARSEQFINLREVSTRFRLP
+PGEYVVVPSTFEPNKEGDFVLRFF
+>P07384_PF13833_557 <unknown description>
+EDMEISVKELRTILNRIISKHKDLRTKGFSLESCRSMVNLMDRDGNGKLGLVEFNILW
+>P17655_PF00648_46 <unknown description>
+FQDPSFPAIPSALGFKELGPYSSKTRGIEWKRPTEICADPQFIIGGATRTDICQGALGDC
+WLLAAIASLTLNEEILARVVPLNQSFQENYAGIFHFQFWQYGEWVEVVVDDRLPTKDGEL
+LFVHSAEGSEFWSALLEKAYAKINGCYEALSGGATTEGFEDFTGGIAEWYELKKPPPNLF
+KIIQKALQKGSLLGCSIDITSAADSEAITFQKLVKGHAYSVTGAEEVESNGSLQKLIRIR
+NPWGEVEWTGRWNDNCPSWNTIDPEERERLTRRHEDGEFWMSFSDFLRHYSRLEICN
+>P17655_PF01067_362 <unknown description>
+DGNWRRGSTAGGCRNYPNTFWMNPQYLIKLEEEDEDEEDGESGCTFLVGLIQKHRRRQRK
+MGEDMHTIGFGIYEVPEELSGQTNIHLSKNFFLTNRARERSDTFINLREVLNRFKLPPGE
+YILVPSTFEPNKDGDFCIRVF
+>P17655_PF13833_545 <unknown description>
+DAEISAFELQTILRRVLAKRQDIKSDGFSIETCKIMVDMLDSDGSGKLGLKEFYILWT
+>P20807_PF00648_75 <unknown description>
+YVDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIIDGANRTDICQGELGDCWFLAA
+IACLTLNQHLLFRVIPHDQSFIENYAGIFHFQFWRYGEWVDVVIDDCLPTYNNQLVFTKS
+NHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVAEFFEIRDAPSDMYKIMKK
+AIERGSLMGCSIDDGTNMTYGTSPSGLNMGELIARMVRNMDNSLLQDSDLDPRGSDERPT
+RTIIPVQYETRMACGLVRGHAYSVTGLDEVPFKGEKVKLVRLRNPWGQVEWNGSWSDRWK
+DWSFVDKDEKARLQHQVTEDGEFWMSYEDFIYHFTKLEICN
+>P20807_PF01067_435 <unknown description>
+EGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICSFLVALMQKNRRKDRK
+LGASLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFRLPPSEY
+VIVPSTYEPHQEGEFILRVF
+>P20807_PF16648_583 <unknown description>
+EVENTISVDRPVKKKKTKPIIFVSDRANSNKELGVDQESEEGKGKTSPDKQKQSPQPQPG
+SSDQESEEQQQ
+>P20807_PF13833_665 <unknown description>
+DMEICADELKKVLNTVVNKHKDLKTHGFTLESCRSMIALMDTDGSGKLNLQEFHHLWN
+>O15484_PF00648_27 <unknown description>
+FEDPLFPATDDSLYYKGTPGPAVRWKRPKGICEDPRLFVDGISSHDLHQGQVGNCWFVAA
+CSSLASRESLWQKVIPDWKEQEWDPEKPNAYAGIFHFHFWRFGEWVDVVIDDRLPTVNNQ
+LIYCHSNSRNEFWCALVEKAYAKLAGCYQALDGGNTADALVDFTGGVSEPIDLTEGDFAN
+DETKRNQLFERMLKVHSRGGLISASIKAVTAADMEARLACGLVKGHAYAVTDVRKVRLGH
+GLLAFFKSEKLDMIRLRNPWGEREWNGPWSDTSEEWQKVSKSEREKMGVTVQDDGEFWMT
+FEDVCRYFTDIIKC
+>O15484_PF01067_360 <unknown description>
+HGAWTLHEDPRQNRGGGCINHKDTFFQNPQYIFEVKKPEDEVLICIQQRPKRSTRREGKG
+ENLAIGFDIYKVEENRQYRMHSLQHKAASSIYINSRSVFLRTDQPEGRYVIIPTTFEPGH
+TGEFLLRVF
+>O15484_PF00168_520 <unknown description>
+QVHVLGAAGLKDSPTGANSYVIIKCEGDKVRSAVQKGTSTPEYNVKGIFYRKKLSQPITV
+QVWNHRVLKDEFLGQVHLKADP
+>Q9Y6Q1_PF00648_27 <unknown description>
+FCDPTFLPENDSLFYNRLLPGKVVWKRPQDICDDPHLIVGNISNHQLTQGRLGHKPMVSA
+FSCLAVQESHWTKTIPNHKEQEWDPQKTEKYAGIFHFRFWHFGEWTEVVIDDLLPTINGD
+LVFSFSTSMNEFWNALLEKAYAKLLGCYEALDGLTITDIIVDFTGTLAETVDMQKGRYTE
+LVEEKYKLFGELYKTFTKGGLICCSIESPNQEEQEVETDWGLLKGHTYTMTDIRKIRLGE
+RLVEVFSAEKVYMVRLRNPLGRQEWSGPWSEISEEWQQLTASDRKNLGLVMSDDGEFWMS
+LEDFCRNFHKLNVCR
+>Q9Y6Q1_PF01067_359 <unknown description>
+CWTVDDDPLMNRSGGCYNNRDTFLQNPQYIFTVPEDGHKVIMSLQQKDLRTYRRMGRPDN
+YIIGFELFKVEMNRKFRLHHLYIQERAGTSTYIDTRTVFLSKYLKKGNYVLVPTMFQHGR
+TSEFLLRIF
+>Q9Y6Q1_PF00168_519 <unknown description>
+QITVHSAEDLEKKYANETVNPYLVIKCGKEEVRSPVQKNTVHAIFDTQAIFYRRTTDIPI
+IVQVWNSRKFCDQFLGQVTLDADP
+>Q9Y6W3_PF04212_9 <unknown description>
+DAVQFARLAVQRDHEGRYSEAVFYYKEAAQALIYAEMAGSSLENIQEKITEYLERVQALH
+>Q9Y6W3_PF04212_87 <unknown description>
+LERAHFLVTQAFDEDEKENVEDAIELYTEAVDLCLKTSYETADKVLQNKLKQLARQALDR
+AEALS
+>Q9Y6W3_PF00648_254 <unknown description>
+QKTTFSKWVRPEDLTNNPTMIYTVSSFSIKQTIVSDCSFVASLAISAAYERRFNKKLITG
+IIYPQNKDGEPEYNPCGKYMVKLHLNGVPRKVIIDDQLPVDHKGELLCSYSNNKSELWVS
+LIEKAYMKVMGGYDFPGSNSNIDLHALTGWIPERIAMHSDSQTFSKDNSFRMLYQRFHKG
+DVLITASTGMMTEAEGEKWGLVPTHAYAVLDIREFKGLRFIQLKNPWSHLRWKGRYSEND
+VKNWTPELQKYLNFDPRTAQKIDNGIFWISWDDLCQYYDVI
+>Q9Y6W3_PF01067_693 <unknown description>
+NGKWSGQSAGGCGNFQETHKNNPIYQFHIEKTGPLLIELRGPRQYSVGFEVVTVSTLGDP
+GPHGFLRKSSGDYRCGFCYLELENIPSGIFNIIPSTFLPKQEGPFFL
+>A6NHC0_PF00648_46 <unknown description>
+FKDPEFPACPSALGYKDLGPGSPQTQGIIWKRPTELCPSPQFIVGGATRTDICQGGLGDC
+WLLAAIASLTLNEELLYRVVPRDQDFQENYAGIFHFQFWQYGEWVEVVIDDRLPTKNGQL
+LFLHSEQGNEFWSALLEKAYAKLNGCYEALAGGSTVEGFEDFTGGISEFYDLKKPPANLY
+QIIRKALCAGSLLGCSIDVSSAAEAEAITSQKLVKSHAYSVTGVEEVNFQGHPEKLIRLR
+NPWGEVEWSGAWSDDAPEWNHIDPRRKEELDKKVEDGEFWMSLSDFVRQFSRLEICN
+>A6NHC0_PF01067_362 <unknown description>
+NGHWTRGSTAGGCQNYPATYWTNPQFKIRLDEVDEDQEESIGEPCCTVLLGLMQKNRRWR
+KRIGQGMLSIGYAVYQVPKELESHTDAHLGRDFFLAYQPSARTSTYVNLREVSGRARLPP
+GEYLVVPSTFEPFKDGEFCLRVF
+>O14815_PF00648_43 <unknown description>
+FEDADFPASNSSLFYSERPQIPFVWKRPGEIVKNPEFILGGATRTDICQGELGDCWLLAA
+IASLTLNQKALARVIPQDQSFGPGYAGIFHFQFWQHSEWLDVVIDDRLPTFRDRLVFLHS
+ADHNEFWSALLEKAYAKLNGSYEALKGGSAIEAMEDFTGGVAETFQTKEAPENFYEILEK
+ALKRGSLLGCFIDTRSAAESEARTPFGLIKGHAYSVTGIDQVSFRGQRIELIRIRNPWGQ
+VEWNGSWSDSSPEWRSVGPAEQKRLCHTALDDGEFWMAFKDFKAHFDKVEICN
+>O14815_PF01067_354 <unknown description>
+HQGSWVRGSTAGGCRNFLDTFWTNPQIKLSLTEKDEGQEECSFLVALMQKDRRKLKRFGA
+NVLTIGYAIYECPDKDEHLNKDFFRYHASRARSKTFINLREVSDRFKLPPGEYILIPSTF
+EPHQEADFCLRIF
+>O14815_PF13833_533 <unknown description>
+EDMEVTAEELEYVLNAVLQKKKDIKFKKLSLISCKNIISLMDTSGNGKLEFDEFKVFWDK
+>P63098_PF13499_22 <unknown description>
+RLGKRFKKLDLDNSGSLSVEEFMSLPELQQNPLVQRVIDIFDTDGNGEVDFKEFIEG
+>P63098_PF13499_89 <unknown description>
+EQKLRFAFRIYDMDKDGYISNGELFQVLKMMVGNNLKDTQLQQIVDKTIINADKDGDGRI
+SFEEFCAV
+>Q96LZ3_PF13499_22 <unknown description>
+RLGRRFKKLDLDKSGSLSVEEFMSLPELRHNPLVRRVIDVFDTDGDGEVDFKEFI
+>Q96LZ3_PF13499_89 <unknown description>
+EQKLRFAFSIYDMDKDGYISNGELFQVLKMMVGNNLTDWQLQQLVDKTIIILDKDGDGKI
+SFEEFSAVV
+>Q86VP6_PF08623_1040 <unknown description>
+LIRDLLDTVLPHLYNETKVRKELIREVEMGPFKHTVDDGLDIRKAAFECMYTLLDSCLDR
+LDIFEFLNHVEDGLKDHYDIKMLTFLMLVRLSTLCPSAVLQRLDRLVEPLRATCTTKVKA
+NSVKQEFEKQDELKRSAMRAVAALLTIPEAEKSPLMSEFQSQI
+>O75155_PF08623_1045 <unknown description>
+LVRDLLDDILPLLYQETKIRRDLIREVEMGPFKHTVDDGLDVRKAAFECMYSLLESCLGQ
+LDICEFLNHVEDGLKDHYDIRMLTFIMVARLATLCPAPVLQRVDRLIEPLRATCTAKVKA
+GSVKQEFEKQDELKRSAMRAVAALLTIPEVGKSPIMADFSS
+>Q8WVQ1_PF06079_113 <unknown description>
+LDTESRAQEENTWFSYLKKGYLTLSDSGDKVAVEWDKDHGVLESHLAEKGRGMELSDLIV
+FNGKLYSVDDRTGVVYQIEGSKAVPWVILSDGDGTVEKGFKAEWLAVKDERLYVGGLGKE
+WTTTTGDVVNENPEWVKVVGYKGSVDHENWVSNYNALRAAAGIQPPGYLIHESACWSDTL
+QRWFFLPRRASQERYSEKDDERKGANLLLSASPDFGDIAVSHVGAVVPTHGFSSFKFIPN
+TDDQIIVALKSEEDSGRVASYIMAFTLDGRFLLPETKIGSVKYEGIEFI
+>Q01518_PF01213_6 <unknown description>
+NLVERLERAVGRLEAVSHTSDMHRGYADSPSKAGAAPYVQAFDSLLAGPVAEYLKIS
+>Q01518_PF08603_319 <unknown description>
+PAVLELEGKKWRVENQENVSNLVIEDTELKQVAYIYKCVNTTLQIKGKINSITVDNCKKL
+GLVFDDVVGIVEIINSKDVKVQVMGKVPTISINKTDGCHAYLSKNSLDCEIVSAKSSEMN
+VLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTE
+>P40123_PF01213_6 <unknown description>
+GLVERLERAVSRLESLSAESHRPPGNCGEVNGVIAGVAPSVEAFDKLMDSMVAEFLKNS
+>P40123_PF08603_322 <unknown description>
+APVLELEGKKWRVEYQEDRNDLVISETELKQVAYIFKCEKSTIQIKGKVNSIIIDNCKKL
+GLVFDNVVGIVEVINSQDIQIQVMGRVPTISINKTEGCHIYLSEDALDCEIVSAKSSEMN
+ILIPQDGDYREFPIPEQFKTAWDGSKLITEPAE
+>P20160_PF00089_27 <unknown description>
+IVGGRKARPRQFPFLASIQNQGRHFCGGALIHARFVMTAASCFQSQNPGVSTVVLGAYDL
+RRRERQSRQTFSISSMSENGYDPQQNLNDLMLLQLDREANLTSSVTILPLPLQNATVEAG
+TRCQVAGWGSQRSGGRLSRFPRFVNVTVTPEDQCRPNNVCTGVLTRRGGICNGDGGTPLV
+CEGLAHGVASFSLGPCGRGPDFFTRVALFRDWI
+>Q9H4Z3_PF00397_46 <unknown description>
+HAGWEKCWSRRENRPYYFNRFTNQSLWEMP
+>Q9H4Z3_PF12237_446 <unknown description>
+KLWLLYRYSCIDDSAFERFLPRVWCLLRRYQMMFGVGLYEGTGLQGSLPVHVFEALHRLF
+GVSFECFASPLNCYFRQYCSAFPDTDGYFGSRGPCLDFAPLSGSFEANPPFCEELMDAMV
+SHFERLLESSPEPLSFIVFIPEWREPPTPALTRMEQSRFKRHQLILPAFEHEYRSG
+>P40121_PF00626_30 <unknown description>
+KPVPVAQENQGVFFSGDSYLVLHNGPEEVSHLHLWIGQQSSRDEQGACAVLAVHLNTLLG
+ERPVQHREVQGNESDLFM
+>P40121_PF00626_147 <unknown description>
+NIRATERALNWDSFNTGDCFILDLGQNIFAWCGGKSNILERNKARDLALAIRDSERQGKA
+QVEIVTDGEEPAEM
+>P40121_PF00626_269 <unknown description>
+SSPFALELLISDDCFVLDNGLCGKIYIWKGRKANEKERQAALQVAEGFISRMQYAPNTQV
+EILPQGHESPIF
+>O75052_PF00640_32 <unknown description>
+FEAKYVGSLDVPRPNSRVEIVAAMRRIRYEFKAKNIKKKKVSIMVSVDGVKVILKKKKKL
+LLLQKKEWTWDESKMLVMQDPIYRIFYVSHDSQDLKIFSYIARDGASNIFRCNVFKSKKK
+SQAMRIVRTVGQAFEVCHKLSLQ
+>Q14444_PF18293_132 <unknown description>
+RREQLMREEAEQKRLKTVLELQYVLDKLGDDEVRTDLKQGLNGVPILSEEELSLLDEFYK
+LVDPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKVLKEIVERVFQSNYFD
+>Q14444_PF12287_359 <unknown description>
+VQDLMAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPPVHSESRLA
+QPNQVPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPIDQIQATISLNTDQT
+TASSSLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPVNEPETLKQQ
+NQYQASYNQSFSSQPHQVEQTELQQEQLQTVVGTYHGSPDQSHQVTGNHQQPPQQNTGFP
+RSNQPYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYTQSQFSA
+PRDYSGYQRDGYQQNFKRGSGQSGPRG
+>Q9ULU8_PF00169_523 <unknown description>
+KHSGYLWAIGKNVWKRWKKRFFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDP
+QPGLEGGRAFFNAVKEGDTVIFASDDEQDRILWVQAMYRA
+>Q9ULU8_PF06292_836 <unknown description>
+TVIRKCLEQAALVNYSRLSEYAKIEENQKDAENVGRLITPAKKLEDTIRLAELVIEVLQQ
+NEEHHAEPHVDKGEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLN
+DFLRTDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNL
+PNVNLPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQ
+TFIRDLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSIC
+TMFNVMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAK
+LSRYDEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIE
+RLFDQWYNSSMNVICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDF
+>Q86UW7_PF00169_489 <unknown description>
+KHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELMQLEGYTVDYTDP
+HPGLQGGCMFFNAVKEGDTVIFASDDEQDRILWVQAMYRA
+>Q86UW7_PF06292_801 <unknown description>
+KVVRKCLEKAALINYTRLTEYAKIEETMNQASPARKLEEILHLAELCIEVLQQNEEHHAE
+GREAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSWDSFPLFQLLNNFLRNDTLLCN
+GKFHKHLQEIFVPLVVRYVDLMESSIAQSIHRGFEQETWQPVKNIANSLPNVALPKVPSL
+PLNLPQIPNISTASWMPSLYESTNGSATSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQR
+LKLMASDMLEACVKRTRTAFELKLQKASKTTDLRIPASVCTMFNVLVDAKKQSTKLCALD
+GGQEQQYHSKIDDLIDNSVKEIISLLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKA
+AAKYVDVPKPGMDLADTYIMFVRQNQDILREKVNEEMYIEKLFDQWYSSSMKVICVWLTD
+RLDLQLHIYQLKTLIKIVKKTYRDF
+>Q8WWF8_PF13499_44 <unknown description>
+LGRVFRIMDDDNNRTLDFKEFMKGLNDYAVVMEKEEVEELFRRFDKDGNGTIDFNEFL
+>Q8WWF8_PF13499_113 <unknown description>
+KEVIMQAFRKLDKTGDGVITIEDLREVYNAKHHPKYQNGEWSEEQVFRKFLDNFDSPYDK
+DGLVTPEEFMNYY
+>P47756_PF01115_6 <unknown description>
+LDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYN
+RDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLD
+HGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSG
+TMNLGGSLTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIV
+>Q9BWT7_PF00619_28 <unknown description>
+WERIEGVRHRLARALNPAKLTPYLRQCRVIDEQDEEEVLSTYRFPCRVNRTGRLMDILRC
+RGKRGYEAFLEALEFYYPEHFTLLTGQ
+>Q9BXL7_PF00619_23 <unknown description>
+WENVECNRHMLSRYINPAKLTPYLRQCKVIDEQDEDEVLNAPMLPSKINRAGRLLDILHT
+KGQRGYVVFLESLEFYYPELYKLVTG
+>Q9BXL6_PF00619_20 <unknown description>
+WEMMESHRHRIVRCICPSRLTPYLRQAKVLCQLDEEEVLHSPRLTNSAMRAGHLLDLLKT
+RGKNGAIAFLESLKFHNPDVYTLVTG
+>Q5EG05_PF00619_4 <unknown description>
+KVLKEKRKLFIHSMGEGTINGLLDELLQTRVLNQEEMEKVKRENATVMDKTRALIDSVIP
+KGAQACQICITYICEEDSYLAETLG
+>P57730_PF00619_4 <unknown description>
+QLLRKKRRIFIHSVGAGTINALLDCLLEDEVISQEDMNKVRDENDTVMDKARVLIDLVTG
+KGPKSCCKFIKHLCEEDPQLASKM
+>Q9BX69_PF00619_9 <unknown description>
+EIIERERKKLLEILQHDPDSILDTLTSRRLISEEEYETLENVTDLLKKSRKLLILVQKKG
+EATCQHFLKCLFSTFPQSA
+>Q9Y2G2_PF13553_183 <unknown description>
+WFPTAGWYLWSATGLGFLVRDEVTVTIAFGSWSQHLALDLQHHEQWLVGGPLFDVTAEPE
+EAVAEIHLPHFISLQAGEVDVSWFLVAHFKNEGMVLEHPARVEPFYAVLESPSFSLMGIL
+LRIASGTRLSIPITSNTLIYYHPHPEDIKFHLYLVPSDALLTKAIDDEEDRFHGVRLQTS
+PPMEPLNFGSSYIVSNSANLKVMPKELKLSYRSPGEIQHFSKFYAGQMKEPIQLEITEKR
+HGTLVWDTEVKPVD
+>Q9Y2G2_PF00619_453 <unknown description>
+FVKENHRQLQARMGDLKGVLDDLQDNEVLTENEKELVEQEKTRQSKNEALLSMVEKKGDL
+ALDVLFRSISERDPYLVSYLRQ
+>Q9H257_PF00619_11 <unknown description>
+WSVLEGFRVTLTSVIDPSRITPYLRQCKVLNPDDEEQVLSDPNLVIRKRKVGVLLDILQR
+TGHKGYVAFLESLELYYPQLYKKVTG
+>Q9NXV6_PF11952_19 <unknown description>
+VEALRCDGETDKHWRHRRDFLLRNAGDLAPAGGAASASTDEAADAESGTRNRQLQQLISF
+SMAWANHVFLGCRYPQKVMDKILSMAEGIKVTDAPTYTTRDELVAK
+>Q5VZK9_PF17888_26 <unknown description>
+ISVKKKVKLEVKGDKVENKVLVLTSCRAFLVTARIPTKLELTFSYLEIHGVVCSKSAQMI
+VETEKCSISMKMASPEDVSEVLAHIGTCLRKIFP
+>Q5VZK9_PF13516_278 <unknown description>
+LHTINLAGNPLEDRGVSSLS
+>Q5VZK9_PF13516_569 <unknown description>
+SNTSLTKVDISGNGMGDMGAKMLA
+>Q5VZK9_PF16000_786 <unknown description>
+AENLCPNVMKKAHIRQDLIHASTEKISIPRTFVKNVLLEQSGIDILNKISEVKLTVASFL
+SDRIVDEILDALSHCHHKLADHFSRRGKTLPQQESLEIELAEEKPVKRSIITVEELTEIE
+RLEDLDTCMMTPKSKRKSIHSRMLRPVSRAFEMEFDLDKALEEVPIHIEDPPFPSLRQEK
+RSSGFISELPSEEGKKLEHFTKLRPKRNKKQQPTQAAVCAANIVSQDGEQNGLMGRVDEG
+VDEFFTKKVTKMDSKKWSTRGSESHELNEGGDEKKKRDSRKSSGFLNLIKSRSK
+>Q6F5E8_PF17888_38 <unknown description>
+AVQNHVLALLRWRAYLLHTTCLPLRVDCTFSYLEVQAMALQETPPQVTFELESLRELVLE
+FPGVAALEQLAQHVAAAIKKVFP
+>Q6F5E8_PF13516_277 <unknown description>
+LRELSLAGNLLDDRGMTALS
+>Q6F5E8_PF13516_607 <unknown description>
+TNPNLTALDISGNAMGDAGAKLLA
+>Q6F5E8_PF16000_819 <unknown description>
+ARSLCPQMLQGSSWREQLEGVLAGSRGLPELLPEQLLQDAFTRLRDMRLSITGTLAESIV
+AQALAGLSAARDQLVESLAQQATVTMPPALPAPDGGEPSLLEPGELEGLFFPEEKEEEKE
+KDDSPPQKWPELSHGLHLVPFIHSAAEEAEPEPELAAPGEDAEPQAGPSARGSPSPAAPG
+PPAGPLPRMDLPLAGQPLRHPTRARPRPRRQHHHRPPPGGPQVPPALPQEGNGLSARVDE
+GVEEFFSKRLIQQDRLWAPEEDPATEGGATPVPRTLRKKLGTLFAFKKPRSTR
+>Q8ND23_PF17888_29 <unknown description>
+HHVKLETKPKKFEDRVLALTSWRLHLFLLKVPAKVESSFNVLEIRAFNTLSQNQILVETE
+RGMVSMRLPSAESVDQVTRHVSSALSKVCP
+>Q8ND23_PF13516_457 <unknown description>
+HLDLSSCELRSAGAQAL
+>Q8ND23_PF13516_567 <unknown description>
+SNTCLAKVDLSGNGMEDIGAKMLS
+>Q8ND23_PF16000_778 <unknown description>
+TQELCPVAMRVAEGHNKMLSNVAERVTVPRNFIRGALLEQAGQDIQNKLDEVKLSVVTYL
+TSSIVDEILQELYHSHKSLARHLTQLRTLSDPPGCPGQGQDLSSRGRGRNHDHEETTDDE
+LGTNIDTMAIKKQKRCRKIRPVSAFISGSPQDMESQLGNLGIPPGWFSGLGGSQPTASGS
+WEGLSELPTHGYKLRHQTQGRPRPPRTTPPGPGRPSMPAPGTRQENGMATRLDEGLEDFF
+SRRVLEESSSYPRTLRTVRPGLSEAPLPPLQKKRRRGLFHFRRPRSFK
+>Q86X55_PF11531_28 <unknown description>
+TVSVFPGARLLTIGDANGEIQRHAEQQALRLEVRAGPDSAGIALYSHEDVCVFKCSVSRE
+TECSRVGKQSFIITLGCNSVLIQFATPNDFCSFYNILKTCRGHTLERSVFSE
+>Q86X55_PF06325_184 <unknown description>
+KDKIVLDVGCGSGILSFFAAQAGARKIYAVEASTMAQHAEVLVKSNNLTDRIVVIPGKVE
+EVSLPEQVDIIISE
+>Q8N4J0_PF07942_146 <unknown description>
+KIMPASTFDMDKLKSTLKQFVRDWSETGKAERDACYQPIIKEILKNFPKERWDPSKVNIL
+VPGAGLGRLAWEIAMLGYACQGNEWSFFMLFSSNFVLNRCSEINKYKLYPWIHQFSNNRR
+SADQIRPIFFPDVDPHSLPPGSNFSMTAGDFQEIYSECNTWDCIATCFFIDTAHNVIDYI
+DTIWKILKPGGIWINLGPLLYHFENLANELSIELSYEDIKNVVLQYGFKVEVEKESVLST
+YTVNDLSMMKYYYECVLFVVRKP
+>Q8N187_PF15299_252 <unknown description>
+SPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKVSEQESRSCQLY
+KATCPARIYIKKVQKFPEYRVPTDPKIDKKIIRMEQEKAFNMLKKNLVDAGGVLRWYVQL
+PTQQAHQYHELETPCLTLSPSPFPVSSLEEEETAVRDENCALPSRLHPQVAHKIQELVSQ
+GIEQVYAVRKQLRKFVERELFKPDEVPERHNLSFFPTVNDIKNHIHEVQKS
+>Q16568_PF06373_48 <unknown description>
+SHEKELIEALQEVLKKLKSKRVPIYEKKYGQVPMCDAGEQCAVRKGARIGKLCDCPRGTS
+CNSFLLKCL
+>P05814_PF00363_129 <unknown description>
+QIPKLTDLENLHLPLPLLQPLMQQVPQPIPQTLALPPQPLWSVPQPKVLPIPQQVVPYPQ
+RAVPVQALLLNQELLLNPTHQI
+>O15234_PF09405_144 <unknown description>
+GERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPAYIPRKGLFFEHDLRGQTQEEEVR
+PKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALYGYDIRSAH
+>Q96PB1_PF07779_296 <unknown description>
+KPVDGSCCQPRPPVTLIQKLAACFFTLSIIGYLIFYIIHRNAHRKNKPCTDLESGEEKKN
+IINTPVSSLEILLQSFCKLGLIMAYFYMCDRANLFMKENKFYTHSSFFIPIIYILVLGVF
+YNENTKETKVLNREQTDEWKGWMQLVILIYHISGASTFLPVYMHIRVLVAAYLFQTGYGH
+FSYFWIKGDFGIYRVCQVLFRLNFLVVVLCIVMDRPYQFYYFVPLVTVWFMVIYVTLALW
+PQIIQKKANGNCFWHFGLLLKLGFLLLFICFLAYSQGAFEKIFSLWPLSKCFELKGNVYE
+WWFRWRLDRYVVFHGMLFAFIYLALQKRQILSEGKGEPLFSNKISNFLLFISVVSFLTYS
+IWASSCKNKAECNELHPSVSVVQILAFILIRNIPGYARSVYSSFFAWFGKISLELFICQY
+HIWLAADTRGILVLIPGNPMLNIIVSTFIFVCVAHEISQITNDLAQIIIPKDNSSLLKRL
+>P07498_PF00997_21 <unknown description>
+EVQNQKQPACHENDERPFYQKTAPYVPMYYVPNSYPYYGTNLYQRRPAIAINNPYVPRTY
+YANPAVVRPHAQIPQRQYLPNSHPPTVVRRPNLHPSFIAIPPKKIQDKIIIPTINTIATV
+EPTPAPATEPTVDSVVTPEAFSESIITSTPETTTVAVTPPT
+>Q14511_PF14604_10 <unknown description>
+ALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPGNRV
+>Q14511_PF08824_404 <unknown description>
+LDPDTAIERLQRLQQALEMGVSSLMALVTTDWRCYGYMERHINEIRTAVDKVELFLKEYL
+HFVKGAVANAACLPELILHNKMKRELQRVEDSHQILSQTSHDLNECSWSLNILAINKPQN
+KCDDLDRFVMVAKTVPDDAKQLTTTINTNAEALFRP
+>Q14511_PF12026_621 <unknown description>
+SERSWMDDYDYVHLQGKEEFERQQKELLEKENIMKQNKMQLEHHQLSQFQLLEQEITKPV
+ENDISKWKPSQSLPTTNSGVSAQDRQLLCFYYDQCETHFISLLNAIDALFSCVSSAQPPR
+IFVAHSKFVILSAHKLVFIGDTLTRQVTAQDIRNKVMNSSNQLCEQLKTIVMATKMAALH
+YPSTTALQEMVHQVTDLSRNAQLFKRSL
+>P29466_PF00619_4 <unknown description>
+KVLKEKRKLFIRSMGEGTINGLLDELLQTRVLNKEEMEKVKRENATVMDKTRALIDSVIP
+KGAQACQICITYICEEDSYLAGTLG
+>P29466_PF00656_163 <unknown description>
+RLALIICNEEFDSIPRRTGAEVDITGMTMLLQNLGYSVDVKKNLTASDMTTELEAFAHRP
+EHKTSDSTFLVFMSHGIREGICGKKHSEQVPDILQLNAIFNMLNTKNCPSLKDKPKVIII
+QACRGDSPGVVWFKDSVGVSGNLSLPTTEEFEDDAIKKAHIEKDFIAFCSSTPDNVSWRH
+PTMGSVFIGRLIEHMQEYACSCDVEEIFRKVRFSFEQPDGRAQMPTTERVTLTRCFY
+>P42575_PF00619_37 <unknown description>
+QETLKKNRVVLAKQLLLSELLEHLLEKDIITLEMRELIQAKVGSFSQNVELLNLLPKRGP
+QAFDAFCEALRETKQGHLEDML
+>P42575_PF00656_199 <unknown description>
+RGLALVLSNVHFTGEKELEFRSGGDVDHSTLVTLFKLLGYDVHVLCDQTAQEMQEKLQNF
+AQLPAHRVTDSCIVALLSHGVEGAIYGVDGKLLQLQEVFQLFDNANCPSLQNKPKMFFIQ
+ACRGDETDRGVDQQDGKNHAGSPGCEESDAGKEKLPKMRLPTRSDMICGYACLKGTAAMR
+NTKRGSWYIEALAQVFSERACDMHVADMLVKVNALIKDREGYAPGTEFHR
+>P42574_PF00656_45 <unknown description>
+GLCIIINNKNFHKSTGMTSRSGTDVDAANLRETFRNLKYEVRNKNDLTREEIVELMRDVS
+KEDHSKRSSFVCVLLSHGEEGIIFGTNGPVDLKKITNFFRGDRCRSLTGKPKLFIIQACR
+GTELDCGIETDSGVDDDMACHKIPVEADFLYAYSTAPGYYSWRNSKDGSWFIQSLCAMLK
+QYADKLEFMHILTRVNRKVATEFESFSFDATFHAKKQIPCIVSMLTKELY
+>P49662_PF00619_6 <unknown description>
+HRKKPLKVLESLGKDFLTGVLDNLVEQNVLNWKEEEKKKYYDAKTEDKVRVMADSMQEKQ
+RMAGQMLLQTFFNIDQ
+>P49662_PF00656_136 <unknown description>
+RLALIICNTEFDHLPPRNGADFDITGMKELLEGLDYSVDVEENLTARDMESALRAFATRP
+EHKSSDSTFLVLMSHGILEGICGTVHDEKKPDVLLYDTIFQIFNNRNCLSLKDKPKVIIV
+QACRGANRGELWVRDSPASLEVASSQSSENLEEDAVYKTHVEKDFIAFCSSTPHNVSWRD
+STMGSIFITQLITCFQKYSWCCHLEEVFRKVQQSFETPRAKAQMPTIERLSMTRYFY
+>P51878_PF00619_62 <unknown description>
+DNHKKKTVKMLEYLGKDVLHGVFNYLAKHDVLTLKEEEKKKYYDTKIEDKALILVDSLRK
+NRVAHQMFTQTLLNMDQKITS
+>P51878_PF00656_192 <unknown description>
+RRLALIICNTKFDHLPARNGAHYDIVGMKRLLQGLGYTVVDEKNLTARDMESVLRAFAAR
+PEHKSSDSTFLVLMSHGILEGICGTAHKKKKPDVLLYDTIFQIFNNRNCLSLKDKPKVII
+VQACRGEKHGELWVRDSPASLALISSQSSENLEADSVCKIHEEKDFIAFCSSTPHNVSWR
+DRTRGSIFITELITCFQKYSCCCHLMEIFRKVQKSFEVPQAKAQMPTIERATLTRDFY
+>P55212_PF00656_44 <unknown description>
+RGIALIFNHERFFWHLTLPERRGTCADRDNLTRRFSDLGFEVKCFNDLKAEELLLKIHEV
+STVSHADADCFVCVFLSHGEGNHIYAYDAKIEIQTLTGLFKGDKCHSLVGKPKIFIIQAC
+RGNQHDVPVIPLDVVDNQTEKLDTNITEVDAASVYTLPAGADFLMCYSVAEGYYSHRETV
+NGSWYIQDLCEMLGKYGSSLEFTELLTLVNRKVSQRRVDFCKDPSAIGKKQVPCFASMLT
+KKL
+>P55210_PF00656_68 <unknown description>
+GKCIIINNKNFDKVTGMGVRNGTDKDAEALFKCFRSLGFDVIVYNDCSCAKMQDLLKKAS
+EEDHTNAACFACILLSHGEENVIYGKDGVTPIKDLTAHFRGDRCKTLLEKPKLFFIQACR
+GTELDDGIQADSGPINDTDANPRYKIPVEADFLFAYSTVPGYYSWRSPGRGSWFVQALCS
+ILEEHGKDLEIMQILTRVNDRVARHFESQSDDPHFHEKKQIPCVVSMLTKELY
+>Q14790_PF01335_3 <unknown description>
+FSRNLYDIGEQLDSEDLASLKFLSLDYIPQRKQEPIKDALMLFQRLQEKRMLEESNLSFL
+KELLFRINRLDLLITYLNTRK
+>Q14790_PF01335_101 <unknown description>
+YRVMLYQISEEVSRSELRSFKFLLQEEISKCKLDDDMNLLDIFIEMEKRVILGEGKLDIL
+KRVCAQINKSLLKIINDYEE
+>Q14790_PF00656_233 <unknown description>
+RGYCLIINNHNFAKAREKVPKLHSIRDRNGTHLDAGALTTTFEELHFEIKPHDDCTVEQI
+YEILKIYQLMDHSNMDCFICCILSHGDKGIIYGTDGQEAPIYELTSQFTGLKCPSLAGKP
+KVFFIQACQGDNYQKGIPVETDSEEQPYLEMDLSSPQTRYIPDEADFLLGMATVNNCVSY
+RNPAEGTWYIQSLCQSLRERCPRGDDILTILTEVNYEVSNKDDKKNMGKQMPQPTFTLRK
+KL
+>P55211_PF00619_7 <unknown description>
+RLLRRCRLRLVEELQVDQLWDALLSRELFRPHMIEDIQRAGSGSRRDQARQLIIDLETRG
+SQALPLFISCLEDTGQDMLASFLR
+>P55211_PF00656_161 <unknown description>
+GHCLIINNVNFCRESGLRTRTGSNIDCEKLRRRFSSLHFMVEVKGDLTAKKMVLALLELA
+QQDHGALDCCVVVILSHGCQASHLQFPGAVYGTDGCPVSVEKIVNIFNGTSCPSLGGKPK
+LFFIQACGGEQKDHGFEVASTSPEDESPGSNPEPDATPFQEGLRTFDQLDAISSLPTPSD
+IFVSYSTFPGFVSWRDPKSGSWYVETLDDIFEQWAHSEDLQSLLLRVANAVSVKGIYKQM
+PGCFNFLRKKL
+>Q92851_PF01335_20 <unknown description>
+FREKLLIIDSNLGVQDVENLKFLCIGLVPNKKLEKSSSASDVFEHLLAEDLLSEEDPFFL
+AELLYIIRQKKLLQHLNCTKE
+>Q92851_PF01335_115 <unknown description>
+FRNLLYELSEGIDSENLKDMIFLLKDSLPKTEMTSLSFLAFLEKQGKIDEDNLTCLEDLC
+KTVVPKLLRNIEKYKRE
+>Q92851_PF00656_283 <unknown description>
+RGLCVIVNNHSFTSLKDRQGTHKDAEILSHVFQWLGFTVHIHNNVTKVEMEMVLQKQKCN
+PAHADGDCFVFCILTHGRFGAVYSSDEALIPIREIMSHFTALQCPRLAEKPKLFFIQACQ
+GEEIQPSVSIEADALNPEQAPTSLQDSIPAEADFLLGLATVPGYVSFRHVEEGSWYIQSL
+CNHLKKLVPRHEDILSILTAVNDDVSRRVDKQGTKKQMPQPAFTLRKKL
+>P31944_PF00656_18 <unknown description>
+RLALILCVTKAREGSEEDLDALEHMFRQLRFESTMKRDPTAEQFQEELEKFQQAIDSRED
+PVSCAFVVLMAHGREGFLKGEDGEMVKLENLFEALNNKNCQALRAKPKVYIIQACRGEQR
+DPGETVGGDEIVMVIKDSPQTIPTYTDALHVYSTVEGYIAYRHDQKGSCFIQTLVDVFTK
+RKGHILELLTEVTRRMAEAELVQEGKARKTNPEIQSTLRKRLY
+>Q13948_PF08172_423 <unknown description>
+ELQVRITEAVATATEQRELIARLEQDLSIIQSIQRPDAEGAAEHRLEKIPEPIKEATALF
+YGPAAPASGALPEGQVDSLLSIISSQRERFRARNQELEAENRLAQHTLQALQSELDSLRA
+DNIKLFEKIKFLQSYPGRGSGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLSLSPWDK
+ATLSMGRLVLSNKMARTIGFFYTLFLHCLVFLVLYKLAW
+>P31415_PF01216_12 <unknown description>
+VPGLRLALLLLLVLGTPKSGVQGQEGLDFPEYDGVDRVINVNAKNYKNVFKKYEVLALLY
+HEPPEDDKASQRQFEMEELILELAAQVLEDKGVGFGLVDSEKDAAVAKKLGLTEVDSMYV
+FKGDEVIEYDGEFSADTIVEFLLDVLEDPVELIEGERELQAFENIEDEIKLIGYFKSKDS
+EHYKAFEDAAEEFHPYIPFFATFDSKVAKKLTLKLNEIDFYEAFMEEPVTIPDKPNSEEE
+IVNFVEEHRRSTLRKLKPESMYETWEDDMDGIHIVAFAEEADPDGFEFLETLKAVAQDNT
+ENPDLSIIWIDPDDFPLLVPYWEKTFDIDLSAPQIGVVNVTDADSVWMEMDDEEDLPSAE
+ELEDWLEDVLEGEINTEDDDDDDDD
+>O14958_PF01216_2 <unknown description>
+KRTHLFIVGIYFLSSCRAEEGLNFPTYDGKDRVVSLSEKNFKQVLKKYDLLCLYYHEPVS
+SDKVTQKQFQLKEIVLELVAQVLEHKAIGFVMVDAKKEAKLAKKLGFDEEGSLYILKGDR
+TIEFDGEFAADVLVEFLLDLIEDPVEIISSKLEVQAFERIEDYIKLIGFFKSEDSEYYKA
+FEEAAEHFQPYIKFFATFDKGVAKKLSLKMNEVDFYEPFMDEPIAIPNKPYTEEELVEFV
+KEHQRPTLRRLRPEEMFETWEDDLNGIHIVAFAEKSDPDGYEFLEILKQVARDNTDNPDL
+SILWIDPDDFPLLVAYWEKTFKIDLFRPQIGVVNVTDADSVWMEIPDDDDLPTAEELEDW
+IEDVLSGKINTEDDDEDDDD
+>P41180_PF01094_69 <unknown description>
+RWLQAMIFAIEEINSSPALLPNLTLGYRIFDTCNTVSKALEATLSFVAQNKIDSLNLDEF
+CNCSEHIPSTIAVVGATGSGVSTAVANLLGLFYIPQVSYASSSRLLSNKNQFKSFLRTIP
+NDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDFSELISQYSD
+EEEIQHVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGKIWLASEAWASSSLIAMP
+QYFHVVGGTIGFALKAGQIPGFREFLKKVHPRKSVHNGFAKEFWEETFNCHLQEGAKGPL
+PVDTFLRGHEESGDRFSNSSTAFRPLCTGDENISSVETPYIDYTHLRISYNVYLAVYSIA
+HALQDIYTCLPGRGLFTNGSCADIKKVEAWQVLKHLRHLNFTNNMGEQVTFDECGDLVGN
+YSIINWH
+>P41180_PF07562_538 <unknown description>
+PFSNCSRDCLAGTRKGIIEGEPTCCFECVECPDGEYSDETDASACNKCPDDFWS
+>P41180_PF00003_606 <unknown description>
+LSWTEPFGIALTLFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLLCCFSSS
+LFFIGEPQDWTCRLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWWGLNLQF
+LLVFLCTFMQIVICVIWLYTAPPSSYRNQELEDEIIFITCHEGSLMALGFLIGYTCLLAA
+ICFFFAFKSRKLPENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVIAILAAS
+FGLLACIFFNK
+>Q9NQ75_PF14604_18 <unknown description>
+ALYDNCPDCSDELAFSRGDILTILEQHVPESEGWWKCLLHGRQGLAPANR
+>Q9NQ75_PF08824_435 <unknown description>
+LDLDVAKETVMALQHKVVSSVAGLMLFVSRKWRFRDYLEANIDAIHRSTDHIEESVREFL
+DFARGVHGTACNLTDSNLQNRIRDQMQTISNSYRILLETKESLDNRNWPLEVLVTDSVQN
+SPDDLERFVMVARMLPEDIKRFASIVIANGRLLFKRN
+>Q9NQ75_PF12026_611 <unknown description>
+YIQPPQRETESHQKSTPSTKQREDEHSSELLKKNRANICGQNPGPLIPQPSSQQTPERKP
+RLSEHCRLYFGALFKAISAFHGSLSSSQPAEIITQSKLVIMVGQKLVDTLCMETQERDVR
+NEILRGSSHLCSLLKDVALATKNAVLTYPSPAALGHLQAEAEKLEQHTRQFRGTL
+>Q8WTX7_PF18700_9 <unknown description>
+RVRVLSVARPGLWLYTHPLIKLLFLPRRSRCKFFSLTETPEDYTLMVDEEGFKELPPSEF
+L
+>Q8WTX7_PF13840_72 <unknown description>
+AEATWLVLNVSSHSGAAVQAAGVTKIARSVIAPLAEHHVSVLMLSTYQTDFILVREQDLS
+VVIHTLA
+>Q8WTX7_PF13840_259 <unknown description>
+SGELWRMVRIGGQPLGFDECGIVAQIAGPLAAADISAYYISTFNFDHALVPEDGIGSVIE
+VLQ
+>A6NHX0_PF18700_9 <unknown description>
+RLQVASVAKESIPLFTYGLIKLAFLSSKTRCKFFSLTETPEDYTIIVDEEGFLELPSSEH
+L
+>A6NHX0_PF13840_72 <unknown description>
+ADATWLALNVVSGGGSFSSSQPIGVTKIAKSVIAPLADQNISVFMLSTYQTDFILVRERD
+LPFVTHTLS
+>A6NHX0_PF13840_262 <unknown description>
+ELWKMVRIGGQPLGFDECGIVAQISEPLAAADIPAYYISTFKFDHALVPEENINGVISAL
+K
+>Q86UT8_PF14968_6 <unknown description>
+RCPLCRQTFFCGRGHVYSRKHQRQLKEALERLLPQVEAARKAIRAAQVERYVPEHERCCW
+CLCCGCEVREHLSHGNLTVLYGGLLEHLASPEHKKATNKFWWENKAEVQMKEKFLVTPQD
+YARFKKSMVKGLDSYEEKEDKVIKEMAAQIREVEQSRQEVVRSVLEPQAVPDPEEGSSAP
+RSWKGMNSQVASSLQQPSNLDLPPAPELDWMETGPSLTFIGHQDIPGVGNIHSGATPPWM
+IQDEEYIAGNQEIGPSYEEFLKEKEKQKLKKLPPDRVGANFDHSSRTSAGWLPSFGRVWN
+NGRRWQSRHQFKTEAA
+>P04040_PF00199_28 <unknown description>
+TTGAGNPVGDKLNVITVGPRGPLLVQDVVFTDEMAHFDRERIPERVVHAKGAGAFGYFEV
+THDITKYSKAKVFEHIGKKTPIAVRFSTVAGESGSADTVRDPRGFAVKFYTEDGNWDLVG
+NNTPIFFIRDPILFPSFIHSQKRNPQTHLKDPDMVWDFWSLRPESLHQVSFLFSDRGIPD
+GHRHMNGYGSHTFKLVNANGEAVYCKFHYKTDQGIKNLSVEDAARLSQEDPDYGIRDLFN
+AIATGKYPSWTFYIQVMTFNQAETFPFNPFDLTKVWPHKDYPLIPVGKLVLNRNPVNYFA
+EVEQIAFDPSNMPPGIEASPDKMLQGRLFAYPDTHRHRLGPNYLHIPVNCPYRARVANYQ
+RDGPMCMQDNQGGAPNYYPNSFG
+>P04040_PF06628_437 <unknown description>
+DDNVTQVRAFYVNVLNEEQRKRLCENIAGHLKDAQIFIQKKAVKNFTEVHPDYGSHIQAL
+>P07858_PF08127_26 <unknown description>
+LSDELVNYVNKRNTTWQAGHNFYNVDMSYLKRLCGTFL
+>P07858_PF00112_80 <unknown description>
+LPASFDAREQWPQCPTIKEIRDQGSCGSCWAFGAVEAISDRICIHTNAHVSVEVSAEDLL
+TCCGSMCGDGCNGGYPAEAWNFWTRKGLVSGGLYESHVGCRPYSIPPCEHHVNGSRPPCT
+GEGDTPKCSKICEPGYSPTYKQDKHYGYNSYSVSNSEKDIMAEIYKNGPVEGAFSVYSDF
+LLYKSGVYQHVTGEMMGGHAIRILGWGVENGTPYWLVANSWNTDWGDNGFFKILRGQDHC
+GIESEVVAG
+>P53634_PF08773_25 <unknown description>
+DTPANCTYLDLLGTWVFQVGSSGSQRDVNCSVMGPQEKKVVVYLQKLDTAYDDLGNSGHF
+TIIYNQGFEIVLNDYKWFAFFKYKEEGSKVTTYCNETMTGWVHDVLGRNWACFTGKK
+>P53634_PF00112_231 <unknown description>
+LPTSWDWRNVHGINFVSPVRNQASCGSCYSFASMGMLEARIRILTNNSQTPILSPQEVVS
+CSQYAQGCEGGFPYLIAGKYAQDFGLVEEACFPYTGTDSPCKMKEDCFRYYSSEYHYVGG
+FYGGCNEALMKLELVHHGPMAVAFEVYDDFLHYKKGIYHHTGLRDPFNPFELTNHAVLLV
+GYGTDSASGMDYWIVKNSWGTGWGENGYFRIRRGTDECAIESIAVAA
+>P07339_PF07966_21 <unknown description>
+LVRIPLHKFTSIRRTMSEVGGSVEDLIA
+>P07339_PF00026_78 <unknown description>
+QYYGEIGIGTPPQCFTVVFDTGSSNLWVPSIHCKLLDIACWIHHKYNSDKSSTYVKNGTS
+FDIHYGSGSLSGYLSQDTVSVPCQSASSASALGGVKVERQVFGEATKQPGITFIAAKFDG
+ILGMAYPRISVNNVLPVFDNLMQQKLVDQNIFSFYLSRDPDAQPGGELMLGGTDSKYYKG
+SLSYLNVTRKAYWQVHLDQVEVASGLTLCKEGCEAIVDTGTSLMVGPVDEVRELQKAIGA
+VPLIQGEYMIPCEKVSTLPAITLKLGGKGYKLSPEDYTLKVSQAGKTLCLSGFMGMDIPP
+PSGPLWILGDVFIGRYYTVFDRDNNRVGFAEA
+>P14091_PF07966_21 <unknown description>
+LHRVPLRRHPSLKKKLRARSQLSEFWKSH
+>P14091_PF00026_77 <unknown description>
+EYFGTISIGSPPQNFTVIFDTGSSNLWVPSVYCTSPACKTHSRFQPSQSSTYSQPGQSFS
+IQYGTGSLSGIIGADQVSVEGLTVVGQQFGESVTEPGQTFVDAEFDGILGLGYPSLAVGG
+VTPVFDNMMAQNLVDLPMFSVYMSSNPEGGAGSELIFGGYDHSHFSGSLNWVPVTKQAYW
+QIALDNIQVGGTVMFCSEGCQAIVDTGTSLITGPSDKIKQLQNAIGAAPVDGEYAVECAN
+LNVMPDVTFTINGVPYTLSPTAYTLLDFVDGMQFCSSGFQGLDIHPPAGPLWILGDVFIR
+QFYSVFDRGNNRVGLAP
+>Q9UBX1_PF08246_187 <unknown description>
+FKNFVITYNRTYESKEEARWRLSVFVNNMVRAQKIQALDRGTAQYGVTKFSDLTEEEF
+>Q9UBX1_PF00112_272 <unknown description>
+PPEWDWRSKGAVTKVKDQGMCGSCWAFSVTGNVEGQWFLNQGTLLSLSEQELLDCDKMDK
+ACMGGLPSNAYSAIKNLGGLETEDDYSYQGHMQSCNFSAEKAKVYINDSVELSQNEQKLA
+AWLAKRGPISVAINAFGMQFYRHGISRPLRPLCSPWLIDHAVLLVGYGNRSDVPFWAIKN
+SWGTDWGEKGYYYLHRGSGACGVNTMASSA
+>P08311_PF00089_21 <unknown description>
+IIGGRESRPHSRPYMAYLQIQSPAGQSRCGGFLVREDFVLTAAHCWGSNINVTLGAHNIQ
+RRENTQQHITARRAIRHPQYNQRTIQNDIMLLQLSRRVRRNRNVNPVALPRAQEGLRPGT
+LCTVAGWGRVSMRRGTDTLREVQLRVQRDRQCLRIFGSYDPRRQICVGDRRERKAAFKGD
+SGGPLLCNNVAHGIVSYGKSSGVPPEVFTRVSSFLPWI
+>P09668_PF08246_35 <unknown description>
+FKSWMSKHRKTYSTEEYHHRLQTFASNWRKINAHNNGNHTFKMALNQFSDMSFAE
+>P09668_PF00112_117 <unknown description>
+PPSVDWRKKGNFVSPVKNQGACGSCWTFSTTGALESAIAIATGKMLSLAEQQLVDCAQDF
+NNHGCQGGLPSQAFEYILYNKGIMGEDTYPYQGKDGYCKFQPGKAIGFVKDVANITIYDE
+EAMVEAVALYNPVSFAFEVTQDFMMYRTGIYSSTSCHKTPDKVNHAVLAVGYGEKNGIPY
+WIVKNSWGPQWGMNGYFLIERGKNMCGLAACASYPI
+>Q8WUQ7_PF10312_270 <unknown description>
+REKEAEHFKTWEEQEDNFHLQQAKLRSKIRIRDGRAKPIDLLAKYISAEDDDLAVEMHEP
+YTFLNGLTVADMEDLLEDIQVYMELEQGKNADFWRDMTTITEDEISKLRKLEASGKGPGE
+RREGVNASVSSDVQSVFKGKTYNQLQVIFQGIEGKIRAGGPNLDMGYWESLLQQLRAHMA
+RARLRERH
+>Q8WUQ7_PF09732_634 <unknown description>
+WADKYRPRKPRFFNRVHTGFEWNKYNQTHYDFDNPPPKIVQGYKFNIFYPDLIDKRSTPE
+YFLEACADNKDFAILRFHAGPPYEDIAFKIVNREWEYSHRHGFRCQFANGIFQLWFHFKR
+YRYRR
+>P43235_PF08246_26 <unknown description>
+WELWKKTHRKQYNNKVDEISRRLIWEKNLKYISIHNLEASLGVHTYELAMNHLGDMTSEE
+>P43235_PF00112_116 <unknown description>
+PDSVDYRKKGYVTPVKNQGQCGSCWAFSSVGALEGQLKKKTGKLLNLSPQNLVDCVSEND
+GCGGGYMTNAFQYVQKNRGIDSEDAYPYVGQEESCMYNPTGKAAKCRGYREIPEGNEKAL
+KRAVARVGPVSVAIDASLTSFQFYSKGVYYDESCNSDNLNHAVLAVGYGIQKGNKHWIIK
+NSWGENWGNKGYILMARNKNNACGIANLASFP
+>P07711_PF08246_29 <unknown description>
+WTKWKAMHNRLYGMNEEGWRRAVWEKNMKMIELHNQEYREGKHSFTMAMNAFGDMTSEEF
+>P07711_PF00112_115 <unknown description>
+PRSVDWREKGYVTPVKNQGQCGSCWAFSATGALEGQMFRKTGRLISLSEQNLVDCSGPQG
+NEGCNGGLMDYAFQYVQDNGGLDSEESYPYEATEESCKYNPKYSVANDTGFVDIPKQEKA
+LMKAVATVGPISVAIDAGHESFLFYKEGIYFEPDCSSEDMDHGVLVVGYGFESTESDNNK
+YWLVKNSWGEEWGMGGYVKMAKDRRNHCGIASAASYPT
+>O60911_PF08246_29 <unknown description>
+WYQWKATHRRLYGANEEGWRRAVWEKNMKMIELHNGEYSQGKHGFTMAMNAFGDMTNEEF
+>O60911_PF00112_114 <unknown description>
+LPKSVDWRKKGYVTPVKNQKQCGSCWAFSATGALEGQMFRKTGKLVSLSEQNLVDCSRPQ
+GNQGCNGGFMARAFQYVKENGGLDSEESYPYVAVDEICKYRPENSVANDTGFTVVAPGKE
+KALMKAVATVGPISVAMDAGHSSFQFYKSGIYFEPDCSSKNLDHGVLVVGYGFEGANSNN
+SKYWLVKNSWGPEWGSNGYVKIAKDKNNHCGIATAASYP
+>P43234_PF00112_108 <unknown description>
+LPLRFDWRDKQVVTQVRNQQMCGGCWAFSVVGAVESAYAIKGKPLEDLSVQQVIDCSYNN
+YGCNGGSTLNALNWLNKMQVKLVKDSEYPFKAQNGLCHYFSGSHSGFSIKGYSAYDFSDQ
+EDEMAKALLTFGPLVVIVDAVSWQDYLGGIIQHHCSSGEANHAVLITGFDKTGSTPYWIV
+RNSWGSSWGVDGYAHVKMGSNVCGIADSVSS
+>P25774_PF08246_28 <unknown description>
+WHLWKKTYGKQYKEKNEEAVRRLIWEKNLKFVMLHNLEHSMGMHSYDLGMNHLGDMTSEE
+>P25774_PF00112_115 <unknown description>
+LPDSVDWREKGCVTEVKYQGSCGACWAFSAVGALEAQLKLKTGKLVSLSAQNLVDCSTEK
+YGNKGCNGGFMTTAFQYIIDNKGIDSDASYPYKAMDQKCQYDSKYRAATCSKYTELPYGR
+EDVLKEAVANKGPVSVGVDARHPSFFLYRSGVYYEPSCTQNVNHGVLVVGYGDLNGKEYW
+LVKNSWGHNFGEEGYIRMARNKGNHCGIASFPSYP
+>P56202_PF08246_42 <unknown description>
+FKLFQIQFNRSYLSPEEHAHRLDIFAHNLAQAQRLQEEDLGTAEFGVTPFSDLTEEEF
+>P56202_PF00112_128 <unknown description>
+VPFSCDWRKVASAISPIKDQKNCNCCWAMAAAGNIETLWRISFWDFVDVSVQELLDCGRC
+GDGCHGGFVWDAFITVLNNSGLASEKDYPFQGKVRAHRCHPKKYQKVAWIQDFIMLQNNE
+HRIAQYLATYGPITVTINMKPLQLYRKGVIKATPTTCDPQLVDHSVLLVGFGSVKSEEGI
+WAETVSSQSQPQPPHPTPYWILKNSWGAQWGEKGYFRLHRGSNTCGITKFPL
+>Q9UBR2_PF00112_62 <unknown description>
+LPKSWDWRNVDGVNYASITRNQHIPQYCGSCWAHASTSAMADRINIKRKGAWPSTLLSVQ
+NVIDCGNAGSCEGGNDLSVWDYAHQHGIPDETCNNYQAKDQECDKFNQCGTCNEFKECHA
+IRNYTLWRVGDYGSLSGREKMMAEIYANGPISCGIMATERLANYTGGIYAEYQDTTYINH
+VVSVAGWGISDGTEYWIVRNSWGEPWGERGWLRIVTSTY
+>Q03135_PF01146_43 <unknown description>
+DAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRL
+LSALFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTVCDPLFEA
+VGKIFSNVRINL
+>P51636_PF01146_38 <unknown description>
+QDRDPHRLNSHLKLGFEDVIAEPVTTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLA
+FIAGILFATLSCLHIWILMPFVKTCLMVLPSVQTIWKSVTDVIIAPLCTSVGRCFSSVSL
+QL
+>P56539_PF01146_16 <unknown description>
+DIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPVGTYSFDGVWKVSYTTFTVSKYWCYRL
+LSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHIYSLCIRTFCNPLFAA
+LGQVCSSIKVVL
+>Q6NZI2_PF15237_48 <unknown description>
+QVNGVLVLSLLDKIIGAVDQIQLTQAQLEERQAEMEGAVQSIQGELSKLGKAHATTSNTV
+SKLLEKVRKVSVNVKTVRGSLERQAGQIKKLEVNEAELLRRRNFKVMIYQDEVKLPAKLS
+ISKSLKESEALPEKEGEELGEGERPEEDAAALELSSDEAVEVEEVIEESRAERIKRSGLR
+RVDDFKKAFSKEKMEKTKVRTRENLEKTRLKTKENLEKTRHTLEKRMNKLGTRLVPAERR
+EKLKTSRDKLRKSFTPDHVVYARSKTAVYKVPP
+>O95810_PF15237_52 <unknown description>
+QVNAVTVLTLLDKLVNMLDAVQENQHKMEQRQISLEGSVKGIQNDLTKLSKYQASTSNTV
+SKLLEKSRKVSAHTRAVKERMDRQCAQVKRLENNHAQLLRRNHFKVLIFQEENEIPASVF
+VKQPVSGAVEGKEELPDENKSLEETLHTVDLSSDDDLPHDEEALEDSAEEKVEESRAEKI
+KRSSLKKVDSLKKAFSRQNIEKKMNKLGTKIVSVERREKIKKSLTSNHQKISSGKSSPFK
+VSP
+>Q969G5_PF15237_20 <unknown description>
+VHAVTVVTLLEKLASMLETLRERQGGLARRQGGLAGSVRRIQSGLGALSRSHDTTSNTLA
+QLLAKAERVSSHANAAQERAVRRAAQVQRLEANHGLLVARGKLHVLLFKEEGEVPASAFQ
+KAPEPLGPADQSELGPEQLEAEVGESSDEEPVESRAQRLRRTGLQKVQSLRRALS
+>Q5BKX8_PF15237_27 <unknown description>
+QDAALTIVTVLDKVASIVDSVQASQKRIEERHREMENAIKSVQIDLLKLSQSHSNTGHII
+NKLFEKTRKVSAHIKDVKARVEKQQIHVKKVEVKQEEIMKKNKFRVVIFQEKFRCPTSLS
+VVKDRNLTENQEEDDDDIFDPPVDLSSDEEYYVEESRSARLRKSGKEHIDNIKKAFSKEN
+MQKTRQNLDKKVNRIRTRIVTPERRERLRQSGERLRQSGERLRQSGERFKKSISNAAP
+>Q13938_PF13499_26 <unknown description>
+LARFFRQLDRDGSRSLDADEFRQGLAKLGLVLDQAEAEGVCRKWDRNGSGTLDLEEFLRA
+L
+>Q13938_PF13499_96 <unknown description>
+AVIAAAFAKLDRSGDGVVTVDDLRGVYSGRAHPKVRSGEWTEDEVLRRFLDNFDSSEKDG
+QVTLAEFQDYYS
+>P52907_PF01267_17 <unknown description>
+AAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMDQFTPVKIEGYEDQVLI
+TEHGDLGNSRFLDPRNKISFKFDHLRKEASDPQPEEADGGLKSWRESCDSALRAYVKDHY
+SNGFCTVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFTITPPTAQVVGVLKIQV
+HYYEDGNVQLVSHKDVQDSLTVSNEAQTAKEFIKIIENAENEYQTAISENYQTMSDTTFK
+ALRRQLPVTRTKIDWNKILSYKIGKE
+>P47755_PF01267_17 <unknown description>
+AAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLDQFTPVKIEGYEDQVLI
+TEHGDLGNGKFLDPKNRICFKFDHLRKEATDPRPCEVENAVESWRTSVETALRAYVKEHY
+PNGVCTVYGKKIDGQQTIIACIESHQFQAKNFWNGRWRSEWKFTITPSTTQVVGILKIQV
+HYYEDGNVQLVSHKDIQDSLTVSNEVQTAKEFIKIVEAAENEYQTAISENYQTMSDTTFK
+ALRRQLPVTRTKIDWNKILSYKIGKE
+>Q96KX2_PF01267_15 <unknown description>
+IRRLLLQAPPGEFVNAFDDLCLLIRDEKLMHHQGECAGHQHCQKYSVPLCIDGNPVLLSH
+HNVMGDYRFFDHQSKLSFKYDLLQNQLKDIQSHGIIQNEAEYLRVVLLCALKLYVNDHYP
+KGNCNMLRKTVKSKEYLIACIEDHNYETGECWNGLWKSKWIFQVNPFLTQVTGRIFVQAH
+FFRCVNLHIEISKDLKESLEIVNQAQLALSFARLVEEQENKFQAAVLEELQELSNEALRK
+ILRRDLPVTRTLIDWHRIL
+>Q8WU43_PF17701_1 <unknown description>
+MGFSLSKSATQVSAIHMDSKVDDHLIRGTEKSRLEPATQLFQNTKKIRLEDTNQENFTRI
+EGTGTGSLSGKALGSVVYVKESDGLEMTDVE
+>Q9NWW7_PF14952_16 <unknown description>
+DLGKATLRGIRKCPRCGTYNGTRGLSCKNKTCGTIFRYGAR
+>Q2NKX9_PF10573_10 <unknown description>
+GHCCKPGGRLDMNHGFVHHIRRNQIARDDYDKKVKQAAKEKVRRRHTPAPTRPRKPDLQV
+YLPRHRDVSAHPRNPDYEESGESSSSGGSELEPSGHQLFCLEYEADSGEVTSVIVYQ
+>Q8N8R5_PF10561_57 <unknown description>
+LSTVPGADPQRSNELLLLAAAGEGLERQDLPGDPAKEEPQPPPQHHVLYFPGDVQNYHEI
+MTRHPENYQWENWSLENVATILAHRFPNSYIWVIKCSRMHLHKFSCYDNFVKSNMFGAPE
+HNTDFGAFKHLYMLLVNAFNLSQNSLSKKSLNVWNKDSIASNCRSSPSHTTNGCQGEKVR
+TCEKSDESAMSFYPPSLNDASFTLIGFSKGCVVLNQLLFELKEAKKDKNIDAFIKSIRTM
+YWLDGGHSGGSNTWVTYPEVLKEFAQTGIIVHTHVTPYQVRDPMRSWIGKEHKKFVQILG
+DLGM
+>A6NCS6_PF15443_139 <unknown description>
+GAALVGVLVAEAGPEDAVAPGLRLLEALLRAVFGRQAGGPVQAAAYCPGLPASCLAVQAA
+ACRALQAAGAGQPVEGAWERPGLPGLLACFSWGPWSRRKNQDVAACRSSAQEDFQEPEEE
+LPLTAIFPNGDCDDLGRGSKACDGVVHTPAEPTGD
+>Q8N5S3_PF15667_38 <unknown description>
+HHSKSHVGRGRIYYAKFINTNARTYNEPFPYIDPKKGPEIQGDWWSHGKALEPVFLPPYD
+SKSTQRSDFQKPSCPLVLPVKHSKMQKPSCGIVPLASPGTSAELQNNFIEYISFIHQYDA
+RKTPNEPLQGKRHGAFVQREIKPGSRPTVPKGAEVLLNTPGSRSSEQSKKTEKGNSAESR
+MISPGLCQQNSQELLEPKTHLSETDVRQAAKACPSTPESREKTSGATQTTVGDALFTRHK
+PLNPPIK
+>A8MZ97_PF15484_28 <unknown description>
+FLYKCFQGRKGKETKKVPCTDANGGVDCAAAKVVTSNPEDHERILMQVMNLNVPMRPGIL
+VQRQSKEVLATPLENRRDMEAEEENQINEKQEPENAGETGQEEDDGLQKIHTSVTRTPSV
+VESQKRPLKGVTFSREVIVVDLGNEYPTPRSYTREHKERK
+>Q3KRA6_PF10209_8 <unknown description>
+ITVRLIRSFEHRNFKPVVYHGVNLDQTVKEFIVFLKQDIPLRTNLPPPFRNYKYDALKII
+HQAHKSKTNELVLSLEDDERLLLKEDSTLKAAGIASETEIAFFCEEDYKNYKANPISSW
+>A6NCI8_PF15442_496 <unknown description>
+SDQVRKNKHKASEPIQGAPKAKIQPKNPECLLEREVVVGSATVSNSASVNKAKHSSNKPH
+KAASSRISKTKSHGQEKTKGNRKNSSKKSEESKQSGKKVKVEEKQTIPNMKRKKNQPELS
+QKTLKKPRSSLGMHMLESVQVFHALGKK
+>Q0P641_PF17718_1 <unknown description>
+MERRLIKKEMKKLLGDYIGIRLRENEFDPKGRRQLTFLDDMAHYDLAISVALQWLDPSED
+LTWLEWEELKIPLHGRPIYPNRREREAMILSSYAGILMNSIPIEEVFKIYGADSSADSGT
+IKVPRVSSLCLSLHPFAMLTAPKAAAYARKQSVKSRKVTTNKNATSISAKEANATEWKSS
+QRFSDTQPKHKV
+>Q9H9S4_PF08569_6 <unknown description>
+LFSKSHKNPAEIVKILKDNLAILEKQDKKTDKASEEVSKSLQAMKEILCGTNEKEPPTEA
+VAQLAQELYSSGLLVTLIADLQLIDFEGKKDVTQIFNNILRRQIGTRSPTVEYISAHPHI
+LFMLLKGYEAPQIALRCGIMLRECIRHEPLAKIILFSNQFRDFFKYVELSTFDIASDAFA
+TFKDLLTRHKVLVADFLEQNYDTIFEDYEKLLQSENYVTKRQSLKLLGELILDRHNFAIM
+TKYISKPENLKLMMNLLRDKSPNIQFEAFHVFKVFVASPHKTQPIVEILLKNQPKLIEFL
+SSFQKERTDDEQFADEKNYLIKQIRDLK
+>Q6P9G0_PF00173_21 <unknown description>
+TPAEVAQHNRPEDLWVSYLGRVYDLTSLAQEYKGNLLLKPIVEVAGQDISHWF
+>A1XBS5_PF06730_1 <unknown description>
+MMRRTLENRNAQTKQLQTAVSNVEKHFGELCQIFAAYVRKTARLRDKADLLVNEINAYAA
+TETPHLKLGLMNFADEFAKLQDYRQAEVERLEAKVVEPLKTYGTIVKMKRDDLKATLTAR
+NREAKQLTQLERTRQRNPSDRHVISQAETELQRAAMDASRTSRHLEETINNFERQKMKDI
+KTIFSEFITIEMLFHGKALEVYTAAYQNIQNIDEDEDLE
+>P08185_PF00079_42 <unknown description>
+ANVDFAFSLYKHLVALSPKKNIFISPVSISMALAMLSLGTCGHTRAQLLQGLGFNLTERS
+ETEIHQGFQHLHQLFAKSDTSLEMTMGNALFLDGSLELLESFSADIKHYYESEVLAMNFQ
+DWATASRQINSYVKNKTQGKIVDLFSGLDSPAILVLVNYIFFKGTWTQPFDLASTREENF
+YVDETTVVKVPMMLQSSTISYLHDSELPCQLVQMNYVGNGTVFFILPDKGKMNTVIAALS
+RDTINRWSAGLTSSQVDLYIPKVTISGVYDLGDVLEEMGIADLFTNQANFSRITQDAQLK
+SSKVVHKAVLQLNEEGVDTAGSTGVTLNLTSKPIILRFNQPFIIMIFDHFTWSSLFLARV
+MNP
+>Q13191_PF02262_42 <unknown description>
+DRRTVEKTWKLMDKVVRLCQNPKLQLKNSPPYILDILPDTYQHLRLILSKYDDNQKLAQL
+SENEYFKIYIDSLMKKSKRAIRLFKEGKERMYEEQSQDRRNLTKLSLIFSHMLAEIKAIF
+PNGQFQ
+>Q13191_PF02761_171 <unknown description>
+FRITKADAAEFWRKFFGDKTIVPWKVFRQCLHEVHQISSGLEAMALKSTIDLTCNDYISV
+FEFDIFTRLFQPWGSILRNWNFLA
+>Q13191_PF02762_256 <unknown description>
+THPGYMAFLTYDEVKARLQKYSTKPGSYIFRLSCTRLGQWAIGYVTGDGNILQTIPHNKP
+LFQALIDGSREGFYLYPDGRSYNPDL
+>Q13191_PF14447_373 <unknown description>
+CKICAENDKDVKIEPCGHLMCTSCLTAWQESDGQGCPFCRCEIKGTEP
+>Q9ULV8_PF02262_14 <unknown description>
+EARALGRAVRMLQRLEEQCVDPRLSVSPPSLRDLLPRTAQLLREVAHSRRAAGGGGPGGP
+GGSGDFLLIYLANLEAKSRQVAALLPPRGRRSANDELFRAGSRLRRQLAKLAIIFSHMHA
+ELHALFPGGKYC
+>Q9ULV8_PF02761_149 <unknown description>
+YQLTKAPAHTFWRESCGARCVLPWAEFESLLGTCHPVEPGCTALALRTTIDLTCSGHVSI
+FEFDVFTRLFQPWPTLLKNWQLLA
+>Q9ULV8_PF02762_234 <unknown description>
+NHPGYMAFLTYDEVQERLQACRDKPGSYIFRPSCTRLGQWAIGYVSSDGSILQTIPANKP
+LSQVLLEGQKDGFYLYPDGKTHNPDL
+>Q9ULV8_PF14447_351 <unknown description>
+CKICAESNKDVKIEPCGHLLCSCCLAAWQHSDSQTCPFCRCEIK
+>Q8N7E2_PF18408_109 <unknown description>
+GSVFMCSIVQQCKRTYLSQKSLQAHIKRRHKR
+>P23435_PF00386_63 <unknown description>
+AFSAIRSTNHEPSEMSNRTMIIYFDQVLVNIGNNFDSERSTFIAPRKGIYSFNFHVVKVY
+NRQTIQVSLMLNGWPVISAFAGDQDVTREAASNGVLIQMEKGDRAYLKLERGNLMGGWKY
+STFSGFLV
+>Q8IUK8_PF00386_94 <unknown description>
+AFSATRSTNHEPSEMSNRTMTIYFDQVLVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVY
+NRQTIQVSLMQNGYPVISAFAGDQDVTREAASNGVLLLMEREDKVHLKLERGNLMGGWKY
+STFSGFLV
+>Q6UW01_PF00386_73 <unknown description>
+AFAAVRSHHHEPAGETGNGTSGAIYFDQVLVNEGGGFDRASGSFVAPVRGVYSFRFHVVK
+VYNRQTVQVSLMLNTWPVISAFANDPDVTREAATSSVLLPLDPGDRVSLRLRRGNLLGGW
+KYSSFSGFLI
+>Q9NTU7_PF00386_72 <unknown description>
+AFSAVRSTNHEPSEMSNKTRIIYFDQILVNVGNFFTLESVFVAPRKGIYSFSFHVIKVYQ
+SQTIQVNLMLNGKPVISAFAGDKDVTREAATNGVLLYLDKEDKVYLKLEKGNLVGGWQYS
+TFSGFLV
+>P22681_PF02262_52 <unknown description>
+DKKMVEKCWKLMDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTILSRYEGKMETLGE
+NEYFRVFMENLMKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPS
+GLFQ
+>P22681_PF02761_179 <unknown description>
+FRITKADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISV
+FEFDIFTRLFQPWSSLLRNWNSLA
+>P22681_PF02762_264 <unknown description>
+THPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQWAIGYVTADGNILQTIPHNKP
+LFQALIDGFREGFYLFPDGRNQNPDL
+>P22681_PF14447_381 <unknown description>
+CKICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCEIKGTEP
+>P22681_PF00627_858 <unknown description>
+SSEIENLMSQGYSYQDIQKALVIAQNNIEMAKNIL
+>P15085_PF02244_26 <unknown description>
+LRISVADEAQVQKVKELEDLEHLQLDFWRGPAHPGSPIDVRVPFPSIQAVKIFLESHGIS
+YETMIEDVQSLLDEE
+>P15085_PF00246_128 <unknown description>
+IYDFLDLLVAENPHLVSKIQIGNTYEGRPIYVLKFSTGGSKRPAIWIDTGIHSREWVTQA
+SGVWFAKKITQDYGQDAAFTAILDTLDIFLEIVTNPDGFAFTHSTNRMWRKTRSHTAGSL
+CIGVDPNRNWDAGFGLSGASSNPCSETYHGKFANSEVEVKSIVDFVKDHGNIKAFISIHS
+YSQLLMYPYGYKTEPVPDQDELDQLSKAAVTALASLYGTKFNYGSIIKAIYQASGSTIDW
+TYSQGIKYSFTFELRDTGRYGFLLPASQIIPTAKETWL
+>P48052_PF02244_29 <unknown description>
+EIVPSNEEQIKNLLQLEAQEHLQLDFWKSPTTPGETAHVRVPFVNVQAVKVFLESQGIAY
+SIMIEDVQVLLDKE
+>P48052_PF00246_130 <unknown description>
+SQEMDNLVAEHPGLVSKVNIGSSFENRPMNVLKFSTGGDKPAIWLDAGIHAREWVTQATA
+LWTANKIVSDYGKDPSITSILDALDIFLLPVTNPDGYVFSQTKNRMWRKTRSKVSGSLCV
+GVDPNRNWDAGFGGPGASSNPCSDSYHGPSANSEVEVKSIVDFIKSHGKVKAFITLHSYS
+QLLMFPYGYKCTKLDDFDELSEVAQKAAQSLRSLHGTKYKVGPICSVIYQASGGSIDWSY
+DYGIKYSFAFELRDTGRYGFLLPARQILPTAEETWL
+>P15088_PF02244_27 <unknown description>
+FRVKPQDEKQADIIKDLAKTNELDFWYPGATHHVAANMMVDFRVSEKESQAIQSALDQNK
+MHYEILIHDLQEEIEKQ
+>P15088_PF00246_125 <unknown description>
+IVAWTEKMMDKYPEMVSRIKIGSTVEDNPLYVLKIGEKNERRKAIFTDCGIHAREWVSPA
+FCQWFVYQATKTYGRNKIMTKLLDRMNFYILPVFNVDGYIWSWTKNRMWRKNRSKNQNSK
+CIGTDLNRNFNASWNSIPNTNDPCADNYRGSAPESEKETKAVTNFIRSHLNEIKVYITFH
+SYSQMLLFPYGYTSKLPPNHEDLAKVAKIGTDVLSTRYETRYIYGPIESTIYPISGSSLD
+WAYDLGIKHTFAFELRDKGKFGFLLPESRIKPTCRETML
+>Q9UI42_PF02244_27 <unknown description>
+LRINVRNGDEISKLSQLVNSNNLKLNFWKSPSSFNRPVDVLVPSVSLQAFKSFLRSQGLE
+YAVTIEDLQALLDNE
+>Q9UI42_PF00246_130 <unknown description>
+YHEMDNIAADFPDLARRVKIGHSFENRPMYVLKFSTGKGVRRPAVWLNAGIHSREWISQA
+TAIWTARKIVSDYQRDPAITSILEKMDIFLLPVANPDGYVYTQTQNRLWRKTRSRNPGSS
+CIGADPNRNWNASFAGKGASDNPCSEVYHGPHANSEVEVKSVVDFIQKHGNFKGFIDLHS
+YSQLLMYPYGYSVKKAPDAEELDKVARLAAKALASVSGTEYQVGPTCTTVYPASGSSIDW
+AYDNGIKFAFTFELRDTGTYGFLLPANQIIPTAEETWL
+>Q8WXQ8_PF02244_43 <unknown description>
+LRVLAKDEKQLSLLGDLEGLKPQKVDFWRGPARPSLPVDMRVPFSELKDIKAYLESHGLA
+YSIMIKDIQVLLDEE
+>Q8WXQ8_PF00246_145 <unknown description>
+IYSWIDNFVMEHSDIVSKIQIGNSFENQSILVLKFSTGGSRHPAIWIDTGIHSREWITHA
+TGIWTANKIVSDYGKDRVLTDILNAMDIFIELVTNPDGFAFTHSMNRLWRKNKSIRPGIF
+CIGVDLNRNWKSGFGGNGSNSNPCSETYHGPSPQSEPEVAAIVNFITAHGNFKALISIHS
+YSQMLMYPYGRLLEPVSNQRELYDLAKDAVEALYKVHGIEYIFGSISTTLYVASGITVDW
+AYDSGIKYAFSFELRDTGQYGFLLPATQIIPTAQETWM
+>Q8N4T0_PF02244_43 <unknown description>
+IRFIPKTEEEAYALKKISYQLKVDLWQPSSISYVSEGTVTDVHIPQNGSRALLAFLQEAN
+IQYKVLIEDLQKTLEK
+>Q8N4T0_PF00246_145 <unknown description>
+IQNWMHHLNKTHSGLIHMFSIGRSYEGRSLFILKLGRRSRLKRAVWIDCGIHAREWIGPA
+FCQWFVKEALLTYKSDPAMRKMLNHLYFYIMPVFNVDGYHFSWTNDRFWRKTRSRNSRFR
+CRGVDANRNWKVKWCDEGASMHPCDDTYCGPFPESEPEVKAVANFLRKHRKHIRAYLSFH
+AYAQMLLYPYSYKYATIPNFRCVESAAYKAVNALQSVYGVRYRYGPASTTLYVSSGSSMD
+WAYKNGIPYAFAFELRDTGYFGFLLPEMLIKPTCTETML
+>P15086_PF02244_28 <unknown description>
+FRVNVEDENHINIIRELASTTQIDFWKPDSVTQIKPHSTVDFRVKAEDTVTVENVLKQNE
+LQYKVLISNLRNVVEAQ
+>P15086_PF00246_125 <unknown description>
+IEAWTQQVATENPALISRSVIGTTFEGRAIYLLKVGKAGQNKPAIFMDCGFHAREWISPA
+FCQWFVREAVRTYGREIQVTELLDKLDFYVLPVLNIDGYIYTWTKSRFWRKTRSTHTGSS
+CIGTDPNRNFDAGWCEIGASRNPCDETYCGPAAESEKETKALADFIRNKLSSIKAYLTIH
+SYSQMMIYPYSYAYKLGENNAELNALAKATVKELASLHGTKYTYGPGATTIYPAAGGSDD
+WAYDQGIRYSFTFELRDTGRYGFLLPESQIRATCEETFL
+>Q96IY4_PF02244_32 <unknown description>
+LPRTSRQVQVLQNLTTTYEIVLWQPVTADLIVKKKQVHFFVNASDVDNVKAHLNVSGIPC
+SVLLADVEDLIQQQ
+>Q96IY4_PF00246_129 <unknown description>
+IYSWIEFITERHPDMLTKIHIGSSFEKYPLYVLKVSGKEQAAKNAIWIDCGIHAREWISP
+AFCLWFIGHITQFYGIIGQYTNLLRLVDFYVMPVVNVDGYDYSWKKNRMWRKNRSFYANN
+HCIGTDLNRNFASKHWCEEGASSSSCSETYCGLYPESEPEVKAVASFLRRNINQIKAYIS
+MHSYSQHIVFPYSYTRSKSKDHEELSLVASEAVRAIEKISKNTRYTHGHGSETLYLAPGG
+GDDWIYDLGIKYSFTIELRDTGTYGFLLPERYIKPTCREAFA
+>Q9UPW5_PF18027_712 <unknown description>
+FNSKFESGNLRKVIQIRKNEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEK
+SNSQFNYGMQPLMYSVQEALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITF
+TVNFPHKDDVCYFAY
+>Q9UPW5_PF00246_878 <unknown description>
+LCETLSGNSCPLVTITAMPESNYYEHICHFRNRPYVFLSARVHPGETNASWVMKGTLEYL
+MSNNPTAQSLRESYIFKIVPMLNPDGVINGNHRCSLSGEDLNRQWQS
+>Q5U5Z8_PF18027_268 <unknown description>
+FESRFESGNLQKAVRVDTYEYELTLRTDLYTNKHTQWFYFRVQNTRKDATYRFTIVNLLK
+PKSLYTVGMKPLLYSQLDANTRNIGWRREGNEIKYYKNNTDDGQQPFYCLTWTIQFPYDQ
+DTCFFAHF
+>Q5U5Z8_PF00246_417 <unknown description>
+SQFCKLQTLCRSLAGNTVYLLTITNPSQTPQEAAAKKAVVLSARVHPGESNGSWVMKGFL
+DFILSNSPDAQLLRDIFVFKVLPMLNPDGVIVGNYRCSLAGRDLNRHYKTILKESFP
+>Q8NEM8_PF18027_171 <unknown description>
+FEARFESGNLQKVVKVAEYEYQLTVRPDLFTNKHTQWYYFQVTNMRAGIVYRFTIVNFTK
+PASLYSRGMRPLFYSEKEAKAHHIGWQRIGDQIKYYRNNPGQDGRHYFSLTWTFQFPHNK
+DTCYFAH
+>Q8NEM8_PF00246_323 <unknown description>
+CKIRVLCHTLARNMVYILTITTPLKNSDSRKRKAVILTARVHPGETNSSWIMKGFLDYIL
+GNSSDAQLLRDTFVFKVVPMLNPDGVIVGNYRCSLAGRDLNRNYTSLLKESFPSVWYTRN
+MVHRLMEKREVILYCDLHGHSRKENIFMYGCDGSDRSKTLYLQQRIFPLMLSKNCPDKFS
+FSACKFNVQKSKEGTGRVVMWKMGIRNSFTMEATFCGS
+>Q8NDL9_PF18027_10 <unknown description>
+FSSRFDSGNLAHVEKVESLSSDGEGVGGGASALTSGIASSPDYEFNVWTRPDCAETEFEN
+GNRSWFYFSVRGGMPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPTRPRWERIRDRPTFE
+MTETQFVLSFVH
+>Q8NDL9_PF00246_239 <unknown description>
+FAGKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVV
+RGHYRTDSRGVNLNRQYLKPDAVLHPAIYGA
+>Q5VU57_PF18027_47 <unknown description>
+DACFESGNLGRVDQVSEFEYDLFIRPDTCNPRFRVWFNFTVENVKESQRVIFNIVNFSKT
+KSLYRDGMAPMVKSTSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREEDIYQFAY
+>Q5VU57_PF00246_176 <unknown description>
+HYLDSLQKRNMDYFFREQLGQSVQQRKLDLLTITSPDNLREGAEQKVVFITGRVHPGETP
+SSFVCQGIIDFLVSQHPIACVLREYLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDP
+SPWVHPTLHGVKQLIVQMYNDPKTSLEFYIDIHAHSTMMNGFMYGNIFEDEER
+>O75976_PF00246_71 <unknown description>
+AAAAGLPGLARLFSIGRSVEGRPLWVLRLTAGLGSLIPEGDAGPDAAGPDAAGPLLPGRP
+QVKLVGNMHGDETVSRQVLIYLARELAAGYRRGDPRLVRLLNTTDVYLLPSLNPDGFERA
+REGDCGFGDGGPSGASGRDNSRGRDLNRSFPDQFSTGEPPALDEVPEVRALIEWIRRNKF
+VLSGNLHGGSVVASYPFDDSPEHKATGIYSKTSDDEVFKYLAKAYASNHPIMKTGEPHCP
+GDEDETFKDGITNGAHWYDVEGGMQDYNYVWANCFEITLELSCCKYPPASQLRQEWENNR
+E
+>O75976_PF13620_384 <unknown description>
+VKGFVKDSITGSGLENATISVAGINHNITTGRFGDFYRLLVPGTYNLTVVLTGYMPLTVT
+NVVVKEGPATEVDFSL
+>O75976_PF00246_510 <unknown description>
+EIFLRRFANEYPNITRLYSLGKSVESRELYVMEISDNPGVHEPGEPEFKYIGNMHGNEVV
+GRELLLNLIEYLCKNFGTDPEVTDLVHNTRIHLMPSMNPDGYEKSQEGDSISVIGRNNSN
+NFDLNRNFPDQFVQITDPTQPETIAVMSWMKSYPFVLSANLHGGSLVVNYPFDDDEQGLA
+TYSKSPDDAVFQQIALSYSKENSQMFQGRPCKNMYPNEYFPHGITNGASWYNVPGGMQDW
+NYLQTNCFEVTIELGCVKYPLEKELPNFWEQNR
+>O75976_PF13620_796 <unknown description>
+VRGFVLDATDGRGILNATISVAEINHPVTTYKTGDYWRLLVPGTYKITASARGYNPVTKN
+VTVKSEGAIQVNFTL
+>O75976_PF00246_941 <unknown description>
+EFLRGLVMNYPHITNLTNLGQSTEYRHIWSLEISNKPNVSEPEEPKIRFVAGIHGNAPVG
+TELLLALAEFLCLNYKKNPAVTQLVDRTRIVIVPSLNPDGRERAQEKDCTSKIGQTNARG
+KDLDTDFTNNASQPETKAIIENLIQKQDFSLSVALDGGSMLVTYPYDKPVQTVENKETLK
+HLASLYANNHPSMHMGQPSCPNKSDENIPGGVMRGAEWHSHLGSMKDYSVTYGHCPEITV
+YTSCCYFPSAARLPSLWADNK
+>O75976_PF13620_1215 <unknown description>
+VHGFVKDKTGKPISKAVIVLNEGIKVQTKEGGYFHVLLAPGVHNIIAIADGYQQQHSQVF
+VHHDAASSVV
+>P16870_PF00246_65 <unknown description>
+SVWLQCTAISRIYTVGRSFEGRELLVIELSDNPGVHEPGEPEFKYIGNMHGNEAVGRELL
+IFLAQYLCNEYQKGNETIVNLIHSTRIHIMPSLNPDGFEKAASQPGELKDWFVGRSNAQG
+IDLNRNFPDLDRIVYVNEKEGGPNNHLLKNMKKIVDQNTKLAPETKAVIHWIMDIPFVLS
+ANLHGGDLVANYPYDETRSGSAHEYSSSPDDAIFQSLARAYSSFNPAMSDPNRPPCRKND
+DDSSFVDGTTNGGAWYSVPGGMQDFNYLSSNCFEITVELSCEKFPPEETLKTYWEDNKN
+>P16870_PF13620_376 <unknown description>
+VKGFVRDLQGNPIANATISVEGIDHDVTSAKDGDYWRLLIPGNYKLTASAPGYLAITKKV
+AVPYSPAAGVDFELE
+>P14384_PF00246_28 <unknown description>
+MEAFLKTVAQNYSSVTHLHSIGKSVKGRNLWVLVVGRFPKEHRIGIPEFKYVANMHGDET
+VGRELLLHLIDYLVTSDGKDPEITNLINSTRIHIMPSMNPDGFEAVKKPDCYYSIGRENY
+NQYDLNRNFPDAFEYNNVSRQPETVAVMKWLKTETFVLSANLHGGALVASYPFDNGVQAT
+GALYSRSLTPDDDVFQYLAHTYASRNPNMKKGDECKNKMNFPNGVTNGYSWYPLQGGMQD
+YNYIWAQCFEITLELSCCKYPREEKLPSFWNNNKA
+>P14384_PF13620_315 <unknown description>
+VKGQVFDQNGNPLPNVIVEVQDRKHICPYRTNKYGEYYLLLLPGSYIINVTVPGHDPHIT
+KVII
+>P15169_PF00246_34 <unknown description>
+TLYKVQNECPGITRVYSIGRSVEGRHLYVLEFSDHPGIHEPLEPEVKYVGNMHGNEALGR
+ELMLQLSEFLCEEFRNRNQRIVQLIQDTRIHILPSMNPDGYEVAAAQGPNKPGYLVGRNN
+ANGVDLNRNFPDLNTYIYYNEKYGGPNHHLPLPDNWKSQVEPETRAVIRWMHSFNFVLSA
+NLHGGAVVANYPYDKSFEHRVRGVRRTASTPTPDDKLFQKLAKVYSYAHGWMFQGWNCGD
+YFPDGITNGASWYSLSKGMQDFNYLHTNCFEITLELSCDKFPPEEELQREWLGNRE
+>P15169_PF13620_342 <unknown description>
+IKGMVLDENYNNLANAVISVSGINHDVTSGDHGDYFRLLLPGIYTVSATAPGYDPETVTV
+TVGPAEPTLVNFHLK
+>Q8IVL8_PF00246_56 <unknown description>
+IYEWMREISEKYKEVVTQHFLGVTYETHPMYYLKISQPSGNPKKIIWMDCGIHAREWIAP
+AFCQWFVKEILQNHKDNSSIRKLLRNLDFYVLPVLNIDGYIYTWTTDRLWRKSRSPHNNG
+TCFGTDLNRNFNASWCSIGASRNCQDQTFCGTGPVSEPETKAVASFIESKKDDILCFLTM
+HSYGQLILTPYGYTKNKSSNHPEMIQVGQKAANALKAKYGTNYRVGSSADILYASSGSSR
+DWARDIGIPFSYTFELRDSGTYGFVLPEAQIQPTCEETMEA
+>Q9Y646_PF04389_270 <unknown description>
+NTVAEITGSKYPEQVVLVSGHLDSWDVGQGAMDDGGGAFISWEALSLIKDLGLRPKRTLR
+LVLWTAEEQGGVGAFQYYQLHKVNISNYSLVMESDAGTFLPTGLQFTGSEKARAIMEEVM
+SLLQPLNITQVLSHGEGTDINFWIQAGVPGASLLDDLYKYFFFHHSHGDTMTVMDPKQMN
+VAAAVWA
+>Q66K79_PF01392_43 <unknown description>
+CVDLQLRTCSDAAYNHTTFPNLLQHRSWEVVEASSEYILLSVLHQLLEGQCNPDLRLLGC
+AVLAPRCEGGWVRRPCRHICEGLREVCQPAFDAIDMAWPYFLDCHRYFT
+>Q66K79_PF00246_194 <unknown description>
+VRVLRRTASRCAHVARTYSIGRSFDGRELLVIEFSSRPGQHELMEPEVKLIGNIHGNEVA
+GREMLIYLAQYLCSEYLLGNPRIQRLLNTTRIHLLPSMNPDGYEVAAAEGAGYNGWTSGR
+QNAQNLDLNRNFPDLTSEYYRLAETRGARSDHIPIPQHYWWGKVAPETKAIMKWMQTIPF
+VLSASLHGGDLVVSYPFDFSKHPQEEKMFSPTPDEKMFKLLSRAYADVHPMMMDRSENRC
+GGNFLKRGSIINGADWYSFTGGMSDFNYLHTNCFEITVELGCVKFPPEEALYILWQHNKE
+>Q66K79_PF13620_506 <unknown description>
+IKGVVTDKFGKPVKNARISVKGIRHDITTAPDGDYWRLLPPGIHIVIAQAPGYAKVIKKV
+IIPA
+>Q92793_PF02135_354 <unknown description>
+IQQQLVLLLHAHKCQRREQANGEVRACSLPHCRTMKNVLNHMTHCQAGKACQVAHCASSR
+QIISHWKNCTRHDCPVC
+>Q92793_PF02172_588 <unknown description>
+VRKGWHEHVTQDLRSHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGDMYESANSR
+DEYYHLLAEKIYKIQKELEE
+>Q92793_PF00439_1104 <unknown description>
+QDPESLPFRQPVDPQLLGIPDYFDIVKNPMDLSTIKRKLDTGQYQEPWQYVDDVWLMFNN
+AWLYNRKTSRVYKF
+>Q92793_PF06001_1192 <unknown description>
+VMQSLGYCCGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQ
+>Q92793_PF08214_1342 <unknown description>
+VNKFLRRQNHPEAGEVFVRVVASSDKTVEVKPGMKSRFVDSGEMSESFPYRTKALFAFEE
+IDGVDVCFFGMHVQEYGSDCPPPNTRRVYISYLDSIHFFRPRCLRTAVYHEILIGYLEYV
+KKLGYVTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAERIIHDYKD
+IFKQATEDRLTSAKELPYFEGDFWPNVLEESIKELEQEEEERKKEESTAASETTEGSQGD
+SKNAKKKNNKKTNKNKSSISRANKKKPSMPNVSNDLSQKLYATMEKHKEVFFVIHLHAG
+>Q92793_PF00569_1702 <unknown description>
+RFVYTCNECKHHVETRWHCTVCEDYDLCINCYNTKSHAHKM
+>Q92793_PF02135_1772 <unknown description>
+IQRCIQSLVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPVCKQLIALCCYHAK
+HCQENKCPVPFC
+>Q92793_PF09030_2014 <unknown description>
+PSMPPGQWQQAPLPQQQPMPGLPRPVISMQAQAAVAGPRMPSVQPPRSISPSALQDLLRT
+LKSPSSPQQQQQVLNILKSNPQLMAAFIKQRTAKYVANQP
+>P16152_PF00106_7 <unknown description>
+VALVTGGNKGIGLAIVRDLCRLFSGDVVLTARDVTRGQAAVQQLQAEGLSPRFHQLDIDD
+LQSIRALRDFLRKEYGGLDVLVNNAGIAFKVADPTPFHIQAEVTMKTNFFGTRDVCTELL
+PLIKPQGRVVNVSSIMSVRAL
+>O75828_PF00106_7 <unknown description>
+VALVTGANRGIGLAIARELCRQFSGDVVLTARDVARGQAAVQQLQAEGLSPRFHQLDIDD
+LQSIRALRDFLRKEYGGLNVLVNNAAVAFKSDDPMPFDIKAEMTLKTNFFATRNMCNELL
+PIMKPHGRVVNISSLQCLRAFE
+>O75828_PF00106_191 <unknown description>
+NSPYGVSKLGVTVLSRILARRLDEKRKADRILVNACCPGPVKTDMDGK
+>Q8N4T8_PF13561_11 <unknown description>
+SRGIGRAVAQLMARKGYRLAVIARNLEGAKAAAGDLGGDHLAFSCDVAKEHDVQNTFEEL
+EKHLGRVNFLVNAAGINRDGLLVRTKTEDMVSQLHTNLLGSMLTCKAAMRTMIQQQGGSI
+VNVGSIVGLKGNSGQSVYSASKGGLVGFSRALAKEVARKKIRVNVVAPGFVHTDMTKDLK
+EEHLKKNIPLGRFGETIEVAHAVVFLLESPYITGHVLVVDGGL
+>P35520_PF00291_82 <unknown description>
+KKIGDTPMVRINKIGKKFGLKCELLAKCEFFNAGGSVKDRISLRMIEDAERDGTLKPGDT
+IIEPTSGNTGIGLALAAAVRGYRCIIVMPEKMSSEKVDVLRALGAEIVRTPTNARFDSPE
+SHVGVAWRLKNEIPNSHILDQYRNASNPLAHYDTTADEILQQCDGKLDMLVASVGTGGTI
+TGIARKLKEKCPGCRIIGVDPEGSILAEPEELNQTEQTTYEVEGIGYDFIPTVLDRTVVD
+KWFKSNDEEAFTFARMLIAQEGLLCGGSAGSTVAVAVKAAQELQEGQRCVVILPD
+>P35520_PF00571_421 <unknown description>
+APLTVLPTITCGHTIEILREKGFDQAPVVDEAGVILGMVTLGNMLSSL
+>P83916_PF00385_21 <unknown description>
+YVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDCPDLIAEFLQ
+>P83916_PF01393_119 <unknown description>
+PERIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTW
+>Q14781_PF00385_14 <unknown description>
+AECILSKRLRKGKLEYLVKWRGWSSKHNSWEPEENILDPRLLLAFQKK
+>Q14781_PF17218_491 <unknown description>
+QDWKPTRSLIEHVFVTDVTANLITVTVKESPTS
+>Q13185_PF00385_30 <unknown description>
+FVVEKVLDRRVVNGKVEYFLKWKGFTDADNTWEPEENLDCPELIEAFLN
+>Q13185_PF01393_123 <unknown description>
+PERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTW
+>O00257_PF00385_11 <unknown description>
+FAVESIEKKRIRKGRVEYLVKWRGWSPKYNTWEPEENILDPRLLIAFQNR
+>O00257_PF17218_537 <unknown description>
+FGNIIITDVTANCLTVTFKEYVT
+>P45973_PF00385_20 <unknown description>
+YVVEKVLDRRVVKGQVEYLLKWKGFSEEHNTWEPEKNLDCPELISEFMKK
+>P45973_PF01393_123 <unknown description>
+PEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTW
+>O95503_PF00385_13 <unknown description>
+AESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK
+>O95503_PF17218_357 <unknown description>
+DWRPEMSPCSNVVVTDVTSNLLTVTIKEFC
+>O95931_PF00385_11 <unknown description>
+FAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEK
+>O95931_PF17218_209 <unknown description>
+PPWTPALPSSEVTVTDITANSITVTFREAQA
+>Q9HC52_PF00385_13 <unknown description>
+AEALLKRRIRKGRMEYLVKWKGWSQKYSTWEPEENILDARLLAAFEER
+>Q9HC52_PF17218_349 <unknown description>
+ESWSPSLTNLEKVVVTDVTSNFLTVTIKESNTD
+>Q9Y3M2_PF14645_2 <unknown description>
+PFFGNTFSPKKTPPRKSASLSNLHSLDRSTREVELGLEYGSPTMNLAGQSLKFENGQWIA
+ETGVSGGVDRREVQRLRRRNQQLEEENNLLRLKVDILLDMLSESTAESHLMEKEL
+>Q8NA61_PF14645_80 <unknown description>
+LSRRMASQHSYPLNRFSSVPLDPMERPMSQADLELDYNPPRVQLSDEMFVFQDGRWVNEN
+CRLQSPYFSPSASFHHKLHHKRLAKECMLQEENKSLREENKALREENRMLS
+>A6NI87_PF14645_83 <unknown description>
+HISRPFSPRRPPLRRMPSLSTFYLLDHNTRQAELGLAYGAPCMRLSNQAFVFRGGRWTTE
+SQLARTRSPLLSRTAWGWKAQVQRSKSQVLLEENNYLKLQQELLIDMLTETMARMHLLEK
+Q
+>Q9HBI5_PF15134_1 <unknown description>
+MTSLFAQEIRLSKRHEEIVSQRLMLLQQMENKLGDQHTEKASQLQTVETAFKRNLSLLKD
+IEAAEKSLQTRIHPLPRPEVVSLETRYWASVEEYIPKWEQFLLGRAPYP
+>Q8ND61_PF14977_362 <unknown description>
+HCQEGKAPKKAFKFHYTFYDGSSFVYYPSGNVAVCQIPTCCRGRTITCLFNDIPGFSLLA
+LFNTEGQGCVHYNLKTSCPYVLILDEEGGTTNDQQGYVVHKWSWTSRTETLLSLEYKVNE
+EMKLKVLGQDSITVTFTSLNETVTLTVSANNCPHGMAYDKRLNRRISNMDDKVYKMSRAL
+AEIKKRFQKTVTQFINSILLAAGLFTIE
+>Q5JPI3_PF15008_8 <unknown description>
+FSEMEGCRNLLGLLDNDEIMALCDTVTNRLVQPQDRQDAVHAILAYSQSAEELLRRRKVH
+REVIFKYLATQGIVIPPATEKHNLIQHAKDYWQKQPQLKLKETPEPVTKTEDIHLFQQQV
+KEDKKAEKVDFRRLGEEFCHWFFGLLNSQNPFLGPPQDEWGPQHFWHDVKLRFYYNTSEQ
+NVMDYHGAEIVSLRLLSLVKEEFLFLSPNLDSHGLKCASSPHGLVMVGVAGTVHRGNTCL
+GIFEQIFGLIRCPFVENTWKIKFINLKIMG
+>Q6ZUJ4_PF15830_13 <unknown description>
+MSEKLRRCRKELTAAIDRAFEGVSYSQECTGQQRLELSAAPLSFSLPVHRLLCRRHPLAA
+CSSAAPFAAVPCAPENENPAFATNHAPVNAKPHALCPERKPLTSKENVLMHSSILAPERE
+SWRTAGEGENWRKENLRKDMERDLKADSNMPLNNSSQEVTKDLLDMIDHTSIRTIEELAG
+KIEFENELNHMCGHCQDSPFKEEAWALLMDKSPQKATDADPGSLKQAFDDHNIVETVLDL
+EEDYNVMTSFKYQI
+>A6NLC5_PF15823_14 <unknown description>
+KSEKLDEAQALARSCAARRPDFQPCDGLSICATHSHGKCFKLHWCCHLGWCHCKYMYQPM
+TPVEQLPSTEIPARPREPTNTIQISVSLTEHFLKFASVFQPPLPPDSPRYCMISDLFIDN
+YQVKCINGKMCYVQKQPAPHSHRMSPEEVSAHDALISKESNTPKIDHCSSPSSSEDSGIN
+AIGAHYVESCDEDTEEGAELSSEEDYSPESSWEPDECTLLSPSQSDLEVIETIETTV
+>F5H4A9_PF15843_46 <unknown description>
+CGERERCCDATNATAVRCCKLPLHAFLDNVGWFVRKLSGLLILLVLFAIGYFLQRIICPS
+PRRYPRGQARPGQRPGPPGGAGPLGGAGPPDDDDDSPALLRDEAAAGSQDSLLDSGGGGR
+GRGGGGRSDPSCASEHEMRVVSPVFLQLPSYEEVKYLPTYEESMRLQQLSPGEVVLPVSV
+LGRPRGGVAAEPDGGEGRYPLI
+>H3BNL1_PF15115_7 <unknown description>
+GSWHNNGFYGHYRSQFKSESAREYHLAAKPQPPAVFLQRCQEPAQRHFFSKHDNRTSFDK
+GPYCLLQGIGRRKDLERLWQRHTFLRWAPCEIELRQQGPLESSYQADFR
+>Q8IW40_PF15867_7 <unknown description>
+INFKALEKELQAALTADEKYKRENAAKLRAVEQRVASYEEFRGIVLASHLKPLERKDKMG
+GKRTVPWN
+>Q8IW40_PF13877_98 <unknown description>
+QPETSADFYRDWRRHLPSGPERYQALLQLGGPRLGCLFQTDVGFGLLGELLVALADHVGP
+ADRAAVLGILCSLASTGRFTLNLSLLSRAER
+>Q8IYK2_PF03148_138 <unknown description>
+ARLGRAQHQINGRVRQLLRQREVTDHRLSEVRKGLLINQQSVKLRGYRPKSEKVPDKADS
+MLTWEKEELKSMKRKMERDMEKSEVLLKTLASCRDTLNFCFKERLQAVDLMNQPLDKVLE
+QARRHSWVNLSRAPTPRTQGQKTPPPDPVGTYNPACALALNEAKRLLVESKDTLVEMAKN
+EVDVREQQLQISDRVCASLAQKASETLELKERLNMTLGLMRGTILRCTKYNQELYTTHGL
+IKGPLSKVHLETAEKLDRPLVRMYQRHVGTQLPEAARLAQGTDKLQCHITYLEKNLDELL
+ATHKNLSWGLNCKNIGHEVDGNVVRLR
+>Q9BWC9_PF15794_56 <unknown description>
+ALMNSVKTQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMEAEDHCRMKPGPR
+RMEGDSRGGAGGEASDPESAASSLSGASEEGSASERRRQKQKGGASRRRFGKPKARERQR
+VKDADGVLCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGE
+FDPSKERLLEYSRRCFLALDDETLKKVQALKKSKLLLPITY
+>Q9H0I3_PF13870_180 <unknown description>
+RRRDNMKEKLRLKNVSLKVQRKKMLLQLRQKEEVSEALHDVDFQQLKIENAQFLETIEAR
+NQELTQLKLSSGNTLQVLNAYKSKLHKAMEIYLNLDKEILLRKELLEKIEKETLQVEEDR
+AKAEAVNKRLRKQLAEFRAPQVMTYVREKILNADLEKSIRMWERKVEIAEMSLK
+>Q8IYX3_PF15774_18 <unknown description>
+HSMCSARVQLPKKPLVPEMRPACKPGRVPHPPSTCGSSALQGQRRNKRHPQPFGHFLDFL
+TESQVLDSLETVVEKATERMAAMKTEAGVPLVEVQDPVEVPSGGRRAHARPSLSTVHRHR
+VRPTLCTGHPNNYPSSSSSMSNCHSSLMAGCLGSHSRDSDLGAQGSLPPVRDKLLLEKNL
+KRLLQLEREGKGLSQSCSQRDSLLWDSLGSQTSFQWTQEQPLSWFSGLLGSSSGVPEASE
+PRPGEQEPIFRKREFNKEIKSLLSQLESLDLPGYCPLREPHRTLNFLADHRLFPALQSVV
+SQAVDKLRGAHCRDGRPLFPTSLEPTSDLPPLGSEPAKPTNGGQPYASPRPTVSSPKMLQ
+RKRKDRGGSPSMSSAQVATRFKLK
+>Q8IWD4_PF15810_141 <unknown description>
+QCEVARRKLQEIEDRIIDEDEEVEADRNVNHLPSLVLSDTMKTGLKREFDEVFTKKMIES
+MSRPSMELVLWKPLPELLSDKPKPSSNTKNYTGESQAKHVAAGTAFPQRTELFSEPRPTG
+MSLYNSLETATSTEEEMEL
+>Q96HB5_PF11819_37 <unknown description>
+EVKGQLISSPTFNAPAALFGEAAPQVKSERLRGLLDRQRTLQEALSLKLQELRKVCLQEA
+ELTGQLPPECPLEPGERPQLVRRRPPTARAYPPPHPNQAHHSLCPAEELALEALEREVSV
+QQQIAAAARRLALAPDLST
+>Q6ZUS5_PF14988_35 <unknown description>
+ENRFFLEYLTNKTEEYTEQPEKVWNSYLQKSGEIERRRQESASRYAEQISVLKTALLQKE
+NIQSSLKRKLQAMRDIAILKEKQEKEIQTLQEETKKVQAETASKTREVQAQLLQEKRLLE
+KQLSEPDRRLLGKRKRRELNMKAQALKLAAKRFIFEYSCGINRENQQFKKELLQLIEQAQ
+KLTATQSHLENRKQQLQQEQWYLESL
+>Q96CT7_PF06244_131 <unknown description>
+NVNRRVLEEGSVEARTIEDAIAVLSVAEEAADRHPERRMRAAFTAFEEAQLPRLKQENPN
+MRLSQLKQLLKKEWLRSPDNPMNQ
+>Q96EE4_PF15027_3 <unknown description>
+FTISRKNMSQKLSLLLLVFGLIWGLMLLHYTFQQPRHQSSVKLREQILDLSKRYVKALAE
+ENKNTVDVENGASMAGYADLKRTIAVLLDDILQRLVKLENKVDYIVVNGSAANTTNGTSG
+NLVPVTTNKRTNVSG
+>Q9H6E4_PF15002_29 <unknown description>
+DPSLEIYKKMFEVKRREQLLALKNLAQLNDIHQQYKILDVMLKGLFKVLEDSRTVLTAAD
+VLPDGPFPQDEKLKDAFSHVVENTAFFGDVVLRFPRIVHYYFDHNSNWNLLIRWGISFCN
+QTGVFNQGPHSPILSLMAQELGISEKDSNFQNPFKIDRTEFIPSTDPFQKALREEEKRRK
+KEEKRKEIRKGPRIS
+>Q6ZP82_PF07679_1409 <unknown description>
+PNFSRLLSNVTVMEGSPVTLEVEVTGFPEPTLTWYKKGQKLSADGHLQVLHKETRHSVFI
+PKVCKADAGLYVARAQNSSGALSSNVILHV
+>Q17RM4_PF14923_315 <unknown description>
+QSLDLNLGPWRDPRATAQQLSQALGQASLPQECEKELASLCHRLLHQSLIWSWDQGFCQA
+LGSALGGQSSLPTSSGTAELLQQLFPPLLDALREPRLRRIFCQPADPAPVALGLCTLQTT
+LLWFLGRAQQYLAAWDPASFLLLIQKDLPPLLHEAEALYSLASEESLALEVEQQLGLEIQ
+KLTAQIQLLPEESLSVFSQECHKQAMQGFKLYMPRGRYWRLRLCPEPPSAPSEYAGLVVR
+TVLEPVLQGLQGLPPQAQAPALGQALTAIVGAWLDHILTHGIRFSLQGALQLKQDFGVVR
+ELLEEEQWSLSPDLRQTLLMLSIFQQLDGALLCLLQQPLPKSQVHRRPPCCCACQEVQTT
+KLPSSCLNSLESLEPPLQPGTSPAQTGQLQSTLGGRGPSPEGYLVGNQQAWL
+>Q9UNH5_PF14671_13 <unknown description>
+EFMKDRLYFATLRNRPKSTVNTHYFSIDEELVYENFYADFGPLNLAMVYRYCCKLNKKLK
+SYSLSRKKIVHYTCFDQRKRANAAFLIGAYAVIYLKKTPEEAYRALLSGSNPPYLPFRDA
+SFGNCTYNLTILDCLQGIRK
+>Q9UNH5_PF00782_258 <unknown description>
+NIVRRFLNICENTEGAIAVHCKAGLGRTGTLIACYVMKHYRFTHAEIIAWIRICRPGSII
+GPQQHFL
+>O60729_PF14671_52 <unknown description>
+ITDRLCFAILYSRPKSASNVHYFSIDNELEYENFYADFGPLNLAMVYRYCCKINKKLKSI
+TMLRKKIVHFTGSDQRKQANAAFLVGCYMVIYLGRTPEEAYRILIFGETSYIPFRDAAYG
+SCNFYITLLDCFHAVKKA
+>O60729_PF00782_268 <unknown description>
+YDAKRFTDAGFDHHDLFFADGSTPTDAIVKEFLDICENAEGAIAVHCKAGLGRTGTLIAC
+YIMKHYRMTAAETIAWVRICRPGSVIGPQQQF
+>A4D256_PF14671_22 <unknown description>
+ITDRLRFAILYSRPKSASNVHYFSIDNELEYENFSEDFGPLNLAMVYRYCCKINKKLKSI
+TMLRKKIVHFTGSDQRKQANAAFLVGCYMVIYLGRTPEAAYRILIFGDTPYIPFRDAAYG
+SCNFYITLLDCFHAVKKA
+>A4D256_PF00782_238 <unknown description>
+YDAKRFTDAGFDHHDLFFADGSTPTDAIVKRFLDICENAEGAIAVHCKAGLGRTGTLIAC
+YIMKHYRMTAAETIAWVRICRPGLVIGPQQQFLV
+>A6NI56_PF15450_56 <unknown description>
+STASVPEQDTAKHWNQLEQWVVELQAEVACLREHKQRCERATRSLLRELLQVRARVQLQG
+SELRQLQQEARPAAQAPEKEAPEFSGLQNQMQALDKRLVEVREALTRLRRRQVQQEAERR
+GAEQEAGLRLAKLTDLLQQEEQGREVACGALQKNQEDSSRRVDLEVARMQAQVTKLGEEV
+SLRFLKREAKLCGFLQKSFLALEKRMKASESSRLKLEGSLRGELESRWEKLRGLMEERLR
+ALQGQHEESHLLEQCQGLDAAVAQLTKFVQQNQASLNRVLLAEEKAWDAKGRLEESRAGE
+LAAYVQENLEAAQLAGELARQEMHGELVLLREKSRALEASVAQLAGQLKELSGHLPALSS
+RLDLQEQMLGLRLSEAKTEWEGAERKSLEDLARWRKEVTEHLRGVREKVDGLPQQIESVS
+DKCLLHKSDSDLRISAEGKAREFKVGALRQELATLLSSVQLLKEDNPGRKIAEMQGKLAT
+FQNQIMKLENCVQANKTIQNLRFNTEARLRTQEMATLWESV
+>P0CW27_PF14988_72 <unknown description>
+ENRLYASYVSARAQRCAKAIVRLDEQNRVDLAQIHWQRAELASLYHGREDGVRAQLLEME
+ARAAQMAQQVQELQPYKVLQLEQLARIRALERELLHMRVEHTQLLHRVKRRFLEDKAAFE
+REARQRVQSLARRAEREAVRALVAHTQAIKADNGRLRQELLLLLRRTQLLHHTRRQLLEQ
+REQLHREHE
+>Q9P0B6_PF15188_10 <unknown description>
+GVALEIDGLEEKLSQCRRDLEAVNSRLHSRELSPEARRSLEKEKNSLMNKASNYEKELKF
+LRQENRKNMLLSVAIFILLTLVY
+>Q8NDH2_PF15804_474 <unknown description>
+QKNRRKTPDSKSKLSLNVPSLKAKKTPTSQVFQITVCHTLKNRNELGCKNNTEKKE
+>Q8NDH2_PF15804_2786 <unknown description>
+HKDKAKTADVESTMHTKQIKLKAKRITVSQLLEYGTASNKKELRGNIQQQKSFQLSKNAV
+HRVLKAVYDSGYCVSSIKKLTEVKMEKDKPKDRTCILPQPKLEKPLKEMQRSLSGCTDMS
+SILRKQEQDIREKEQKHQSI
+>Q8NDH2_PF15804_3552 <unknown description>
+ESKGQKDSVEQVIRKGVICHKRRTSKWKKSVFSHILNTSDCGASSNRLEMQWNMTDKMVN
+VKHRMSEIDLVAAKICESILSL
+>Q8NDH2_PF15804_3647 <unknown description>
+ISSNVKSTKQHISQGKNDRVKAMDMKRIKSPNIILKPRKSSLSHILSIKE
+>Q8NDH2_PF15804_3672 <unknown description>
+KRIKSPNIILKPRKSSLSHILSIKEFPLLLDIIKQEGKMQEGKGKSSMKLTNLCTSLPSL
+SHSNSNSRTKAGKDKSGTLKGCLPPLKLQASSNARRVSSAESINRDSLSNVIESKCFPQK
+KKEDRENIVDVKDVMG
+>Q8NDH2_PF15804_3791 <unknown description>
+KKKEDRENIVDVKDVMGLKCITLKGKKSLFRHLLHGKEPQRSNKKLEKMTQEDESNLNVV
+QNKLCASILSPPHLEWNPRIKEVYMRGITRFCLSSSTQQELSDTMEKCEQPIDDSLSSIE
+KAKHMPQKDKDRVEKALEKIMHSKRIALEVK
+>Q8NDH2_PF15804_3930 <unknown description>
+IMHSKRIALEVKQPSIFQELELNIKEKGGKIQEDKEVEIWSKPFASISFLPYSKVGTIEG
+EEAMRIKMRSSFSQPNLQESSDTEKTAYEKCISDNISNSVKKALESILQKEQRQKMEKIR
+ALKKMKSS
+>Q8NDH2_PF15804_4046 <unknown description>
+EKIRALKKMKSSISQGIQLDIKEQEKRIEHIKGEPSVLLTNACASIPSPSHLQLDTRREK
+AEYVTEITRYYLPELSHQKSSEAGEKADGVASKGDITIKVQKAKDYMQQKEDDEVKISAK
+KDIMHPEDKGLKAKKALSQDLPLNTKEPGKMDQEAQEQGKEDREGEEQGKE
+>Q8NDH2_PF15804_4252 <unknown description>
+EQGKMDGDKNEQERVLFLYLPSNSSLTHYILDTRIEGEEDQQGIIRPGILQPRHQKSSET
+GKKANGVPSEGDSASEVQKAKDYMQQKEEDEVKISAEKDLMHPEDKDLKGKKA
+>Q8NDH2_PF15804_4415 <unknown description>
+EQEKRDGHKSKQETVLFLHLPSESSLTCYELNTRKEGEEDLQGIIKSATLQLRQQKSFDA
+GKIAHTKSFGVDSSNDVKTVQEYKPQKEVDRGKTVSVDYIMQPEGTIFEAEQLSLPHTLN
+IPGSSGSKTREVLTNIKEKLRHVQERKSELDVFLT
+>Q8NDH2_PF15804_4541 <unknown description>
+SKTREVLTNIKEKLRHVQERKSELDVFLTIPSLSHCKLDKRTAGKKEEQGVTRSFLPPSW
+HMESSDTGKLKYTLSYLNDITGDS
+>Q8NDH2_PF15804_4631 <unknown description>
+AQIQKDKANISEKSVMHPEYIAVKAEKSPLSHILKTKELQVNISQQGEKAQEGEVEIVVL
+LSKTCPFVTSSAFLELDSIKEEEGEPRITRSFMPHLEIQESLPSRQTAPTKPTESLVKKE
+KQLLPQKEDRVQTVSMHGLMHPNGAVFKAKTSAPPQVFSITEHSPLSKRKEPQWGMKERA
+GQKQDRTGRPHVILTKTHPFMPSLS
+>Q8NDH2_PF15804_4881 <unknown description>
+EAKDRVKIEGREGRILPKRIHLRAEALPLALLCNGKNYSLHIEEQGEGVQESKKEPGVVP
+RKSASFPPPPFYLNCDTRRNEKEGTLGKTQFSFPPLKIQDSSDSGKKAYTESLHGYTL
+>Q8NDH2_PF15804_5012 <unknown description>
+EEKGGLRIDMEDKMLPKCTDLKAKQLLLSDILNTKKLQWKSKEQKRKIQEDKNKQVKGLP
+SINTSLLTPPYLKFDTTEGQENVIRIAKVSLPQSRSKESSDAGRIACPEATHGELSSDVK
+QLKAHLLQKEEKDREKVADMTSVLDPNKMYLKAKKSPVLHTHSFSDLQWKTREQEEEKVQ
+KVKSGPGVMLSKSPSRSS
+>Q8NDH2_PF15804_5162 <unknown description>
+LKAKKSPVLHTHSFSDLQWKTREQEEEKVQKVKSGPGVMLSKSPSRSSPLHLNVNTGFQE
+ESIPILTRPSFPLVKLQVSPDTEGGTCIRPIAGDILIYLQKGKHVSQNKEEDDVQIVSI
+>Q8NDH2_PF15804_5285 <unknown description>
+KHQEEKVQECEGEPGVVLTKSTSLPSLSQLELDKETHLGNEMLRLKRPILRRISHIGETV
+HRESVVGDIPKDVKNEKQHIPQKEERNQKK
+>Q8NDH2_PF15804_5378 <unknown description>
+MRGTDITLKSKKSPRSCMLHRTELHVNIGGQGRKEHEGQDKPPGMIQRKMCILFSKPLPS
+NLKLERATHADEERLGGKTSFVLPLMPSALPDTEKTADAEARSGDVRKGKPHRSQKENRH
+EVKTIDMRFRIH
+>Q8NDH2_PF15804_5513 <unknown description>
+ARISPMSHILNAKELVLNINKLEKKVHKDKDEACVVLSRTFLSIPSAPPLYLDSGNKTDK
+DTPGITGSSCPQRTLHVPSNTQKITNRDSVEGVDKNVVKQAEQYVPRPEAEQQLTSNFMI
+SVQQRNQPSRVRSEE
+>A6NNP5_PF15372_54 <unknown description>
+EGSEWKTRYETQLELNDELEKQIVYLKEKVEKIHGNSSDRLSSIRVYERMPVESLNTLLK
+QLEEEKKTLESQVKYYALKLEQESKAYQKINNERRTYLAEMSQGSGLHQVSKRQQVDQLP
+RMQENLV
+>Q6PII3_PF13300_214 <unknown description>
+QRQQWEEEEREALKRPMGPVHYEDIRENEARQLGVGYFAFARDKELRNKQMKTLEMLREQ
+TTDQRTKRENIKEKRKAILEARLAKLRQK
+>Q9NQR7_PF15558_334 <unknown description>
+VPERDRKIAALMLARHQEELLLLEQRAAAHGQWELQRVHAKQRREREEREKQRALEQGRR
+AWAAQVEERRGRRGREEREAARRRQRQYERSEERRRELAERQGLLRRERAERAAREDRLR
+KLQQEQNLKQREEGLQEGRERAEQIRRERAQRAARAKQRQEGQLQREKRELSRAERARHE
+ALLQGRTRQQRQEREGLRSSLEASLGRAQENYEHLVEQRTRELRERARREELQGRRAKEA
+AERKEREHQAHLEALARAGERRLQHATQVAEEAVQQKARRVGQSRLEKERAQRANKEKVE
+RDEDCRRRELLQAIGRKLERSEQLTRERRSALESARSTARASFHVREKVREETNTRSFDR
+MVREAQLHASLDR
+>Q5TID7_PF13904_329 <unknown description>
+VTSTYCLSPRQKELQKQLEEKREKLKREEERRKIEEEKEKKRENDIVFKAWLQKKREQVL
+EMRRIQRAKEIEDMNSRQENRDPQQAFRLWLKKKHEEQMKERQTEELRKQE
+>A6NF36_PF15835_1 <unknown description>
+MEPLYQAGSILMTVNTLQGKKMIESGLQSGDFSLSQSWPSCLPPPADLEILQQKVAGVQR
+ELEDFKKEALKSIHYLEDAFCEMNGALVQQEEQAARVRQRLREEEDRGIVRNKVLTFLLP
+REKQLREHCKRLEDLLLDR
+>Q52MB2_PF15726_1 <unknown description>
+MEDGLLEIMTKDGGDMPAPLEVSTVPAVGDVISGEYNGGMKELMEHLKAQLQALFEDVRA
+MRGALDEQASHIQVLSDDVCANQRAIVSMCQIMTTAPRQGGLGVVGGKGSFQSDPQEPET
+PSPGIGDSGLLGRDPEDEEEEEEEKEMPSPATPSSHCERPESPCAGLLGGDGPLVEPLDM
+PDITLLQLEGEAS
+>Q8N715_PF15558_251 <unknown description>
+VVSSQDQQIVALVLTRLKKAQRIRELQQQAAKAWEELKRSDQKVQMTLERERRLLLRQSQ
+EQWQEKEQRKTLQSPEQRGLRRDSQRKNVPPGESRWKEQPEDQESPRQEKLEKARAQAEH
+RKQCQVRRLREQEKMLRNLREQHSLQLQRRLVEACRKRHLHAVEGQKKVQDTNLSSLINY
+QARKVLMDCQAKAEELLRQLSLEQSFQRSQEIHQGLRKERQRELREKAQKEEEQLQQARW
+RAGESEEQRKMRKRILVELADEKIRQARSHVHKTTRDKVQHLRELNHLREKNHHILKLKA
+EKEEKCHIEGIKEAIKKKEQRVQHISQGKDPNFQEFQKLPQASRREERAPPNSSLDQMVL
+EAQLRACQQ
+>H7C350_PF14992_238 <unknown description>
+AFVQQSQNELQQIRLCFERKKMVITEVWDNVAEMHMALNNQATGLLNLKKDIRGVLDQME
+DIQLEILRERAQCRTRARKEKQMASMSKGRPKLGSSKGLAGQLWLLTLRLLLGALLVWTA
+AYVYVVNPTPFEGLVPPLLSRATVWKLRALLDPFLRLKVDGFLP
+>Q86UF4_PF15768_8 <unknown description>
+GQLYKHFDLERKNAKQAEARLDQRLQRLKVICLYHVKLLTWEQRQLQKELQRLQQETMKK
+KFSSYLGNGFQKRPEDVLVFSPQGRQKHRAPQAKKMRALATRMAQDTCKSKSQVPPSHDA
+GLKDPMKSKKQPLSQNNRTACFIKEQPQAQEKDSVNPSKDVDPSKGISVPCQNQEVSTNT
+IEQGPSSSPASDSGMACADETRSKDVALKPDGNTGKQIPPKHMECAGSFEGEFTKPTFLE
+LLSKARNAHYLRHRVPPESERLLSIGEIF
+>Q9NVL8_PF15398_1 <unknown description>
+MGLSHSKTHLRVIKVAPLQNKEVETPSAGRVDFAFNQNLEEKTSYSLARLQDQNKALEGQ
+LPPLQENWYGRYSTASRDMYFDIPLEHRETSIIKRHPPQRLQKLEPIDLPRVITSGRLLS
+QREARTMHKAKQVLEKKMQTPMYTSENRQYLHKMQVLEMIRKRQEAQMELKKSLHGEARI
+NKQSPRDHKAKKTLQSTPRNDDHDLLTMLPDEILNRGPGNSKNTEFLKHQAVNNYCPWKI
+GKMETWLHEQEAQGQLLWDSSSSDSDEQGKDEKKPRALVRTRTERIPLFDEFFDQE
+>Q9BUN5_PF13270_86 <unknown description>
+TDVYEMEGGLLNLLNDFHSGRLQAFGKECSFEQLEHVREMQEKLARLHFSLDVCGEEEDD
+EEEEDGVTEGLPEEQKKTMADRNLDQLLSNLEDLSNSIQ
+>Q8NHW4_PF00048_33 <unknown description>
+ACCFSYTARKLPRNFVVDYYETSSLCSQPAVVEWKL
+>Q9NV96_PF03381_69 <unknown description>
+FVTSNNIREIEIDYTGTEPSSPCNKCLSPDVTPCFCTINFTLEKSFEGNVFMYYGLSNFY
+QNHRRYVKSRDDSQLNGDSSALLNPSKECEPYRRNEDKPIAPCGAIANSMFNDTLELFLI
+GNDSYPIPIALKKKGIAWWTDKNVKFRNPPGGDNLEERFKGTTKPVNWLKPVYMLDSDPD
+NNGFINEDFIVWMRTAALPTFRKLYRLIERKSDLHPTLPAGRYSLNVTYNYPVHYFDGRK
+RMILSTISWMGGKNPFLGIAYIAVGSISFLLGVVLLVINHKY
+>Q3MIR4_PF03381_53 <unknown description>
+LYYSSNGIKELEYDYTGDPGTGNCSVCAAAGQGRALPPPCSCAWYFSLPELFQGPVYLYY
+ELTNFYQNNRRYGVSRDDAQLSGLPSALRHPVNECAPYQRSAAGLPIAPCGAIANSLFND
+SFSLWHQRQPGGPYVEVPLDRSGIAWWTDYHVKFRNPPLVNGSLALAFQGTAPPPNWRRP
+VYELSPDPNNTGFINQDFVVWMRTAALPTFRKLYARIRQGNYSAGLPRGAYRVNITYNYP
+VRAFGGHKLLIFSSISWMGGKNPFLGIAYLVVGSLCILTGFVMLVVYIR
+>Q8N9Z2_PF15374_37 <unknown description>
+EEKVVYSRSQLSLADSTKALGDAFKLFMPRSTEFMSSDAELWSFLCSLKHQFSPHILRSK
+DVYGYSSCRALVPDPPG
+>Q96AQ1_PF14916_49 <unknown description>
+RNLDLEKSLQFLQQQHSEMLAKLHEEIEHLKRENKDLHYKLIMNQTSQKKD
+>Q96AQ1_PF14917_199 <unknown description>
+PLRKPTTLRQCEVLIRELWNTNLLQTQELRHLKSLLEGSQRPQAAPEEASFPRDQEATHF
+PKVSTKSLSKKCLSPPVAERAILPALKQTPKNNFAERQKRLQAMQKRRLHR
+>Q96LY2_PF14916_49 <unknown description>
+RNLDLEKSLQFLQQQHSEMLAKLHEEIEHLKRENKDLRYKLIMNQTSQKKD
+>Q96LY2_PF14917_199 <unknown description>
+PLRKPTTLRQCEVLIRELWNTNLLQTQELQHLKSLLEGSQRPQAVPEEASFPRDQEATHF
+PKVSTKSLSKKCLLLSPPVAERAILPALKQTPKNNFAERQKRLQAMQKRRLHR
+>Q96PX6_PF10226_34 <unknown description>
+EDLSKVSDEELLQWSKEELIRSLRRAEAEKVSAMLDHSNLIREVNRRLQLHLGEIRGLKD
+INQKLQEDNQELRDLCCFLDDDRQKGKRVSREWQRLGRYTAGVMHKEVALYLQKLKDLEV
+KQEEVVKENMELKELCVLLDEEKGAGCAGSRCSIDSQASLCQLTASTAPYVRDVGDGSST
+SSTGSTDSPDH
+>Q15834_PF10226_8 <unknown description>
+LEELTDEEMAALGKEELVRRLRREEAARLAALVQRGRLMQEVNRQLQGHLGEIRELKQLN
+RRLQAENRELRDLCCFLDSERQRGRRAARQWQLFGTQASRAVREDLGGCWQKLAELEGRQ
+EELLRENLALKELCLALGEEWGPRGGPSGAGGSGAGPAPELALPPCGPRDLGDGSSSTGS
+VGSPDQ
+>A6NKD9_PF10226_13 <unknown description>
+EELSQVPDEELLRWSKEELARRLRRAEGEKVGLMLEHGGLMRDVNRRLQQHLLEIRGLKD
+VNQRLQDDNQELRELCCFLDDDRQKGRKLAREWQRFGRHAAGAVWHEVARSQQKLRELEA
+RQEALLRENLELKELVLLLDEERAALAATGAASGGGGGGGGAGSRSSIDSQASLSGPLSG
+GAPGAGARDVGDGSSTSSAGSGGSPDH
+>A6NC98_PF19047_88 <unknown description>
+AWRVWNLNHLWGRLRDFYQEELQLLILSPPPDLQTLGFDPLSEEAVEQLEGVLRLLLGAS
+VQCEHRELFIRHIQGLSLEVQSELAAAIQEVT
+>Q9GZT6_PF07798_61 <unknown description>
+FDTHALVQDLETHGFDKTQAETIVSALTALSNVSLDTIYKEMVTQAQQEITVQQLMAHLD
+AIRKDMVILEKSEFANLRAENEKMKIELDQVKQQLMHETSRIRADNKLDINLERSRVTDM
+FTDQEKQLMETTTEFTKKDTQTKSIISETSNKIDAEIASLKTLMESNKLETIRYLAASVF
+TCLAIALGFYRFW
+>Q8IX12_PF14444_147 <unknown description>
+QRVFTGVVTKLHDTFGFVDEDVFFQLSAVKGKTPQVGDRVLVEATYNPNMPFKWNAQR
+>Q8IX12_PF19257_374 <unknown description>
+PSCDMMELRRRYQNLYIPSDFFDAQFTWVDAFPLSRPFQLGNYCNFYVMHREVESLEKNM
+AILDPPDADHLYSAKVMLMA
+>Q8IX12_PF14443_479 <unknown description>
+QHPARLVKFLVGMKGKDEAMAIGGHWSPSLDGPDPEKDPSVLIKTAIRCCKALTGIDLSV
+CTQWYRFAEIRYHRPEETHKGRTVPAHVETVVLFFPDVWHCLPTRSEWETLSRGYKQQLV
+EKL
+>Q8IX12_PF02037_637 <unknown description>
+KTMKVNDLRKELESRALSSKGLKSQLIARLTK
+>Q8IX12_PF19256_723 <unknown description>
+LPDEPAIIVHPNWAAKSGKFDCSIMSLSVLLDYRLEDNKEHSFEVSLFAELFNEMLQRDF
+GVRIYKSL
+>Q8N163_PF14444_55 <unknown description>
+QRVFTGIVTSLHDYFGVVDEEVFFQLSVVKGRLPQLGEKVLVKAAYNPGQAVPWNAVK
+>Q8N163_PF19257_238 <unknown description>
+CDFLELQRRYRSLLVPSDFLSVHLSWLSAFPLSQPFSLHHPSRIQVSSEKEAAPDAGAEP
+ITADSDPAYSSKVLLLS
+>Q8N163_PF14443_340 <unknown description>
+EHPLKQIKFLLGRKEEEAVLVGGEWSPSLDGLDPQADPQVLVRTAIRCAQAQTGIDLSGC
+TKWWRFAEFQYLQPGPPRRLQTVVVYLPDVWTIMPTLEEWEALCQQKAAEAAP
+>Q8N163_PF19256_511 <unknown description>
+ARPGCVNLSLHGIVEDRRPKERISFEVMVLAELFLEMLQRDFGYRVYKML
+>Q6UXH8_PF07645_134 <unknown description>
+DIDECASSNGTLCAHICINTLGSYRCECREGYIREDDGKTC
+>Q6UXH8_PF01391_246 <unknown description>
+PGPPGLPGGQGPPGSPGPKGSPGFPGMPGPPGQPGPRGSMGPMGP
+>Q8WUD4_PF08315_11 <unknown description>
+LEEEALRRKERLKALREKTGRKDKEDGEPKTKHLREEEEEGEKHRELRLRNYVPEDEDLK
+KRRVPQAKPVAVEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRDVAKKLEKLKKR
+TQRAIAELIRERL
+>O60826_PF05667_1 <unknown description>
+MEEADRILIHSLRQAGTAVPPDVQTLRAFTTELVVEAVVRCLRVINPAVGSGLSPLLPLA
+MSARFRLAMSLAQACMDLGYPLELGYQNFLYPSEPDLRDLLLFLAERLPTDASEDADQPA
+GDSAILLRAIGSQIRDQLALPWVPPHLRTPKLQHLQGSALQKPFHASRLVVPELSSRGEP
+REFQASPLLLPVPTQVPQPVGRVASLLEHHALQLCQQTGRDRPGDEDWVHRTSRLPPQED
+TRAQRQRLQKQLTEHLRQSWGLLGAPIQARDLGELLQAWGAGAKTGAPKGSRFTHSEKFT
+FHLEPQAQATQVSDVPATSRRPEQVTWAAQEQELESLREQLEGVNRSIEEVEADMKTLGV
+SFVQAESECRHSKLSTAEREQALRLKSRAVELLPDGTANLAKLQLVVENSAQRVIHLAGQ
+WEKHRVPLLAEYRHLRKLQDCRELESSRRLAEIQELHQSVRAAAEEARRKEEVYKQLMSE
+LETLPRDVSRLAYTQRILEIVGNIRKQKEEITKILSDTKELQKEINSLSGKLDRTFAVTD
+ELVFKDAKKDDAVRKAYKYLAALHENCSQLIQTIEDTGTIMREVRDLEEQIETELG
+>Q8N4L8_PF15669_21 <unknown description>
+RREVKRILGEAAVDLSLELRAEVAMLRALLQEARSSQAPSSRPISDPSSLLAPPPLLKDL
+LRQELRQLLQGLRHKAICEGRDQAQAWVQYSPRVLHFALEEPRCDLPEQEIFQMRGGGPS
+SGHRDLSIIKDQLNVSNIDQVARHLRGLLEEECHTLEREILILQRCLEEEYLRPCHPSEA
+ALEPTL
+>Q86WR0_PF05670_1 <unknown description>
+MVFYFTSSSVNSSAYTIYMGKDKYENEDLIKHGWPEDIWFHVDKLSSAHVYLRLHKGENI
+EDIPKEVLMDCAHLVKANSIQGCKMNNVNVVYTPWSNLKKTADMDVGQIGFH
+>Q9BV29_PF14989_17 <unknown description>
+DLWAEICSCLPNPEQEDGANNAFSDSFVDSCPEGEGQREVADFAVQPAVKPWAPLQDSEV
+YLASLEKKLRRIKGLNQEVTSKDMLRTLAQAKKECWDRFLQEKLASEFFVDGLDSDESTL
+EHFKRWLQPDKVAVSTEEVQYLIPPESQV
+>Q8N5R6_PF00168_37 <unknown description>
+IMVTLHGATNLPACKDGSEPWPYVVVKSTSEEKNNQSSKAVTSVTSEPTRAPIWGDTVNV
+EIQAEDAGQEDVILKVVDNRK
+>Q96HJ3_PF13904_92 <unknown description>
+DEEDVDEDAHDSEAKVASLRGMELQGCASTQVESENNQEEQKQVRLPESRLTPWEVWFIG
+KEKEERDRLQLKALEELNQQLEKRKEMEEREKRKIIAEEKHKEWVQKKNEQKRKEREQKI
+NKEMEEKAAKELEKEYLQEKAKEKYQEWLKKKNAEECERKKKEKEKEKQQQAEIQEKKEI
+AEKKFQEWLENAKHKPRPAAKSYGYANGKLTGFYSGNSYPEPAFYNPIPW
+>Q502W7_PF13863_112 <unknown description>
+FINDQRDRFLLEYALSTKRNTIKKFEKDIAMRERQLKKAEKKLQDDALAFEEFLRENDQR
+SVDALKMAAQETINKLQMTAELKKASMEVQAVKSEIAKTEFLLREYMKYGFFLLQMSPK
+>Q4G0X9_PF08647_780 <unknown description>
+LQQEMVKVTQEQEEQLASLDASKKELHIMEQKKLRVESKIEQEKKEQKEIEHHMKDLDND
+LKKLNMLMNKNRCSSEELEQNNRVTENEFVRSLKASERE
+>Q96M95_PF13863_44 <unknown description>
+LLEKKKETEIMHQTMVQKKKMFQRRMETLNLRWEELGVKEAQLKAHIQKSEQFIQENDQK
+RIRAMKKANKERELKCQHMQELTKRKQEMVALRLEHQRLSAKLKDYYIFNKYLEKVVE
+>Q96A33_PF07946_135 <unknown description>
+SYYLEILMVTGLLAYIMNYIIGKNKNSRLAQAWFNTHRELLESNFTLVGDDGTNKEATST
+GKLNQENEHIYNLWCSGRVCCEGMLIQLRFLKRQDLLNVLARMMRPVSDQVQIKVTMNDE
+DMDTYVFAVGTRKALVRLQKEMQDLSEFCSDKPKSGAKYGLPDSLAILSEMGEVTDGMMD
+TKMVHFLTHYADKIESVHFSDQFSGPKIMQEEGQPLKLPDTKRTLLFTFNVPGSGNTYPK
+DMEALLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKLTHVQRQEAAQSRRE
+EKKRAEKERIMNEEDPEKQRRLEEAALRREQKK
+>Q8IVM0_PF15295_5 <unknown description>
+SIDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNVQRNRLVQHDLQVAKQLQE
+EDLKAQAQLQKRYKDLEQQDCEIAQEIQEKLAIEAERRRIQEKKDEDIARLLQEKELQEE
+KKRK
+>Q8IWA6_PF15769_59 <unknown description>
+LIQSVKIGRGYFAILREETAKKKKQQQLQKLKEEERNKFQPAEKISEIHYGDTLLSTYDD
+EKLKTLGARVTRRPFTPIHSCIISPSLTEAHVEPLFRQLCALHWLLEALTIDHTHHTMKP
+VITCWNPKDPGGSKSTIKKINKDKSMGQKWEHFITAPKTKKFKIPTMRVTNRKPSRRGST
+LSLSRASGGSSPQSSMISVNPGSDEPPSVNTQVTSSKDIEDNESSSTKPDEEPLYMNLQK
+LLEMVREDARRTVTIENGMQRKAPSILSVLKQNKSNSAYKEMQTTLKSSERSSSTSAESH
+IQPVQKKSKNRTNCDINIHYKSGVCNTMRAKFYSVAQEAGFCLQDKMEILMKRQEERGIQ
+KFRAFVLVSNFQKDIAKMRHHISVVKGDAEEIADHWYFDLLSKLPEDLKNFRPAKKILVK
+LQKFGENLDLRIRPHVLLKVLQDLRIWELCSPDIAVAIEFVREHIIHMPQEDYISWLQSR
+IN
+>A2RUB6_PF15236_413 <unknown description>
+GSQVEPSEEEHIAKPIKDVVMANSKKTNFLRSMTALLDPAQIEERDRRRQKQLEHQKAIT
+AQVEEKRRKKQLEEEQRKKEEQEEELRLAQEREEMQKQYEEDILKQKQKEEIMTLKTNEL
+FQTMQRAQELAQRLKQEQRIRELAQKGHDTS
+>Q8IV32_PF15374_8 <unknown description>
+VEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGFQPTILRS
+GDVYGYSSCTANPPSQTKLQARAPNPTATSPPASAPRTAMRLPAGRATLLPMPLSGRLAK
+ASTPALAKHATTNLLLSSLKQSSASHARGAAVGFPTHLYPGVYPAMRLSVVLEALVPLKT
+PMPCLGAKHKAQSLQLSLADSPLKLRKSSGKGPGNPRPKAPRKTTSKGPKCLTRKGPGAG
+PRRGSGHQSKTNRATGSPSVRRMKGGSALGTKTAQAKVARTLAKAARAQAKVARTQAKAA
+KARAKAKAAQVKAKAKAK
+>Q8IV32_PF15374_322 <unknown description>
+AKAKAAQVKAKAKVMAAWAKAKAKAKAVRAKAKVARTQPRGRGRPKGSAKARTTRKGQKN
+RPETVGQKRKRAEEAKDLPPKKRTRLGPRSPKAWLGPGTAKLLKFRAIKVDRRSSDDEVR
+QRAQRILRVNLSPVIRLQPL
+>Q6ZRK6_PF15818_26 <unknown description>
+QLLDFKTSLLEALEELRMRREAEIHYEEQIGKIIVETQELKWQKETLQNQKETLAEQHKE
+AMAVFKKQLQMKMCALEEEKGKYQLATEIKEKEIEGLKETLKALQVSKYSLQKKVSEMEQ
+KVQLHLLAKEDYHKQLSEIEKYYATITGQFGLVKENHEKLEQNVREAIQSNKRLSALNKK
+QEAEICSLKKELKKAASDLIKSKVTCQYKMGEENINLTIKEQKFQELQERLNMELELNEK
+INEEITHIQEEKQDIIISFQHMQQLLRQQIQANTEMEAELKVLKENNQTLERDNELQREK
+VKENEEKFLNLQNEHEKALGTWKRHAEELNGEINKIKNELSSLKETHIKLQEHYNKLCNQ
+KTFEEDKKFQNVPEVNNENSEMSTEKSENTIIQKYNTEQEIREENMENFCSDTEYREKEE
+KKEGSFIEEIIIDDLQLFEKSFKNEIDTVVSQDENQSEISLSKTLSLDKEVISQGQTSNV
+TDNRKSVTTEIKDKICLEKDNGCTEFKSPNNHFVVLDTAIETEKIHLERTRGLDVHHTDV
+NLEVENNKTSFNSILNETAHNTYHNNNKDVSENEPFKQFRLLPGTREHALEKEITNSDQT
+KADLDSSLDIKKNPVPCQKYSLRNSSNVMLDDKQCKIKQIQLLTKKSECSILLSKQTSDF
+LQVCNDTLEKSELTVPCDIVIDHHVSYAAFSANSKLLLKNSDKNVHSMSMLVKPNSSPGG
+KTMCKNMSDMQNSQFNNCLGYLENTNVNISHLHLNNENSHASQAKDVKTAVHMKTCTETE
+FSNKKNQIDENQVTEATKNDLFLFVSINERQHTLLNNTEKTESLNDIVSGKMFSEGQLEE
+SHSFHIEPSGDLVNRSGRSTFDLSTSDKKTEKTPVYMNFSDPGPWSKVNHIESQTASSST
+PCISLLLKERPLDPSENKKIISMALCKNIGVDDVGKDIGPDTTSINRVADTLNNWSIHPD
+PKGEPSEEKNAMAKTFYDSSFPTEHVKTKPLISTPLQSHLQAIKTTKNTSGDDDWQSLIT
+NQLNKSENLLSLENDNQPKKRKAEETL
+>Q76M96_PF13778_142 <unknown description>
+LASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELAERHIQQIVLFHQAGEEGGKVR
+RITSEGQILEQPLDPSLIPKLMSFLKLEKGKFGMVLLKKTLQVEERYPYPVRLEAMYEVI
+DQGPIRRIE
+>Q76M96_PF13778_616 <unknown description>
+LGSFEGKRRLLLITAPKAENNMYVQQRDEYLESFCKMATRKISVITIFGPVNNSTMKIDH
+FQLDNEKPMRVVDDEDLVDQRLISELRKEYGMTYNDFFMVLTDVDLRVKQYYEVPITMKS
+VFDLIDTFQSRIK
+>Q76M96_PF13778_771 <unknown description>
+FLSRFRWRRRLLVISAPNDEDWAYSQQLSALSGQACNFGLRHITILKLLGVGEEVGGVLE
+LFPINGSSVVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVY
+DLIDSMQLRRQE
+>Q6ZN84_PF14908_9 <unknown description>
+LQDLGRQVLPTLPSLSQEEVSIIWGNVSEFVRRQLTLHKGVQIPAFGTFTFIRQKLEVGN
+NKFILIQRPVFIMVEKLVQIHGLKQ
+>Q6ZN84_PF18289_103 <unknown description>
+PIVPLNFVMISLEGPFNRDVVEGCVKETLLFLSRSISMKQNVEFTFKGIGVLMIRDSKVK
+MRFYKDFLCTMDGSG
+>Q8N4S0_PF13846_102 <unknown description>
+NSGNGSTYEEETNKIKHRNIDLQDQEKHLSQEDNDLNKQTGQIIEDDQE
+>Q8N4S0_PF13846_147 <unknown description>
+DDQEKHLSQEDNDLNKQTGQIIEDDLEEEDIKRGKRKRLSSVMCDSDESDDSDILVRKVG
+VKRPRRVVEDEGSSVEMEQKTPEKTLAAQK
+>Q8N4S0_PF13926_297 <unknown description>
+DFVVQDEEGDEENKNQQGEKLTTSQLKLVKQNSLYSFSDHYTHFERVVKALLINALDESF
+LGTLYDGTRQKSYAKDMLTSLHYLDNRFVQPRLESLVSRSRWKEQYKERVENYSNVSIHL
+KNPENCSCQACGLHRYCKYSVHLSGELYNTRTM
+>Q9H6F5_PF03879_237 <unknown description>
+KGKPKSGRVWKDRSKKRFSQMLQDKPLRTSWQRKMKERQERKLAKDFARHLEEEKERRRQ
+EKKQRRAENLKRRLENERKAEVVQVIRNPAKLKRAKKKQLRS
+>Q53HC0_PF14916_24 <unknown description>
+ENQLHSAQKNLLFLQREHASTLKGLHSEIRRLQQHCTDLTYELTVKSSEQTGDG
+>Q567U6_PF09762_28 <unknown description>
+TEILELLVAAGYFRARIKGLSPFDKVVGGMTWCITTCNFDVDVDLLFQENSTIGQKIALS
+EKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVVQWLVKRAIETKEEMGDYIRSYSVSQFQ
+KTYSLPEDDDFIKRKEKAIKTVVDLSEVYKPRRKYKRHQGAEELLDEESRIHATLLEYG
+>Q2M329_PF13870_366 <unknown description>
+DKKEKEMSAVRLENIQLKQSLVHFETRMRTQEDLTQGLLLIDFEQLKIENQTFNEKIEER
+NEELLKLRSKVTNSVQVITHVKEKLHFMDMENACKKTQLAEIEAQAALGRDILTKTKQAR
+EGLRTDNIRLNQKCGLLGKDSLLRDLEEKVDKTELLHRRLESLKRHHASLTLSCR
+>Q96F63_PF09747_156 <unknown description>
+NRRYAALRELIQGGEYFSDEQMRFRAPLLYEQYIGQYLTQEELSARTPTHQPPKPGSPGR
+PACPLSNLLLQSYEERELQQRLLQQQEEEEACLEEEEEEEDSDEEDQRSGKDSEAWVPDS
+EERLILREEFTSRMHQRFLDGKDGDFDYSTVDDNPDFDNLDIVARDEEERYFDEE
+>Q6ZUT6_PF15266_139 <unknown description>
+TRARNQGIEGSPGGRVTRSPPTQVAISSDSARKGSWEPWSRPVGEPPEAGWDYAQWKQER
+EQIDLARLARHRDAQGDWRRPWDLDKAKSTLQDCSQLRGEGPARAGSRRGPRSHQKLQPP
+PLLPDGKGRGGQASRPSVAPATGSKARGKERLTGRARRWDMKEDKEE
+>O95273_PF13324_56 <unknown description>
+LNEAAVTVSREATTLTIVFSQLPLPSPQETQKFCEQVHAAIKAFIAVYYLLPKDQGITLR
+KLVRGATLDIVDGMAQLMEVLSVTPTQSPENNDLISYNSVWVACQQMPQIPRDNKAAALL
+MLTKNVDFVKDAHEEMEQAVEECDPYSGLLNDTEENNSDNHNHEDDVLGFPSNQDLYWSE
+DDQELIIPCLALVRASKACLKKIRMLVAENGKKDQVAQLDDIVDISDEISPSVDDLALSI
+YPPMCHLTVRINSAKLVSVLKKALE
+>Q16204_PF09755_51 <unknown description>
+ISPFRLEELTNRLASLQQENKVLKIELETYKLKCKALQEENRDLRKASVTIQARAEQEEE
+FISNTLFKKIQALQKEKETLAVNYEKEEEFLTNELSRKLMQLQHEKAELEQHLEQEQEFQ
+VNKLMKKIKKLENDTISKQLTLEQLRREKIDLENTLEQEQEALVNRLWKRMDKLEAEKRI
+LQEKLDQPVSAPPSPRDISMEIDSPENMMRHIRFLKNEVERLKKQLRAAQLQHSEKMAQY
+LEEERHMREENLRLQRKLQREMERREALCRQLSESESSLEMDDERYF
+>Q96M83_PF15368_1 <unknown description>
+MKPVKHLLTTSNKSANVPALTTKKGLHNLPLSPELKEKHNAKLIHDKIEPMVLRSPPTGE
+SILRYALPIPSSKTKNLLPEDEMIGKIIKHLKMVVSTLEETYGHCDQNGEEPFVKHEHEE
+LSLSVGDDMNSFLTYCSQFAAQLEEALKEEQNILESLFKWFQWQVNQMEE
+>Q9H0W5_PF14893_2 <unknown description>
+LQIGEDVDYLLIPREVRLAGGVWRVISKPATKEAEFRERLTQFLEEEGRTLEDVARIMEK
+STPHPPQPPKKPKEPRVRR
+>Q9Y3X0_PF15266_206 <unknown description>
+EESERDRREESRRHGRNWGGPDFERVRCGLEHERQGRRAGLGSAGDMTLSMTGRERSEYL
+RWKQEREKIDQERLQRHRKPTGQWRREWDAEKTDGMFKDGPVPAHEPSHRYDDQAWARPP
+KPPTFGEFLSQHKAEASSRRRRKSSRPQAKAAPRAYSDHDDRWETKEGA
+>Q8TC90_PF15482_4 <unknown description>
+TLDTREDPLNLGGGGGGGCGCGWAHSASLSSWSSCHRRRPGAPAYNRPHRYSPKTEYGPP
+RKQPKQQHGPGFWFQPPVCSNWGCWGGPWRPPPPGFWKFPCPVQVFRVYGLHPLCFCCCS
+CWSGSWNPGWVKPPGRKKRWGRRGRGLRHHPRHSYPRSPPADVSTLPRPVKLYEWREPGM
+RAPPNTTQFIMNQIYEDMRQQEKVERQQEALRAQKA
+>Q06432_PF13903_18 <unknown description>
+AGIVLAMTAVVTDHWAVLSPHMEHHNTTCEAAHFGLWRICTKRIPMDDSKTCGPITLPGE
+KNCSYFRHFNPGESSEIFEFTTQKEYSISAAAIAIFSLGFIILGSLCVLLSLGKKRDYLL
+RPASMFYAFAGLCILVSVEVMRQSVKRMIDSEDTVWIEYYYSWSFACACAAFILLFLGGL
+ALL
+>Q9Y698_PF00822_7 <unknown description>
+GVQMLLTTVGAFAAFSLMTIAVGTDYWLYSRGVCKTKSVSENETSKKNEEVMTHSGLWRT
+CCLEGNFKGLCKQIDHFPEDADYEADTAEYFLRAVRASSIFPILSVILLFMGGLCIAASE
+FYKTRHNIILSAGIFFVSAGLSNIIGIIVYISANAGDPSKSDSKKNSYSYGWSFYFGALS
+FIIAEMVGVLA
+>O60359_PF00822_7 <unknown description>
+GIQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTHSGLWRT
+CCLEGAFRGVCKKIDHFPEDADYEQDTAEYLLRAVRASSVFPILSVTLLFFGGLCVAASE
+FHRSRHNVILSAGIFFVSAGLSNIIGIIVYISANAGDPGQRDSKKSYSYGWSFYFGAFSF
+IIAEIVGVV
+>Q9UBN1_PF00822_7 <unknown description>
+GLQMLLTTAGAFAAFSLMAIAIGTDYWLYSSAHICNGTNLTMDDGPPPRRARGDLTHSGL
+WRVCCIEGIYKGHCFRINHFPEDNDYDHDSSEYLLRIVRASSVFPILSTILLLLGGLCIG
+AGRIYSRKNNIVLSAGILFVAAGLSNIIGIIVYISSNTGDPSDKRDEDKKNHYNYGWSFY
+FGALSFIVAETVGVLA
+>Q9UF02_PF00822_11 <unknown description>
+LLSSVFAVCGLGLLGIAVSTDYWLYLEEGVIVPQNQSTEIKMSLHSGLWRVCFLAGEERG
+RCFTIEYVMPMNTQLTSESTVNVLKMIRSATPFPLVSLFFMFIGFILNNIGHIRPHRTIL
+AFVSGIFFILSGLSLVVGLVLYISSINDEMLNRTKDAETYFNYKYGWSFAFAAISFLLTE
+SAGVM
+>Q9BXT2_PF13903_48 <unknown description>
+VGATLAVLSVGTEFWVELNTYKANGSAVCEAAHLGLWKACTKRLWQADVPVDRDTCGPAE
+LPGEANCTYFKFFTTGENARIFQRTTKKEVNLAAAVIAVLGLAVMALGCLCIIMVLSKGA
+EFLLRVGAVCFGLSGLLLLVSLEVFRHSVRALLQRVSPEPPPAPRLTYEYSWSLGCGVGA
+GLILLLGAGCFL
+>P62955_PF13903_18 <unknown description>
+ACGLLLVGIAVSTDYWLYMEEGTVLPQNQTTEVKMALHAGLWRVCFFAGREKGRCVASEY
+FLEPEINLVTENTENILKTVRTATPFPMVSLFLVFTAFVISNIGHIRPQRTILAFVSGIF
+FILSGLSLVVGLVLYISSINDEVMNRPSSSEQYFHYRYGWSFAFAASSFLLKEGAGVM
+>Q8WXS5_PF00822_18 <unknown description>
+GVQVLLTTVGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAGGDDGTPHRGGGGASEKK
+DPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYLLRVVRASSIFPILSAI
+LLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYISANAGEPGPKRDEEKK
+NHYSYGWSFYFGGLSFILAEVIGVLA
+>Q8WXS4_PF15108_8 <unknown description>
+AQRPLGQRQPRRSFFESFIRTLIITCVALAVVLSSVSICDGHWLLAEDRLFGLWHFCTTT
+NQTICFRDLGQAHVPGLAVGMGLVRSVGALAVVAAIFGLEFLMVSQLCEDKHSQCKWVMG
+SILLLVSFVLSSGGLLGFVILLRNQVTLIGFTLMFWCEFTASFLLFLNAISGLHINSITH
+>Q8TD31_PF07111_116 <unknown description>
+MAPTWLSDIPLVQPPGHQDVSERRLDTQRPQVTMWERDVSSDRQEPGRRGRSWGLEGSQA
+LSQQAEVIVRQLQELRRLEEEVRLLRETSLQQKMRLEAQAMELEALARAEKAGRAEAEGL
+RAALAGAEVVRKNLEEGSQRELEEVQRLHQEQLSSLTQAHEEALSSLTSKAEGLEKSLSS
+LETRRAGEAKELAEAQREAELLRKQLSKTQEDLEAQVTLVENLRKYVGEQVPSEVHSQTW
+ELERQKLLETMQHLQEDRDSLHATAELLQVRVQSLTHILALQEEELTRKVQPSDSLEPEF
+TRKCQSLLNRWREKVFALMVQLKAQELEHSDSVKQLKGQVASLQEKVTSQSQEQAILQRS
+LQDKAAEVEVERMGAKGLQLELSRAQEARRRWQQQTASAEEQLRLVVNAVSSSQIWLETT
+MAKVEGAAAQLPSLNNRLSYAVRKVHTIRGLIARKLALAQLRQESCPLPPPVTDVSLELQ
+QLREERNRLDAELQLSARLIQQEVGRAREQGEAERQQLSKVAQQLEQELQQTQESLASLG
+LQLEVARQGQQESTEEAASLRQELTQQQELYGQALQEKVAEVETRLREQLSDTERRLNEA
+RREHAKAVVSLRQIQRRAAQEKERSQELRRLQEEARKEEGQRLARRLQELERDKNLMLAT
+LQQEGLLSRYKQQRLLTVLPSLLDKKKSVVSSPRPPECSASAPVAAAVPTRESIKGSLSV
+LLDDLQDLSEAISKEEAVCQGDNLDRC
+>P53701_PF01265_12 <unknown description>
+VQASNASASPPSGCPMHEGKMKGCPVNTEPSGPTCEKKTYSVPAHQERAYEYVECPIRGT
+AAENKENLDPSNLMPPPNQTPAPDQPFALSTVREESSIPRADSEKKWVYPSEQMFWNAML
+KKGWKWKDEDISQKDMYNIIRIHNQNNEQAWKEILKWEALHAAECPCGPSLIRFGGKAKE
+YSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGEVNKDYQFTILDVRPALDS
+LSAVWDRMK
+>P32238_PF09193_1 <unknown description>
+MDVVDSLLVNGSNITPPCELGLENETLFCLDQPRPSKEWQPAVQILL
+>P32238_PF00001_58 <unknown description>
+GNTLVITVLIRNKRMRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTT
+TYFMGTSVSVSTFNLVAISLERYGAICKPLQSRVWQTKSHALKVIAATWCLSFTIMTPYP
+IYSNLVPFTKNNNQTANMCRFLLPNDVMQQSWHTFLLLILFLIPGIVMMVAYGLISLELY
+QGIKFEASQKKSAKERKPSTTSSGKYEDSDGCYLQKTRPPRKLELRQLSTGSSSRANRIR
+SNSSAANLMAKKRVIRMLIVIVVLFFLCWMPIFSANAWRAYDTASAERRLSGTPISFILL
+LSYTSSCVNPIIY
+>P06307_PF00918_3 <unknown description>
+SGVCLCVLMAVLAAGALTQPVPPADPAGSGLQRAEEAPRRQLRVSQRTDGESRAHLGALL
+ARYIQQARKAPSGRMSIVKNLQNLDPSHRISDRDYMGWMDFGRRSAEEYEYPS
+>P51671_PF00048_31 <unknown description>
+TCCFNLANRKIPLQRLESYRRITSGKCPQKAVIFKTKLAKDICADPKKKWVQDSMKYL
+>Q99616_PF00048_32 <unknown description>
+STCCFTFSSKKISLQRLKSYVITTSRCPQKAVIFRTKLGKEICADPKEKWVQNYMKHL
+>Q16627_PF00048_34 <unknown description>
+ECCFTYTTYKIPRQRIMDYYETNSQCSKPGIVFITKRGHSVCTNPSDKWVQDYIKDM
+>Q16663_PF00048_52 <unknown description>
+DCCTSYISQSIPCSLMKSYFETSSECSKPGVIFLTKKGRQVCAKPSGPGVQDCMKKL
+>O15467_PF00048_35 <unknown description>
+STCCLKYYEKVLPRRLVVGYRKALNCHLPAIIFVTKRNREVCTNPNDDWVQEYIK
+>Q92583_PF00048_32 <unknown description>
+ECCLEYFKGAIPLRKLKTWYQTSEDCSRDAIVFVTVQGRAICSDPNNKRVKNAVKYL
+>P55774_PF00048_30 <unknown description>
+CCLVYTSWQIPQKFIVDYSETSPQCPKPGVILLTKRGRQICADPNKKWVQKYISDL
+>Q99731_PF00048_27 <unknown description>
+EDCCLSVTQKPIPGYIVRNFHYLLIKDGCRVPAVVFTTLRGRQLCAPPDQPWVERIIQRL
+>P22362_PF00048_31 <unknown description>
+SRCCFSFAEQEIPLRAILCYRNTSSICSNEGLIFKLKRGKEACALDTVGWVQRHRKM
+>P78556_PF00048_31 <unknown description>
+DCCLGYTDRILHPKFIVGFTRQLANEGCDINAIIFHTKKKLSVCANPKQTWVKYIVR
+>O00585_PF00048_30 <unknown description>
+DCCLKYSQRKIPAKVVRSYRKQEPSLGCSIPAILFLPRKRSQAELCADPKELWVQQLMQH
+L
+>O00626_PF00048_35 <unknown description>
+VCCRDYVRYRLPLRVVKHFYWTSDSCPRPGVVLLTFRDKEICADPRVPWVKMILNKL
+>P55773_PF00048_70 <unknown description>
+DCCISYTPRSIPCSLLESYFETNSECSKPGVIFLTKKGRRFCANPSDKQVQVCMRML
+>O00175_PF00048_32 <unknown description>
+PCCMFFVSKRIPENRVVSYQLSSRSTCLKAGVIFTTKKGQQFCGDPKQEWVQRYMKNL
+>O15444_PF00048_28 <unknown description>
+EDCCLAYHYPIGWAVLRRAWTYRIQEVSGSCNLPAAIFYLPKRHRKVCGNPKSREVQRAM
+KLL
+>Q9Y258_PF00048_32 <unknown description>
+TCCFQYSHKPLPWTWVRSYEFTSNSCSQRAVIFTTKRGKKVCTHPRKKWVQKYIS
+>Q9Y4X3_PF00048_32 <unknown description>
+ACCTQLYRKPLSDKLLRKVIQVELQEADGDCHLQAFVLHLAQRSICIHPQNPSLSQWFE
+>Q9NRJ3_PF00048_29 <unknown description>
+SCCTEVSHHISRRLLERVNMCRIQRADGDCDLAAVILHVKRRRICVSPHNHTVKQWMK
+>P13500_PF00048_33 <unknown description>
+TCCYNFTNRKISVQRLASYRRITSSKCPKEAVIFKTIVAKEICADPKQKWVQDSMDHL
+>P10147_PF00048_32 <unknown description>
+ACCFSYTSRQIPQNFIADYFETSSQCSKPGVIFLTKRSRQVCADPSEEWVQKYVSDL
+>P13236_PF00048_33 <unknown description>
+ACCFSYTARKLPRNFVVDYYETSSLCSQPAVVFQTKRSKQVCADPSESWVQEYV
+>P80098_PF00048_33 <unknown description>
+TCCYRFINKKIPKQRLESYRRTTSSHCPREAVIFKTKLDKEICADPTQKWVQDFMKHL
+>P80075_PF00048_33 <unknown description>
+TCCFNVINRKIPIQRLESYTRITNIQCPKEAVIFKTKRGKEVCADPKERWVRDSMKHL
+>Q9NUG4_PF16545_403 <unknown description>
+SGSDHSSLGLEQLQDYMVTLRSKLGPLEIQQFAMLLREYRLGLPIQDYCTGLLKLYGDRR
+KFLLLGMRPFIPDQDIGYFEGFLEGVGIREGGILTDSFGR
+>Q9BSQ5_PF16545_287 <unknown description>
+SESELSASATELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCINLRQLYGDSR
+KFLLLGLRPFIPEKDSQHFENFLETIGVKDGRGIITDSFGR
+>O00622_PF00219_26 <unknown description>
+CPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQLNEDCSKTQPCDHTKGLEC
+>O00622_PF00093_100 <unknown description>
+CEYNSRIYQNGESFQPNCKHQCTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVTGQCCE
+EWVC
+>O00622_PF19035_229 <unknown description>
+CIVQTTSWSQCSKTCGTGISTRVTNDNPECRLVKETRICEVRPC
+>O00622_PF00007_284 <unknown description>
+KKCSKTKKSPEPVRFTYAGCLSVKKYRPKYCGSCVDGRCCTPQLTRTVKMRFRCEDGETF
+SKNVMMIQSCKCNYNCPHANEAA
+>P29279_PF00219_29 <unknown description>
+CSGPCRCPDEPAPRCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFC
+>P29279_PF00093_103 <unknown description>
+CIFGGTVYRSGESFQSSCKYQCTCLDGAVGCMPLCSMDVRLPSPDCPFPRRVKLPGKCCE
+EWVC
+>P29279_PF19035_199 <unknown description>
+CLVQTTEWSACSKTCGMGISTRVTNDNASCRLEKQSRLCMVRPC
+>P29279_PF00007_254 <unknown description>
+KKCIRTPKISKPIKFELSGCTSMKTYRAKFCGVCTDGRCCTPHRTTTLPVEFKCPDGEVM
+KKNMMFIKTCACHYNCPGDNDIFESLYYRKM
+>P48745_PF00219_35 <unknown description>
+CPPQCPGRCPATPPTCAPGVRAVLDGCSCCLVCARQRGESCSDLEPCDESSGLYC
+>P48745_PF00093_110 <unknown description>
+CVFDGVIYRSGEKFQPSCKFQCTCRDGQIGCVPRCQLDVLLPEPNCPAPRKVEVPGECCE
+KWIC
+>P48745_PF19035_206 <unknown description>
+CIEQTTEWTACSKSCGMGFSTRVTNRNRQCEMLKQTRLCMVRPC
+>P48745_PF00007_262 <unknown description>
+KKCLRTKKSLKAIHLQFKNCTSLHTYKPRFCGVCSDGRCCTPHNTKTIQAEFQCSPGQIV
+KKPVMVIGTCTCHTNCPKNNEAFLQE
+>O95388_PF00219_49 <unknown description>
+CKWPCECPPSPPRCPLGVSLITDGCECCKMCAQQLGDNCTEAAICDPHRGLYC
+>O95388_PF00093_123 <unknown description>
+CVLDGVRYNNGQSFQPNCKYNCTCIDGAVGCTPLCLRVRPPRLWCPHPRRVSIPGHCCEQ
+WVC
+>O95388_PF19035_216 <unknown description>
+CIAYTSPWSPCSTSCGLGVSTRISNVNAQCWPEQESRLCNLRPC
+>O95388_PF00007_280 <unknown description>
+EASMNFTLAGCISTRSYQPKYCGVCMDNRCCIPYKSKTIDVSFQCPDGLGFSRQVLWINA
+CFCNLSCRNPNDIFADLESYPD
+>O76076_PF00219_26 <unknown description>
+CPTPCTCPWPPPRCPLGVPLVLDGCGCCRVCARRLGEPCDQLHVCDASQGLVC
+>O76076_PF00093_100 <unknown description>
+CEVNGRLYREGETFQPHCSIRCRCEDGGFTCVPLCSEDVRLPSWDCPHPRRVEVLGKCCP
+EWVC
+>O76076_PF19035_194 <unknown description>
+CPEWSTAWGPCSTTCGLGMATRVSNQNRFCRLETQRRLCLSRPC
+>O95389_PF00219_48 <unknown description>
+CHWPCKCPQQKPRCPPGVSLVRDGCGCCKICAKQPGEICNEADLCDPHKGLYC
+>O95389_PF19035_209 <unknown description>
+CLVQATKWTPCSRTCGMGISNRVTNENSNCEMRKEKRLCYIQPC
+>O95389_PF00007_266 <unknown description>
+KTCQPTFQLSKAEKFVFSGCSSTQSYKPTFCGICLDKRCCIPNKSKMITIQFDCPNEGSF
+KWKMLWITSCVCQRNCREPGDIF
+>P78396_PF16500_80 <unknown description>
+QRTVLGLLTANGQYRRTCGQGITRIRCYSGSENAFPPAGKKALPDCGVQEPPKQGFDIYM
+DELEQGDRDSCSVREGMAFEDVYEVDTGTLKSDLHFLLDFNTVSPMLVDSS
+>P78396_PF00134_214 <unknown description>
+EIYQYLREAEIRHRPKAHYMKKQPDITEGMRTILVDWLVEVGEEYKLRAETLYLAVNFLD
+RFLSCMSVLRGKLQLVGTAAMLLASKYEEIYPPEVDEFVYITDDTYTKRQLLKMEHLLLK
+VLAFDL
+>P78396_PF02984_342 <unknown description>
+PTTNQFLLQYLRRQGVCVRTENLAKYVAELSLLEADPFLKYLPSLIAAAAFCLANYTVNK
+HFWPETLAAFTGYSLSEIVPCLSELHKAYLDIPHRPQQAIREKYKASKYLCVSLMEP
+>P20248_PF16500_46 <unknown description>
+TRAALAVLKSGNPRGLAQQQRPKTRRVAPLKDLPVNDEHVTVPPWKANSKQPAFTIHVDE
+AEKEAQKKPAESQKIEREDALAFNSAISLPGPRKPLVPLDYPMDGSFESPHTMDMS
+>P20248_PF00134_181 <unknown description>
+DIHTYLREMEVKCKPKVGYMKKQPDITNSMRAILVDWLVEVGEEYKLQNETLHLAVNYID
+RFLSSMSVLRGKLQLVGTAAMLLASKFEEIYPPEVAEFVYITDDTYTKKQVLRMEHLVLK
+VLTFDL
+>P20248_PF02984_309 <unknown description>
+PTVNQFLTQYFLHQQPANCKVESLAMFLGELSLIDADPYLKYLPSVIAGAAFHLALYTVT
+GQSWPESLIRKTGYTLESLKPCLMDLHQTYLKAPQHAQQSIREKYKNSKYHGVSLLNP
+>P14635_PF00134_173 <unknown description>
+DIYAYLRQLEEEQAVRPKYLLGREVTGNMRAILIDWLVQVQMKFRLLQETMYMTVSIIDR
+FMQNNCVPKKMLQLVGVTAMFIASKYEEMYPPEIGDFAFVTDNTYTKHQIRQMEMKILRA
+LNFGL
+>P14635_PF02984_300 <unknown description>
+PLPLHFLRRASKIGEVDVEQHTLAKYLMELTMLDYDMVHFPPSQIAAGAFCLALKILDNG
+EWTPTLQHYLSYTEESLLPVMQHLAKNVVMVNQGLTKHMTVKNKYATSKHAKISTLPQ
+>O95067_PF00134_137 <unknown description>
+DIYQYLRQLEVLQSINPHFLDGRDINGRMRAILVDWLVQVHSKFRLLQETLYMCVGIMDR
+FLQVQPVSRKKLQLVGITALLLASKYEEMFSPNIEDFVYITDNAYTSSQIREMETLILKE
+LKFEL
+>O95067_PF02984_264 <unknown description>
+PLPLHFLRRASKAGEVDVEQHTLAKYLMELTLIDYDMVHYHPSKVAAAASCLSQKVLGQG
+KWNLKQQYYTGYTENEVLEVMQHMAKNVVKVNENLTKFIAIKNKYASSKLLKISMIPQ
+>Q8WWL7_PF00134_1132 <unknown description>
+EIFSYMKEREEQFILTDYMNRQIEITSDMRAILVDWLVEVQVSFEMTHETLYLAVKLVDL
+YLMKAVCKKDKLQLLGATAFMIAAKFEEHNSPRVDDFVYICDDNYQRSEVLSMEINILNV
+LKCDIN
+>Q8WWL7_PF02984_1259 <unknown description>
+PIAYHFLRRYARCIHTNMKTLTLSRYICEMTLQEYHYVQEKASKLAAASLLLALYMKKLG
+YWVPFLEHYSGYSISELHPLVRQLNKLLTFSSYDSLKAVYYKYSHPVFFEVAKIP
+>P24863_PF00134_37 <unknown description>
+YWKLQIFFTNVIQALGEHLKLRQQVIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASK
+VEEFGVVSNTRLIAAATSVLKTRFSYAFPKEFPYRMNHILECEFYLLELMDC
+>P24385_PF00134_28 <unknown description>
+LRAMLKAEETCAPSVSYFKCVQKEVLPSMRKIVATWMLEVCEEQKCEEEVFPLAMNYLDR
+FLSLEPVKKSRLQLLGATCMFVASKMKETIPLTAEKLCIYTDNSIRPEELLQMELLLVNK
+LKWNL
+>P24385_PF02984_155 <unknown description>
+MTPHDFIEHFLSKMPEAEENKQIIRKHAQTFVALCATDVKFISNPPSMVAAGSVVAAVQG
+LNLRSPNNFLSYYRLTRFLSRVIKCDPDCLRACQEQIEALLESSLRQAQQNMDPKAAEE
+>P30279_PF00134_26 <unknown description>
+VLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVATWMLEVCEEQKCEEEVFPLAMNYLD
+RFLAGVPTPKSHLQLLGAVCMFLASKLKETSPLTAEKLCIYTDNSIKPQELLEWELVVLG
+KLKWNL
+>P30279_PF02984_154 <unknown description>
+VTPHDFIEHILRKLPQQREKLSLIRKHAQTFIALCATDFKFAMYPPSMIATGSVGAAICG
+LQQDEEVSSLTCDALTELLAKITNTDVDCLKACQEQIEAVLLNSLQQ
+>P30281_PF00134_27 <unknown description>
+VLQSLLRLEERYVPRASYFQCVQREIKPHMRKMLAYWMLEVCEEQRCEEEVFPLAMNYLD
+RYLSCVPTRKAQLQLLGAVCMLLASKLRETTPLTIEKLCIYTDHAVSPRQLRDWEVLVLG
+KLKWDL
+>P30281_PF02984_157 <unknown description>
+AHDFLAFILHRLSLPRDRQALVKKHAQTFLALCATDYTFAMYPPSMIATGSIGAAVQGLG
+ACSMSGDELTELLAGITGTEVDCLRACQEQIEAALRESLRE
+>P24864_PF00134_115 <unknown description>
+EVWKIMLNKEKTYLRDQHFLEQHPLLQPKMRAILLDWLMEVCEVYKLHRETFYLAQDFFD
+RYMATQENVVKTLLQLIGISSLFIAAKLEEIYPPKLHQFAYVTDGACSGDEILTMELMIM
+KALKWRLS
+>P24864_PF02984_245 <unknown description>
+TIVSWLNVYMQVAYLNDLHEVLLPQYPQQIFIQIAELLDLCVLDVDCLEFPYGILAASAL
+YHFSSSELMQKVSGYQWCDIENCVKWMVPFAMVIRETGSSKLKHFRGVADEDAHNIQTHR
+D
+>O96020_PF00134_112 <unknown description>
+EVWLNMLKKESRYVHDKHFEVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFD
+RFMLTQKDINKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEEDILRMELIIL
+KALKWELC
+>O96020_PF02984_241 <unknown description>
+VTIISWLNLFLQVDALKDAPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILTAAA
+LCHFTSIEVVKKASGLEWDSISECVDWMVPFVNVVKSTSPVKLKTFKKIPMEDRHNIQTH
+TN
+>P41002_PF00646_32 <unknown description>
+ILSLPEDVLFHILKWLSVEDILAVRAVHSQLKDLVDN
+>P41002_PF00134_289 <unknown description>
+ASQAVSKQQVFSVQKGLNDTMRYILIDWLVEVATMKDFTSLCLHLTVECVDRYLRRRLVP
+RYRLQLLGIACMVICTRFISKEILTIREAVWLTDNTYKYEDLVRMMGEIVSALEGKI
+>P41002_PF02984_409 <unknown description>
+TVVDYKEVLLTLVPVELRTQHLCSFLCELSLLHTSLSAYAPARLAAAALLLARLTHGQTQ
+PWTTQLWDLTGFSYEDLIPCVLSLHKKCFHDDAPKDYRQVSLTAVKQRFEDKRYGEISQ
+>P51959_PF00134_20 <unknown description>
+LLEQESRCQPKVCGLRLIESAHDNGLRMTARLRDFEVKDLLSLTQFFGFDTETFSLAVNL
+LDRFLSKMKVQPKHLGCVGLSCFYLAVKSIEEERNVPLATDLIRISQYRFTVSDLMRMEK
+IVLEKVCWKV
+>Q16589_PF00134_22 <unknown description>
+NVYLEQEERFQPREKGLSLIEATPENDNTLCPGLRNAKVEDLRSLANFFGSCTETFVLAV
+NILDRFLALMKVKPKHLSCIGVCSFLLAARIVEEDCNIPSTHDVIRISQCKCTASDIKRM
+EKIISEKLHYEL
+>P51946_PF00134_64 <unknown description>
+LLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNVSSP
+QFVGNLRESPLGQEKALEQILEYELLLIQQLNFHL
+>P51946_PF16899_162 <unknown description>
+NPYRPFEGFLIDLKTRYPILENPEILRKTADDFLNRIALTDAYLLYTPSQIALTAILSSA
+SRAGITMESYLSESLMLKENRTCLSQLLDIMKSMRNLVK
+>Q6ZMN8_PF00134_153 <unknown description>
+VVLWLLRLQNTFYFSQSTFNLALTIFGRLLISVKVKEKYLHCATITSLRLAAKVNEEEEF
+IPQVKDFTKHYGSDYSPNELLRMELAILDRLHWDLY
+>Q14094_PF00134_27 <unknown description>
+WKVNVRKMPSNQNVSPSQRDEVIQWLAKLKYQFNLYPETFALASSLLDRFLATVKAHPKY
+LSCIAISCFFLAAKTVEEDERIPVLKVLARDSFCGCSSSEILRMERIILDKLNWDL
+>Q5T5M9_PF00134_25 <unknown description>
+LKLPSYKGQSPQLSLRRYFADLIAIVSNRFTLCPSARHLAVYLLDLFMDRYDISIQQLHL
+VALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNLVLTKQNLLHMELLLLETFQWNLC
+>Q5T5M9_PF02984_145 <unknown description>
+PTAAHFIEYYLSEAVHETDLHDGWPMICLEKTKLYMAKYADYFLEVSLQDYAFLNYAPSL
+VAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQCIERLLIAHDNDVKEANK
+>O75909_PF00134_42 <unknown description>
+DPATEARYRREGARFIFDVGTRLGLHYDTLATGIIYFHRFYMFHSFKQFPRYVTGACCLF
+LAGKVEETPKKCKDIIKTARSLLNDVQFGQFGDDPKEEVMVLERILLQTIKFDL
+>O75909_PF02984_160 <unknown description>
+PYQFLLKYAKQLKGDKNKIQKLVQMAWTFVNDSLCTTLSLQWEPEIIAVAVMYLAGRLCK
+FEIQEWTSKPMYRRWWEQFVQDVPVDVLEDICHQILDLY
+>Q9UK58_PF00134_81 <unknown description>
+DLRILGCELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIE
+EAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNYINTKNQVIKAERRVLKELGFCV
+>Q9UK58_PF02984_208 <unknown description>
+YLQVLECERNQTLVQTAWNYMNDSLRTNVFVRFQPETIACACIYLAARALQIPLPTRPHW
+FLLFGTTEEEIQEICIETLRLYTR
+>Q96S94_PF00134_73 <unknown description>
+TETDLRVVGCELIQAAGILLRLPQVAMATGQVLFQRFFYTKSFVKHSMEHVSMACVHLAS
+KIEEAPRRIRDVINVFHRLRQLRDKKKPVPLLLDQDYVNLKNQIIKAERRVLKELGFCV
+>Q96S94_PF02984_203 <unknown description>
+YLQVLECERNQHLVQTSWNYMNDSLRTDVFVRFQPESIACACIYLAARTLEIPLPNRPHW
+FLLFGATEEEIQEICLKILQLYARKK
+>P22674_PF00134_108 <unknown description>
+FRKAQESHFHPREALARQPQVTAESRCKLLSWLIPVHRQFGLSFESLCLTVNTLDRFLTT
+TPVAADCFQLLGVTSLLIACKQVEVHPPRVKQLLALCCGAFSRQQLCNLECIVLHKLHFT
+L
+>P22674_PF02984_231 <unknown description>
+PTISFFLEHFTHARVEAGQAEASEALEAQALARGVAELSLADYAFTSYSPSLLAICCLAL
+ADRMLRVSRPVDLRLGDHPEAALEDCMGKLQLLV
+>Q9H8S5_PF00134_103 <unknown description>
+VTPEMRALVVDWLVQVHEYLGLAGDTLYLAVHLLDSYLSAGRVRLHRLQLLGVACLFVAC
+KMEECVLPEPAFLCLLSADSFSRAELLRAERRILSRLDFRL
+>Q8N1B3_PF00134_29 <unknown description>
+RVARFIMEAGVKLGMRSIPIATACTIYHKFFCETNLDAYDPYLIAMSSIYLAGKVEEQHL
+RTRDIINVSNRYFNPSGEPLELDSRFWELRDSIVQCELLMLRVLRFQV
+>O60563_PF00134_13 <unknown description>
+YFTREQLENSPSRRFGVDPDKELSYRQQAANLLQDMGQRLNVSQLTINTAIVYMHRFYMI
+QSFTQFPGNSVAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPDTRSEAYLQQVQD
+LVILESIILQTLGFEL
+>O60583_PF00134_12 <unknown description>
+FFTREQLENTPSRRCGVEADKELSCRQQAANLIQEMGQRLNVSQLTINTAIVYMHRFYMH
+HSFTKFNKNIISSTALFLAAKVEEQARKLEHVIKVAHACLHPLEPLLDTKCDAYLQQTQE
+LVILETIMLQTLGFEI
+>Q8ND76_PF00134_159 <unknown description>
+PDYDKHNPEQKQIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPANWKRIVLG
+AILLASKVWDDQAVWNVDYCQILKDITVEDMNELERQFLELLQFNIN
+>P46092_PF00001_58 <unknown description>
+GNGLVLATHLAARRAARSPTSAHLLQLALADLLLALTLPFAAAGALQGWSLGSATCRTIS
+GLYSASFHAGFLFLACISADRYVAIARALPAGPRPSTPGRAHLVSVIVWLLSLLLALPAL
+LFSQDGQREGQRRCRLIFPEGLTQTVKGASAVAQVALGFALPLGVMVACYALLGRTLLAA
+RGPERRRALRVVVALVAAFVVLQLPYSLALLLDTADLLAARERSCPASKRKDVALLVTSG
+LALARCGLNPVLY
+>P32246_PF00001_51 <unknown description>
+GNILVVLVLVQYKRLKNMTSIYLLNLAISDLLFLFTLPFWIDYKLKDDWVFGDAMCKILS
+GFYYTGLYSEIFFIILLTIDRYLAIVHAVFALRARTVTFGVITSIIIWALAILASMPGLY
+FSKTQWEFTHHTCSLHFPHESLREWKLFQALKLNLFGLVLPLLVMIICYTGIIKILLRRP
+NEKKSKAVRLIFVIMIIFFLFWTPYNLTILISVFQDFLFTHECEQSRHLDLAVQVTEVIA
+YTHCCVNPVIY
+>P41597_PF00001_59 <unknown description>
+GNMLVVLILINCKKLKCLTDIYLLNLAISDLLFLITLPLWAHSAANEWVFGNAMCKLFTG
+LYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVVTSVITWLVAVFASVPGIIF
+TKCQKEDSVYVCGPYFPRGWNNFHTIMRNILGLVLPLLIMVICYSGILKTLLRCRNEKKR
+HRAVRVIFTIMIVYFLFWTPYNIVILLNTFQEFFGLSNCESTSQLDQATQVTETLGMTHC
+CINPIIY
+>P51677_PF00001_51 <unknown description>
+GNVVVVMILIKYRRLRIMTNIYLLNLAISDLLFLVTLPFWIHYVRGHNWVFGHGMCKLLS
+GFYHTGLYSEIFFIILLTIDRYLAIVHAVFALRARTVTFGVITSIVTWGLAVLAALPEFI
+FYETEELFEETLCSALYPEDTVYSWRHFHTLRMTIFCLVLPLLVMAICYTGIIKTLLRCP
+SKKKYKAIRLIFVIMAVFFIFWTPYNVAILLSSYQSILFGNDCERSKHLDLVMLVTEVIA
+YSHCCMNPVIY
+>P51679_PF00001_56 <unknown description>
+GNSVVVLVLFKYKRLRSMTDVYLLNLAISDLLFVFSLPFWGYYAADQWVFGLGLCKMISW
+MYLVGFYSGIFFVMLMSIDRYLAIVHAVFSLRARTLTYGVITSLATWSVAVFASLPGFLF
+STCYTERNHTYCKTKYSLNSTTWKVLSSLEINILGLVIPLGIMLFCYSMIIRTLQHCKNE
+KKNKAVKMIFAVVVLFLGFWTPYNIVLFLETLVELEVLQDCTFERYLDYAIQATETLAFV
+HCCLNPIIY
+>P51681_PF00001_47 <unknown description>
+GNMLVILILINCKRLKSMTDIYLLNLAISDLFFLLTVPFWAHYAAAQWDFGNTMCQLLTG
+LYFIGFFSGIFFIILLTIDRYLAVVHAVFALKARTVTFGVVTSVITWVVAVFASLPGIIF
+TRSQKEGLHYTCSSHFPYSQYQFWKNFQTLKIVILGLVLPLLVMVICYSGILKTLLRCRN
+EKKRHRAVRLIFTIMIVYFLFWAPYNIVLLLNTFQEFFGLNNCSSSNRLDQAMQVTETLG
+MTHCCINPIIY
+>P51684_PF00001_63 <unknown description>
+GNILVVITFAFYKKARSMTDVYLLNMAIADILFVLTLPFWAVSHATGAWVFSNATCKLLK
+GIYAINFNCGMLLLTCISMDRYIAIVQATKSFRLRSRTLPRSKIICLVVWGLSVIISSST
+FVFNQKYNTQGSDVCEPKYQTVSEPIRWKLLMLGLELLFGFFIPLMFMIFCYTFIVKTLV
+QAQNSKRHKAIRVIIAVVLVFLACQIPHNMVLLVTAANLGKMNRSCQSEKLIGYTKTVTE
+VLAFLHCCLNPVLY
+>P32248_PF00001_75 <unknown description>
+GNGLVVLTYIYFKRLKTMTDTYLLNLAVADILFLLTLPFWAYSAAKSWVFGVHFCKLIFA
+IYKMSFFSGMLLLLCISIDRYVAIVQAVSAHRHRARVLLISKLSCVGIWILATVLSIPEL
+LYSDLQRSSSEQAMRCSLITEHVEAFITIQVAQMVIGFLVPLLAMSFCYLVIIRTLLQAR
+NFERNKAIKVIIAVVVVFIVFQLPYNGVVLAQTVANFNITSSTCELSKQLNIAYDVTYSL
+ACVRCCVNPFLY
+>P51685_PF00001_52 <unknown description>
+GNSLVILVLVVCKKLRSITDVYLLNLALSDLLFVFSFPFQTYYLLDQWVFGTVMCKVVSG
+FYYIGFYSSMFFITLMSVDRYLAVVHAVYALKVRTIRMGTTLCLAVWLTAIMATIPLLVF
+YQVASEDGVLQCYSFYNQQTLKWKIFTNFKMNILGLLIPFTIFMFCYIKILHQLKRCQNH
+NKTKAIRLVLIVVIASLLFWVPFNVVLFLTSLHSMHILDGCSISQQLTYATHVTEIISFT
+HCCVNPVIY
+>P51686_PF00001_65 <unknown description>
+GNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLVTLPFWAIAAADQWKFQTFMCKVVNS
+MYKMNFYSCVLLIMCISVDRYIAIAQAMRAHTWREKRLLYSKMVCFTIWVLAAALCIPEI
+LYSQIKEESGIAICTMVYPSDESTKLKSAVLTLKVILGFFLPFVVMACCYTIIIHTLIQA
+KKSSKHKALKVTITVLTVFVLSQFPYNCILLVQTIDAYAMFISNCAVSTNIDICFQVTQT
+IAFFHSCLNPVLY
+>O00421_PF00001_56 <unknown description>
+NLLVVLILVKYKGLKRVENIYLLNLAVSNLCFLLTLPFWAHAGGDPMCKILIGLYFVGLY
+SETFFNCLLTVQRYLVFLHKGNFFSARRRVPCGIITSVLAWVTAILATLPEFVVYKPQME
+DQKYKCAFSRTPFLPADETFWKHFLTLKMNISVLVLPLFIFTFLYVQMRKTLRFREQRYS
+LFKLVFAIMVVFLLMWAPYNIAFFLSTFKEHFSLSDCKSSYNLDKSVHITKLIATTHCCI
+NPLLY
+>Q6IQ19_PF15748_7 <unknown description>
+VKSEYMKRYQEPRWEEYGPCYRELLHYRLGRRLLEQAHAPWLWDDWGPAGSSEDSASSES
+SGAGGPAPRCAPPSPPPPVEPATQEEAERRARGAPEEQDAEAGDAEAEDAEDAALPALPV
+KDVEDKPEQQTRTRETDKSPTSTEPRQQPSALFARGNRKAVKSPQRSSSKIKENKHPFAL
+YGWGEKQTDTGSQKTHNVCASAPVHEIHESALRAKNRRQVEKRKLVAQRQRAHSVDVEKN
+RKMKASSSENPWMTEYMRCYSAR
+>O14618_PF00403_16 <unknown description>
+FAVQMTCQSCVDAVRKSLQGVAGVQDVEVHLEDQMVLVHTTLPSQEVQALLEGTGR
+>O14618_PF00080_99 <unknown description>
+VQGVVRFLQLTPERCLIEGTIDGLEPGLHGLHVHQYGDLTNNCNSCGNHFNPDGASHGGP
+QDSDRHRGDLGNVRADADGRAIFRMEDEQLKVWDVIGRSLIIDEGEDDLGRGGHPLSKIT
+GNSGERLACGII
+>Q8N7R7_PF00134_179 <unknown description>
+EEYFKHDPEHKFIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPTNWKRIVLG
+AILLASKVWDDQAVWNVDYCQILKDITVEDMNEMERHFLELLQFNIN
+>P86790_PF19031_25 <unknown description>
+LSFFIYNPRFGPREGQEENKILFYHPNEVEKNEKIRNVGLCEAIVQFTRTFSPSKPAKSL
+HTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVIEYQEEELLDKVYSSVLRQCYSM
+YKLFNG
+>P86790_PF19032_220 <unknown description>
+VKYTAFLYNDQLIWSGLEQDDMRILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHY
+GRFLTGPLNLNDPDAKCRFPKIFVNTDDTYEELHLIVYKAMSAAVCFMIDASVHPTLDFC
+RRLDSIV
+>P86790_PF19033_372 <unknown description>
+KEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTRVDEDEEIIV
+KAMSDYWVVGKKSDRRELYVILNQKNANLIEVNEEVKKL
+>P86791_PF19031_25 <unknown description>
+LSFFIYNPRFGPREGQEENKILFYHPNEVEKNEKIRNVGLCEAIVQFTRTFSPSKPAKSL
+HTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVIEYQEEELLDKVYSSVLRQCYSM
+YKLFNG
+>P86791_PF19032_220 <unknown description>
+VKYTAFLYNDQLIWSGLEQDDMRILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHY
+GRFLTGPLNLNDPDAKCRFPKIFVNTDDTYEELHLIVYKAMSAAVCFMIDASVHPTLDFC
+RRLDSIV
+>P86791_PF19033_372 <unknown description>
+KEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTRVDEDEEIIV
+KAMSDYWVVGKKSDRRELYVILNQKNANLIEVNEEVKKL
+>Q8WVX3_PF17696_1 <unknown description>
+MEVDAPGVDGRDGLRERRGFSEGGRQNFDVRPQSGANGLPKHSYWLDLWLFILFDVVVFL
+FVYFLP
+>Q53FE4_PF15256_27 <unknown description>
+FLVRHTPHPRRVCHIKGLNNIPICTVNDDENAFGTLWGVGQSNYLEKNRIPFANCSYPSS
+TAVQESPVRGMSPAPNGAKVPPRPHSEPSRKIKECFKTSSENPLVIKKEEIKAKRPPSPP
+KACSTPGSCSSGMTSTKNDVKANTICIPNYLDQEIKILAKLCSILHTDSLAEVLQWLLHA
+TSKEKEWVSALIHSELA
+>Q8IY42_PF15770_9 <unknown description>
+IQSYLFDPVQVPSPGYVNEVNSCKLDEDDTDKLKGKWSSEVLVQKNDPQRQGSKKTESSS
+RTADPWEPCWPHQGPLPQGDAGGEHHACGVNGIGPAATPQPTGNSSPTQDDRGSWASTAN
+TVPPTQPFLEGGGTRKQDCVLLASEGTQVMRNGDSRAPSEAESFALEVQDHVFQIPAPDY
+LQHWGPAGDNVDHNEKDCVFKNHTEDESLEGIQPPVGEHGLNTPFSVRRSWDSLNEDVET
+EVLSICFNEKGPVHAMPVVDSGNRQEDTHGSDGDGDGEIVDEDAAVAEALAALEAATAGE
+DLDETD
+>Q96KX1_PF15022_1 <unknown description>
+MAYGVPRKNTVKTILRGSCYNVQEPWDIALLAKTWSTNLANIKLPFLEEISFGGSVQLTK
+CTTIKDGLLPSAESIKLEREYEVKRLCKLKCQENTSKEIQLLLRERPAGLRRPLPSK
+>Q96LM5_PF15123_19 <unknown description>
+IFTGPDYIKDYLPKIHQHTSYVGEQHLALEKTGDLRYLWRPASNRSLPAKYKHEYVSEIG
+WRIPQYNFINKSRLGSGFHIKYEELSQASLDSITHRYQNPWQPKPHVLDMQGKQS
+>Q504U0_PF15725_5 <unknown description>
+EELQVSSPPPPPPSSPSSSDASAASSPGGPVSLGWPVPSRSSGPTVDQLEEVELQIGDAA
+FSLTKLLEATSAVSAQVEELAFK
+>A7E2U8_PF15239_8 <unknown description>
+TDMERIGLFSEMEYITVGDKYVSQFNRPFNEAASKNKQMLPGGSKEMSDLQAGYFDPHFV
+RIFEGEGYINLNQVRRRDMVEAAKKNLGKAFLPSNGEKKPCGLGSYYGTIGGPVPFFSAQ
+SKPREKYKAPGKNLYTNPGKKGTGYGYANITIGKQFSHSADFYDAAKLKYKKANEEHHRL
+LKGAPFKLNLHPRDYFDANPYFSEESLPPIKKEEKKKTISNTFKPSSPGKKPGGMKAGTF
+DPYPSHSADPYVAKLANISGKDDKIFHPPSGPKSRPVESIMTLNVR
+>Q5BLP8_PF15161_35 <unknown description>
+EPAGSAVPAQSRPCVDCHAFEFMQRALQDLRKTACSLDARTETLLLQAERRALCACWPA
+>C9J302_PF15849_1 <unknown description>
+MSHYFYLTPQILLPFSPLTSQEFDLIRRKAGASWQDETRWSDSSVTTYTGSYRKKQLDKS
+MCSQFSFRAGQHEPECKQMSLTNSSACHLLCWAGTQETTDIKGLFPDITRPFKKSFDVKH
+GVAHQIWDFGDCFPTPPNYGKYCVRPKKPAQEALINYSRRGKGVLKHL
+>D6RIA3_PF15232_1605 <unknown description>
+PQQTQRKMLLDVTTGQYYLVDTPVQPMTRRLFDPETGQYVDVPMTSQQQAVAPMSISVPP
+LALSPGAYG
+>Q6YHK3_PF01835_131 <unknown description>
+VFIQTDKALYKPKQEVKFRIVTLFSDFKPYKTSLNILIKDPKSNLIQQWLSQQSDLGVIS
+KTFQLSSHPILGDWSIQVQVNDQTYYQSFQV
+>Q6YHK3_PF17791_223 <unknown description>
+EYVLPKFEVTLQTPLYCSMNSKHLNGTITAKYTYGKPVKGDVTLTFLPLSFWGKKKNITK
+TFKINGSANFSFNDEEM
+>Q6YHK3_PF07703_468 <unknown description>
+IQLKTRDENIKVGSPFELVVSGNKRLKELSYMVVSRGQLVAVGKQNSTMFSLTPENSWTP
+KACVIVYYIEDDGEIISDVLKIPVQLVFKNKIKLYWSKVKAEPSEKVSLRISVTQPDSIV
+GIVAVDKSVNLM
+>Q6YHK3_PF00207_693 <unknown description>
+TWIWLDTNMGYRIYQEFEVTVPDSITSWVATGFVISEDLGLGLTTTPVELQAFQPFFIFL
+NLPYSVIRGEEFALEITIFNYLKDATEVKVI
+>Q6YHK3_PF07678_897 <unknown description>
+ITAIGDVLGPSINGLASLIRMPYGCGEQNMINFAPNIYILDYLTKKKQLTDNLKEKALSF
+MRQGYQRELLYQREDGSFSAFGNYDPSGSTWLSAFVLRCFLEADPYIDIDQNVLHRTYTW
+LKGHQKSNGEFWDPGRVIHSELQGGNKSPVTLTAYIVTSLLGYRKYQPNIDVQESIHFLE
+SEFSRGISDNYTLALITYALSSVGSPKAKEALNMLTWRAEQEGGMQFWVSSESKLSDSWQ
+PRSLDIEVAAYALLSHFLQFQTSEGIPIMRWLSRQRNSLGGFASTQDTTVALKALSEF
+>Q6YHK3_PF07677_1310 <unknown description>
+RSGMALMEVNLLSGFMVPSEAISLSETVKKVEYDHGKLNLYLDSVNETQFCVNIPAVRNF
+KVSNTQDASVSIVDYYEPRRQAVRSYN
+>Q9UQ88_PF00069_426 <unknown description>
+LNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILKAQHPNIVTV
+REIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLRGVKHLHDNWILH
+RDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTQWYRAPELLLGAKEYSTAVD
+MWSVGCIFGELLTQKPLFPGNSEIDQINKVFKELGTPSEKIWPGYSELPVVKKMTFSEHP
+YNNLRKRFGALLSDQGFDLMNKFLTYFPGRRISAEDGLKHEYF
+>P21127_PF00069_428 <unknown description>
+LNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILKAQHPNIVTV
+REIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLRGVKHLHDNWILH
+RDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTLWYRAPELLLGAKEYSTAVD
+MWSVGCIFGELLTQKPLFPGKSEIDQINKVFKDLGTPSEKIWPGYSELPAVKKMTFSEHP
+YNNLRKRFGALLSDQGFDLMNKFLTYFPGRRISAEDGLKHEYF
+>O75794_PF07065_14 <unknown description>
+WYPFFRGVTIKSVILPLPQNVKDYLLDDGTLVVSGRDDPPTHSQPDSDDEAEEIQWSDDE
+NTATLTAPEFPEFATKVQEAINSLGGSVFPKLNWSAPRDAYWIAMNSSLKCKTLSDIFLL
+FKSSDFITRDFTQPFIHCTDDSPDPCIEYELVLRKWCELIPGAEFRCFVKENKLIGISQR
+DYTQYYDHISKQKEEIRRCIQDFFKKHIQYKFLDEDFVFDIYRDSRGKVWLIDFNPFGEV
+TDSLLFTWEELISENNLNGDFSEVDAQEQDSPAFRCTNSEVTVQPSPYLSYRLPKDFVDL
+S
+>P48509_PF00335_16 <unknown description>
+LKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASGTYLATAYILVVAGTVVMVTG
+VLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYAYYQQLNTELKENLKDTMTKRYH
+QPGHEAVTSAVDQLQQEFHCCGSNNSQDWRDSEWIRSQEAGGRVVPDSCCKTVVALCGQR
+DHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIFTCCLYR
+>Q13740_PF08205_137 <unknown description>
+PEIVSKALFLETEQLKKLGDCISEDSYPDGNITWYRNGKVLHPLEGAVVIIFKKEMDPVT
+QLYTMTSTLEYKTTKADIQMPFTCSVTYYGPSGQ
+>Q13740_PF13927_254 <unknown description>
+LPPKNAIKEGDNITLKCLGNGNPPPEEFLFYLPGQPEGIRSSNTYTLTDVRRNATGDYKC
+S
+>Q99467_PF18831_221 <unknown description>
+STIFQSLNFGGTPNLSVIFNGLQNSTTQS
+>Q99467_PF13855_323 <unknown description>
+LKKLVLSVNHFDQLCQISAANFPSLTHLYIRGNVKKLHLGVGCLEKLGNLQTLDLSHNDI
+>Q99467_PF00560_397 <unknown description>
+HLQTLNLSHNEPLGLQSQAFKEC
+>Q99467_PF13855_437 <unknown description>
+PQSPFQNLHFLQVLNLTYCFLDTSNQHLLAGLPVLRHLNLKGNHF
+>Q99467_PF13855_497 <unknown description>
+SLEVLILSSCGLLSIDQQAFHSLGKMSHVDLSHNSLTCDSIDSLSHLK
+>P06126_PF16497_1 <unknown description>
+MLFLLLPLLAVLPGDGNADGLKEPLSFHVTWIASFYNHSWKQNLVSGWLSDLQTHTWDSN
+SSTIVFLCPWSRGNFSNEEWKELETLFRIRTIRSFEGIRRYAHELQFEYPFEIQVTGGCE
+LHSGKVSGSFLQLAYQGSDFVSFQNNSWLPYPVAGNMAKHFCKVLNQNQHENDITHNLLS
+DTCPRFILGLLDAGKA
+>P06126_PF07654_219 <unknown description>
+LQLVCHVSGFYPKPVWVMWMRGEQEQQGTQRGDILPSADGTWYLRATLEVAAGEAADLSC
+RVKHSSL
+>P29016_PF16497_1 <unknown description>
+MLLLPFQLLAVLFPGGNSEHAFQGPTSFHVIQTSSFTNSTWAQTQGSGWLDDLQIHGWDS
+DSGTAIFLKPWSKGNFSDKEVAELEEIFRVYIFGFAREVQDFAGDFQMKYPFEIQGIAGC
+ELHSGGAIVSFLRGALGGLDFLSVKNASCVPSPEGGSRAQKFCALIIQYQGIMETVRILL
+YETCPRYLLGVLNAGKAD
+>P29016_PF07654_221 <unknown description>
+QLVCHVSGFYPKPVWVMWMRGEQEQQGTQLGDILPNANWTWYLRATLDVADGEAAGLSCR
+VKHSSL
+>P29017_PF16497_1 <unknown description>
+MLFLQFLLLALLLPGGDNADASQEHVSFHVIQIFSFVNQSWARGQGSGWLDELQTHGWDS
+ESGTIIFLHNWSKGNFSNEELSDLELLFRFYLFGLTREIQDHASQDYSKYPFEVQVKAGC
+ELHSGKSPEGFFQVAFNGLDLLSFQNTTWVPSPGCGSLAQSVCHLLNHQYEGVTETVYNL
+IRSTCPRFLLGLLDAGK
+>P29017_PF07654_215 <unknown description>
+SLGSGQLLLVCHASGFYPKPVWVTWMRNEQEQLGTKHGDILPNADGTWYLQVILEVASEE
+PAGLSCRVRHSSL
+>P15813_PF16497_1 <unknown description>
+MGCLLFLLLWALLQAWGSAEVPQRLFPLRCLQISSFANSSWTRTDGLAWLGELQTHSWSN
+DSDTVRSLKPWSQGTFSDQQWETLQHIFRVYRSSFTRDVKEFAKMLRLSYPLELQVSAGC
+EVHPGNASNNFFHVAFQGKDILSFQGTSWEPTQEAPLWVNLAIQVLNQDKWTRETVQWLL
+NGTCPQFVSGLLESGKSE
+>P15813_PF07654_220 <unknown description>
+LLLVCHVSGFYPKPVWVKWMRGEQEQQGTQPGDILPNADETWYLRATLDVVAGEAAGLSC
+RVKHSSL
+>P15812_PF16497_30 <unknown description>
+AAEEQLSFRMLQTSSFANHSWAHSEGSGWLGDLQTHGWDTVLGTIRFLKPWSHGNFSKQE
+LKNLQSLFQLYFHSFIQIVQASAGQFQLEYPFEIQILAGCRMNAPQIFLNMAYQGSDFLS
+FQGISWEPSPGAGIRAQNICKVLNRYLDIKEILQSLLGHTCPRFLAGLMEAGESE
+>P15812_PF07654_227 <unknown description>
+QLVCHVSGFYPKPVWVMWMRGEQEQRGTQRGDVLPNADETWYLRATLDVAAGEAAGLSCR
+VKHSSL
+>Q9NNX6_PF00059_274 <unknown description>
+QRNWHDSITACKEVGAQLVVIKSAEEQNFLQLQSSRSNRFTWMGLSDLNQEGTWQWVDGS
+PLLPSFKQYWNRGEPNNVGEEDCAEFSGNGWNDDKCNLAKFWICKK
+>Q86Y33_PF00400_349 <unknown description>
+VGTLRHKQAVCALKWSPDGRLLSSGCSDGLLTIW
+>Q86Y33_PF00400_487 <unknown description>
+GHRGRVLHLSLSPDQTRVFSAAADGTASVWN
+>P11836_PF04103_51 <unknown description>
+TLGAVQIMNGLFHIALGGLLMIPAGIYAPICVTVWYPLWGGIMYIISGSLLAATEKNSRK
+CLVKGKMIMNSLSLFAAISGMILSIMDILNIKISHFLKMESLNFIRAHTPYINIYNCEPA
+NPSEKNSPSTQYCYSIQSLFLGILSVMLIFAFFQELVIAGIVENEW
+>Q15762_PF07686_30 <unknown description>
+AENMSLECVYPSMGILTQVEWFKIGTQQDSIAIFSPTHGMVIRKPYAERVYFLNSTMASN
+NMTLFFRNASEDDVGYYSCSLYTYPQGTWQKVIQV
+>Q15762_PF07686_142 <unknown description>
+SEPGKNVTLTCQPQMTWPVQAVRWEKIQPRQIDLLTYCNLVHGRNFTSKFPRQIVSNCSH
+GRWSVIVIPDVTVSDSGLYRCYLQASAGENETFVMRLTV
+>P20273_PF08205_143 <unknown description>
+PHIQLPPEIQESQEVTLTCLLNFSCYGYPIQLQWLLEGVPMRQAAVTSTSLTIKSVFTRS
+ELKFSPQWSHHGKIVTCQLQDADG
+>P20273_PF13927_245 <unknown description>
+EIKVTPSDAIVREGDSVTMTCEVSSSNPEYTTVSWLKDGTSLKKQNTFTLNLREVTKDQS
+GKYCCQVSN
+>P20273_PF13927_341 <unknown description>
+SPAVEGSQVEFLCMSLANPLPTNYTWYHNGKEMQGRTEEKVHIPKILPWHAGTYSCVAEN
+>P20273_PF13895_517 <unknown description>
+SEIHSGNSVSLQCDFSSSHPKEVQFFWEKNGRLLGKESQLNFDSISPEDAGSYSCWVNNS
+IGQTASKAWTLEV
+>P20273_PF13927_599 <unknown description>
+SMSPGDQVMEGKSATLTCESDANPPVSHYTWFDWNNQSLPYHSQKLRLEPVKVQHSGAYW
+CQGTN
+>Q9BZW8_PF11465_22 <unknown description>
+CQGSADHVVSISGVPLQLQPNSIQTKVDSIAWKKLLPSQNGFHHILKWENGSLPSNTSND
+RFSFIVKNLSLLIKAAQQQDSGLYCLEVTSISGKVQTATFQVFVFD
+>Q9BZW8_PF13895_146 <unknown description>
+CQVALSCLVSRDGNVSYAWYRGSKLIQTAGNLTYLDEEVDINGTHTYTCNVSNPVSWESH
+TLNL
+>Q9HCU0_PF00059_42 <unknown description>
+RTFLEAWRACRELGGDLATPRTPEEAQRVDSLVGAGPASRLLWIGLQRQARQCQLQRPLR
+GFTWTTGDQDTAFTNWAQPASGGPCPAQRCVALEASGEHRWLEGSCTLAVDGYLCQF
+>Q9HCU0_PF14670_235 <unknown description>
+CSPDNGGCEHECVEEVDGHVSCRCTEGFRLAADGRSC
+>P25063_PF14984_26 <unknown description>
+SSETTTGTSSNSSQSTSNSGLAPNPTNATTKAAGGALQSTASLFVVSLSLLHLY
+>Q5ZPR3_PF07686_35 <unknown description>
+EDPVVALVGTDATLCCSFSPEPGFSLAQLNLIWQLTDTKQLVHSFAEGQDQGSAYANRTA
+LFPDLLAQGNASLRLQRVRVADEGSFTCFV
+>Q5ZPR3_PF13927_144 <unknown description>
+KPSMTLEPNKDLRPGDTVTITCSSYQGYPEAEVFWQDGQGVPLTGNVTTSQMANEQGLFD
+VHSILRVVLGANGTYSCLVRN
+>Q5ZPR3_PF07686_253 <unknown description>
+EDPVVALVGTDATLRCSFSPEPGFSLAQLNLIWQLTDTKQLVHSFTEGRDQGSAYANRTA
+LFPDLLAQGNASLRLQRVRVADEGSFTCFV
+>Q5ZPR3_PF13927_362 <unknown description>
+KPSMTLEPNKDLRPGDTVTITCSSYRGYPEAEVFWQDGQGVPLTGNVTTSQMANEQGLFD
+VHSVLRVVLGANGTYSCLVRN
+>P26842_PF00020_65 <unknown description>
+CIPGVSFSPDHHTRPHCESCRHCNSGLLVRNCTITANAEC
+>P10747_PF15910_26 <unknown description>
+SPMLVAYDNAVNLSCKYSYNLFSREFRASLHKGLDSAVEVCVVYGNYSQQLQVYSKTGFN
+CDGKLGNESVTFYLQNLYVNQTDIYFCKIEVMYPPPYLDNEKS
+>Q9Y5K6_PF14604_6 <unknown description>
+VEYDYDAVHDDELTIRVGEIIRNVKKLQEEGWLEGELNGRRGMFPDNFVK
+>Q9Y5K6_PF14604_115 <unknown description>
+VLFEYIPQNEDELELKVGDIIDINEEVEEGWWSGTLNNKLGLFPSNFVK
+>Q9Y5K6_PF00018_276 <unknown description>
+TLFAYEGTNEDELTFKEGEIIHLISKETGEAGWWRGELNGKEGVFP
+>O95400_PF02213_283 <unknown description>
+WEYKWENTGDAELYGPFTSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYN
+>P06729_PF07686_31 <unknown description>
+LETWGALGQDINLDIPSFQMSDDIDDIKWEKTSDKKKIAQFRKEKETFKEKDTYKLFKNG
+TLKIKHLKTDDQDIYKVSIYDTKGKNVLEKIFDLKI
+>P06729_PF05790_135 <unknown description>
+ISWTCINTTLTCEVMNGTDPELNLYQDGKHLKLSQRVITHKWTTSLSAKFKCTAGNKVSK
+ESSVEPVSCPE
+>Q8IX05_PF00059_47 <unknown description>
+ESIEDVRNQCTDHGADMISIHNEEENAFILDTLKKQWKGPDDILLGMFYDTDDASFKWFD
+NSNMTFDKWTDQDDDEDLVDTCAFLHIKTGEWKKGNCEVSSVEGTLCK
+>Q9NPF0_PF00057_53 <unknown description>
+SCPPTKFQCRTSGLCVPLTWRCDRDLDCSDGSDEEEC
+>Q9NPF0_PF00057_131 <unknown description>
+ACLAGELRCTLSDDCIPLTWRCDGHPDCPDSSDELGC
+>P20138_PF07686_24 <unknown description>
+QVQESVTVQEGLCVLVPCTFFHPIPYYDKNSPVHGYWFREGAIISRDSPVATNKLDQEVQ
+EETQGRFRLLGDPSRNNCSLSIVDARRRDNGSYFFRMERGSTKYSYKSPQLSVHV
+>P20138_PF00047_151 <unknown description>
+GTLEPGHSKNLTCSVSWACEQGTPPIFSWLSAAPTSLGPRTTHSSVLIITPRPQDHGTNL
+TCQVKFAGAGVTTERT
+>P28906_PF06365_190 <unknown description>
+CLEQNKTSSCAEFKKDRGEGLARVLCGEEQADADAGAQVCSLLLAQSEVRPQCLLLVLAN
+RTEISSKLQLMKKHQSDLKKLGILDFTEQDVASHQSYSQKTLIALVTSGALLAVLGITGY
+FLMNRRSWSPTGERLGEDPYYTENGGGQGYSSGPGTSPEAQGKASVNRGAQENGTGQATS
+RNGHSARQHVVADTEL
+>P16671_PF01130_14 <unknown description>
+VIGAVLAVFGGILMPVGDLLIQKTIKKQVVLEEGTIAFKNWVKTGTEVYRQFWIFDVQNP
+QEVMMNSSNIQVKQRGPYTYRVRFLAKENVTQDAEDNTVSFLQPNGAIFEPSLSVGTEAD
+NFTVLNLAVAAASHIYQNQFVQMILNSLINKSKSSMFQVRTLRELLWGYRDPFLSLVPYP
+VTTTVGLFYPYNNTADGVYKVFNGKDNISKVAIIDTYKGKRNLSYWESHCDMINGTDAAS
+FPPFVEKSQVLQFFSSDICRSIYAVFESDVNLKGIPVYRFVLPSKAFASPVENPDNYCFC
+TEKIISKNCTSYGVLDISKCKEGRPVYISLPHFLYASPDVSEPIDGLNPNEEEHRTYLDI
+EPITGFTLQFAKRLQVNLLVKPSEKIQVLKNLKRNYIVPILWLNETGTIGDEKANMFRSQ
+VTGKINLLGLIEMILLSVGVVMFVAFMIS
+>Q7L3B6_PF08565_169 <unknown description>
+FGMLSRWDDSQRFLSDHPYLVCEETAKYLILWCFHLEAEKKGALMEQIAHQAVVMQFIME
+MAKNCNVDPRGCFRLFFQKAKAEEEGYFEAFKNELEAFKSRVR
+>P11049_PF00335_11 <unknown description>
+IKYFLFVFNLFFFVLGSLIFCFGIWILIDKTSFVSFVGLAFVPLQIWSKVLAISGIFTMG
+IALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRAQLERSLRDVVEKTIQKY
+GTNPEETAAEESWDYVQFQLRCCGWHYPQDWFQVLILRGNGSEAHRVPCSCYNLSATNDS
+TILDKVILPQLSRLGHLARSRHSADICAVPAESHIYREGCAQGLQKWLHNNLISIVGICL
+GVGLLELGFMTLSIFLCR
+>P28907_PF02267_56 <unknown description>
+TKRFPETVLARCVKYTEIHPEMRHVDCQSVWDAFKGAFISKHPCNITEEDYQPLMKLGTQ
+TVPCNKILLWSRIKDLAHQFTQVQRDMFTLEDTLLGYLADDLTWCGEFNTSKINYQSCPD
+WRKDCSNNPVSVFWKTVSRRFAEAACDVVHVMLNGSRSKIFDKNSTFGSVEVHNLQPEKV
+QTLEAWVIHGGREDSRDLCQDPTIKELESIISKRNIQFSCKNIYRPDKFLQCVKNPEDSS
+C
+>P04234_PF16680_30 <unknown description>
+EDRVFVNCNTSITWVEGTVGTLLSDITRLDLGKRILDPRGIYRCNGTDIYKDKESTVQVH
+YRMCQSCVEL
+>P04234_PF02189_146 <unknown description>
+DQVYQPLRDRDDAQYSHLGG
+>P07766_PF16681_36 <unknown description>
+YKVSISGTTVILTCPQYPGSEILWQHNDKNIGGDEDDKNIGSDEDHLSLKEFSELEQSGY
+YVCYPRGSKPEDANFYLYLRARVCENCMEMDV
+>P07766_PF02189_185 <unknown description>
+NPDYEPIRKGQRDLYSGLNQ
+>P09693_PF16680_39 <unknown description>
+DGSVLLTCDAEAKNITWFKDGKMIGFLTEDKKKWNLGSNAKDPRGMYQCKGSQNKSKPLQ
+VYYRMCQNCIEL
+>P09693_PF02189_157 <unknown description>
+DQLYQPLKDREDDQYSHLQG
+>P20963_PF11628_28 <unknown description>
+DPKLCYLLDGILFIYGVILTALFLRVKFSRS
+>P20963_PF02189_69 <unknown description>
+NQLYNELNLGRREEYDVLDK
+>P20963_PF02189_108 <unknown description>
+EGLYNELQKDKMAEAYSEIGM
+>P20963_PF02189_139 <unknown description>
+DGLYQGLSTATKDTYDALHM
+>P29965_PF00229_138 <unknown description>
+LQWAEKGYYTMSNNLVTLENGKQLTVKRQGLYYIYAQVTFCSNREASSQAPFIASLCLKS
+PGRFERILLRAANTHSSAKPCGQQSIHLGGVFELQPGASVFVNVTDPSQVSHGTGFTSFG
+LLKL
+>P16070_PF00193_33 <unknown description>
+VFHVEKNGRYSISRTEAADLCKAFNSTLPTMAQMEKALSIGFETCRYGFIEGHVVIPRIH
+PNSICAANNTGVYILTSNTSQYDTYCF
+>Q08722_PF08204_8 <unknown description>
+LLLGSACCGSAQLLFNKTKSVEFTFCNDTVVIPCFVTNMEAQNTTEVYVKWKFKGRDIYT
+FDGALNKSTVPTDFSSAKIEVSQLLKGDASLKMDKSDAVSHTGNYTCEVTELTREGETII
+ELKYRVVSWFSPNEN
+>Q08722_PF04549_144 <unknown description>
+LIVIFPIFAILLFWGQFGIKTLKYRSGGMDEKTIALLVAGLVITVIVIVGAILFVPGEYS
+LKNATGLGLIVTSTGILILLHYYVFSTAIGLTSFVIAILVIQVIAYILAVVGLSLCIAAC
+IPMHGPLLISGLSILALAQLLGLVYMKFV
+>P09326_PF07686_33 <unknown description>
+MTVVSGSNVTLNISESLPENYKQLTWFYTFDQKIVEWDSRKSKYFESKFKGRVRLDPQSG
+ALYISKVQKEDNSTYIMRVLKKTGNEQEWKIKLQVL
+>P09326_PF13895_134 <unknown description>
+PVIKIEKIEDMDDNCYLKLSCVIPGESVNYTWYGDKRPFPKELQNSVLETTLMPHNYSRC
+YTCQVSNSVS
+>P01730_PF00047_25 <unknown description>
+GKKVVLGKKGDTVELTCTASQKKSIQFHWKNSNQIKILGNQGSFLTKGPSKLNDRADSRR
+SLWDQGNFPLIIKNLKIEDSDTYICEVEDQKEEVQLLV
+>P01730_PF05790_126 <unknown description>
+TANSDTHLLQGQSLTLTLESPPGSSPSVQCRSPRGKNIQGGKTLSVSQLELQDSGTWTCT
+VLQNQKKVEFKIDIVVL
+>P01730_PF09191_206 <unknown description>
+KASSIVYKKEGEQVEFSFPLAFTVEKLTGSGELWWQAERASSSKSWITFDLKNKEVSVKR
+VTQDPKLQMGKKLPLHLTLPQALPQYAGSGNLTLALEAKTGKLHQEVNLVV
+>P01730_PF05790_317 <unknown description>
+MRATQLQKNLTCEVWGPTSPKLMLSLKLENKEAKVSKREKAVWVLNPEAGMWQCLLSDSG
+QVLLESNIKVLP
+>P01730_PF12104_426 <unknown description>
+RRQAERMSQIKRLLSEKKTCQCPHRFQ
+>P31358_PF15116_32 <unknown description>
+TSSPSASSNISGGIFLFFVANAIIHLFCFS
+>P19397_PF00335_9 <unknown description>
+LKYVLFFFNLLFWICGCCILGFGIYLLIHNNFGVLFHNLPSLTLGNVFVIVGSIIMVVAF
+LGCMGSIKENKCLLMSFFILLLIILLAEVTLAILLFVYEQKLNEYVAKGLTDSIHRYHSD
+NSTKAAWDSIQSFLQCCGINGTSDWTSGPPASCPSDRKVEGCYAKARLWFHSNFLYIGII
+TICVCVIEVLGMSFALTLNCQ
+>P13987_PF00021_28 <unknown description>
+CYNCPNPTADCKTAVNCSSDFDACLITKAGLQVYNKCWKFEHCNFNDVTTRLRENELTYY
+CCKKDLCN
+>O43866_PF00530_28 <unknown description>
+GGLHRCEGRVEVEQKGQWGTVCDDGWDIKDVAVLCRELGCGAASGTPSGILYEPPAEKEQ
+KVLIQSVSCTGTEDTLAQCEQEEVYDCSHDEDAGASCE
+>O43866_PF00530_142 <unknown description>
+DGPGHCKGRVEVKHQNQWYTVCQTGWSLRAAKVVCRQLGCGRAVLTQKRCNKHAYGRKPI
+WLSQMSCSGREATLQDCPSGPWGKNTCNHDEDTWVECE
+>O43866_PF00530_248 <unknown description>
+GGDNLCSGRLEVLHKGVWGSVCDDNWGEKEDQVVCKQLGCGKSLSPSFRDRKCYGPGVGR
+IWLDNVRCSGEEQSLEQCQHRFWGFHDCTHQEDVAVICS
+>Q15078_PF03261_132 <unknown description>
+AVTSAGTPKRVIVQASTSELLRCLGEFLCRRCYRLKHLSPTDPVLWLRSVDRSLLLQGWQ
+DQGFITPANVVFLYMLCRDVISSEVGSDHELQAVLLTCLYLSYSYMGNEISYPLKPFLVE
+SCKEAFWDRCLSVINLMSSKMLQINADPHYFTQVFSDLKNES
+>Q13319_PF03261_173 <unknown description>
+SPRRVIVQASTGELLRCLGDFVCRRCYRLKELSPGELVGWFRGVDRSLLLQGWQDQAFIT
+PANLVFVYLLCRESLRGDELASAAELQAAFLTCLYLAYSYMGNEISYPLKPFLVEPDKER
+FWQRCLRLIQRLSPQMLRLNADPHFFTQVFQDLKNEGE
+>P06127_PF00530_40 <unknown description>
+SNSKCQGQLEVYLKDGWHMVCSQSWGRSSKQWEDPSQASKVCQRLNCGVPLSLGPFLVTY
+TPQSSIICYGQLGSFSNCSHSRNDMCHSLGLTC
+>P08962_PF00335_10 <unknown description>
+VKFLLYVLLLAFCACAVGLIAVGVGAQLVLSQTIIQGATPGSLLPVVIIAVGVFLFLVAF
+VGCCGACKENYCLMITFAIFLSLIMLVEVAAAIAGYVFRDKVMSEFNNNFRQQMENYPKN
+NHTASILDRMQADFKCCGAANYTDWEKIPSMSKNRVPDSCCINVTVGCGINFNEKAIHKE
+GCVEKIGGWLRKNVLVVAAAALGIAFVEVLGIVFACCLVK
+>P34810_PF01299_156 <unknown description>
+TIGDYTWTNGSQPCVHLQAQIQIRVMYTTQGGGEAWGISVLNPNKTKVQGSCEGAHPHLL
+LSFPYGHLSFGFMQDLQQKVVYLSYMAVEYNVSFPHAAQWTFSAQNASLRDLQAPLGQSF
+SCSNSSIILSPAVHLDLLSLRLQAAQL
+>Q07108_PF00059_103 <unknown description>
+KRSWTSAQNACSEHGATLAVIDSEKDMNFLKRYAGREEHWVGLKKEPGHPWKWSNGKEFN
+NWFNVTGSDKCVFLKNTEVSSMECEKNLYWICNK
+>P30203_PF00530_49 <unknown description>
+NGSSSCSGTVEVRLEASWEPACGALWDSRAAEAVCRALGCGGAEAASQLAPPTPELPPPP
+AAGNTSVAANATLAGAPALLCSGAEWRLCEVVEHACRSDGRRARVTC
+>P30203_PF00530_165 <unknown description>
+DGGGACAGRVEMLEHGEWGSVCDDTWDLEDAHVVCRQLGCGWAVQALPGLHFTPGRGPIH
+RDQVNCSGAEAYLWDCPGLPGQHYCGHKEDAGAVCS
+>P30203_PF00530_269 <unknown description>
+GGADRCEGQVEVHFRGVWNTVCDSEWYPSEAKVLCQSLGCGTAVERPKGLPHSLSGRMYY
+SCNGEELTLSNCSWRFNNSNLCSQSLAARVLCS
+>P32970_PF00229_82 <unknown description>
+GRSFLHGPELDKGQLRIHRDGIYMVHIQVTLAICSSTTASRHHPTTLAVGICSPASRSIS
+LLRLSFHQGCTIASQRLTPLARGDTLCTNLTGTLLPSRNTDETFFGV
+>P21854_PF00059_251 <unknown description>
+SKNWQESQKQCETLSSKLATFSEIYPQSHSYYFLNSLLPNGGSGNSYWTGLSSNKDWKLT
+DDTQRTRTYAQSSKCNKVHKTWSWWTLESESCRSSLPYICEM
+>P11912_PF00047_38 <unknown description>
+VPASLMVSLGEDAHFQCPHNSSNNANVTWWRVLHGNYTWPPEFLGPGEDPNGTLIIQNVN
+KSHGGIYVCRVQEGNESYQ
+>P11912_PF02189_185 <unknown description>
+ENLYEGLNLDDCSMYEDISR
+>P40259_PF07686_49 <unknown description>
+SPRFIARKRGFTVKMHCYMNSASGNVSWLWKQEMDENPQQLKLEKGRMEESQNESLATLT
+IQGIRFEDNGIYFCQQKCNNTSEVYQGCGTELRV
+>P09564_PF07686_31 <unknown description>
+QSPHCTTVPVGASVNITCSTSGGLRGIYLRQLGPQPQDIIYYEDGVVPTTDRRFRGRIDF
+SGSQDNLTITMHRLQLSDTGTYTCQAITEVNVYGSGTLVLV
+>P33681_PF07686_38 <unknown description>
+VTKEVKEVATLSCGHNVSVEELAQTRIYWQKEKKMVLTMMSGDMNIWPEYKNRTIFDITN
+NLSIVILALRPSDEGTYECVVLKYEKDAFKREHL
+>P33681_PF08205_143 <unknown description>
+PTPSISDFEIPTSNIRRIICSTSGGFPEPHLSWLENGEELNAINTTVSQDPETELYAVSS
+KLDFNMTTNHSFMCLIKYGHLRVN
+>P60033_PF00335_10 <unknown description>
+IKYLLFVFNFVFWLAGGVILGVALWLRHDPQTTNLLYLELGDKPAPNTFYVGIYILIAVG
+AVMMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIAKDVKQFYDQ
+ALQQAVVDDDANNAKAVVKTFHETLDCCGSSTLTALTTSVLKNNLCPSGSNIISNLFKED
+CHQKIDDLFSGKLYLIGIAAIVVAVIMIFEMILSMVLCC
+>P27701_PF00335_10 <unknown description>
+KYFLFLFNLIFFILGAVILGFGVWILADKSSFISVLQTSSSSLRMGAYVFIGVGAVTMLM
+GFLGCIGAVNEVRCLLGLYFAFLLLILIAQVTAGALFYFNMGKLKQEMGGIVTELIRDYN
+SSREDSLQDAWDYVQAQVKCCGWVSFYNWTDNAELMNRPEVTYPCSCEVKGEEDNSLSVR
+KGFCEAPGNRTQSGNHPEDWPVYQEGCMEKVQAWLQENLGIILGVGVGVAIIELLGMVLS
+ICLCRH
+>Q01151_PF07686_21 <unknown description>
+PEVKVACSEDVDLPCTAPWDPQVPYTVSWVKLLEGGEERMETPQEDHLRGQHYHQKGQNG
+SFDAPNERPYSLKIRNTTSCNSGTYRCTLQDPDGQRNLSGKVILRVT
+>P42081_PF07686_30 <unknown description>
+AYFNETADLPCQFANSQNQSLSELVVFWQDQENLVLNEVYLGKEKFDSVHSKYMGRTSFD
+SDSWTLRLHNLQIKDKGLYQCIIHHKKP
+>P42081_PF13895_139 <unknown description>
+PEIVPISNITENVYINLTCSSIHGYPEPKKMSVLLRTKNSTIEYDGVMQKSQDNVTELYD
+VSISLSVSFPDVTSNMTIFCILETDKTRLLSSPFSIE
+>P01732_PF07686_28 <unknown description>
+PLDRTWNLGETVELKCQVLLSNPTSGCSWLFQPRGAAASPTFLLYLSQNKPKAAEGLDTQ
+RFSGKRLGDTFVLTLSDFRRENEGYYFCSALSNSIMYFSHFVP
+>A6NJW9_PF07686_24 <unknown description>
+QTPAYIKVQTNKMVMLSCEAKISLSNMCIYWLRQRQAPSSDSHHEFLTLWDSAKGTIHGE
+EVEQEKIAVFRDASRFILNLTSVKPEDSGIYFCMIVGSPELTFGKGTQLSVV
+>P10966_PF07686_24 <unknown description>
+QTPAYIKVQTNKMVMLSCEAKISLSNMRIYWLRQRQAPSSDSHHEFLALWDSAKGTIHGE
+EVEQEKIAVFRDASRFILNLTSVKPEDSGIYFCMIVGSPELTFGKGTQLSVV
+>P14209_PF12301_38 <unknown description>
+KKPTAIPKKPSAGDDFDLGDAVVDGENDDPRPPNPPKPMPNPNPNHPSSSGSFSDADLAD
+GVSGGEGKGGSDGGGSHRKEGEEADAPGVIPGIVGAVVVAVAGAISSFIAYQKKKLCFKE
+NAEQGEVDMESHRNANAEP
+>P21926_PF00335_10 <unknown description>
+IKYLLFGFNFIFWLAGIAVLAIGLWLRFDSQTKSIFEQETNNNNSSFYTGVYILIGAGAL
+MMLVGFLGCCGAVQESQCMLGLFFGFLLVIFAIEIAAAIWGYSHKDEVIKEVQEFYKDTY
+NKLKTKDEPQRETLKAIHYALNCCGLAGGVEQFISDICPKKDVLETFTVKSCPDAIKEVF
+DNKFHIIGAVGIGIAVVMIFGMIFSMILCCA
+>Q96GN5_PF10497_345 <unknown description>
+YDKVLGNTCHQCRQKTIDTKTVCRNQGCCGVRGQFCGPCLRNRYGEDVRSALLDPDWVCP
+PCRGICNCSYCRKRDGRCATGILIHLAKFYGYDNVKEYL
+>Q9BWV3_PF00383_86 <unknown description>
+KRTGLVVVKNMKIVGLHCSSEDLHAGQIALIKHGSRLKNCDLYFSRKPCSACLKMIVNAG
+VNRISY
+>Q9BWV3_PF00383_320 <unknown description>
+RHCMVQARLLAYRTEDHKTGVGAVIWAEGKSRSCDGTGAMYFVGCGYNAFPVGSEYADFP
+HMDDKQKDREIRKFRYIIHAEQNALTFRCQEIKPEERSMIFVTKCPCDECVPLIKGAGIK
+QIYA
+>Q8IWY9_PF15296_785 <unknown description>
+DNAPVVDQQLLYTCCPYIGELRKLLASWVSGSSGRSGGFMRKITPTTTTSLGAQPSQTSQ
+GLQAQLAQAFFHNQPPSLRRTVEFVAERIGSNCVKHIKATLVADLVRQAESLLQE
+>Q13042_PF12895_15 <unknown description>
+DQQQYQSALFWADKVASLSREEPQDIYWLAQCLYLTAQYHRAAHALRSRKLDKLYEACRY
+LAARCHYAAKEHQQALDVLD
+>Q13042_PF13424_447 <unknown description>
+LLNNLGHVCRKLKKYAEALDYHRQALVLIPQNASTYSAIGYIHSLMGNFENAVDYFHTAL
+GLRR
+>Q12834_PF12894_223 <unknown description>
+EQPGEYISSVAWIKEGNYLAVGTSSAEVQLWDVQQQKRLRNMTSHSARVGSLSWNS
+>Q12834_PF00400_301 <unknown description>
+HVATLSGHSQEVCGLRWAPDGRHLASGGNDNLVNVW
+>Q12834_PF00400_346 <unknown description>
+VPLQTFTQHQGAVKAVAWCPWQSNVLATGGGTSDRHIRIWN
+>Q12834_PF00400_391 <unknown description>
+ACLSAVDAHSQVCSILWSPHYKELISGHGFAQNQLVIWK
+>Q12834_PF00400_436 <unknown description>
+VAELKGHTSRVLSLTMSPDGATVASAAADETLRLW
+>Q9UJX2_PF04049_32 <unknown description>
+IKKQLLLIAGLTRERGLLHSSKWSAELAFSLPALPLAELQPPPPITEEDAQDMDAYTLAK
+AYFDVKEYDRAAHFLHGCNSKKAYFLYMYSRYLSGEKKKDDETVDSLGPLEKGQVKNE
+>Q9UJX2_PF13181_332 <unknown description>
+ETCCVIGNYYSLRSQHEKAALYFQRALKLNPR
+>Q9UJX2_PF13414_372 <unknown description>
+GHEYMEMKNTSAAIQAYRHAIEVNKRDYRAWYGLGQTY
+>Q8NHZ8_PF10471_1 <unknown description>
+MLRRKPTRLELKLDDIEEFENIRKDLETRKKQKEDVE
+>P30260_PF12895_17 <unknown description>
+NHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRLLKGHSCTTPQCKYLLA
+KCCVDLSKLAEGEQILS
+>P30260_PF00515_567 <unknown description>
+PEAWCAAGNCFSLQREHDIAIKFFQRAIQVDPNY
+>P30260_PF13181_602 <unknown description>
+YAYTLLGHEFVLTEELDKALACFRNAIRVNPR
+>P30260_PF00515_636 <unknown description>
+NAWYGLGMIYYKQEKFSLAEMHFQKALDINPQ
+>P30260_PF13181_672 <unknown description>
+LCHIGVVQHALKKSEKALDTLNKAIVIDPKN
+>Q16543_PF03234_1 <unknown description>
+MVDYSVWDHIEVSDDEDETHPNIDTASLFRWRHQARVERMEQFQKEKEELDRGCRECKRK
+VAECQRKLKELEVAEGGKAELERLQAEAQQLRKEERSWEQKLEEMRK
+>Q16543_PF08565_162 <unknown description>
+FGMLRRWDDSQKYLSDNVHLVCEETANYLVIWCIDLEVEEKCALMEQVAHQTIVMQFILE
+LAKSLKVDPRACFRQFFTKIKTADRQYMEGFNDELEAFKERVRGRAKLRIEK
+>Q16543_PF08564_290 <unknown description>
+GGLDPVEVYESLPEELQKCFDVKDVQMLQDAISKMDPTDAKYHMQRCIDSGLWVPNSKAS
+EAKEGE
+>P60953_PF00071_5 <unknown description>
+KCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAGQEDY
+DRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLRDDPS
+TIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQKGLKNVFDEAILA
+>O75419_PF02724_19 <unknown description>
+VLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELETAFLEHKEQFHYFILINCG
+ANVDLLDILQPDEDTIFFVCDTHRPVNVVNVYNDTQIKLLIKQDDDLEVPAYEDIFRDEE
+EDEEHSGNDSDG
+>O75419_PF02724_138 <unknown description>
+EEDEEHSGNDSDGSEPSEKRTRLEEEIVEQTMRRRQRREWEARRRDILFDYEQYEYHGTS
+SAMVMFELAWMLSKDLNDMLWWAIVGLTDQWVQDKITQMKYVTDVGVLQRHVSRHNHRNE
+DEENTLSVDCTRISFEYDLRLVLYQHWSLHDSLCNTSYTAARFKLWSVHGQKRLQEFLAD
+MGLPLKQVKQKFQAMDISLKENLREMIEESANKFGMKDMRVQTFSIHFGFKHKFLASDVV
+FATMSLMESPEKDGSGTDHFIQALDSLSRSNLDKLYHGLELAKKQLRATQQTIASCLCTN
+LVISQGPFLYCSLMEGTPDVMLFSRPASLSLLSKHLLKSFVCSTKNRRCKLLPLVMAAPL
+SMEHGTVTVVGIPPETDSSDRKNFFGRAFEKAAESTSSRMLHNHFDLSVIELKAEDRSKF
+LDAL
+>Q99459_PF13921_11 <unknown description>
+WRNTEDEILKAAVMKYGKNQWSRIASLLHRKSAKQCKARWYEWLDPSIKKTEWSREEEEK
+L
+>Q99459_PF11831_404 <unknown description>
+TPNTVLSTPFRTPSNGAEGLTPRSGTTPKPVINSTPGRTPLRDKLNINPEDGMADYSDPS
+YVKQMERESREHLRLGLLGLPAPKNDFEIVLPENAEKELEEREIDDTYIEDAADVDARKQ
+AIRDAERVKEMKRMHKAVQKDLPRPSEVNETILRPLNVEPPLTDLQKSEELIKKEMITML
+HYDLLHHPYEPSGNKKGKTVGFGTNNSEHITYLEHNPYEKFSKEELKKAQDVLVQEMEVV
+KQGMSHGELSSE
+>Q99741_PF13401_193 <unknown description>
+KKAGSLYLSGAPGTGKTACLSRILQDLKKELKGFKTIMLNCMSLRTAQAVFPAIAQEICQ
+EEVSRPAGKDMMRKLEKHMTAEKGPMIVLVLDEMDQLDSKGQDVLYTLFEWPWLSNSHLV
+LIGI
+>Q99741_PF17872_368 <unknown description>
+LDNAAVQFCARKVSAVSGDVRKALDVCRRAIEIVESDV
+>Q99741_PF09079_465 <unknown description>
+VCSLMLLIRQLKIKEVTLGKLYEAYSKVCRKQQVAAVDQSECLSLSGLLEARGILGLKRN
+KETRLTKVFFKIEEKEIEHA
+>Q6P1J9_PF16050_1 <unknown description>
+MADVLSVLRQYNIQKKEIVVKGDEVIFGEFSWPKNVKTNYVVWGTGKEGQPREYYTLDSI
+LFLLNNVHLSHPVYVRRAATENIPVVRRPDRKDLLGYLNGEASTSASIDRSAPLEIGLQR
+STQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVE
+KIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQS
+TGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQER
+>Q6P1J9_PF05179_358 <unknown description>
+RTPIIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGT
+AISVTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFH
+LKYDEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDR
+>O00311_PF00069_59 <unknown description>
+KIEDKIGEGTFSSVYLATAQLQVGPEEKIALKHLIPTSHPIRIAAELQCLTVAGGQDNVM
+GVKYCFRKNDHVVIAMPYLEHESFLDILNSLSFQEVREYMLNLFKALKRIHQFGIVHRDV
+KPSNFLYNRRLKKYALVDFGLAQGTHDTK
+>O00311_PF00069_365 <unknown description>
+SRRQQVAPRAGTPGFRAPEVLTKCPNQTTAIDMWSAGVIFLSLLSGRYPFYKASDDLTAL
+AQIMTIRGSRETIQAAKTFGKSILCSKEVPAQDLRKLCERL
+>Q69YH5_PF15276_389 <unknown description>
+KRKRVTFGEDLSPEVFDESLPANTPLRKGGTPVCKKDFSGLSSLLLEQSPVPEPLPQPDF
+DD
+>Q9BXL8_PF06031_37 <unknown description>
+LLDMSLVKLQLCHMLVEPNLCRSVLIANTVRQIQEE
+>Q96FF9_PF09666_89 <unknown description>
+ENEPPGRELTKEDLFKTHSVPATPTSTPVPNPEAESSSKEGELDARDLEMSKKVRRSYSR
+LETLGSASTSTPGRRSCFGFEGLLGAEDLSGVSPVVCSKLTEVPRVCAKPWAPDMTLPGI
+SPPPEK
+>Q9BWT1_PF10497_341 <unknown description>
+YNRSLGSTCHQCRQKTIDTKTNCRNPDCWGVRGQFCGPCLRNRYGEEVRDALLDPNWHCP
+PCRGICNCSFCRQRDGRCATGVLVYLAKYHGFGNVHAYL
+>P32320_PF00383_16 <unknown description>
+QQLLVCSQEAKKSAYCPYSHFPVGAALLTQEGRIFKGCNIENACYPLGICAERTAIQKAV
+SEGYKDFRAIAIASDMQDDFISPCGACRQVMREFGTNWPVY
+>Q96JP9_PF00028_42 <unknown description>
+FSLPEDTPVGSHVYTLNGTDPEGDPISYHISFDPSTRSVFSVDPTFGNITLVEELDRERE
+DEIEAIISISDG
+>Q96JP9_PF00028_140 <unknown description>
+YVALVPEDIPAGSIIFKVHAVDRDTGSGGSVTYFLQNLHSPFAVDRHSGVLRLQAGATLD
+YERSRTHYITVVAKDGGGRLHGADVVFSATTTVTVNVE
+>Q96JP9_PF00028_253 <unknown description>
+GYVYEDTLPGSEVLKVVAMDGDRGKPNRILYSLVNGNDGAFEINETSGAISITQSPAQLQ
+REVYELHVQVTEMSPAGSPAAQATVPVTIRI
+>Q96JP9_PF00028_477 <unknown description>
+YVARIPENAPGGSSVVAVTAVDPDTGPWGEVKYSTYGTGADLFLIHPSTGLIYTQPWASL
+DAEATARYNFYVKAEDMEGKYSVAEVFITL
+>Q96JP9_PF00028_589 <unknown description>
+TPVKIEAIDEDAEEPNNLVDYSITHAEPANVFDINSHTGEIWLKNSIRSLDALHNITPGR
+DCLWSLEVQAKDRGSPSFSTTALLKIDIT
+>Q9BYE9_PF00028_33 <unknown description>
+VILPEDLPVGAQAFWLVAEDQDNDPLTYGMSGPNAYFFAVTPKTGEVKLASALDYETLYT
+FKVTISVSDPYI
+>Q9BYE9_PF00028_130 <unknown description>
+STSINETLPVGSVVFSVLAVDKDMGSAGMVVYSIEKVIPSTGDSEHLFRILANGSIVLNG
+SLSYNNKSAFYQLELKACDLGGMYHNTFT
+>Q9BYE9_PF00028_246 <unknown description>
+YSASVAEDAAKGTSVLTVEAVDGDKGINDPVIYSISYSTRPGWFDIGADGVIRVNGSLDR
+EQLLEADEEVQLQVTATETHLNIYGQEAKVSIWVT
+>Q9BYE9_PF00028_485 <unknown description>
+YVLTVPEHSATGSVVTDSIHATDPDTGAWGQITYSLLPGNGADLFQVDPVSGTVTVRNGE
+LLDRESQAVYYLTLQATDGGNLSSSTTLQIHL
+>Q9BYE9_PF00028_590 <unknown description>
+YNIFVQEEEGNVSVTIQAHDNDEPGTNNSRLLFNLLPGPYSHNFSLDPDTGLLRNLGPLD
+REAIDPALEGRIVLTVLVSDCGEPVLGTKVNVTITVE
+>Q9BYE9_PF00028_700 <unknown description>
+YNFTVKEEDPGVLVGVVKAWDADQTEANNRISFSLSGSGANYFMIRGLVLGAGWAEGYLR
+LPPDVSLDYETQPVFNLTVSAENPDPQGGETIVDVCV
+>Q9BYE9_PF00028_938 <unknown description>
+IPELVLPNREVASVRARDDDSGNNGVILFSILRVDFISKDGATIPFQGVFSIFTSSEADV
+FAGSIQPVTSLDSTLQGTYQVTVQARDRPSLGPFLEATTTLN
+>Q6ZTQ4_PF00028_145 <unknown description>
+VERANPGFIYQVEAFDPEDTSRNIPLSYFLISPPKSFRMSANGTLFSTTELDFEAGHRSF
+HLIVEVRDSGGLKASTELQVNI
+>Q6ZTQ4_PF00028_244 <unknown description>
+TVLEELSPGTIVANITAEDPDDEGFPSHLLYSITTVSKYFMINQLTGTIQVAQRIDRDAG
+ELRQNPTISLEVLVKDRPYGGQENRIQITFIV
+>Q6ZTQ4_PF00028_466 <unknown description>
+YVFDVSERRPARTRVGQVRATDKDLPQSSLLYSISTGGASLQYPNVFWINPKTGELQLVT
+KVDCETTPIYILRIQATNNEDTSSVTVTVNIL
+>A6H8M9_PF00028_449 <unknown description>
+TFRVQEDAAPHTLLGSVVGTDMDYPHDNIEYYTSGGPTTFAVDRLSGEVHLLGPLDYEQQ
+RLYRLTVLVIDHGQDQNPNHHLSGSCTITIEVE
+>Q9Y2V0_PF14811_133 <unknown description>
+DGVLANQVYQCIVNDCCYGPLVDCIKHAIGHEHEVLLRDLLLEKNLSFLDEDQLRAKGYD
+KTPDFILQVPVAVEGHIIHWIESKASFGDECSHHAYLHDQFWSYWNRFGPGLVIYWYGFI
+QELDCNRERGILLKACF
+>Q9H305_PF10601_136 <unknown description>
+APVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLIPCLINDFKDVTHTCP
+SCKAYIYTYK
+>O14735_PF01066_10 <unknown description>
+VPNLIGYARIVFAIISFYFMPCCPLTASSFYLLSGLLDAFDGHAARALNQGTRFGAMLDM
+LTD
+>Q15131_PF00069_39 <unknown description>
+FEKLNRIGEGTYGIVYRARDTQTDEIVALKKVRMDKEKDGIPISSLREITLLLRLRHPNI
+VELKEVVVGNHLESIFLVMGYCEQDLASLLENMPTPFSEAQVKCIVLQVLRGLQYLHRNF
+IIHRDLKVSNLLMTDKGCVKTADFGLARAYGVPVKPMTPKVVTLWYRAPELLLGTTTQTT
+SIDMWAVGCILAELLAHRPLLPGTSEIHQIDLIVQLLGTPSENIWPGFSKLPLVGQYSLR
+KQPYNNLKHKFPWLSEAGLRLLHFLFMYDPKKRATAGDCLESSYF
+>Q9NYV4_PF00069_729 <unknown description>
+IIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLIHRSVVN
+MKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLMEGLE
+YCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPPELLL
+GEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVIKLPY
+FNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFL
+>Q14004_PF00069_707 <unknown description>
+IIGIIGEGTYGQVYKARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIIN
+MKEIVTDKEDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLD
+YCHKKNFLHRDIKCSNILLNNRGQIKLADFGLARLYSSEESRPYTNKVITLWYRPPELLL
+GEERYTPAIDVWSCGCILGELFTKKPIFQANQELAQLELISRICGSPCPAVWPDVIKLPY
+FNTMKPKKQYRRKLREEFVFIPAAALDLFDYMLALDPSKRCTAEQALQCEFL
+>Q14004_PF12330_712 <unknown description>
+GEGTYGQVYKARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIINMKEIV
+TDKEDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLDYCHKK
+NFLHRDIKCSNILLNNRGQIKLADFGLARLYSSEESRPYT
+>O94921_PF00069_135 <unknown description>
+YEKLEKLGEGSYATVYKGKSKVNGKLVALKVIRLQEEEGTPFTAIREASLLKGLKHANIV
+LLHDIIHTKETLTLVFEYVHTDLCQYMDKHPGGLHPDNVKLFLFQLLRGLSYIHQRYILH
+RDLKPQNLLISDTGELKLADFGLARAKSVPSHTYSNEVVTLWYRPPDVLLGSTEYSTCLD
+MWGVGCIFVEMIQGVAAFPGMKDIQDQLERIFLVLGTPNEDTWPGVHSLPHFKPERFTLY
+SSKNLRQAWNKLSYVNHAEDLASKLLQCSPKNRLSAQAALSHEYF
+>Q96Q40_PF00069_105 <unknown description>
+NLEKLGEGSYATVYKGISRINGQLVALKVISMNAEEGVPFTAIREASLLKGLKHANIVLL
+HDIIHTKETLTFVFEYMHTDLAQYMSQHPGGLHPHNVRLFMFQLLRGLAYIHHQHVLHRD
+LKPQNLLISHLGELKLADFGLARAKSIPSQTYSSEVVTLWYRPPDALLGATEYSSELDIW
+GAGCIFIEMFQGQPLFPGVSNILEQLEKIWEVLGVPTEDTWPGVSKLPNYNPEWFPLPTP
+RSLHVVWNRLGRVPEAEDLASQMLKGFPRDRVSAQEALVHDYF
+>Q00536_PF00069_165 <unknown description>
+YIKLDKLGEGTYATVYKGKSKLTDNLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIV
+TLHDIIHTEKSLTLVFEYLDKDLKQYLDDCGNIINMHNVKLFLFQLLRGLAYCHRQKVLH
+RDLKPQNLLINERGELKLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLGSTDYSTQID
+MWGVGCIFYEMATGRPLFPGSTVEEQLHFIFRILGTPTEETWPGILSNEEFKTYNYPKYR
+AEALLSHAPRLDSDGADLLTKLLQFEGRNRISAEDAMKHPFF
+>Q00536_PF12330_228 <unknown description>
+DIIHTEKSLTLVFEYLDKDLKQYLDDCGNIINMHNVKLFLFQLLRGLAYCHRQKVLHRDL
+KPQNLLINERGELKLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLGSTDYS
+>Q00537_PF00069_192 <unknown description>
+YIKLEKLGEGTYATVYKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIV
+TLHDIVHTDKSLTLVFEYLDKDLKQYMDDCGNIMSMHNVKLFLYQILRGLAYCHRRKVLH
+RDLKPQNLLINEKGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSSEYSTQID
+MWGVGCIFFEMASGRPLFPGSTVEDELHLIFRLLGTPSQETWPGISSNEEFKNYNFPKYK
+PQPLINHAPRLDSEGIELITKFLQYESKKRVSAEEAMKHVYF
+>Q00537_PF12330_230 <unknown description>
+GAPCTAIREVSLLKDLKHANIVTLHDIVHTDKSLTLVFEYLDKDLKQYMDDCGNIMSMHN
+VKLFLYQILRGLAYCHRRKVLHRDLKPQNLLINEKGELKLADFGLARAKSVPTKTYSNE
+>Q07002_PF00069_144 <unknown description>
+YVKLDKLGEGTYATVFKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKNLKHANIV
+TLHDLIHTDRSLTLVFEYLDSDLKQYLDHCGNLMSMHNVKIFMFQLLRGLAYCHHRKILH
+RDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTEYSTPID
+MWGVGCIHYEMATGRPLFPGSTVKEELHLIFRLLGTPTEETWPGVTAFSEFRTYSFPCYL
+PQPLINHAPRLDTDGIHLLSSLLLYESKSRMSAEAALSHSYF
+>Q9BWU1_PF00069_26 <unknown description>
+KVGRGTYGHVYKARRKDGKDEKEYALKQIEGTGISMSACREIALLRELKHPNVIALQKVF
+LSHSDRKVWLLFDYAEHDLWHIIKFHRASKANKKPMQLPRSMVKSLLYQILDGIHYLHAN
+WVLHRDLKPANILVMGEGPERGRVKIADMGFARLFNSPLKPLADLDPVVVTFWYRAPELL
+LGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQEDIKTSNPFHHDQLDRIFSVMGFPADK
+DWEDIRKMPEYPTLQKDFRRTTYANSSLIKYMEKHKVKPDSKVFLLLQKLLTMDPTKRIT
+SEQALQDPYF
+>P06493_PF00069_4 <unknown description>
+YTKIEKIGEGTYGVVYKGRHKTTGQVVAMKKIRLESEEEGVPSTAIREISLLKELRHPNI
+VSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQYMDSSLVKSYLYQILQGIVFCHSRR
+VLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSARYST
+PVDIWSIGTIFAELATKKPLFHGDSEIDQLFRIFRALGTPNNEVWPEVESLQDYKNTFPK
+WKPGSLASHVKNLDENGLDLLSKMLIYDPAKRISGKMALNHPYF
+>Q8IZL9_PF00069_7 <unknown description>
+LGRIGEGAHGIVFKAKHVETGEIVALKKVALRRLEDGFPNQALREIKALQEMEDNQYVVQ
+LKAVFPHGGGFVLAFEFMLSDLAEVVRHAQRPLAQAQVKSYLQMLLKGVAFCHANNIVHR
+DLKPANLLISASGQLKIADFGLARVFSPDGSRLYTHQVATRWYRAPELLYGARQYDQGVD
+LWSVGCIMGELLNGSPLFPGKNDIEQLCYVLRILGTPNPQVWPELTELPDYNKISFKEQV
+PMPLEEVLPDVSPQALDLLGQFLLYPPHQRIAASKALLHQYF
+>P24941_PF00069_4 <unknown description>
+FQKVEKIGEGTYGVVYKARNKLTGEVVALKKIRLDTETEGVPSTAIREISLLKELNHPNI
+VKLLDVIHTENKLYLVFEFLHQDLKKFMDASALTGIPLPLIKSYLFQLLQGLAFCHSHRV
+LHRDLKPQNLLINTEGAIKLADFGLARAFGVPVRTYTHEVVTLWYRAPEILLGCKYYSTA
+VDIWSLGCIFAEMVTRRALFPGDSEIDQLFRIFRTLGTPDEVVWPGVTSMPDYKPSFPKW
+ARQDFSKVVPPLDEDGRSLLSQMLHYDPNKRISAKAALAHPFF
+>Q00526_PF00069_4 <unknown description>
+FQKVEKIGEGTYGVVYKAKNRETGQLVALKKIRLDLEMEGVPSTAIREISLLKELKHPNI
+VRLLDVVHNERKLYLVFEFLSQDLKKYMDSTPGSELPLHLIKSYLFQLLQGVSFCHSHRV
+IHRDLKPQNLLINELGAIKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGSKFYTTA
+VDIWSIGCIFAEMVTRKALFPGDSEIDQLFRIFRMLGTPSEDTWPGVTQLPDYKGSFPKW
+TRKGLEEIVPNLEPEGRDLLMQLLQYDPSQRITAKTALAHPYF
+>P11802_PF00069_6 <unknown description>
+YEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGGGGGGLPISTVREVALLRRLEA
+FEHPNVVRLMDVCATSRTDREIKVTLVFEHVDQDLRTYLDKAPPPGLPAETIKDLMRQFL
+RGLDFLHANCIVHRDLKPENILVTSGGTVKLADFGLARIYSYQMALTPVVVTLWYRAPEV
+LLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPRDVSLP
+RGAFPPRGPRPVQSVVPEMEESGAQLLLEMLTFNPHKRISAFRALQHSYL
+>Q00535_PF00069_4 <unknown description>
+YEKLEKIGEGTYGTVFKAKNRETHEIVALKRVRLDDDDEGVPSSALREICLLKELKHKNI
+VRLHDVLHSDKKLTLVFEFCDQDLKKYFDSCNGDLDPEIVKSFLFQLLKGLGFCHSRNVL
+HRDLKPQNLLINRNGELKLADFGLARAFGIPVRCYSAEVVTLWYRPPDVLFGAKLYSTSI
+DMWSAGCIFAELANAGRPLFPGNDVDDQLKRIFRLLGTPTEEQWPSMTKLPDYKPYPMYP
+ATTSLVNVVPKLNATGRDLLQNLLKCNPVQRISAEEALQHPYF
+>Q00534_PF00069_13 <unknown description>
+YECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTGEEGMPLSTIREVAVLRHLETFE
+HPNVVRLFDVCTVSRTDRETKLTLVFEHVDQDLTTYLDKVPEPGVPTETIKDMMFQLLRG
+LDFLHSHRVVHRDLKPQNILVTSSGQIKLADFGLARIYSFQMALTSVVVTLWYRAPEVLL
+QSSYATPVDLWSVGCIFAEMFRRKPLFRGSSDVDQLGKILDVIGLPGEEDWPRDVALPRQ
+AFHSKSAQPIEKFVTDIDELGKDLLLKCLTFNPAKRISAYSALSHPYF
+>P50613_PF00069_12 <unknown description>
+YEKLDFLGEGQFATVYKARDKNTNQIVAIKKIKLGHRSEAKDGINRTALREIKLLQELSH
+PNIIGLLDAFGHKSNISLVFDFMETDLEVIIKDNSLVLTPSHIKAYMLMTLQGLEYLHQH
+WILHRDLKPNNLLLDENGVLKLADFGLAKSFGSPNRAYTHQVVTRWYRAPELLFGARMYG
+VGVDMWAVGCILAELLLRVPFLPGDSDLDQLTRIFETLGTPTEEQWPDMCSLPDYVTFKS
+FPGIPLHHIFSAAGDDLLDLIQGLFLFNPCARITATQALKMKYF
+>P49336_PF00069_26 <unknown description>
+KVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGISMSACREIALLRELKHPNVISLQKVF
+LSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKPVQLPRGMVKSLLYQILDGIHYLHAN
+WVLHRDLKPANILVMGEGPERGRVKIADMGFARLFNSPLKPLADLDPVVVTFWYRAPELL
+LGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQEDIKTSNPYHHDQLDRIFNVMGFPADK
+DWEDIKKMPEHSTLMKDFRRNTYTNCSLIKYMEKHKVKPDSKAFHLLQKLLTMDPIKRIT
+SEQAMQDPYF
+>P50750_PF00069_19 <unknown description>
+YEKLAKIGQGTFGEVFKARHRKTGQKVALKKVLMENEKEGFPITALREIKILQLLKHENV
+VNLIEICRTKASPYNRCKGSIYLVFDFCEHDLAGLLSNVLVKFTLSEIKRVMQMLLNGLY
+YIHRNKILHRDMKAANVLITRDGVLKLADFGLARAFSLAKNSQPNRYTNRVVTLWYRPPE
+LLLGERDYGPPIDLWGAGCIMAEMWTRSPIMQGNTEQHQLALISQLCGSITPEVWPNVDN
+YELYEKLELVKGQKRKVKDRLKAYVRDPYALDLIDKLLVLDPAQRIDSDDALNHDFF
+>O14519_PF09806_32 <unknown description>
+SSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAMERL
+KRGIIHARGLVRECLAETERNAR
+>O75956_PF09806_72 <unknown description>
+STYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGIIHARALVRECLAETERNAR
+>Q5VV42_PF00919_65 <unknown description>
+KIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRNSIK
+KAQEENKKIVLAGCVPQAQPR
+>Q5VV42_PF04055_209 <unknown description>
+SINTGCLNACTYCKTKHARGNLASYPIDELVDRAKQSFQEGVCEIWLTSEDTGAYGRDIG
+TNLPTLLWKLVEVIPEGAMLRLGMTNPPYILEHLEEMAKILNHPRVYAFLHIPVQSASDS
+VLMEMKREYCVADFKRVVDFLKEKVPGITIATDIICGFPGETDQDFQETVKLV
+>Q5VV42_PF01938_433 <unknown description>
+KIGERQQVLVTEESFDSKFYVAHNQFYEQVLVPKNPAFMGKMVEVDIYESGKHFMKGQPV
+>Q00532_PF00069_4 <unknown description>
+YEKIGKIGEGSYGVVFKCRNRDTGQIVAIKKFLESEDDPVIKKIALREIRMLKQLKHPNL
+VNLLEVFRRKRRLHLVFEYCDHTVLHELDRYQRGVPEHLVKSITWQTLQAVNFCHKHNCI
+HRDVKPENILITKHSVIKLCDFGFARLLTGPSDYYTDYVATRWYRSPELLVGDTQYGPPV
+DVWAIGCVFAELLSGVPLWPGKSDVDQLYLIRKTLGDLIPRHQQVFSTNQYFSGVKIPDP
+EDMEPLELKFPNISYPALGLLKGCLHMDPTQRLTCEQLLHHPYF
+>Q8IVW4_PF00069_4 <unknown description>
+YETLGKVGEGSYGTVMKCKHKNTGQIVAIKIFYERPEQSVNKIAMREIKFLKQFHHENLV
+NLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIDYLHSNNIIH
+RDIKPENILVSQSGITKLCDFGFARTLAAPGDIYTDYVATRWYRAPELVLKDTSYGKPVD
+IWALGCMIIEMATGNPYLPSSSDLDLLHKIVLKVGNLSPHLQNIFSKSPIFAGVVLPQVQ
+HPKNARKKYPKLNGLLADIVHACLQIDPADRISSSDLLHHEYF
+>O76039_PF00069_14 <unknown description>
+EILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRELKMLRTLKQENIV
+ELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLIKAIHWCHKNDIVH
+RDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSPELLLGAPYGKSVD
+MWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYSNPRFHGLRFPAVN
+HPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTF
+>Q16667_PF05706_1 <unknown description>
+MKPPSSIQTSEFDSSDEEPIEDEQTPIHISWLSLSRVNCSQFLGLCALPGCKFKDVRRNV
+QKDTEELKSCGIQDIFVFCTRGELSKYRVPNLLDLYQQCGIITHHHPIADGGTPDIASCC
+EIMEELTTCLKNYRKTLIHCYGGLGRSCLVAACLLLYLSDTISPEQAI
+>P38936_PF02234_21 <unknown description>
+LFGPVDSEQLSRDCDALMAGCIQEARERWNFDFVTETPLEGDFAWERV
+>P46527_PF02234_31 <unknown description>
+NLFGPVDHEELTRDLEKHCRDMEEASQRKWNFDFQNHKPLEGKYEWQEV
+>P49918_PF02234_21 <unknown description>
+SLFGPVDHEELSRELQARLAELNAEDQNRWDYDFQQDMPLRGPGRLQWTEV
+>P42773_PF13637_10 <unknown description>
+ASAAARGDLEQLTSLLQNNVNVNAQNGFGRTALQ
+>P42773_PF13637_73 <unknown description>
+VIHDAARAGFLDTLQTLLEFQADVNIEDNEGNLPLHLAAKEGHLRVVEFLV
+>P55273_PF12796_12 <unknown description>
+LSGAAARGDVQEVRRLLHRELVHPDALNRFGKTALQVMMFGSTAIALELLKQGASPNVQD
+TSGTSPVHDAARTGFLDTLKVLVEHGADVNVPD
+>P55273_PF12796_107 <unknown description>
+GALPIHLAVQEGHTAVVSFLAAESDLHRRDARGLTPLELALQRGAQDLVDIL
+>Q49AH0_PF20145_36 <unknown description>
+DCEVCKEFLNRFYKSLIDRGVNFSLDTIEKELISFCLDTKGKENRLCYYLGATKDAATKI
+LSEVTRPMSVHMPAMKICEKLKKLDSQICELKYEKTL
+>Q49AH0_PF10208_136 <unknown description>
+SVDLRKMRVAELKQILHSWGEECRACAEKTDYVNLIQELAPKY
+>Q16878_PF05995_1 <unknown description>
+MEQTEVLKPRTLADLIRILHQLFAGDEVNVEEVQAIMEAYESDPTEWAMYAKFDQYRYTR
+NLVDQGNGKFNLMILCWGEGHGSSIHDHTNSHCFLKMLQGNLKETLFAWPDKKSNEMVKK
+SERVLRENQCAYINDSIGLHRVENISHTEPAVSLHLYSPPFDTCHAFDQR
+>Q4KMG0_PF13927_29 <unknown description>
+PYFTSEPLSAVQKLGGPVVLHCSAQPVTTRISWLHNGKTLDGNLEHVKIHQGTLTILSLN
+SSLLGYYQCLANN
+>Q4KMG0_PF13927_228 <unknown description>
+SQALAVLSRSPVTLECVVSGVPAPQVYWLKDGQDIAPGSNWRRLYSHLATDSVDPADSGN
+YSCMAGN
+>Q4KMG0_PF07679_314 <unknown description>
+ISKGLQDQIVSLGATVHFTCDVHGNPAPNCTWFHNAQPIHPSARHLTAGNGLKISGVTVE
+DVGMYQCVADNGIGFMHSTGRLE
+>Q4KMG0_PF13927_404 <unknown description>
+KPVIITAPVSAKVADGDFVTLSCNASGLPVPVIRWYDSHGLITSHPSQVLRSKSRKSQLS
+RPEGLNLEPVYFVLSQAGASSLHIQAVTQEHAGKYICEAAN
+>Q4KMG0_PF00041_590 <unknown description>
+TPDTYNLVWRAGKDGGLPINAYFVKYRKLDDGVGMLGSWHTVRVPGSENELHLAELEPSS
+LYEVLMVARSAAGEGQP
+>Q4KMG0_PF00041_723 <unknown description>
+APDRPTISTASETSVYVTWIPRANGGSPITAFKVEYKRMRTSNWLVAAEDIPPSKLSVEV
+RSLEPGSTYKFRVIAINHYGESFRS
+>Q4KMG0_PF00041_836 <unknown description>
+EAVSDTQIMLKWTYIPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDVVEGSKQWHMIGHLQ
+PETSYDIKMQCFNEGGESEFS
+>Q6NVV7_PF10170_13 <unknown description>
+FECELCTLTAPYSYVGQKPPNTQSMVLLEESYVMKDPFTSDKDRFLVLGSCCSLCSRLVC
+VGPECSLFYSKRFCLPCVRENINAFPQEIRQDL
+>O95170_PF00400_448 <unknown description>
+EFRGHAGSVRALFLCEEENFLLSGSYDLSIRYWD
+>O95170_PF00400_485 <unknown description>
+GVCTRIFGGHQGTITCMDLCKNRLVSGGRDCQVKVWD
+>O95170_PF00400_565 <unknown description>
+QLVKTLSGHEGAVKCLFFDQWHLLSGSTDGLVMAW
+>Q8N9R6_PF15213_12 <unknown description>
+LTENTGLPRKLLEKHDPWPAYVTYTSQTVKRLIEKSKTRELECMRALEERPWASRQNKPS
+SVIQPKRRKSSKSSGKAVFRDTLSESTLSMWGAYSVLAMAPTMIPEPTHLHADSRDCPTE
+NYNKIIFARKPMMRMLP
+>Q92903_PF01148_87 <unknown description>
+WIRGILTLTMISLFFLIIYMGSFMLMLLVLGIQVKCFHEIITIGYRVYHSYDLPWFRTLS
+WYFLLCVNYFFYGETVADYFATFVQREEQLQFLIRYHRFISFALYLAGFCMFVLSLVKKH
+YRLQFYMFAWTHVTLLITVTQSHLVIQNLFEGMIWFLVPISSVICNDITAYLFGFFFGRT
+PLIKLSPKKTWEGFIGGFFSTVVFGFIAAYVLSKYQYFVCPVEYRSDVNSFVTECEPSEL
+FQLQTYSLPPFLKAVLRQERVSLYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDF
+ANTIPGHGGIMDRFDCQYLMATFVHVYITSF
+>O95674_PF01148_70 <unknown description>
+WVRGILTLAMIAFFFIIIYLGPMVLMIIVMCVQIKCFHEIITIGYNVYHSYDLPWFRTLS
+WYFLLCVNYFFYGETVTDYFFTLVQREEPLRILSKYHRFISFTLYLIGFCMFVLSLVKKH
+YRLQFYMFGWTHVTLLIVVTQSHLVIHNLFEGMIWFIVPISCVICNDIMAYMFGFFFGRT
+PLIKLSPKKTWEGFIGGFFATVVFGLLLSYVMSGYRCFVCPVEYNNDTNSFTVDCEPSDL
+FRLQEYNIPGVIQSVIGWKTVRMYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDF
+ANTIPGHGGIMDRFDCQYLMATFVNVYIASF
+>Q9H211_PF08839_186 <unknown description>
+LPYKYQVLAEMFRSMDTIVGMLHNRSETPTFAKVQRGVQDMMRRRFEECNVGQIKTVYPA
+SYRFRQERSVPTFKDGTRRSDYQLTIEPLLEQEADGAAPQLTASRLLQRRQIFSQKLVEH
+VKEHHKAFLASLSPAMVVPEDQLTRWHPRFNVDEVPDIEPAALP
+>Q9H211_PF16679_422 <unknown description>
+LLERIRAKEAQKQLAQMTRCPEQEQRLQRLERLPELARVLRSVFVSERKPALSMEVACAR
+MVGSCCTIMSPGEMEKHLLLLSELLPDWLSLHRIR
+>Q9UKY7_PF15359_81 <unknown description>
+DEDEWKELEQKEVDYSGLRVQAMQISSEKEEDDNEKRQDPGDNWEEGGGGGGGMEKSSGP
+WNKTAPVQAPPAPVIVTETPEPAMTSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQS
+TAK
+>P47902_PF04731_13 <unknown description>
+VYPGPARPASLGLGPQAYGPPAPPPAPPQYPDFSSYSHVEPAPAPPTAWGAPFPAPKDDW
+AAAYGPGPAAPAASPASLAFGPPPDFSPVPAPPGPGPGLLAQPLGGPGTPSSPGAQRPTP
+YEWMRRSVAAGG
+>P47902_PF00046_156 <unknown description>
+KYRVVYTDHQRLELEKEFHYSRYITIRRKSELAANLGLTERQVKIWFQNRRAKERK
+>Q99626_PF04731_13 <unknown description>
+MYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAAAANLDSAQSPGPSWPAAYGAP
+LREDWNGYAPGGAAAAANAVAHGLNGGSPAAAMGYSSPADYHPHHHPHHHPHHPAAAPSC
+ASGLLQTLNPGPPGPAATAAAEQLSPGGQRRNLCEWMRKPAQQSL
+>Q99626_PF00046_188 <unknown description>
+KYRVVYTDHQRLELEKEFHYSRYITIRRKAELAATLGLSERQVKIWFQNRRAKERK
+>O14627_PF04731_13 <unknown description>
+MYPGTLMSPGGDGTAGTGGTGGGGSPMPASNFAAAPAFSHYMGYPHMPSMDPHWPSLGVW
+GSPYSPPREDWSVYPGPSSTMGTVPVNDVTSSPAAFCSTDYSNLGPVGGGTSGSSLPGQA
+GGSLVPTDAGAAKASSPSRSRHSPYAWMRKTVQVTGK
+>O14627_PF00046_175 <unknown description>
+KYRVVYTDHQRLELEKEFHCNRYITIQRKSELAVNLGLSERQVKIWFQNRRAKERK
+>Q9Y6F8_PF00385_6 <unknown description>
+FEVEAIVDKRQDKNGNTQYLVRWKGYDKQDDTWEPEQHLMNCEKCVHDFNRR
+>Q9Y6F8_PF00378_294 <unknown description>
+FTQIVLSTRSTEKNALNTEVIKEIVNALNSAAADDSKLVLFSAAGSVFCCGLDFGYFVKH
+LRNNRNTASLEMVDTIKNFVNTFIQFKKPIVVSVNGPAIGLGASILPLCDLVWANEKAWF
+QTPYTTFGQSPDGCSSITFPKMMGKASANEMLIAGRKLTAREACAKGLVSQVFLTGTFTQ
+EVMIQIKELASYNPIVLEECKALVRCNIKLELEQANERECEVLRKIWSSAQGIES
+>Q9Y6F7_PF00385_6 <unknown description>
+FEVEAIVDKRQDKNGNTQYLVRWKGYDKQDDTWEPEQHLMNCEKCVHDFNRR
+>Q9Y6F7_PF00378_295 <unknown description>
+FTQIVLSTRSTEKNALNTEVIKEMVNALNSAAADDSKLVLFSAAGSVFCCGLDFGYFVRH
+LRNDRNTASLEMVDTIKNFVNTFIQFKKPIVVSVNGPAIGLGASILPLCDLVWANEKAWF
+QTPYTTFGQSPDGCSSITFPKMMGKASANEMLIAGRKLTAREACAKGLVSQVFLTGTFTQ
+EVMIQIKELASYNAIVLEECKALVRCNIKLELEQANERECEVLRKIWSSAQGIESMLKYV
+ENK
+>Q8N8U2_PF00385_7 <unknown description>
+YEVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFN
+>Q8N8U2_PF00378_270 <unknown description>
+SDNNALTPEIMKEVRRALCNAATDDSKLLLLSAVGSVFCSGLDYSYLIGRLSSDRRKEST
+RIAEAIRDFVKAFIQFKKPIVVAINGPALGLGASILPLCDIVWASEKAWFQTPYATIRLT
+PAGCSSYTFPQILGVALANEMLFCGRKLTAQEACSRGLVSQVFWPTTFSQEVMLRVKEMA
+SCSAVVLEESKCLVRSFLKSVLEDVNEKECLMLKQLWSSSKGLDSLFS
+>Q9Y232_PF00385_7 <unknown description>
+YEVERIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRR
+>Q9Y232_PF00378_308 <unknown description>
+SENNSLNPEVMREVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKREST
+KMAEAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQS
+PDGCSTVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELA
+SCNPVVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRK
+>Q49AR2_PF12640_19 <unknown description>
+VWVVEDHQEVLPFIYRAIGSKHLPASNVSFLHFDSHPDLLIPVNMPADTVFDKETLFGEL
+SIENWIMPAVYAGHFSHVIWFHPTWAQQIREGRHHFLVGKDTSTTTIRVTSTDHYFLSDG
+LYVPEDQLENQKPLQLDVIMVKPYKLCNNQEENDAVSSAKKPKLALEDSENTASTNCDSS
+SEGLEKDTATQRSDQTCLEPSCSCSSENQECQTAASTGEILEILKKGKAFVLDIDLDFF
+>Q7Z6I8_PF17724_1 <unknown description>
+MMHPVASSNPAFCGPGKPSCLNEDAMRAADQFDIYSSQQSKYSHTVNHKPMVCQRQDPLN
+ETHLQTTSGRSIEIKDELKKKKNLNRSGKRGRPSGTTKSAGYRTSTGRPLGTTKAAGFKT
+SPGRPLGTTKAAGYKVSPGRPPGSIKALSRLADLGYGCGTAAFPYPMMHGRAVHGVEETS
+SEVKPPNE
+>Q96MH7_PF15025_7 <unknown description>
+MILYEDDSVQVQYVDGSTLQLSPCGSEFLFEKSPPVSAHPLEQPERIRQRTHFVISTYRE
+QLQRALDFRNSSATCPFLSETIIPSERKKHIFIDITEVRWPSLDTDGTMIYMESGIVKIT
+SLDGHAYLCLPRSQHEFTVHFLCKVS
+>Q96MH7_PF15016_449 <unknown description>
+PLVLKESLIPSVGRFLAYSDDKVHAIFLDGITLTLNWNFSSPIEKRQVNQGLNLGWCKLT
+FPDGQEQLIQIEHPEPYERYVTTVTSWCR
+>Q6UWT4_PF15144_1 <unknown description>
+MAVSVLRLTVVLGLLVLFLTCYADDKPDKPDDKPDDSGKDPKPDFPKFLSLLGTEIIENA
+VEFILRSMSRSTGFMEFDDNEGKHSSK
+>Q569G3_PF15730_28 <unknown description>
+GVLQLGGRGAQGLWGQGPGAGCRQEKPREAMAVAGVQGGSELPLGSQLRVPTTPGVEAAA
+SASSQLRASRVQSGTRQSARAGLIQKDAAKKYDFPIPLNEASKIMKKKKKVLVWNRVYKV
+ISRMLEENEKYRHRLKCQRLSSESSNYTR
+>A4QMS7_PF15074_42 <unknown description>
+SYYYRPARTGIISLYDCIFKRRLDYDQKLHRDDREHAKSLGLHVNEEEQERPVGVLTSSV
+YGKRINQPIEPLNRDFGRANHVQADFYRKNDI
+>A6NGY3_PF17666_2 <unknown description>
+TQPTRPSVTCDQGSSTIGGTAAQATTSSSATSGSNYQRDRLGRRPEIGVGGQPQICFPRP
+RSAQQPVLFSLMNSSEAAMKKTLPKSHLSRVIIHDNRITQRIYEMEVSALEKTKKKISHY
+YEHLKKKFMTEQLRKLGRWREESVNSNRYL
+>Q8N8E3_PF14846_13 <unknown description>
+LDAEFDHFVVDMKPFVLKLPHRTERQRCALWIRKLCEPSGTGAGIMGRKNRNLYAKLLLH
+MLKRGALEGPFTHRPEPGTLKILPSY
+>Q8N960_PF00168_11 <unknown description>
+VVSILEGRHFPKRPKHMLVVEAKFDGEQLATDPVDHTDQPEFATELAWEIDRKALHQHRL
+QRTPIKLQCFALDPVTSAKETIGYIVLDLRTAQETKQAPKWYQL
+>Q8N960_PF12416_118 <unknown description>
+KYTKFKSEIQISIALETDTKPPVDSFKAKGAPPRDGKVPAILAGLDPRDIVAVLNEEGGY
+HQIGPAEYCTDSFIMSVTIAFATQLEQLIPCTMKLPERQPEFFFYYSLLGNDVTNEPFND
+LINPNFEPERASVRIRSSVEILRVYLALQSKLQIHLCCGDQSLGSTEIPLTGLLKKGSTE
+INQHPVTVEGAFTLDPPNRAKQKLAPIPVELAPTVGVSVALQR
+>Q8N960_PF00168_529 <unknown description>
+PLLVELWHKDKMSKDLLLGIARIQLSNILSSEK
+>Q9P2H0_PF15352_105 <unknown description>
+QQRKQKFEEVTEKFQRAHVPLSQRRKAVSRKPVPPLEEALKQIQESNLKSEVNLPFSRRP
+TINWRAIDSALPSALSKNDHKHQKQLLSKINCEKEMNENMRATLATSKNVFQLKLEETQK
+LLEDQHLSNLQKFCDEVNQITNSETLSSIDSLEATEHEEIYLTLNKEHSTSIQRNTISLK
+PANMQSTNLSCFDEDKLAFSKTQHINNWLTNLDASNTQNVTAFSDILSKSNVLPSWEYFN
+SKEQNPSPLNGTVERATNTANNSVPFVSSPPMFVLDKKCEKTSETSTMRTTDSTSGAFKR
+ERPLVTESPTFKFSKSQSTSDSLTQEVATFPDQEKYSELNQENGTTSIPTSCVPVATPLV
+LPSNIQSARPSAKNSIHIKEIDAVQCSDKLDELKDGKEEEIKYFNCNKEELPLFSDSFQD
+AYIPHNPDSKDEKQKLAETSSLSNVTSNYDFVGQHKKMKYNIHERNGVRFLKSILKKESK
+YEHGYLKALIINQSFKFGNQKAAAIRDSIELTKEKGAEIPKTIKKLRWFDETSNIENNAE
+NSHSLKNKTGTTQQHSQQFHIQSGAGSNIISVSTCAVNSADTKKSREDSISENVTTLGGS
+GADHMPLNCFIPSGYNFAKHAWPASKKEESKIPVHDDSKTKQGKPQRGRAKIIRKPGSAK
+VQSGFICTNRKGAVIQPQSASKVNIFTQAQGKLIIPCPPPQSTSNIRSGKNIQVSQCQPV
+TPENPQNIITHNSFNSKHVLPTEHSLNQWNQESSSPLSNACSDLVTVIPSLPSYCSSECQ
+TFAKINHSNGTQAVARQDATLYCTQRSPVCEESYPSVTLRTAEEESVPLWKRGPNVLHQN
+KRATGSTVMRRKRIAETKRRNILEQKRQNPGSVGQKYSEQINNFGQSVLLSSSEPKQTTR
+GTSYIEEVSDSTSEFLMAENLVKASVPEDEILTVLNSKQIQKSNLPLNKTQQFNICTLSA
+EEQKILESLNDLSERLH
+>Q5SW79_PF00498_25 <unknown description>
+VGRDDCELMLQSRSVDKQHAVINYDASTDEHLVKDLGSLNGTFVNDVRIPEQTYITLKLE
+DKLRFG
+>Q5SW79_PF15308_812 <unknown description>
+AEEILKSQTPKGGDKKESSKSLVRQGSFTIEKPSPNIPIELIPHINKQTSSTPSSLALTS
+ASRIRERSESLDPDSSMDTTLILKDTEAVMAFLEAKLREDNKTDEGPDTPSYNRDNSISP
+ESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCSTGSPSKDVTKSSSSGAREKMEKK
+TKSRSTDVGSRADGRKFVQSSGRIRQPSVDLTDDDQTSSVPHSAISDIMSSDQETYSCKP
+HGRTPLTSADEHVHSKLEGSKVTKSKTSPVVSGSSSKSTTLPRPRPTRTSLLRRARLGEA
+SDSELADADKASVASEVSTTSSTSKPPTGRRNISRIDLLAQPRRTRLGSLSARSDSEATI
+SRSSASSRTAEAIIRSGARLVPSDKFSPRIRANSISRLSDSKVKSMTSAHGSASVNSRWR
+RFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRLQSAGSAMPTSSSFKHRIKEQEDY
+IRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTVSTAATTPGSAIDTR
+EELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSGDPRPQAAEPPDHLTITRRRTWSRDEV
+MGDNLLLSSVFQFSKKIRQSIDKTAGKIRILFKDKDRNWDDIESKLRAESEVPIVKTSSM
+EISSILQELKRVEKQLQAINAMIDPDGTLEALNN
+>O15078_PF16574_1289 <unknown description>
+TMIQLQNDKLKIMQEMKNSQQEHRNMENKTLEMELKLKGLEELISTLKDTKGAQKVINWH
+MKIEELRLQELKLNRELVKDKEEIKYLNNIISEYERTISSLEEEIVQQNKFHEERQMAWD
+QREVDLER
+>Q9C0D2_PF15309_2478 <unknown description>
+SLQEAFIKRKKSFMERSHQRQKEIRNKIHVSENSQIKTVKEKPSISSSVSRLKGVNKVRA
+SFPEDRKTTQALRHQRGLRLYNQLAEVKQQKEEKTKQEAYAQNRARAKEFHKKTLEKLRA
+K
+>Q5VT06_PF01302_2499 <unknown description>
+IGDRVLIGNVQPGILRFKGETSFAKGFWAGVELDKPEGNNNGTYDGIAYFECKEKHGIFA
+PPQKI
+>Q5VT06_PF14309_2964 <unknown description>
+ESTSKRVYKQAVFDLTKEIFEEIFAEDPNLNQPVWMKPCRINSSYFRRVKNPNNLDEIKS
+FIASEVLKLFSLKKEPNHKTDWQKMMKFGRKKRDRVDHILVQELHEEEAQWVNYDEDELC
+VKMQLADGIFETLIK
+>Q8IYX8_PF14073_54 <unknown description>
+ALILALKTLQEKIHRLELERTQAEDNLNILSREAAQYKKALENETNERNLAHQELIKQKK
+DISIQLSSAQSRCTLLEKQLEYTKRMVLNVEREKNMILEQQAQLQREKEQDQMKLYAKLE
+KLDVLEKECFRLTTTQKTAEDKIKHLEEKLKEEEHQRKLFQDKASELQTGLEISKIIM
+>Q8IYX8_PF06657_312 <unknown description>
+DSEKSISICDNLSELLMAMQDELDQMSMEHQELLKQMKETESHSVCDDIECELECLLKKM
+EIKGEQISKLKKH
+>Q2WEN9_PF13895_137 <unknown description>
+ANSTALVERRDTLRLMCSSPSPTAEVRWFFNGGALPVALRLGLSPDGRVLARHGIRREEA
+GAYQCEVWNPVSVSRSEPINLTV
+>Q2WEN9_PF13895_245 <unknown description>
+NTSLTLWCVSRSCPEPEYVWTFNGQALKNGQDHLNISSMTAAQEGTYTCIAKNTKT
+>Q2WEN9_PF07686_323 <unknown description>
+PVPTKPTEGQDVTLTVQGYPKDLLVYAWYRGPASEPNRLLSQLPSGTWIAGPAHTGREVG
+FPNCSLLVQKLNLTDTGRYTLKTVTVQGKTETLEVELQV
+>Q7Z692_PF07686_46 <unknown description>
+NQDLLLSVQGVPDTFQDFNWYLGEETYGGTRLFTYIPGIQRPQRDGSAMGQRDIVGFPNG
+SMLLRRAQPTDSGTYQVAITINSEWTMKAKTEVQV
+>Q6UY09_PF13927_69 <unknown description>
+KPSIAVSPGTAIEQKDMVTFYCTTKDVNITIHWVSNNLSIVFHERMQLSKDGKILTILIV
+QREDSGTYQCEAR
+>Q6UY09_PF13927_259 <unknown description>
+VPSSLNLVENARSVDLTCQTVNQSVNVQWFLSGQPLLPSEHLQLSADNRTLIIHGLQRND
+TGPYACEVWN
+>Q6UY09_PF13927_362 <unknown description>
+TIEAELNSSLTLQCWAESKPGAEYRWTLEHSTGEHLGEQLIIRALTWEHDGIYNCTASN
+>Q3KPI0_PF07686_40 <unknown description>
+SAPFEVAEGENVHLSVVYLPENLYSYGWYKGKTVEPNQLIAAYVIDTHVRTPGPAYSGRE
+TISPSGDLHFQNVTLEDTGYYNLQVTYRNSQIEQASHHLRV
+>Q3KPI0_PF13895_146 <unknown description>
+QPSIQASSTTVTEKGSVVLTCHTNNTGTSFQWIFNNQRLQVTKRMKLSWFNHVLTIDPIR
+QEDAGEYQCEVSNPVSSNRSDPLKLTV
+>P13688_PF07686_39 <unknown description>
+ESMPFNVAEGKEVLLLVHNLPQQLFGYSWYKGERVDGNRQIVGYAIGTQQATPGPANSGR
+ETIYPNASLLIQNVTQNDTGFYTLQVIKSDLVNEEATGQFHV
+>P13688_PF13927_146 <unknown description>
+KPSISSNNSNPVEDKDAVAFTCEPETQDTTYLWWINNQSLPVSPRLQLSNGNRTLTLLSV
+TRNDTGPYECEIQN
+>P13688_PF13895_240 <unknown description>
+PTISPSDTYYRPGANLSLSCYAASNPPAQYSWLINGTFQQSTQELFIPNITVNNSGSYTC
+HANNSVTGCNRTTVKTI
+>P13688_PF00047_332 <unknown description>
+ASKTTVTGDKDSVNLTCSTNDTGISIRWFFKNQSLPSSERMKLSQGNTTLSINPVKREDA
+GTYWCEVFNPISKNQS
+>P40198_PF07686_39 <unknown description>
+ESMPLSVAEGKEVLLLVHNLPQHLFGYSWYKGERVDGNSLIVGYVIGTQQATPGAAYSGR
+ETIYTNASLLIQNVTQNDIGFYTLQVIKSDLVNEEATGQFHV
+>O75871_PF07686_43 <unknown description>
+SSAAEGKDVLLLACNISETIQAYYWHKGKTAEGSPLIAGYITDIQANIPGAAYSGRETVY
+PNGSLLFQNITLEDAGSYTLRTINASYDSDQATGQLHVH
+>P06731_PF07686_40 <unknown description>
+STPFNVAEGKEVLLLVHNLPQHLFGYSWYKGERVDGNRQIIGYVIGTQQATPGPAYSGRE
+IIYPNASLLIQNIIQNDTGFYTLHVIKSDLVNEEATGQFRV
+>P06731_PF13927_146 <unknown description>
+KPSISSNNSKPVEDKDAVAFTCEPETQDATYLWWVNNQSLPVSPRLQLSNGNRTLTLFNV
+TRNDTASYKCETQN
+>P06731_PF13895_239 <unknown description>
+APTISPLNTSYRSGENLNLSCHAASNPPAQYSWFVNGTFQQSTQELFIPNITVNNSGSYT
+CQAHNSDTGLNRTTVTTITV
+>P06731_PF13927_324 <unknown description>
+KPFITSNNSNPVEDEDAVALTCEPEIQNTTYLWWVNNQSLPVSPRLQLSNDNRTLTLLSV
+TRNDVGPYECGIQN
+>P06731_PF13895_418 <unknown description>
+PTISPSYTYYRPGVNLSLSCHAASNPPAQYSWLIDGNIQQHTQELFISNITEKNSGLYTC
+QANNSASGHSRTTVKTIT
+>P06731_PF13927_502 <unknown description>
+KPSISSNNSKPVEDKDAVAFTCEPEAQNTTYLWWVNGQSLPVSPRLQLSNGNRTLTLFNV
+TRNDARAYVCGIQN
+>P06731_PF13895_596 <unknown description>
+PIISPPDSSYLSGANLNLSCHSASNPSPQYSWRINGIPQQHTQVLFIAKITPNNNGTYAC
+FVSNLATG
+>P40199_PF07686_40 <unknown description>
+STPFNVAEGKEVLLLAHNLPQNRIGYSWYKGERVDGNSLIVGYVIGTQQATPGPAYSGRE
+TIYPNASLLIQNVTQNDTGFYTLQVIKSDLVNEEATGQFHV
+>P40199_PF13927_146 <unknown description>
+KPSISSNNSNPVEDKDAVAFTCEPEVQNTTYLWWVNGQSLPVSPRLQLSNGNMTLTLLSV
+KRNDAGSYECEIQN
+>P40199_PF13895_240 <unknown description>
+PTISPSKANYRPGENLNLSCHAASNPPAQYSWFINGTFQQSTQELFIPNITVNNSGSYMC
+QAHNSATGLNRTTVT
+>Q14002_PF07686_43 <unknown description>
+FNVAEGKEVLLVVHNESQNLYGYNWYKGERVHANYRIIGYVKNISQENAPGPAHNGRETI
+YPNGTLLIQNVTHNDAGIYTLHVIKENLVNEEVTRQFYV
+>Q14002_PF13927_147 <unknown description>
+KPSITSNNFNPVENKDIVVLTCQPETQNTTYLWWVNNQSLLVSPRLLLSTDNRTLVLLSA
+TKNDIGPYECEIQN
+>P31997_PF07686_42 <unknown description>
+PSNAAEGKEVLLLVHNLPQDPRGYNWYKGETVDANRRIIGYVISNQQITPGPAYSNRETI
+YPNASLLMRNVTRNDTGSYTLQVIKLNLMSEEVTGQFSV
+>P31997_PF13927_146 <unknown description>
+KPSISSNNSNPVEDKDAVAFTCEPETQNTTYLWWVNGQSLPVSPRLQLSNGNRTLTLLSV
+TRNDVGPYECEIQN
+>P31997_PF13895_239 <unknown description>
+APTISPSDTYYHAGVNLNLSCHAASNPPSQYSWSVNGTFQQYTQKLFIPNITTKNSGSYA
+CHTTNSATGRNRTTV
+>P49715_PF07716_282 <unknown description>
+SNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRE
+>P17676_PF07716_270 <unknown description>
+HSDEYKIRRERNNIAVRKSRDKAKMRNLETQHKVLELTAENERLQKKVEQLSRE
+>P49716_PF07716_191 <unknown description>
+SPEYRQRRERNNIAVRKSRDKAKRRNQEMQQKLVELSAENEKLHQRVEQLTR
+>Q15744_PF07716_204 <unknown description>
+SLEYRLRRERNNIAVRKSRDKAKRRILETQQKVLEYMAENERLRSRVEQLTQE
+>P53567_PF07716_62 <unknown description>
+SDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKE
+>Q03701_PF03914_523 <unknown description>
+SVQALMLLFQVMNSQQTISDRYYTALYRKMLDPGLMTCSKQAMFLNLVYKSLKADIVLRR
+VKAFVKRLLQVTCQQMPPFICGALYLVSEILKAKPGLRSQLDDHPESDDEENFIDANDDE
+DMEKFTDADKETEIVKKLETEETVPETDVETKKPEVASWVHFDNLKGGKQLNKYDPFSRN
+PLFCGAENTSLWELKKLSVHFHPSVALFA
+>Q9BXF3_PF00439_425 <unknown description>
+KVLDVVKAHKDSWPFLEPVDESYAPNYYQIIKAPMDISSMEKKLNGGLYCTKEEFVNDMK
+TMFRNCRKYNGESSEYTKM
+>Q711Q0_PF15232_1270 <unknown description>
+YPATQKVLQDPQSGEYFVFDLPLQVKIKTFYDPETGKYVKVSIPSSEGASPEPPPPDALA
+APYVLYPGFQP
+>Q16739_PF13506_106 <unknown description>
+PNVDARLFIGGKKVGINPKINNLMPGYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKV
+GLVHGLPYVADRQGFAATLEQVYFGTSHPRYYISANVTGFKCVTGMSCLMRKDVLDQAGG
+LIAFAQYIAEDYFMAKAIADRGWRFAMSTQVAMQNSGSYSISQFQSRMIRWTK
+>Q9UHN6_PF10162_128 <unknown description>
+DSAKQVVIKEGDMLRLTSDATVHSIVIQDGGLLVFGDNKDGSRNITLRTHYILIQDGGAL
+HIGAEKCRYKSKATITLYGKSDEGESMPTFGKKFIGVEAGGTLELHGARKASWTLLA
+>Q9UHN6_PF15711_265 <unknown description>
+RGLNVRVIDQDTAKILESERFDTHEYRNESRRLQEFLRFQDPGRIVAIAVGDSAAKSLLQ
+GTIQMIQERLGSELIQGLGYRQAWALVGVI
+>Q9UHN6_PF15711_1244 <unknown description>
+GVLLLVVDPCSVPFRLTEKTVFPLADVSRIEEYLKTGIPPRSIVLLSTRGEIKQLNISHL
+LVPLGLAKPAHLYDKGSTIFLGFSG
+>P08217_PF00089_29 <unknown description>
+VVGGEEARPNSWPWQVSLQYSSNGKWYHTCGGSLIANSWVLTAAHCISSSRTYRVGLGRH
+NLYVAESGSLAVSVSKIVVHKDWNSNQISKGNDIALLKLANPVSLTDKIQLACLPPAGTI
+LPNNYPCYVTGWGRLQTNGAVPDVLQQGRLLVVDYATCSSSAWWGSSVKTSMICAGGDGV
+ISSCNGDSGGPLNCQASDGRWQVHGIVSFGSRLGCNYYHKPSVFTRVSNYIDWI
+>P08218_PF00089_30 <unknown description>
+LGGEEARPNSWPWQVSLQYSSNGQWYHTCGGSLIANSWVLTAAHCISSSGIYRVMLGQHN
+LYVAESGSLAVSVSKIVVHKDWNSDQVSKGNDIALLKLANPVSLTDKIQLACLPPAGTIL
+PNNYPCYVTGWGRLQTNGALPDDLKQGQLLVVDYATCSSSGWWGSTVKTNMICAGGDGVI
+CTCNGDSGGPLNCQASDGRWEVHGIGSLTSVLGCNYYYKPSIFTRVSNYNDWI
+>P09093_PF00089_30 <unknown description>
+VHGEDAVPYSWPWQVSLQYEKSGSFYHTCGGSLIAPDWVVTAGHCISRDLTYQVVLGEYN
+LAVKEGPEQVIPINSEELFVHPLWNRSCVACGNDIALIKLSRSAQLGDAVQLASLPPAGD
+ILPNKTPCYITGWGRLYTNGPLPDKLQQARLPVVDYKHCSRWNWWGSTVKKTMVCAGGYI
+RSGCNGDSGGPLNCPTEDGGWQVHGVTSFVSAFGCNFIWKPTVFTRVSAFIDWI
+>P08861_PF00089_29 <unknown description>
+VVNGEDAVPYSWPWQVSLQYEKSGSFYHTCGGSLIAPDWVVTAGHCISSSRTYQVVLGEY
+DRAVKEGPEQVIPINSGDLFVHPLWNRSCVACGNDIALIKLSRSAQLGDAVQLASLPPAG
+DILPNETPCYITGWGRLYTNGPLPDKLQEALLPVVDYEHCSRWNWWGSSVKKTMVCAGGD
+IRSGCNGDSGGPLNCPTEDGGWQVHGVTSFVSAFGCNTRRKPTVFTRVSAFIDWI
+>Q9UNI1_PF00089_19 <unknown description>
+VVGGTEAGRNSWPSQISLQYRSGGSRYHTCGGTLIRQNWVMTAAHCVDYQKTFRVVAGDH
+NLSQNDGTEQYVSVQKIVVHPYWNSDNVAAGYDIALLRLAQSVTLNSYVQLGVLPQEGAI
+LANNSPCYITGWGKTKTNGQLAQTLQQAYLPSVDYAICSSSSYWGSTVKNTMVCAGGDGV
+RSGCQGDSGGPLHCLVNGKYSVHGVTSFVSSRGCNVSRKPTVFTQVSAYISWI
+>Q5SZQ8_PF00076_9 <unknown description>
+LFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDSALKAQSAL
+HEQKTLPG
+>Q5SZQ8_PF00076_97 <unknown description>
+LFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLH
+SSRTLP
+>Q5SZQ8_PF00076_382 <unknown description>
+IFIYHLPQEFTDSEILQMFVPFGHVISAKVFVDRATNQSKCFGFVSFDNPASAQAAIQAM
+NGFQIGMKRL
+>Q9BZC1_PF00076_56 <unknown description>
+LFIGQIPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSAL
+HEQKTLPG
+>Q9BZC1_PF00076_154 <unknown description>
+LFVGMLNKQQSEDDVRRLFEAFGNIEECTILRGPDGNSKGCAFVKYSSHAEAQAAINALH
+GSQT
+>Q9BZC1_PF00076_442 <unknown description>
+LPFGFVSFDNPASAQTAIQAMNGFQIGMKRL
+>Q8N6W0_PF00076_47 <unknown description>
+LFVGQIPRHLDEKDLKPLFEQFGRIYELTVLKDPYTGMHKGCAFLTYCARDSAIKAQTAL
+HEQKTLPG
+>Q8N6W0_PF00076_136 <unknown description>
+LFVGMLNKQQSEEDVLRLFQPFGVIDECTVLRGPDGSSKGCAFVKFSSHTEAQAAIHALH
+GSQ
+>Q8N6W0_PF00076_402 <unknown description>
+LFIYHLPQEFGDTELTQMFLPFGNIISSKVFMDRATNQSKCFGFVSFDNPASAQAAIQAM
+NGFQIGMKRL
+>Q96J87_PF00076_48 <unknown description>
+LFVGQIPRGLDEQDLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSAL
+HEQKTLPG
+>Q96J87_PF00076_136 <unknown description>
+LFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKGCAFVKFGSQGEAQAAIRGLH
+GSR
+>Q96J87_PF00076_398 <unknown description>
+LFIYHLPQEFGDAELIQTFLPFGAVVSAKVFVDRATNQSKCFGFVSFDNPTSAQTAIQAM
+NGFQIGMKRL
+>Q9HCU4_PF00028_186 <unknown description>
+YQATVPENQPAGTPVASLRAIDPDEGEAGRLEYTMDALFDSRSNQFFSLDPVTGAVTTAE
+ELDRETKSTHVFRVTAQDHGMPRRSALATLTILVT
+>Q9HCU4_PF00028_295 <unknown description>
+KESLRENLEVGYEVLTVRATDGDAPPNANILYRLLEGSGGSPSEVFEIDPRSGVIRTRGP
+VDREEVESYQLTVEASDQGRDPGPRSTTAAV
+>Q9HCU4_PF00028_404 <unknown description>
+YVVQVREDVTPGAPVLRVTASDRDKGSNAVVHYSIMSGNARGQFYLDAQTGALDVVSPLD
+YETTKEYTLRVRAQDGGRPPLSNVSGLVTVQV
+>Q9HCU4_PF00028_511 <unknown description>
+QATVLESVPLGYLVLHVQAIDADAGDNARLEYRLAGVGHDFPFTINNGTGWISVAAELDR
+EEVDFYSFGVEARDHGTPALTASASVSVTVL
+>Q9HCU4_PF00028_615 <unknown description>
+YTVRLNEDAAVGTSVVTVSAVDRDAHSVITYQITSGNTRNRFSITSQSGGGLVSLALPLD
+YKLERQYVLAVTASDGTRQDTAQIVVNVT
+>Q9HCU4_PF00028_717 <unknown description>
+YTVNVNEDRPAGTTVVLISATDEDTGENARITYFMEDSIPQFRIDADTGAVTTQAELDYE
+DQVSYTLAITARDNGIPQKSDTTYLEILV
+>Q9HCU4_PF00028_820 <unknown description>
+YQGSVYEDVPPFTSVLQISATDRDSGLNGRVFYTFQGGDDGDGDFIVESTSGIVRTLRRL
+DRENVAQYVLRAYAVDKGMPPARTPMEVTVTVL
+>Q9HCU4_PF00028_928 <unknown description>
+VFVEENSPIGLAVARVTATDPDEGTNAQIMYQIVEGNIPEVFQLDIFSGELTALVDLDYE
+DRPEYVLVIQATSAPLVSRATVHVRL
+>Q9HCU4_PF12661_1337 <unknown description>
+CKNGGTCVNLLVGGFKCDCPSG
+>Q9HCU4_PF02210_1395 <unknown description>
+FATKERDGLLLYNGRFNEKHDFVALEVIQEQVQLTFSAGESTTTVSPFVPGGVSDGQWHT
+VQLKYYNKPLLGQTGLPQGPSEQKVAVVTVDGCDTGVALRFGSVLGNYSCAAQGTQGGSK
+KSLDLTGPLLLGGVPDLPESFPVRMRQFVGCMRNLQVDS
+>Q9HCU4_PF00008_1578 <unknown description>
+CDSNTCHNGGTCVNQWDAFSCECPLGFGGK
+>Q9HCU4_PF02210_1644 <unknown description>
+FRTRQADGVLLQAITRGRSTITLQLREGHVMLSVEGTGLQASSLRLEPGRANDGDWHHAQ
+LALGASGGPGHAILSFDYGQQRAEGNLGPRLHGLHLSNITVGGIPGPAGGVARGFRGCLQ
+GVRVSD
+>Q9HCU4_PF00053_1924 <unknown description>
+CDCYPTGSLSRVCDPEDGQCPCKPGVIGRQCDRCDNPFAEV
+>Q9HCU4_PF16489_2052 <unknown description>
+SQQLALLLRNATQHTAGYFGSDVKVAYQLATRLLAHESTQRGFGLSATQDVHFTENLLRV
+GSALLDTANKRHWELIQQTEGGTAWLLQHYEAYASALAQNMRHTYLSPFTIVTPNIVISV
+VRLDKGNFAGAKLPRYEALRGEQPPDLETTVILPESVFRETPPVVRPAGPGEAQEPEELA
+RRQRRHPELSQGEAVASVIIYRTLAGLLPHNYDPDKRSLRVPKRPIINTPVVSISVH
+>Q9HCU4_PF01825_2317 <unknown description>
+PICVFWNHSILVSGTGGWSARGCEVVFRNESHVSCQCNHMTSFAVL
+>Q9HCU4_PF00002_2376 <unknown description>
+LKTLTYVALGVTLAALLLTFFFLTLLRILRSNQHGIRRNLTAALGLAQLVFLLGINQADL
+PFACTVIAILLHFLYLCTFSWALLEALHLYRALTEVRDVNTGPMRFYYMLGWGVPAFITG
+LAVGLDPEGYGNPDFCWLSIYDTLIWSFAGPVAFAVSMSVFLYILAARASCAAQRQGFEK
+KGPVSGLQPSFAVLLLLSATWLLALLSVNSDTLLFHYLFATCNCIQGPFI
+>Q9NYQ7_PF00028_330 <unknown description>
+YQTLVPENEAAGTAVLRVVAQDPDAGEAGRLVYSLAALMNSRSLELFSIDPQSGLIRTAA
+ALDRESMERHYLRVTAQDHGSPRLSATTMVAVTV
+>Q9NYQ7_PF00028_440 <unknown description>
+ETLRENVEEGYPILQLRATDGDAPPNANLRYRFVGPPAARAAAAAAFEIDPRSGLISTSG
+RVDREHMESYELVVEASDQGQEPGPRSATVRVHITV
+>Q9NYQ7_PF00028_550 <unknown description>
+YVAQVREDVRPHTVVLRVTATDRDKDANGLVHYNIISGNSRGHFAIDSLTGEIQVVAPLD
+FEAEREYALRIRAQDAGRPPLSNNT
+>Q9NYQ7_PF00028_656 <unknown description>
+FQVSVLENAPLGHSVIHIQAVDADHGENARLEYSLTGVAPDTPFVINSATGWVSVSGPLD
+RESVEHYFFGVEARDHGSPPLSASASVTVTVL
+>Q9NYQ7_PF00028_761 <unknown description>
+YHLRLNEDAAVGTSVVSVTAVDRDANSAISYQITGGNTRNRFAISTQGGVGLVTLALPLD
+YKQERYFKLVLTASDRALHDHCYVHINIT
+>Q9NYQ7_PF00028_863 <unknown description>
+YSVSVNEDRPMGSTIVVISASDDDVGENARITYLLEDNLPQFRIDADSGAITLQAPLDYE
+DQVTYTLAITARDNGIPQKADTTYVEVMV
+>Q9NYQ7_PF00028_966 <unknown description>
+YTGLVSEDAPPFTSVLQISATDRDAHANGRVQYTFQNGEDGDGDFTIEPTSGIVRTVRRL
+DREAVSVYELTAYAVDRGVPPLRTPVSIQVMVQ
+>Q9NYQ7_PF00028_1073 <unknown description>
+EVRVKENSIVGSVVAQITAVDPDEGPNAHIMYQIVEGNIPELFQMDIFSGELTALIDLDY
+EARQEYVIVVQATSAPLVSRATVHVRL
+>Q9NYQ7_PF00008_1439 <unknown description>
+CYSNPCRNGGACARREGGYTCVCRPRFTGE
+>Q9NYQ7_PF02210_1543 <unknown description>
+FATVQQSGLLFYNGRLNEKHDFLALELVAGQVRLTYSTGESNTVVSPTVPGGLSDGQWHT
+VHLRYYNKPRTDALGGAQGPSKDKVAVLSVDDCDVAVALQFGAEIGNYSCAAAGVQTSSK
+KSLDLTGPLLLGGVPNLPENFPVSHKDFIGCMRDLHIDGR
+>Q9NYQ7_PF00008_1726 <unknown description>
+CDSGPCKNSGFCSERWGSFSCDCPVGFGGK
+>Q9NYQ7_PF02210_1793 <unknown description>
+FRTRATQGVLMQVQAGPHSTLLCQLDRGLLSVTVTRGSGRASHLLLDQVTVSDGRWHDLR
+LELQEEPGGRRGHHVLMVSLDFSLFQDTMAVGSELQGLKVKQLHVGGLPPGSAEEAPQGL
+VGCIQGVWLGS
+>Q9NYQ7_PF00053_2077 <unknown description>
+CDCYPVGSTSRSCAPHSGQCPCRPGALGRQCNSCDSPFAEV
+>Q9NYQ7_PF16489_2204 <unknown description>
+EAKKLAQRLREVTGHTDHYFSQDVRVTARLLAHLLAFESHQQGFGLTATQDAHFNENLLW
+AGSALLAPETGDLWAALGQRAPGGSPGSAGLVRHLEEYAATLARNMELTYLNPMGLVTPN
+IMLSIDRMEHPSSPRGARRYPRYHSNLFRGQDAWDPHTHVLLPSQSPRPSPSEVLPTSSS
+IENSTTSSVVPPPAPPEPEPGISIIILLVYRTLGGLLPAQFQAERRGARLPQNPVMNSPV
+VSVAVF
+>Q9NYQ7_PF01825_2479 <unknown description>
+ICVQWDPPGLAEQHGVWTARDCELVHRNGSHARCRCSRTGTFGVL
+>Q9NYQ7_PF00002_2538 <unknown description>
+LLAVFTHVVVAVSVAALVLTAAILLSLRSLKSNVRGIHANVAAALGVAELLFLLGIHRTH
+NQLVCTAVAILLHYFFLSTFAWLFVQGLHLYRMQVEPRNVDRGAMRFYHALGWGVPAVLL
+GLAVGLDPEGYGNPDFCWISVHEPLIWSFAGPVVLVIVMNGTMFLLAARTSCSTGQREAK
+KTSALTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGLQGLAV
+>P19835_PF00135_26 <unknown description>
+VYTEGGFVEGVNKKLGLLGDSVDIFKGIPFAAPTKALENPQPHPGWQGTLKAKNFKKRCL
+QATITQDSTYGDEDCLYLNIWVPQGRKQVSRDLPVMIWIYGGAFLMGSGHGANFLNNYLY
+DGEEIATRGNVIVVTFNYRVGPLGFLSTGDANLPGNYGLRDQHMAIAWVKRNIAAFGGDP
+NNITLFGESAGGASVSLQTLSPYNKGLIRRAISQSGVALSPWVIQKNPLFWAKKVAEKVG
+CPVGDAARMAQCLKVTDPRALTLAYKVPLAGLEYPMLHYVGFVPVIDGDFIPADPINLYA
+NAADIDYIAGTNNMDGHIFASIDMPAINKGNKKVTEEDFYKLVSEFTITKGLRGAKTTFD
+VYTESWAQDPSQENKKKTVVDFETDVLFLVPTEIALAQHRANAKSAKTYAYLFSHPSRMP
+VYPKWVGADHADDIQYVFGKPFATPTGYRPQDRTVSKAMIAYWTNFAKTGDPNMGDSAVP
+THWEPYTTENSGYLEITKKMGSSSMKRSLRTNFLRYW
+>P19835_PF16058_554 <unknown description>
+DQEATPVPPTGDSEATPVPPTGDSETAPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAP
+PVPPTGDSGAPPVPPTGDSG
+>P19835_PF16058_624 <unknown description>
+PPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDAGPPPVPP
+TGDSGAPPVPPTGDSGAPPVTPTGD
+>P19835_PF16058_679 <unknown description>
+PPVPPTGDSGAPPVPPTGDSGAPPVTPTGDSETAPVPPTGDSGAPPVPPTGDSEAAPVPP
+TDDSKEAQM
+>Q8WUJ3_PF10162_51 <unknown description>
+DQDHHVHIGQGKTLLLTSSATVYSIHISEGGKLVIKDHDEPIVLRTRHILIDNGGELHAG
+SALCPFQGNFTIILYGRADEGIQPDPYYGLKYIGVGKGGALELHGQKKLSWTFLN
+>Q8WUJ3_PF15711_187 <unknown description>
+RGVIVHVIDPKSGTVIHSDRFDTYRSKKESERLVQYLNAVPDGRILSVAVNDEGSRNLDD
+MARKAMTKLGSKHFLHLGFRHPWSFLTVKGN
+>Q8WUJ3_PF13330_325 <unknown description>
+WTEWFDHDKVSQTKGGEKISDLWKAHPGKICNRPIDIQATTMDGVNLSTEVVYKKGQDYR
+FACYDRGRACRSYRVRFLC
+>Q8WUJ3_PF15711_1245 <unknown description>
+GIQVVVIDGNQGRVVSHTSFRNSILQGIPWQLFNYVATIPDNSIVLMASKGRYVSRGPWT
+RVLEKLGADRGLKLKEQMAFVGFKGS
+>Q8N111_PF15677_1 <unknown description>
+MESRGKSASSPKPDTKVPQVTTEAKVPPAADGKAPLTKPSKKEAPAEKQQPPAAPTTAPA
+KKTSAKADPALLNNHSNLKPAPTVPSSPDATPEPKGPGDGAEEDEAASGGPGGRGPWSCE
+NFNPLLVAGGVAVAAIALILGVAFLVRKK
+>A0A0U1RR11_PF04828_157 <unknown description>
+CSCRLCRKKQHRHFLVPASRFTLLQGAESIVTYRSNTHPALHSFCSRCGVQSFHAAVSDP
+RVYGVAPHCLDEG
+>P0DPI3_PF04828_157 <unknown description>
+CSCRLCRKKQHRHFLVPASRFTLLQGAESIVTYRSNTHPALHSFCSRCGVQSFHAAVSDP
+RVYGVAPHCLDEG
+>A0A0U1RRI6_PF04828_157 <unknown description>
+CSCRLCRKKQHRHFLVPASRFTLLQGAESIVTYRSNTHPALHSFCSRCGVQSFHAAVSDP
+RVYGVAPHCLDEG
+>P49450_PF00125_11 <unknown description>
+EAPRRRSPSPTPTPGPSRRGPSLGASSHQHSRRRQGWLKEIRKLQKSTHLLIRKLPFSRL
+AREICVKFTRGVDFNWQAQALLALQEAAEAFLVHLFEDAYLLTLHAGRVTLFPKDVQLAR
+RIR
+>P07199_PF04218_3 <unknown description>
+PKRRQLTFREKSRIIQEVEENPDLRKGEIARRFNIPPSTLSTILKNKRAILAS
+>P07199_PF03221_74 <unknown description>
+YDKLEGLLIAWFQQIRAAGLPVKGIILKEKALRIAEELGMDDFTASNGWLDRFRRRHGVV
+>P07199_PF03184_223 <unknown description>
+QRLSVLLCANADGSEKLPPLVAGKSAKPRAGQAGLPCDYTANSKGGVTTQALAKYLKALD
+TRMAAESRRVLLLAGRLAAQSLDTSGLRHVQLAFFPPGTVHPLERGVVQQVKGHYRQAML
+LKAMAALEGQDPSGLQLGLTEALHFVAAAWQAVEPSDIAACF
+>P07199_PF09026_499 <unknown description>
+PTLHFLEGGEDSDSDSEEEDDEEEDDEDEDDDDDEEDGDEVPVPSFGEAMAYFAMVKRYL
+TSFPIDDRVQSHILHLEHDLVHVTRKNHARQAGVRGLGHQ
+>Q03188_PF15622_7 <unknown description>
+DHLKNGYRRRFCRPSRARDINTEQGQNVLEILQDCFEEKSLANDFSTNSTKSVPNSTRKI
+KDTCIQSPSKECQKSHPKSVPVSSKKKEASLQFVVEPSEATNRSVQAHEVHQKILATDVS
+SKNTPDSKKISSRNINDHHSEADEEFYLSVGSPSVLLDAKTSVSQNVIPSSAQKRETYTF
+ENSVNMLPSSTEVSVKTKKRLNFDDKVMLKKIEIDNKVSDEEDKTSEGQERKPSGSSQNR
+IRDSEYEIQRQAKKSFSTLFLETVKRKSESSPIVRHAATAPPHSCP
+>Q03188_PF15620_296 <unknown description>
+TKLIEDEFIIDESDQSFASRSWITIPRKAGSLKQRTISPAESTALLQGRKSREKHHNILP
+KTLANDKHSHKPHPVETSQPSDKTVLDTSYALIGETVNNYRSTKYEMYSKNAEKPSRSKR
+TIKQKQRRKFMAKPAEEQLDVGQSKDENIHTSHITQDEFQRNSDRNMEEHEEMGNDCVSK
+KQMPPVGSKKSSTRKDKEESKKKRFSSESKNKLVPEEVTSTVTKSRRISRRPSDWWVVKS
+EESPVYSNSSVRNELP
+>Q03188_PF11699_856 <unknown description>
+LKVYKTLDTPFFSTGKLILGPQEEKGKQHVGQDILVFYVNFGDLLCTLHETPYILSTGDS
+FYVPSGNYYNIKNLRNEESVLLFTQ
+>Q02224_PF00225_12 <unknown description>
+RVRPLNSREESLGETAQVYWKTDNNVIYQVDGSKSFNFDRVFHGNETTKNVYEEIAAPII
+DSAIQGYNGTIFAYGQTASGKTYTMMGSEDHLGVIPRAIHDIFQKIKKFPDREFLLRVSY
+MEIYNETITDLLCGTQKMKPLIIREDVNRNVYVADLTEEVVYTSEMALKWITKGEKSRHY
+GETKMNQRSSRSHTIFRMILESREKGEPSNCEGSVKVSHLNLVDLAGSERAAQTGAAGVR
+LKEGCNINRSLFILGQVIKKLSDGQVGGFINYRDSKLTRILQNSLGGNAKTRIICTITPV
+SFDETLTALQFASTAK
+>P49454_PF10481_1 <unknown description>
+MSWALEEWKEGLPTRALQKIQELEGQLDKLKKEKQQRQFQLDSLEAALQKQKQKVENEKT
+EGTNLKRENQRLMEICESLEKTKQKISHELQVKESQVNFQEGQLNSGKKQIEKLEQELKR
+CKSELERSQQAAQSADVSLNPCNTPQKIFTTPLTPSQYYSGSKYEDLKEKYNKEVEERKR
+LEAEVKALQAKKASQTLPQATMNHRDIARHQASSSVFSWQQEKTPSHLSSNSQRTPIRRD
+FSASYFSGEQEVTPSRSTLQIGKRDANSSFFDNSSSPHLLDQLKAQNQELRNKINELELR
+LQGHEKE
+>P49454_PF10473_1893 <unknown description>
+WKERFLDVENELSRIRSEKASIEHEALYLEADLEVVQTEKLCLEKDNENKQKVIVCLEEE
+LSVVTSERNQLRGELDTMSKKTTALDQLSEKMKEKTQELESHQSECLHCIQVAEAEVKEK
+TELLQTLSSDVSELLKDKTHLQE
+>P49454_PF10473_2131 <unknown description>
+DEKKQLHIAEKLKERERENDSLKDKVENLERELQMSEENQELVILDAENSKAEVETLKTQ
+IEEMARSLKVFELDLVTLRSEKENLTKQIQEKQGQLSELDKLLSSFKSLLEEKEQAEIQI
+KEESKTAVEMLQNQLKELNE
+>P49454_PF10473_2313 <unknown description>
+DEKKQLCVLQQLKESEHHADLLKGRVENLERELEIARTNQEHAALEAENSKGEVETLKAK
+IEGMTQSLRGLELDVVTIRSEKENLTNELQKEQERISELEIINSSFENILQEKEQEKVQM
+KEKSSTAMEMLQTQLKELNE
+>P49454_PF10490_2970 <unknown description>
+DTEGTEFEPEGLPEVVKKGFADIPTGKTSPYILRRTTMATRTSP
+>Q9H3R5_PF05837_126 <unknown description>
+LMDNMKHLLELNKLIMKSQQESWDLEEKLLDIRKKRLQLKQASESKLLEIQTEKNKQKID
+LDSMENSERIKIIRQNLQMEIKITTVIQHVFQNLILGSKVNWAEDPALKEIVLQ
+>Q92674_PF07778_9 <unknown description>
+NVQAQNRTSQGSSSFQTTLSAWKVKQDPSNSKNISKHGQNNPVGDYEHADDQAEEDALQM
+AVGYFEKGPIKASQNKDKTLEKHLKTVENVAWKNGLASEEIDILLNIALSGKFGNAVNTR
+ILKCMIPATVISEDSVVKAVSWLCVGKCSGSTKVLFYRWLVAMFDFIDRKEQINLLYGFF
+FASLQDDALCPYVCHLLYLLTKKENVKPFRVRKLLDLQAKMGMQPHLQALLSLYKFFAPA
+LISVSLPVRKKIYFKNSENLWKTALLAVKQRNRGPSPEPLKLMLGPANVRPLKRKWNSLS
+VIPVLNSSSYTKECGKKEMSLSDCLNRSGSFPLEQLQSFPQLLQNIHCLELPSQMGSVLN
+NSLLLHYINCVRDEPVLLRFYYWLSQTLQEECIWYKVNNYEHGKEFTNFLDTIIRAECFL
+QEGFYSCEAFLYKSLPLWDGLCCRSQFLQLVSWIPFSSFSEVKPLLFDHLAQLFFTSTIY
+FKCSVLQSLKELLQNWLLWLSMDIHMKPVTNSP
+>Q9HC77_PF07202_1150 <unknown description>
+QGEISHPDGKVEKVYKNGCRVILFPNGTRKEV
+>Q9HC77_PF07202_1221 <unknown description>
+PEGLEVLHFSSGQIEKHYPDGRKEITFPDQTVK
+>Q9HC77_PF07202_1257 <unknown description>
+PDGQEESIFPDGTIVRVQRDGNKLIEFNNGQRELH
+>Q9HC77_PF07202_1296 <unknown description>
+KRREYPDGTVKTVYANGHQETKYRSGRIR
+>Q9BS16_PF11802_11 <unknown description>
+TTDVGDVTNTEEELIRECEEMWKDMEECQNKLSLIGTETLTDSNAQLSLLIMQVKCLTAE
+LSQWQKKTPETIPLTEDVLITLGKEEFQKLRQDLEMVLSTKESKNEKLKEDLEREQRWLD
+EQQQIMESLNVLHSELKNKVETFSESRIFNELKTKMLNIKEYKEKLLSTLGEFLEDHFPL
+PDRSVKKKKKNIQESSVNLITLHEMLEILINRLFDVPHDPYVKISDSFWPPYVELLLRNG
+IALRHPEDPTRIRLEAFHQ
+>Q8N0S6_PF13092_177 <unknown description>
+DFTCLPLFLANGAESNTAIIGTWFQKTFDCYFSPLAINAFNLSWMAAMWTACKMDHYVAT
+TEFLWSVPCSPQSLDISFAIHPEDAKALWDSVHKTPGEVTQEEVDLFMDCLYSHFHRHFK
+IHLSATRLVRVSTSVASAHTDGKIKIL
+>Q9NSP4_PF11111_1 <unknown description>
+MSVLRPLDKLPGLNTATILLVGTEDALLQQLADSMLKEDCASELKVHLAKSLPLPSSVNR
+PRIDLIVFVVNLHSKYSLQNTEESLRHVDASFFLGKVCFLATGAGRESHCSIHRHTVVKL
+AHTYQSPLLYCDLEVEGFRATMAQRLVRVLQICAGHVPGVSALNLLSLLRS
+>Q96H22_PF05238_5 <unknown description>
+VAEFIKRTILKIPMNELTTILKAWDFLSENQLQTVNFRQRKESVVQHLIHLCEEKRASIS
+DAALLDIIYMQFHQHQKVWEVFQMSKGPGEDVDLFDMKQFKNSFKKILQRALKNVTVSFR
+ETEENAVWIRIAWGTQYTKPNQYKPTYVVYYSQTPYAFTSSSMLRRNTPLLGQALTIASK
+HHQIVKMDLRSRYLDSLKAIVFKQYNQTFETHNSTTPLQERSLGLDINMDSRIIHENIVE
+KERVQRITQETFGDYPQPQLEFAQYKLETKFKSGLNGSILAEREEPLRCLIKFSSPHLLE
+ALKSLAPAGIADAPLSPLLTCIPNKRMNYFKIRDK
+>Q9BU64_PF09496_145 <unknown description>
+QKPLRIHHHSVPVFIPLEEIAAKYLQTNIQHFLFSLCEYLNAYSGRKYQADRLQSDFA
+>Q6IPU0_PF13096_103 <unknown description>
+QRHRLSGNCHMVTFQLEFQILEIQNKERLSSAVTDLNIIMEPTECSELSEFVSRAEERKD
+LFMFFRSLHFFVEWFEYRKRTFKHLKEKYPDAVYLSEGPSSCSMGIRSASRPGFELVIVW
+RIQIDEDGKVFPKLDLLTKVPQRALELDKNRAIETAPLSFRTLVGLLGIEAALESLI
+>Q7L2Z9_PF13094_116 <unknown description>
+RLLQQCETLKVPPKKMEDLTNVSSLLNMERARDKANEEGLALLQEEIDKMVETTELMTGN
+IQSLKNKIQILASEVEEEEERVKQMHQINSSGVLSLPELSQKTLKAPTLQKEILALIPNQ
+NALLKDLDILHNSSQMKSMSTFIEEAYKKLD
+>Q13352_PF06729_25 <unknown description>
+RKKSVITYSPTTGTCQMSLFASPTSSEEQKHRNGLSNEKRKKLNHPSLTESKESTTKDND
+EFMMLLSKVEKLSEEIMEIMQNLSSIQALEGSRELENLIGISCASHFLKREMQKTKELMT
+KVNKQKLFEKSTGLPH
+>Q8N2Z9_PF15630_17 <unknown description>
+QRLKAAVHYTVGCLCEEVALDKEMQFSKQTIAAISELTFRQCENFAKDLEMFARHAKRTT
+INTEDVKLLARRSNSL
+>Q96BT3_PF16171_1 <unknown description>
+MADHNPDSDSTPRTLLRRVLDTADPRTPRRPRSARAGARRALLETASPRKLSGQTRTIAR
+GRSHGARSVGRSAHIQASGHLEEQTPRTLLKNILLTAPESSILMPESVVKPVPAPQAVQP
+SRQESSCGSLELQLPELEPPTTLAPGLLAPGRRKQRLRLSVFQQGVDQGLSLSQEPQGNA
+DASSLTRSLNLTFATPLQPQSVQRPGLARRPPARRAVDVGAFLRDLRDTSLAPPNIVLED
+TQPFSQPMVGSPNVYHSLPCTPHTGAEDAEQAAGRKTQSSGPGLQKNSPGKPAQFLAGEA
+EEVNAFALGFLSTSSGVSGEDEVEPLHDGVEEAEKKMEEEGVSVSEMEATGAQGPSRVEE
+AEGHTEVTEAEGSQGTAEADGPGASSGDEDASGRAASPESASSTPESLQARRHHQ
+>Q96BT3_PF15511_457 <unknown description>
+KAGLSHYVKLFSFYAKMPMERKALEMVEKCLDKYFQHLCDDLEVFAAHAGRKTVKPEDLE
+LLMRRQGLVTDQVSLHVLVERHLPLEYRQLLIPCA
+>Q71F23_PF13097_144 <unknown description>
+ESDTRRKVKSAEKISTQRHEVIRTTASSELSEKPAESVTSKKTGPLSAQPSVEKENLAIE
+SQSKTQKKGKISHDKRKKSRSKAIGSDTSDIVHIWCPEGMKTSDIKELNIVLPEFEKTHL
+EHQQRIESKVCKAAIATFYVNVKEQFIKMLKESQMLTNLKRKNAKMISDIEKKRQRM
+>Q7Z7K6_PF04828_169 <unknown description>
+CNCSICKKKQNRHFIVPASRFKLLKGAEHITTYTFNTHKAQHTFCKRCGVQSFYTPRSNP
+GGFGIAPHCLDEG
+>Q5EE01_PF15510_1 <unknown description>
+MALSTIVSQRKQIKRKAPRGFLKRVFKRKKPQLRLEKSGDLLVHLNCLLFVHRLAEESRT
+NACASKCRVINKEHVLAAAKVILKKSRG
+>A8MT69_PF09415_12 <unknown description>
+KELVSRLLHLHFKDDKTKVSGDALQLMVELLKVFVVEAAVRGVRQAQAEDALRVDVDQLE
+KVLPQLLLDF
+>Q96LK0_PF14933_6 <unknown description>
+KKCGIRFQPPAIILIYESEIKGKIRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKSYLEQV
+SLRQLEKLFSFLRGYLSGQSLAETMEQIQRETTIDPEEDLNKLDDKELAKRKSIMDELFE
+KNQKKKDDPNFVYDIEVEFPQDDQLQSCGWD
+>Q96NB1_PF09398_49 <unknown description>
+ENLLINELIREYLEFNKYKYTASVLIAESGQPVVPLDRQFLIHELNAFEESKDNTIPLLY
+GILAH
+>Q9BYV8_PF00581_174 <unknown description>
+LLLDVRDRDSYQQCHIVGAYSYPIATLSRTMNPYSNDILEYKNAHGKIIILYDDDERLAS
+QAATTMCERGFENLFMLSGGLK
+>O95684_PF09398_54 <unknown description>
+KTPLVNESLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFQPETSTLQGLEGRENLARD
+LGIIEAEGTVGGPLLLEVIRR
+>Q9C0F1_PF15007_5 <unknown description>
+DLKRSLRNLEQVLRLLNYPEEVDCVGLIKGDPAASLPIISYSFTSYSPYVTELIMESNVE
+LIAKNDLRFIDAVYKLLRDQFNYKPILTKKQFIQCGFAEWKIQIVCDILNCVMKKHKELS
+SLQK
+>Q53EZ4_PF12180_171 <unknown description>
+EIQLKDALEKNQQWLVYDQQREVYVKGLLAKIFE
+>Q86XR8_PF14073_68 <unknown description>
+AIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKNEESKHNQ
+ELTSQLLAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLE
+KLDLLEQEYNKLTTMQALAEKKMQELEAKLHEEEQERKRMQAKAAELQTGLETNRLI
+>Q86XR8_PF06657_350 <unknown description>
+PPSSNGINEELSEVLQTLQDEFGQMSFDHQQLAKLIQESPTVELKDKLECELEALVGRME
+AKANQITKVRKY
+>Q96MT8_PF17045_18 <unknown description>
+LTSCEAELQELMKQIDIMVAHKKSEWEGRTHALETCLKIREQELKSLRSQLDVTHKEVGM
+LHQQVEEHEKIKQEMTMEYKQELKKLHEELCILKRSYEKLQKKQMREFRGNTKNHREDRS
+EIERLTAKIEEFRQKSLDWEKQRLIYQQQVSSLEAQRKALAEQSEIIQAQLVNRKQKLES
+VELSSQSEIQHLSSKLERANDTICANELEIERLTMRVNDLVGTSMTVLQEQQQKEEKLRE
+SEKLLEALQEEKRELKAALQSQE
+>Q9P209_PF14580_52 <unknown description>
+SLTGLKSLDLSRNSLVSLEGIQYLTALESLNLYYNCISSLAEVFRLHALTELVDVDFRLN
+PVVKVEPDYRLFVVHLLPKLQQLDDRPVRASERKASRLHFASEDS
+>Q8TAP6_PF15627_100 <unknown description>
+NIDPTRRYLYLQVLGGKAFLEHLQEPEPLPGQVCSTFTLCLHYRNQRFRSKPVPCACEPD
+FHDGFLLEVHRESLGDGTRMADSTTMLSISDPIHMVLIKTDIFGETTLVASYFLEWRSVL
+GSENGVTSLTVELMGVGTESKVSVGILNIKLEMYPPLN
+>Q5JTW2_PF13516_147 <unknown description>
+SASLVHLSLANCPIGDGGLE
+>Q5JTW2_PF13516_257 <unknown description>
+WLRALDLQQCGLTNEGAKAL
+>Q96GE4_PF19016_52 <unknown description>
+IVIPRSQEDDAHNVQAVIDSLALDYLQVSLSHITGENIVKGDKESIKNLLEIFDGL
+>Q8IW35_PF14580_107 <unknown description>
+LNLAGNNLKAMEQINSCTALQHLDLSDNNISQIGDLSKLVSLKTLLLHGNIITSLRMAPA
+YLPRSLAILSLAENEIRDLNEISFLASLTELEQLSIMNNPCVMATPSIPGFDYRPYIVSW
+CLNLRVLDGYVISQKESLKAEWLYSQGKG
+>Q9Y6K0_PF01066_84 <unknown description>
+APNLITIIGLSINICTTILLVFYCPTATEQAPLWAYIACACGLFIYQSLDAIDGKQARRT
+NSSSPLGELFDHGCD
+>O95813_PF03045_144 <unknown description>
+ASQGVILPIKSHEVHWETCRTVPFSQTITHEGCEKVVVQNNLCFGKCGSVHFPGAAQHSH
+TSCSHCLPAKFTTMHLPLNCTELSSVIKVVMLVEECQCKV
+>Q8TCT0_PF00781_132 <unknown description>
+HLLVFINPFGGKGQGKRIYERKVAPLFTLASITTDIIVTEHANQAKETLYEINIDKYDGI
+VCVGGDGMFSEVLHGLIGRTQRSAGVDQNHPRAVLVPSSLRIGIIPAGSTDCVCYSTVGT
+SDAETSALHIVVGDSLAMDVSSV
+>Q8TCT0_PF19280_290 <unknown description>
+YGFYGDIIKDSEKKRWLGLARYDFSGLKTFLSHHCYEGTVSFLPAQHTVGSPRDRKPCRA
+GCFVCRQSKQQLEEEQKKALYGLEAAEDVEEWQVVCGKFLAINATNMSCACRRSPRGLSP
+AAHLGDGSSDLILIRKCSRFNFLRFLIRHTNQQDQFDFTFVEVYRVKKFQFTSKHMEDED
+SDLKEGGKKRFGHICSSHPSCCCTVSNSSWNCDGEVLHSPAIEVRVHCQLVRLFARGIEE
+N
+>Q49MI3_PF00781_169 <unknown description>
+LKILLNPQSHKKEATQVYYEKVEPLLKLAGIKTDVTIMEYEGHALSLLKECELQGFDGVV
+CVGGDGSASEVAHALLLRAQKNAGMETDRILTPVRAQLPLGLIPAGSTNVLAHSLHGVPH
+VITATLHIIMGHVQLVDVCT
+>Q49MI3_PF19280_320 <unknown description>
+FSAMFGFGGRTLALAEKYRWMSPNQRRDFAVVKALAKLKAEDCEISFLPFNSSDDVQERR
+AQGSPKSDCNDQWQMIQGQFLNVSIMAIPCLCSVAPRGLAPNTRLNNGSMALIIARNTSR
+PEFIKHLKRYASVKNQFNFPFVETYTVEEVKVHPRNNTGGYNPEEEEDETASENCFPWNV
+DGDLMEVASEVHIRLHPRLISLYGGSMEEM
+>P27544_PF03798_98 <unknown description>
+KMPESAWKFLFYLGSWSYSAYLLFGTDYPFFHDPPSVFYDWTPGMAVPRDIAAAYLLQGS
+FYGHSIYATLYMDTWRKDSVVMLLHHVVTLILIVSSYAFRYHNVGILVLFLHDISDVQLE
+FTKLNIYFKSRGGSYHRLHALAADLGCLSFGFSWFWFRLYWFPLKVLYATSHCSLRTVPD
+IPFYFFFNALLLLLTLMNLYWFLYIV
+>Q96G23_PF00046_78 <unknown description>
+APPNATLEHFYLTSGKQPKQVEVELLSRQSGLSGRQVERWFRRRRNQDR
+>Q96G23_PF03798_132 <unknown description>
+KFREASWRFTFYLIAFIAGMAVIVDKPWFYDMKKVWEGYPIQSTIPSQYWYYMIELSFYW
+SLLFSIASDVKRKDFKEQIIHHVATIILISFSWFANYIRAGTLIMALHDSSDYLLESAKM
+FNYAGWKNTCNNIFIVFAIVFIITRLVILPFWILHCTLVYPLELYPAFFGYYFFNSMMGV
+LQLLHIFWAYLILR
+>Q8IU89_PF00046_81 <unknown description>
+TVLENFFKHSTRQPLQTDIYGLAKKCNLTERQVERWFRSRRNQER
+>Q8IU89_PF03798_131 <unknown description>
+KFQEACWRFAFYLMITVAGIAFLYDKPWLYDLWEVWNGYPKQPLLPSQYWYYILEMSFYW
+SLLFRLGFDVKRKDFLAHIIHHLAAISLMSFSWCANYIRSGTLVMIVHDVADIWLESAKM
+FSYAGWTQTCNTLFFIFSTIFFISRLIVFPFWILYCTLILPMYHLEPFFSYIFLNLQLMI
+LQVLHLYWGYYILK
+>Q9HA82_PF00046_82 <unknown description>
+ATLEKHFLTEGHRPKEPQLSLLAAQCGLTLQQTQRWFRRRRNQDR
+>Q9HA82_PF03798_132 <unknown description>
+KFCEASWRFLFYLSSFVGGLSVLYHESWLWAPVMCWDRYPNQTLKPSLYWWYLLELGFYL
+SLLIRLPFDVKRKDFKEQVIHHFVAVILMTFSYSANLLRIGSLVLLLHDSSDYLLEACKM
+VNYMQYQQVCDALFLIFSFVFFYTRLVLFPTQILYTTYYESISNRGPFFGYYFFNGLLML
+LQLLHVFWSCLILR
+>Q8N5B7_PF00046_90 <unknown description>
+AILEKVFISITKYPDKKRLEGLSKQLDWNVRKIQCWFRHRRNQDK
+>Q8N5B7_PF03798_140 <unknown description>
+KFCESMWRFTFYLCIFCYGIRFLWSSPWFWDIRQCWHNYPFQPLSSGLYHYYIMELAFYW
+SLMFSQFTDIKRKDFLIMFVHHLVTIGLISFSYINNMVRVGTLIMCLHDVSDFLLEAAKL
+ANYAKYQRLCDTLFVIFSAVFMVTRLGIYPFWILNTTLFESWEIIGPYASWWLLNGLLLT
+LQLLHVIWSYLIAR
+>Q6ZMG9_PF00046_82 <unknown description>
+ILEKVFTAITKHPDEKRLEGLSKQLDWDVRSIQRWFRQRRNQEK
+>Q6ZMG9_PF03798_131 <unknown description>
+RFCESMWRFSFYLYVFTYGVRFLKKTPWLWNTRHCWYNYPYQPLTTDLHYYYILELSFYW
+SLMFSQFTDIKRKDFGIMFLHHLVSIFLITFSYVNNMARVGTLVLCLHDSADALLEAAKM
+ANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPSWWVFNLLLLL
+VQGLNCFWSYLIVK
+>Q9Y5P4_PF00169_24 <unknown description>
+VERCGVLSKWTNYIHGWQDRWVVLKNNALSYYKSEDETEYGCRGSICLSKAVITPHDFDE
+CRFDISVNDSVWYLRAQDPDHRQQWIDAIEQH
+>Q9Y5P4_PF01852_414 <unknown description>
+GDANWQLVVEEGEMKVYRREVEENGIVLDPLKATHAVKGVTGHEVCNYFWNVDVRNDWET
+TIENFHVVETLADNAIIIYQTHKRVWPASQRDVLYLSVIRKIPALTENDPETWIVCNFSV
+DHDSAPLNNRCVRAKINVAMICQTLVSPPEGNQEISRDNILCKITYVANVNPGGWAPASV
+LRAVAKREYPKFLKRFTSYVQEKTA
+>P00450_PF07732_92 <unknown description>
+FLGPIIKAETGDKVYVHLKNLASRPYTFHSHGITYYKEHEGAIYPDNTTDFQRADDKVYP
+GEQYTYMLLATEEQSPGEGDGNCVTRIYHSHIDAPKDIASGLIGPLIICKK
+>P00450_PF00394_223 <unknown description>
+VVDENFSWYLEDNIKTYCSEPEKVDKDNEDFQESNRMYSVNGYTFGSLPGLSMCAEDRVK
+WYLFGMGNEVDVHAAFFHGQALTNKNYRIDTINLFPATLFDAYMVAQNPGEWMLSCQNLN
+HLKAGLQAFFQVQEC
+>P00450_PF07732_807 <unknown description>
+ILGPQLHADVGDKVKIIFKNMATRPYSIHAHGVQTESSTVTPTLPGETLTYVWKIPERSG
+A
+>P00450_PF07731_956 <unknown description>
+NKMHAINGRMFGNLQGLTMHVGDEVNWYLMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDV
+FDIFPGTYQTLEMFPRTPGIWLLHCHVTDHIHAGMETTYTVL
+>Q12798_PF13499_31 <unknown description>
+QEVREAFDLFDVDGSGTIDAKELKVAMRALGFEPRKEEMKKMISEVDREGTGKISFNDFL
+AVM
+>Q12798_PF13499_104 <unknown description>
+EEILKAFRLFDDDETGKISFKNLKRVANELGENLTDEELQEMIDEADRDGDGEVNEEEFL
+RIMK
+>P41208_PF13499_31 <unknown description>
+QEIREAFDLFDADGTGTIDVKELKVAMRALGFEPKKEEIKKMISEIDKEGTGKMNFGDFL
+TVM
+>P41208_PF13499_104 <unknown description>
+EEILKAFKLFDDDETGKISFKNLKRVAKELGENLTDEELQEMIDEADRDGDGEVSEQEFL
+RIMK
+>O15182_PF13499_28 <unknown description>
+QEIKDAFELFDTDKDEAIDYHELKVAMRALGFDVKKADVLKILKDYDREATGKITFEDFN
+EV
+>O15182_PF13499_101 <unknown description>
+EEILKAFKLFDDDDSGKISLRNLRRVARELGENMSDEELRAMIEEFDKDGDGEINQEEFI
+AIM
+>P11597_PF01273_36 <unknown description>
+ALLVLNHETAKVIQTAFQRASYPDITGEKAMMLLGQVKYGLHNIQISHLSIASSQVELVE
+AKSIDVSIQNVSVVFKGTLKYGYTTAWWLGIDQSIDFEIDSAIDLQINTQLTCDSGRVRT
+DAPDCYLSFHKLLLHLQGEREPGWIKQLFTNFISFTLKLVLKGQICKEINVISN
+>P11597_PF02886_245 <unknown description>
+LESHHKGHFIYKNVSEDLPLPTFSPTLLGDSRMLYFWFSERVFHSLAKVAFQDGRLMLSL
+MGDEFKAVLETWGFNTNQEIFQEVVGGFPSQAQVTVHCLKMPKISCQNKGVVVNSSVMVK
+FLFPRPDQQHSVAYTFEEDIVTTVQASYSKKKLFLSLLDFQITPKTVSNLTESSSESVQS
+FLQSMITAVGIPEVMSRLEVVFTALMNSKGVSLFDIINPEIITRDGFLLLQMDF
+>Q6UXA7_PF15809_40 <unknown description>
+LGTNLPQLGQPSSTGPSNSEHPQPALDPRSNDLARVPLKLSVPASDGFPPAGGSAVQRWP
+PSWGLPAMDSWPPEDPWQMMAAAAEDRLGEALPEELSYLSSAAALAPGSGPLPGESSPDA
+TGLSPKASLLHQDSESRRLPRSNSLGAGGKILSQRPPWSLIHRVLPDHPWGTLNPSVSWG
+GGGPGTGWGTRPMPHPEGIWGINNQPPGTSWGNINRYPGGSWGNINRYPGGSWGNI
+>O95873_PF15576_16 <unknown description>
+RRKPMRPDPPYPEPRRVDSSSENSGSDWDSAPETMEDVGHPKTKDSGALRVSGAASEPSK
+EEPQVEQLGSKRMDSLKWDQPISSTQESGRLEAGGASPKLRWDHVDSGGTRRPGVSPEGG
+LSVPGPGAPLEKPGRREKLLGWLRGEPGAPSRYLGGPEECLQISTNLTLHLLELLASALL
+ALCSRPLRAALDTLGLRGPLGLWLHGLLSFLAALHGLHAVLSLLTAHPLHFACLFGLLQA
+LVLAVSLREP
+>Q5T4I8_PF17654_17 <unknown description>
+NYYCYWQSLPSAIRVKQEFQPSQSYRYGNWYARQHGSYLLSGYSYGCAVDGNGKDCFSAH
+ETPEHTAGTLVMPKETTPLAENQDEDPLEDPHLHLNIEESNQEFMVKSEELYDSLMNCHW
+QPLDTVHSEIP
+>Q9GZU0_PF15130_1 <unknown description>
+MGDPNSRKKQALNRLRAQLRKKKESLADQFDFKMYIAFVFKEKKKKSALFEVSEVIPVMT
+NNYEENILKGVRDSSYSLESSLELLQKDVVQLHAPRYQSMRRDVIGCTQEMDFILWPRND
+IEKIVCLLFSRWKESDEPFRPVQAKFEFHHGDYEKQFLHVLSRKDKTGIVVNNPNQSVFL
+FIDRQHLQTPKNKATIFKLCSICLYLPQEQLTHWAVGTIEDHLRPY
+>Q5T5N4_PF15739_246 <unknown description>
+ERKLQQELQKICTCSPQQFNRLHVFGKVFEDICNSSLIFGDLLKKVKDEYELYMATLLES
+QPAAQYEALLAQLKALGQRPVKTADMDLAREELRMLVTATKAALEQNDRLR
+>A1A4V9_PF14769_126 <unknown description>
+HALIFCRQQGFSLEQTSAACALLQDLHKACIATPLGNVEECYRYFTSVLFCHGVRRPPFS
+IDLFKEEQLLALEDYVVNTYFRHFKLYKYVFTPQVRLD
+>Q7Z4R8_PF17065_8 <unknown description>
+AAPWTTALLLLLASQVLSPGSCADEEEVPEEWVLLHVVQGQIGAGNYSYLRLNHEGKIVL
+RMRSLKGDADLYVSASSLHPSFDDYELQSATCGPDAVSIPAHFRRPVGIGVYGHPSHLES
+EFEMKVYYDGTVEQHPFGEAAYPADGADAGQKHAGAPEDASQEEESVLWTILISILKLVL
+EILF
+>Q5SQH8_PF10184_331 <unknown description>
+VMYERLRQELPKLFLQSHDYSLYSLDVEFINEILNIRTKGRTWYILSLTLCRFLAWNYFA
+HLRLEVLQLTRHPENWTLQARWRLVGLPVHLLFLRFYKRDKDEHYRTYDAYSTFYLNSSG
+LICRH
+>Q8IYR0_PF12018_215 <unknown description>
+LHVAIPATMQHIDYQLETARSQVYRYTAILEKAANDPLMRAELQPYMLKEALYNIRQYEV
+FLQIILSDIITGAQEVEMMTKQLGAHLEQLKMTIKSKIAVPTSQVFPIFIALSTLWTSLQ
+DETIVVGVLSNLFTHIQPFLGAHELYFPERVMQCHLNGATVKTDVCRMKEHMEDRVNVAD
+FRKLEWLFPETTANFDKLLIQYRGFCAYTFAATDGLLLPGNPAIGILKYKEKYYTFNSKD
+AAYSFAENPEHYIDIVREKAKKNTELIQLLELHQQFE
+>Q0VFZ6_PF13868_148 <unknown description>
+QTERVKNFHSGLLLSRVMKERDAQIEFRKSKIKSDKKWEEQLKLNIEKAFKEEQEKAEKR
+HRERVALAKDHLKQIKEHEEEEERRKKYEEKDAEEIKRQNALYEIEMRKKLEKKREEMHE
+SRRRFLEHMQDKHIIKAVEQQQQEEEDEKMRKFIKAKKRLIQMGKEKEAETHRLMEKRRE
+RIHNFLSELLKEKLDNEDMIIARDIAEAEAEWEKREREKDEKNKAELKTIAEYRAIVMKN
+KEEEERQRKIEAKEQLLAVMKADQIFWEHEKEKKCKADKEHQEVQDAHIQQMAKNKFNAK
+QAKQAELDYCRLTEALVAEKEKEFQDYAREVIE
+>Q8TBY9_PF00400_290 <unknown description>
+NNQYHLQGHANIISCLCVSEDRRWIATADKGPDCLVIIWD
+>Q5T5A4_PF12494_30 <unknown description>
+PYKNPTHLAQQQEPWSRLNSTPTITSMRRDAYYFDPEIPKDDLDFRLAALYNHHTGTFKN
+KSEILLNQKTTQDTYRTKIQFPGEFLTPPTPPI
+>P57076_PF11069_189 <unknown description>
+AQLWWAAKELRRTKKLSDYVGKNEKTKIIAKIQQRGQGAPAREPIISSEEQKQLMLYYHR
+RQEELKRLEENDDDAYLNSPWADNTALKRHFHGVKDI
+>Q6V702_PF14713_13 <unknown description>
+IVTQFNAYEDFLDSQITTVDLYYLEDETLARQLVELGYRGTGERVKREDFEARKAAIEIA
+RLAERAQQKTLTSAGKDLQDNFLTALAMREEDNRSGKLSSVIFIRDRNSHGQEISGYIDY
+AHRLKTEDFEVYFTGKKRLLPRPTDISFYNWDADIAVSNSSPNYQVIADNPEGLLFRYKR
+DRKILNVDPKAQPGDNSTRITILTELYVQAVIFDHISRRK
+>Q9BRQ4_PF14926_14 <unknown description>
+FRFLPQKTFQSLSSKEITSRLRQWSMLGRIKAQAFGFDQTFQSYRKDDFVMAFFKDPNVI
+PNLKLLSDSSGQWIILGTEVKKIEAINVPCTQLSMSFFHRLYDEDIVRDSGHIVKCLDSF
+CDPFLISDELRRVLLVEDSEKYEIFSQPDREEFLFCLFKHLCLGGALCQYEDVISPYLET
+TKLIYKDLVSVRKNPQTKKIQITSSVFKVSAYDSAGMCYPSAKNHEQTFSYFIVDPIRRH
+LHVLYH
+>Q96NL8_PF14996_64 <unknown description>
+DSLINEILEEPNLDKKPSKLKSKSSGNTSVRASIEGLGKSCSPVYLGGSSIPCGIGTNIS
+WRACDHLRCIACDFLVVSYDDYMWDKSCDYLFFRNNMPEFHKLKAKLIKKKGTRAYACQC
+SWRTIEEVTDLQTDHQLRWVCGKH
+>B2RV13_PF13879_35 <unknown description>
+HKNRIQIAKPTVDTKPPVAHTNHILKLSKLQGEQKKINKIEYENKQLCQKIANAHRGPAK
+VDCWNEYFSKSLNRETRNRELVRITMENQGILKRL
+>Q9Y6A4_PF05018_1 <unknown description>
+MFKNTFQSGFLSILYSIGSKPLQIWDKKVRNGHIKRITDNDIQSLVLEIEGTNVSTTYIT
+CPADPKKTLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT
+MPMRLDDGWNQIQFNLLDFTRRAYGTNYIETLRVQIHANCRIRRVYFSDRLYSEDELPAE
+FKLY
+>Q96G28_PF11527_10 <unknown description>
+EWVVESIAGFLRGPDWSIPILDFVEQKCEVFDDEEESKLTYTEIHQEYKELVEKLLEGYL
+KEIGINEDQFQEACTSPLAKTHTSQAILQPVLAAEDFTIFKAMMVQKNIEMQ
+>Q96MT7_PF00400_567 <unknown description>
+LKQVFKPHTACVTALAYERDGEILATGSKDQTVFF
+>Q9UL16_PF13868_185 <unknown description>
+MEQEEELKDMSKIILNAKCHAIRDAQILEKQQIQKELDTEEKRLDQMMEVERQKSIQRQE
+ELERKRREERIRGRRQIVEQMEKNQEERSLLAEQREQEKEQMLEYMEQLQEEDLKDMERR
+QQQKLKMQAEIKRINDENQKQKAELLAQEKLADQMVMEFTKKKMAREAEFEAEQERIRRE
+KEKEIARLRAMQEKAQDYQAEQDALRAKRNQEVADREWRRKEKENARKKMETEAELRKSR
+LEQVAFKEHALAVQVQRDRDEFERILRAQREQIEKERLEEEKKATGRLQHANELRRQVRE
+NQQKEVQNRIATFEEGRRLKEEAQKRRERIDEIKRKKLEELRATGLPE
+>Q8N1V2_PF00400_57 <unknown description>
+QNFLQGHGNNVSCLAISRSGEYIASGQVTFMGFKADIILWD
+>Q8N1V2_PF00400_105 <unknown description>
+ARLSLHKGKIEALAFSPNDLYLVSLGGPDDGSVVVWS
+>Q8N1V2_PF00400_457 <unknown description>
+LKEHKSSVSCIRVKRNNEECVTASTDGTCIIWD
+>Q8N1V2_PF00400_537 <unknown description>
+IRELEGSLSGSINGMDITQEGVHFVTGGNDHLVKVWD
+>Q8N1V2_PF00400_579 <unknown description>
+VTHVGVGHSGNITRIRISPGNQYIVSVSADGAILRW
+>Q96M91_PF13868_158 <unknown description>
+RERCEELRVELLSIHQKKVCEERKAQIAFNEELSRQKLVEEQMFSKLWEEDRLAKEKREA
+QEARRQKELMENTRLGLNAQITSIKAQRQATQLLKEEEARLVESNNAQIKHENEQDMLKK
+QKAKQETRTILQKALQERIEHIQQEYRDEQDLNMKLVQRALQDLQEEADKKKQKREDMIR
+EQKIYHKYLAQRREEEKAQEKEFDRILEEDKAKKLAEKDKELRLEKEARRQLVDEVMCTR
+KLQVQEKLQREAKEQEERAMEQKHINESLKELNCEEKENFARRQRLAQEYRKQLQMQIAY
+QQQSQEAEKEEKRREFEAGVAANKMCLDK
+>Q96N23_PF14858_99 <unknown description>
+KHEFRRRCATSLFNIWTKYAPRLPADYYNEKLLKVGDSLCQMKEYKLALLQCYGRYLQQF
+NTNFDENKVDVTQFKATFFPKGFKDKTAGLTFHALSGKNMCNYQLVCDSDENLKNKESVV
+QCLHILSSLRLIMQVALPQEHLCWIIFNGTIYIYTICRKLMVIGQSSKALEYLLWASMCM
+ESLVPLLSLRYLTWRATLYTAVCQCCYDCHAGIHGEAFARRALAKIDELRQLELMSSSKS
+QEESRRYFREATMKMAVMIFKRGVFESRRKNKAVFRPKIRINLREVQTLSWPRTVTERLL
+DEMFDSTASQFLAVLEALSDSNRRILQTGPIVTDEVEIHDVVSELFMAGKELLIMSNIGA
+DGMLDFPKTSLLELMIGRKDVISVDAAVKFIKLAFTYEEWSLFESSAVHLIYFLQRQDDP
+ESKKAEKDLTLLIAMEPLINVKRNKGLIFPLENYKEGQSTQIYLKKIAVHDTCLKTCGYS
+EDIFHLAATLYVCVCTAPQDVQPDKEIVVDTIMFLWQKCKLGIQRLNISRNDYAKFTQKI
+>Q96MR6_PF00400_388 <unknown description>
+HSAPITGLATCIRKPLIATCSLDRSIRLWN
+>Q96MR6_PF00400_503 <unknown description>
+ENISSLKGHTGKIRSIVWNADDSKLISGGTDGAVYEWN
+>Q8NHU2_PF16092_16 <unknown description>
+CRRTESQDVYCIKSLIRKFTCKLFGKLNIIYLLEKANLAVTLCNDKEEIMAQATFLDYPN
+WNVAKQDDWVSVFRELDSDIPCTPLNTLFMHLFVAVDEYSVGCCKEILRTVYKAVPELHF
+IFLIVPSYMSLGSTLITVFDQVGNIPCLTYEEDFAVHICHRHSHYPQLHVRKARVEDHDD
+LMPIFMRYDTILKETYGEYFLAELIEAQDEENHAVVCEVEGTAVGFMSVCSRVNMQLLHE
+CFDLGPFHGLC
+>A6NFT4_PF13863_35 <unknown description>
+LLEKRQELVDADQALQAQKEVFRTKTAALKQRWEQLEQKERELKGSFIRFDKFLQDSEAR
+RNRALRRAAEERHQAGRREVEALRLWTQLQELRREHARLQRRLKRLEPCARLLEQALE
+>Q6ZQR2_PF14825_54 <unknown description>
+RDSMFQNPLIVKAELGKPRERSYSLPGINFNYGLYIRGLDGGVPEAIGRWNVFKQQPTCP
+HELTRNYIAMNRGAVKAGLVTARENLLYRQLNDIRISDQDDRRMKKEPPPLPPNMTFGIR
+ARPSTPFFDLLQHRYLQLWVQEQKATQKA
+>Q7Z4T9_PF14738_191 <unknown description>
+TQTDYRDADVQTDPYSAEYVVCQDSIPELLTLATLTWGRGLPAGQAEVEMIERAREKRAW
+EASLPALSDTSQFEKRRKMMNEMERKEWAFREQEIEKLQEIRLEVLKELLRKREENQNEV
+NMKHLNARWSKLQEGKEAKMAKIQRTHVSTIRK
+>Q5VTT2_PF15139_28 <unknown description>
+ERKGSLTLRSHHKKYSKPVLVYSWHRDREAFPKGYDIEGPEKVKKLCNSTYRRLGTDESP
+IWTSETHEKLSQMCLNTEWVEMKSKALLNEETVSSGIIERVTGLPATGFGAVFPRHPPDW
+SKMCALTTYSEDYVPPYDYQPHAYPCQDDYSIVHRKCRSQFTDLNGSKRFGINTWHDESG
+IYANSDVKQKLYP
+>Q9P2B7_PF13879_346 <unknown description>
+LNHLLKAFLQLDKKGPQKHHFDQPSVAPGKNYSFTREEVRQIDRENQRLLKELSRQAEKP
+GSKSTIPRSADHPPKLYHSALNRQKEQQRIERENLALLKRLE
+>P00751_PF00084_53 <unknown description>
+QEGQALEYVCPSGFYPYPVQTRTCRSTGSWST
+>P00751_PF00084_103 <unknown description>
+CPRPHDFENGEYWPRSPYYNVSDEISFHCYDGYTLRGSANRTCQVNGRWSGQTAIC
+>P00751_PF00084_176 <unknown description>
+KVGSQYRLEDSVTYHCSRGLTLRGSQRRTCQEGGSWSGTEPSC
+>P00751_PF00092_270 <unknown description>
+NIYLVLDGSDSIGASNFTGAKKCLVNLIEKVASYGVKPRYGLVTYATYPKIWVKVSEADS
+SNADWVTKQLNEINYEDHKLKSGTNTKKALQAVYSMMSWPDDVPPEGWNRTRHVIILMTD
+GLHNMGGDPITVIDEIRDLLYIGKDRKNPREDYLDVYVFGVGPLVNQVNINALASKKDNE
+QHVFKVKDMENLEDVFYQ
+>P00751_PF00089_483 <unknown description>
+EHRKGTDYHKQPWQAKISVIRPSKGHESCMGAVVSEYFVLTAAHCFTVDDKEHSIKVSVG
+GEKRDLEIEVVLFHPNYNINGKKEAGIPEFYDYDVALIKLKNKLKYGQTIRPICLPCTEG
+TTRALRLPPTTTCQQQKEELLPAQDIKALFVSEEEKKLTRKEVYIKNGDKKGSCERDAQY
+APGYDKVKDISEVVTPRFLCTGGVSPYADPNTCRGDSGGPLIVHKRSRFIQVGVISWGVV
+DVCKNQKRQKQVPAHARDFHINLFQVLPW
+>P00746_PF00089_26 <unknown description>
+ILGGREAEAHARPYMASVQLNGAHLCGGVLVAEQWVLSAAHCLEDAADGKVQVLLGAHSL
+SQPEPSKRLYDVLRAVPHPDSQPDTIDHDLLLLQLSEKATLGPAVRPLPWQRVDRDVAPG
+TLCDVAGWGIVNHAGRRPDSLQHVLLPVLDRATCNRRTHHDGAITERLMCAESNRRDSCK
+GDSGGPLVCGGVLEGVVTSGSRVCGNRKKPGIYTRVASYAAWI
+>P08603_PF00084_34 <unknown description>
+TGSWSDQTYPEGTQAIYKCRPGYRSLGNVIMVCRKGEWVALNP
+>P08603_PF00084_85 <unknown description>
+CGHPGDTPFGTFTLTGGNVFEYGVKAVYTCNEGYQLLGEINYRECDTDGWTNDIPIC
+>P08603_PF00084_146 <unknown description>
+CLPVTAPENGKIVSSAMEPDREYHFGQAVRFVCNSGYKIEGDEEMHCSDDGFWSKEKPKC
+>P08603_PF00084_210 <unknown description>
+CKSPDVINGSPISQKIIYKENERFQYKCNMGYEYSERGDAVCTESGWRPLPSC
+>P08603_PF00084_267 <unknown description>
+CDNPYIPNGDYSPLRIKHRTGDEITYQCRNGFYPATRGNTAKCTSTGWIPAPRC
+>P08603_PF00084_325 <unknown description>
+CDYPDIKHGGLYHENMRRPYFPVAVGKYYSYYCDEHFETPSGSYWDHIHCTQDGWSPAVP
+C
+>P08603_PF00084_394 <unknown description>
+LENGYNQNHGRKFVQGKSIDVACHPGYALPKAQTTVTCMENGWSPTPRC
+>P08603_PF00084_454 <unknown description>
+DIENGFISESQYTYALKEKAKYQCKLGYVTADGETSGSITCGKDGWSAQPTC
+>P08603_PF00084_509 <unknown description>
+CDIPVFMNARTKNDFTWFKLNDTLDYECHDGYESNTGSTTGSIVCGYNGWSDLPIC
+>P08603_PF00084_572 <unknown description>
+PKIDVHLVPDRKKDQYKVGEVLKFSCKPGFTIVGPNSVQCY
+>P08603_PF00084_630 <unknown description>
+CGPPPELLNGNVKEKTKEEYGHSEVVEYYCNPRFLMKGPNKIQCVDGEWTTLPVC
+>P08603_PF00084_691 <unknown description>
+CGDIPELEHGWAQLSSPPYYYGDSVEFNCSESFTMIGHRSITCIHGVWTQLPQC
+>P08603_PF00084_811 <unknown description>
+CPPPPQIPNSHNMTTTLNYRDGEKVSVLCQENYLIQEGEEITCKDGRWQSIPLC
+>P08603_PF00084_870 <unknown description>
+CSQPPQIEHGTINSSRSSQESYAHGTKLSYTCEGGFRISEENETTCYMGKWSSPPQC
+>P08603_PF00084_931 <unknown description>
+CKSPPEISHGVVAHMSDSYQYGEEVTYKCFEGFGIDGPAIAKCLGEKWSHPPSC
+>P08603_PF00084_989 <unknown description>
+CLSLPSFENAIPMGEKKDVYKAGEQVTYTCATYYKMDGASNVTCINSRWTGRPTC
+>P08603_PF00084_1048 <unknown description>
+CVNPPTVQNAYIVSRQMSKYPSGERVRYQCRSPYEMFGDEEVMCLNGNWTEPPQC
+>P08603_PF00084_1109 <unknown description>
+CGPPPPIDNGDITSFPLSVYAPASSVEYQCQNLYQLEGNKRITCRNGQWSEPPKC
+>P08603_PF00084_1167 <unknown description>
+CVISREIMENYNIALRWTAKQKLYSRTGESVEFVCKRGYRLSSRSHTLRTTCWDGKLEYP
+TC
+>P05156_PF00530_118 <unknown description>
+HGNTDSEGIVEVKLVDQDKTMFICKSSWSMREANVACLDLGFQQGADTQRRFKLSDLSIN
+STECLHVHCRGLETSLAECTFTKRRTMGYQDFADVVC
+>P05156_PF00057_226 <unknown description>
+FFQCVNGKYISQMKACDGINDCGDQSDELCC
+>P05156_PF00057_258 <unknown description>
+ACQGKGFHCKSGVCIPSQYQCNGEVDCITGEDEVGC
+>P05156_PF00089_340 <unknown description>
+IVGGKRAQLGDLPWQVAIKDASGITCGGIYIGGCWILTAAHCLRASKTHRYQIWTTVVDW
+IHPDLKRIVIEYVDRIIFHENYNAGTYQNDIALIEMKKDGNKKDCELPRSIPACVPWSPY
+LFQPNDTCIVSGWGREKDNERVFSLQWGEVKLISNCSKFYGNRFYEKEMECAGTYDGSID
+ACKGDSGGPLVCMDANNVTYVWGVVSWGENCGKPEFPGVYTKVANYFDWI
+>P0CG36_PF09443_123 <unknown description>
+CGALEHGAWTLRACHLCRCIFGALHCLPLQTPDRC
+>P0CG37_PF09443_123 <unknown description>
+CGALEHGAWTLRACHLCRCIFGALHCLPLQTPDRC
+>Q9UEE9_PF07572_222 <unknown description>
+SGMSSLLGKIGAKKQKMSTLEKSKLDWESFKEEEGIGEELAIHNRGKEGYIERKAFLDRV
+DHRQFEIERDLRL
+>O15519_PF01335_4 <unknown description>
+EVIHQVEEALDTDEKEMLLFLCRDVAIDVVPPNVRDLLDILRERGKLSVGDLAELLYRVR
+RFDLLKRILKMDR
+>O15519_PF01335_93 <unknown description>
+YRVLMAEIGEDLDKSDVSSLIFLMKDYMGRGKISKEKSFLDLVVELEKLNLVAPDQLDLL
+EKCLKNIHRIDLKTKIQKYKQ
+>O15519_PF00656_262 <unknown description>
+NETELLRDTFTSLGYEVQKFLHLSMHGISQILGQFACMPEHRDYDSFVCVLVSRGGSQSV
+YGVDQTHSGLPLHHIRRMFMGDSCPYLAGKPKMFFIQNYVVSEGQLEDSSLLEVDGPAMK
+NVEFKAQKRGLCTVHREADFFWSLCTADMSLLEQSHSSPSLYLQCLSQKLRQERKRPLLD
+LHIELNGYMYDWNSRVSAKEKYYVWLQHTLRKK
+>P13569_PF00664_82 <unknown description>
+MFYGIFLYLGEVTKAVQPLLLGRIIASYDPDNKEERSIAIYLGIGLCLLFIVRTLLLHPA
+IFGLHHIGMQMRIAMFSLIYKKTLKLSSRVLDKISIGQLVSLLSNNLNKFDEGLALAHFV
+WIAPLQVALLMGLIWELLQASAFCGLGFLIVLALFQAGLGRMMMKYRDQRAGKISERLVI
+TSEMIENIQSVKAYCWEEAMEKMIENLRQTELKLTRKAAYVRYFNSSAFFFSGFFVVFLS
+VLPYALIKGIILRKIFTTISFCIVLRMAV
+>P13569_PF00005_441 <unknown description>
+LKDINFKIERGQLLAVAGSTGAGKTSLLMVIMGELEPSEGKIKHSGRISFCSQFSWIMPG
+TIKENIIFGVSYDEYRYRSVIKACQLEEDISKFAEKDNIVLGEGGITLSGGQRARISLAR
+AVYKDADLYLLDSPF
+>P13569_PF14396_639 <unknown description>
+DFSSKLMGCDSFDQFSAERRNSILTETLHRFSLEGDAPVSWTETKKQSFKQTGEFGEKRK
+NSILNPINSIRKFSIVQKTPLQMNGIEEDSDEPLERRLSLVPDSEQGEAILPRISVISTG
+PTLQARRRQSVLNLMTHSVNQGQNIHRKTTASTRKVSLAPQANLTELDIYSRRLSQETGL
+EISEEINEEDLKECFFDDMESIPAVTTWNTY
+>P13569_PF00664_862 <unknown description>
+VLIWCLVIFLAEVAASLVVLWLLGNTPLQDKGNSTHSRNNSYAVIITSTSSYYVFYIYVG
+VADTLLAMGFFRGLPLVHTLITVSKILHHKMLHSVLQAPMSTLNTLKAGGILNRFSKDIA
+ILDDLLPLTIFDFIQLLLIVIGAIAVVAVLQPYIFVATVPVIVAFIMLRAYFLQTSQQLK
+QLESEGRSPIFTHLVTSLKGLWTLRAFGRQPYFETLFHKALNLHTANWFLYLSTLRWFQM
+RIEMIFVIFFIAVTFISILTTGEGEGRVGIILTLAMNIMSTLQWAV
+>P13569_PF00005_1227 <unknown description>
+LENISFSISPGQRVGLLGRTGSGKSTLLSAFLRLLNTEGEIQIDGVSWDSITLQQWRKAF
+GVIPQKVFIFSGTFRKNLDPYEQWSDQEIWKVADEVGLRSVIEQFPGKLDFVLVDGGCVL
+SHGHKQLMCLARSVLSKAKILLLDEPSA
+>Q9BPX7_PF18474_1 <unknown description>
+MSAHSMLCERIAIAKELIKRAESLSRSRKGGIEGGAKLCSKLKAELKFLQKVEAGKVAIK
+ESHLQSTNLTHLRAIVESAENLEEVVSVLHVFGYTDTLGEKQTLVVDVVANGGHTWVKAI
+GRKAEALHNIWLGRGQYGDKSIIEQAEDFLQASHQQPVQYSNPHIIFAFYNSVSSPMAEK
+LKEMGISVRGDIVAVNALLDHPEELQPSESESDDEGP
+>Q9BPX7_PF07000_248 <unknown description>
+VNLDITTLITYVSALSYGGCHFIFKEKVLTEQAEQERKEQVLPQLEAFMKDKELFACESA
+VKDFQSILDTLGGPGERERATVLIKRINVVPDQPSERALRLVASSKINSRSLTIFGTGDT
+LKAITMTANSGFVRAANNQGVKFSVFIHQPRALTESKEALAT
+>Q8N865_PF15093_1 <unknown description>
+MEVIHGRPYCCRELEGADILSNTFYSNELHNPLQTVTRPTASEDRYQELRESLQQCRLPW
+GAEREYGGIIPISLPEDHRPKYEPPRVMGKGHQHYGFGGETWPRKLPVEQFYYLTQNKKS
+DVYGNDSLIPKPPNSTVGEICLPYPIEHPYHTHICRGAMFPTFTSPEDLYTGIKARTQQP
+FPPTVPTKAYDSTVLKTRGNPYRYELIDIPMDSKKKALTWPGQGVYYDFPRGVEKNKPVF
+YPKPPKTFAPNTSLNSWDPICSAKEANIQRNLERSHWLTSYTH
+>Q8WU49_PF17702_1 <unknown description>
+MQVEVQSLSLEECPWRLPGPQCECEALLPSGARRRIDLRLSGRAVAVWVHVRGGPGQFNL
+SYATGRHKKPNPHQNMNRGMEFIAPVSAPTKSGAPWHFLSQGPTDAQRAVRIRPGTRMGL
+SSDPVVGTLSSSYLDLLTLSYKPGRTVTSSYLNVRGHEVRKLQNSVEATRISRTDSS
+>Q9BRJ6_PF10180_104 <unknown description>
+DYLCRWAQKHKNWRFQKTRQTWLLLHMYDSDKVPDEHFSTLLAYLEGLQGRARELTVQKA
+EA
+>Q8NEG2_PF17662_1 <unknown description>
+MRNTSKELQGATHRYAPCDWYYHVPVKRSEKAVDAPPASQIPGLSNLGDSHSENLPGTRR
+YWIKETDSEYVKLAKQGGRPDLLKHFAPGTRKGSPVAYSLPDWYIHHSKPPTASQQEVRA
+VSMPDYMVHEEFNPDQANGSYASRRGPFDFDMKTVWQREAEELEKEKKKLRLPAIDSKYL
+SKAGTPLGPKNPAGSRLSFPPVPGQKNSSPTNFSKLISNGYKDEWLQQQQRADSDKRTPK
+TSRASVLSQSPRDLEGPQDAARLQDAEASEGPEDTPESSQSPEESVSASTPAELK
+>Q8N884_PF03281_214 <unknown description>
+YYEHVKISAPNEFDVMFKLEVPRIQLEEYSNTRAYYFVKFKRNPKENPLSQFLEGEILSA
+SKMLSKFRKIIKEEINDIKDTDVIMKRKRGGSPAVTLLISEKISVDITLALESKSSWPAS
+TQEGLRIQNWLSAKVRKQLRLKPFYLVPKHAKEGNGFQEETWRLSFSHIEKEILNNHGKS
+KTCCENKEEKCCRKDCLKLMKYLLEQLKERFKDKKHLDKFSSYHVKTAFFHVCTQNPQDS
+QWDRKDLGLCFDNCVTYFLQCLRTEKLENYFIPEFNLFSSNLIDKRSKEFLTKQIE
+>Q8TDX6_PF05679_68 <unknown description>
+HRNYVSSLKRQIAQLKEELQERSEQLRNGQYQASDAAGLGLDRSPPEKTQADLLAFLHSQ
+VDKAEVNAGVKLATEYAAVPFDSFTLQKVYQLETGLTRHPEEKPVRKDKRDELVEAIESA
+LETLNSPAENSPNHRPYTASDFIEGIYRTERDKGTLYELTFKGDHKHEFKRLILFRPFGP
+IMKVKNEKLNMANTLINVIVPLAKRVDKFRQFMQNFREMCIEQDGRVHLTVVYFGKEEIN
+EVKGILENTSKAANFRNFTFIQLNGEFSRGKGLDVGARFWKGSNVLLFFCDVDIYFTSEF
+LNTCRLNTQPGKKVFYPVLFSQYNPGIIYGHHDAVPPLEQQLVIKKETGFWRDFGFGMTC
+QYRSDFINIGGFDLDIKGWGGEDVHLYRKYLHSNLIVVRTPVRGLFHLWHEKRCMDELTP
+EQYKMCMQSKAMNEASHGQL
+>Q8N6G5_PF05679_65 <unknown description>
+EEHYQTRATSLKRQIAQLKQELQEMSEKMRSLQERRNVGANGIGYQSNKEQAPSDLLEFL
+HSQIDKAEVSIGAKLPSEYGVIPFESFTLMKVFQLEMGLTRHPEEKPVRKDKRDELVEVI
+EAGLEVINNPDEDDEQEDEEGPLGEKLIFNENDFVEGYYRTERDKGTQYELFFKKADLTE
+YRHVTLFRPFGPLMKVKSEMIDITRSIINIIVPLAERTEAFVQFMQNFRDVCIHQDKKIH
+LTVVYFGKEGLSKVKSILESVTSESNFHNYTLVSLNEEFNRGRGLNVGARAWDKGEVLMF
+FCDVDIYFSAEFLNSCRLNAEPGKKVFYPVVFSLYNPAIVYANQEVPPPVEQQLVHKKDS
+GFWRDFGFGMTCQYRSDFLTIGGFDMEVKGWGGEDVHLYRKYLHGDLIVIRTPVPGLFHL
+WHEKRCADELTPEQYRMCIQSKAMNEASHSHL
+>A6NKQ9_PF00007_25 <unknown description>
+PRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFES
+IRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTC
+>Q6NT52_PF00007_25 <unknown description>
+PRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFES
+IRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTC
+>P0DN86_PF00007_27 <unknown description>
+PRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFES
+IRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTC
+>P0DN87_PF00007_27 <unknown description>
+PRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFES
+IRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTC
+>P32929_PF01053_19 <unknown description>
+ATQAIHVGQDPEQWTSRAVVPPISLSTTFKQGAPGQHSGFEYSRSGNPTRNCLEKAVAAL
+DGAKYCLAFASGLAATVTITHLLKAGDQIICMDDVYGGTNRYFRQVASEFGLKISFVDCS
+KIKLLEAAITPETKLVWIETPTNPTQKVIDIEGCAHIVHKHGDIILVVDNTFMSPYFQRP
+LALGADISMYSATKYMNGHSDVVMGLVSVNCESLHNRLRFLQNSLGAVPSPIDCYLCNRG
+LKTLHVRMEKHFKNGMAVAQFLESNPWVEKVIYPGLPSHPQHELVKRQCTGCTGMVTFYI
+KGTLQHAEIFLKNLKLFTLAESLGGFESLAELPAIMTHASVLKNDRDVLGISDTLIRLSV
+GLEDEEDLLEDLDQALK
+>Q0VF96_PF01576_602 <unknown description>
+ACNSTSEVKDLLEQKSKLTIEVAELQRQLQLEVKNQQNIKEERERMRANLEELRSQHNEK
+VEENSTLQQRLEESEGELRKNLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSAKRSE
+DREKGALIEELLQAKQDLQDLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDQEMDKL
+KEQYDAELQALRESVEEATKNVEVLASRSNTSEQDQAGTEMRVKLLQEENEKLQGRSEEL
+ERRVAQLQRQIEDLKGDEAKAKETLKKYEGEIRQLEEALVHARKEEKEAVSARRALENEL
+EAAQGNLSQTTQEQKQLSEKLKEESEQKEQLRRLKNEMENERWHLGKTIEKLQKEMADIV
+EASRTSTLELQNQLDEYKEKNRRELAEMQRQLKEKTLEAEKSRLTAMKMQDEMRLMEEEL
+RDYQRAQDEALTKRQ
+>Q0VF96_PF01576_1018 <unknown description>
+EEELRDYQRAQDEALTKRQLLEQTLKDLEYELEAKSHLKDDRSRLVKQMEDKVSQLEMEL
+EEERNNSDLLSERISRSREQMEQLRNELLQERAARQDLECDKISLERQNKDLKSRIIHLE
+GSYRSSKEGLVVQMEARIAELEDRLESEERDRANLQLSNRRLERKVKELVMQVDDEHLSL
+TDQKDQLSLRLKAMKRQVEEAEEEIDRLESSKKKLQRELEEQMDMNEHLQGQLNSMKKD
+>Q99675_PF13920_271 <unknown description>
+SKDCVVCQNGTVNWVLLPCRHTCLCDGCVKYFQQCPMCRQFVQE
+>Q16880_PF00201_30 <unknown description>
+MFESHMYIFKTLASALHERGHHTVFLLSEGRDIAPSNHYSLQRYPGIFNSTTSDAFLQSK
+MRNIFSGRLTAIELFDILDHYTKNCDLMVGNHALIQGLKKEKFDLLLVDPNDMCGFVIAH
+LLGVKYAVFSTGLWYPAEVGAPAPLAYVPEFNSLLTDRMNLLQRMKNTGVYLISRLGVSF
+LVLPKYERIMQKYNLLPEKSMYDLVHGSSLWMLCTDVALEFPRPTLPNVVYVGGILTKPA
+SPLPEDLQRWVNGANEHGFVLVSFGAGVKYLSEDIANKLAGALGRLPQKVIWRFSGPKPK
+NLGNNTKLIEWLPQNDLLGHSKIKAFLSHGGLNSIFETIYHGVPVVGIPLFGDHYDTMTR
+VQAKGMGILLEWKTVTEKELYEALVKVINNPSYRQRAQKLSEIHKDQPGHPVNRTIYWID
+YIIRHNGAHHLRAAVHQISFCQYFLLDIAFVLLLGAALLYFLLSWVTKFIYRKI
+>Q9H7E9_PF15393_109 <unknown description>
+QLAQELAWCVEQLELGLKRQKPTPKQKEQAIGAIRTLRSKRTPLPRKRQLMHSLFGDYRA
+QMEAEWREALRALRAAAYSAQVQPVDGATRKKSQRVCRPRSIWRAKA
+>Q49A92_PF17824_92 <unknown description>
+QTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNRGQLQRTLSGSAAL
+WAESEKSESKGTRRDFRSYDKPWQLNAKKPKKSKSDLAVSNISPPSPDSKSLPRSVEHPK
+WNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETVTFNSSLLRPRVIG
+EWIGREENDADPLAAEMLQPPIPRSKNDQWESEDSGSSPAGSLKMEPKNKGLKQQQQQHK
+KLLAAMLSQDSFESIHSPTPSVTEEDIDNEDDAMELLEDLNDLRMEGVTTLVPSGSKFNQ
+GRPTYPAEPQAKVTLNICSRCARLQGDNLEERTEESLPILHSPDEKIPDSFDSLPGTEEA
+LMEEGDEFEKASKLTGPGEASSGVGHSLKNYMEEDESLKQLQVVHQPW
+>Q96LL4_PF15379_166 <unknown description>
+CTVPDELLNRIYFKNMRTTPKQEAAAKQHISYQCPYCNRKRAELALSAFLKQKKTLLESF
+LLQERIDEHLHTKDFLTRIGEAHQDFPRLSDDPRIIWKRLTEK
+>Q8NAV2_PF15552_73 <unknown description>
+MAVGDSPLAALPGLSQDSLDFESSGSSEPPAQVGRLLASQKLGEVLERSRRLPTAPTSLS
+GQHRSLRLASKPEREVPLGAGQQESMEADTDLEAGLEEEAVGGLGPGAWACLPGQGLRYL
+EHLCLVLEQMARLQQLYLQLRIQRPPGDPGEEESTRAPLPSPLHTPGNRGQGPWELLSQT
+EHTGAKAASPPKVEVPSANPPRLPETPVEPTYHLPSSQGHKRDISHWDKVKVLLNRICRR
+SHHHPEPPAPPDGSDPRIESRDLPERPQCRPHRKTFMPSLVVKKQRAKNLSV
+>Q6P047_PF14769_55 <unknown description>
+AVGKGFPWVEVAQVVKFTEELLRETKGCSITEAVTILGNKLRDYRGHFNTTHLLALCDYF
+HHTFIRHYKLYQYVLGQDQQ
+>Q96K31_PF17826_6 <unknown description>
+WLFGGEFEDSVFEERPERRSGPPASYCAKLCEPQWFYEETESSDDVEVLTLKKFKGDLAY
+RRQEYQKALQEYSSISEKLSSTNFAMKRDVQEGQARCLAHLGRHMEALEIAANLENKATN
+TDHLTTVLYLQLAICSSLQNLEKTIFCLQKLISLHPFNPWNWGKLAEAYLNLGPALSAAL
+ASSQKQHSFTSSDKTIKSFFPHSGKDCLLCFPETLPESSLFSVEANSSNSQKNEKALTNI
+QNCMAEKRETVLIETQLKACASFIRTRLLLQFTQPQQTSFALERNLRTQQEIEDKMKGFS
+FKEDTLLLIAEVMGEDIPEKIKDEVHPEVKCVGSVALTALVTVSSEEFEDKWFRKIKDHF
+CPFENQFH
+>Q6P1X6_PF14956_32 <unknown description>
+QGQSPEPRTREYFYYVDHQGQLFLDDSKMKNFITCFKDPQFLVTFFSRLRPNRSGRYEAA
+FPFLSPCGRERNFLRCEDRPVVFTHLLTADHGPPRLSYCGGGEALAVPFEPARLLPLAAN
+GRLYHPAPERAGGVGLVRSALAFELSACFEYGPGAPALPSHVRWQGRRLALTMDL
+>P0DMQ9_PF17690_1 <unknown description>
+MSVLSPEIKCETSKFTRSSFGSCLIFESSWKKAVLETQKIKKEYTTAFGLEELKECIKMP
+YLPGLQSCQKSVSSTPLEVPKRLPRADAEVSAVRLKKTKETCSVAPLWEKSKGSGFSDPL
+TGAPSQYLERLSKIAILEYDTIRQETTTKSKKSKKRDLRD
+>P61604_PF00166_9 <unknown description>
+FLPLFDRVLVERSAAETVTKGGIMLPEKSQGKVLQATVVAVGSGSKGKGGEIQPVSVKVG
+DKVLLPEYGGTKVVLDDKDYFLFRDGDILGKY
+>O95992_PF04116_129 <unknown description>
+LFCLLLFDMEFFVWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSVWELFSLGFFDMM
+NVTLLGCHPLTTLTFHVVNIWLSVEDHSGYNFPWSTHRLVPFGWYGGVVHHDLHHSHFNC
+NFAPYFTHWDKILGT
+>P36222_PF00704_23 <unknown description>
+KLVCYYTSWSQYREGDGSCFPDALDRFLCTHIIYSFANISNDHIDTWEWNDVTLYGMLNT
+LKNRNPNLKTLLSVGGWNFGSQRFSKIASNTQSRRTFIKSVPPFLRTHGFDGLDLAWLYP
+GRRDKQHFTTLIKEMKAEFIKEAQPGKKQLLLSAALSAGKVTIDSSYDIAKISQHLDFIS
+IMTYDFHGAWRGTTGHHSPLFRGQEDASPDRFSNTDYAVGYMLRLGAPASKLVMGIPTFG
+RSFTLASSETGVGAPISGPGIPGRFTKEAGTLAYYEICDFLRGATVHRILGQQVPYATKG
+NQWVGYDDQESVKSKVQYLKDRQLAGAMVWALDLD
+>Q15782_PF00704_28 <unknown description>
+KLVCYFTNWSQDRQEPGKFTPENIDPFLCSHLIYSFASIENNKVIIKDKSEVMLYQTINS
+LKTKNPKLKILLSIGGYLFGSKGFHPMVDSSTSRLEFINSIILFLRNHNFDGLDVSWIYP
+DQKENTHFTVLIHELAEAFQKDFTKSTKERLLLTAGVSAGRQMIDNSYQVEKLAKDLDFI
+NLLSFDFHGSWEKPLITGHNSPLSKGWQDRGPSSYYNVEYAVGYWIHKGMPSEKVVMGIP
+TYGHSFTLASAETTVGAPASGPGAAGPITESSGFLAYYEICQFLKGAKITRLQDQQVPYA
+VKGNQWVGYDDVKSMETKVQFLKNLNLGGAMIWSIDMD
+>P10809_PF00118_47 <unknown description>
+LADAVAVTMGPKGRTVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTN
+EEAGDGTTTATVLARSIAKEGFEKISKGANPVEIRRGVMLAVDAVIAELKKQSKPVTTPE
+EIAQVATISANGDKEIGNIISDAMKKVGRKGVITVKDGKTLNDELEIIEGMKFDRGYISP
+YFINTSKGQKCEFQDAYVLLSEKKISSIQSIVPALEIANAHRKPLVIIAEDVDGEALSTL
+VLNRLKVGLQVVAVKAPGFGDNRKNQLKDMAIATGGAVFGEEGLTLNLEDVQPHDLGKVG
+EVIVTKDDAMLLKGKGDKAQIEKRIQEIIEQLDVTTSEYEKEKLNERLAKLSDGVAVLKV
+GGTSDVEVNEKKDRVTDALNATRAAVEEGIVLGGGCALLRCIPALDSLTPANEDQKIGIE
+IIKRTLKIPAMTIAKNAGVEGSLIVEKIMQSSSEVGYDAMAGDFVNMVEKGIIDPTKVVR
+TALLDAAGVASLLTTAEVVV
+>Q9BUX1_PF04752_33 <unknown description>
+LWIFGYGSLVWRPDFAYSDSRVGFVRGYSRRFWQGDTFHRGSDKMPGRVVTLLEDHEGCT
+WGVAYQVQGEQVSKALKYLNVREAVLGGYDTKEVTFYPQDAPDQPLKALAYVATPQNPGY
+LGPAPEEAIATQILACRGFSGHNLEYLLRLADFMQLCGPQAQDEHLAAIVDAVG
+>Q8WUX2_PF04752_1 <unknown description>
+MWVFGYGSLIWKVDFPYQDKLVGYITNYSRRFWQGSTDHRGVPGKPGRVVTLVEDPAGCV
+WGVAYRLPVGKEEEVKAYLDFREKGGYRTTTVIFYPKDPTTKPFSVLLYIGTCDNPDYLG
+PAPLEDIAEQIFNAAGPSGRNTEYLFELANSIRNLVPEEADEHLFALEKLVKE
+>Q6NUI6_PF13855_135 <unknown description>
+SLRRLELEGNALEELRPGTFGALGALATLNLAHNALVYLPAMAFQGLLRVRWLRLSHNAL
+>Q6NUI6_PF13855_254 <unknown description>
+PGLRELLLDGGALQALGPRAFAHCPRLHTLDLRGNQLDTLPPLQGPGQLRRLRLQGNPL
+>Q6NUI6_PF13855_450 <unknown description>
+HLVSLHLQHCGIAELEAGALAGLGRLIYLYLSDNQLAGLSAAALEGAPRLGYLYLERNRF
+>Q6NUI6_PF13855_569 <unknown description>
+RELEKLHLDRNQLREVPTGALEGLPALLELQLSGNPLRALRDGAFQ
+>Q6NUI6_PF13855_618 <unknown description>
+RSLQHLFLNSSGLEQICPGAFSGLGPGLQSLHLQKNQLRALPALPSLSQLELIDLSSNP
+>O15335_PF01462_22 <unknown description>
+ACPQNCHCHSDLQHVICDKVGLQKIPK
+>O15335_PF13855_75 <unknown description>
+PNLVSLHLQHCQIREVAAGAFRGLKQLIYLYLSHNDIRVLRAGAFDDLTELTYLYLDHNK
+>O15335_PF13855_148 <unknown description>
+NLFILQLNNNKIRELRAGAFQGAKDLRWLYLSENALSSLQPGALDDVENLAKFHVDRNQL
+>O15335_PF00560_222 <unknown description>
+EELKLSHNPLKSIPDNAFQSF
+>O15335_PF13855_245 <unknown description>
+YLETLWLDNTNLEKFSDGAFLGVTTLKHVHLENNRLNQLPSNFPFDSLETLALTNNP
+>Q8WYQ3_PF06747_102 <unknown description>
+CAYEIRQFLDCSTTQSDLSLCEGFSEALKQCK
+>Q96BP2_PF06747_45 <unknown description>
+CITEMSVMMACWKQNEFRDDACRKEIQGFLDCAA
+>Q9Y6H1_PF06747_114 <unknown description>
+CLYEIKQFLECAQNQGDIKLCEGFNEVLKQCRL
+>Q9BSY4_PF16860_5 <unknown description>
+LEVTARYCGRELEQYGQCVAAKPESWQRDCHYLKMSIAQCTSSHP
+>Q9BSY4_PF06747_58 <unknown description>
+CAQPFEAFEECLRQNEAAVGNCAEHMRRFLQCAE
+>Q86WR6_PF13907_46 <unknown description>
+QDTFKTCKEYLRPLKKFLRKLHLPRDLPQKKKLKYMKQSLVVLGDHINTFLQHYCQAWEI
+KHWRKMLWRFISLFSELEAKQLRRLYK
+>Q86WJ1_PF00176_60 <unknown description>
+RFHCQNGCILGDEMGLGKTCQTIALFIYLAGRLNDEGPFLILCPLSVLSNWKEEMQRFAP
+GLSCVTYAGDKEERACLQQDLKQESRFHVLLTTYEICLKDASFLKSFPWSVLVVDEAHRL
+KNQSSLLHKTLSEFSVVFSLLLTGTPIQNSLQELYSLLSFVEPDLFSKEEVGDFIQRYQD
+IEKESESASELHKLLQPFLLRRVKAEVATELPKKTEVVIYHGMSALQKKYYKAILMKDLD
+AFENETAKKVKLQNILSQLRKCVDHPYL
+>Q86WJ1_PF00271_353 <unknown description>
+DKLLAFLYSGGHRVLLFSQMTQMLDILQDYMDYRGYSYERVDGSVRGEERHLAIKNFGQQ
+PIFVFLLSTRAGGVGMNLTAADTVIFVDSDFNPQNDLQAAARAHRIG
+>O14646_PF00385_310 <unknown description>
+NKEPGEIQYLIKWKGWSHIHNTWETEETLKQQNVRGMKKLDNYKK
+>O14646_PF00385_389 <unknown description>
+QIVERIIAHSNQKSAAGYPDYYCKWQGLPYSECSWEDGALISKKFQACIDEYFSR
+>O14646_PF00176_499 <unknown description>
+GNSCILADEMGLGKTIQTISFLNYLFHEHQLYGPFLLVVPLSTLTSWQREIQTWASQMNA
+VVYLGDINSRNMIRTHEWTHHQTKRLKFNILLTTYEILLKDKAFLGGLNWAFIGVDEAHR
+LKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFSSWEDFEEEHGKG
+REYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQKQYYKWILTRNYKALS
+KGSKGSTSGFLNIMMELKKCCNHCYL
+>O14646_PF00271_792 <unknown description>
+LLDKLLIRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGELRKQALDHFN
+AEGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIG
+>O14646_PF18375_1124 <unknown description>
+KGFSDAEIRRFIKSYKKFGGPLERLDAIARDAELVDKSETDLRRLGELVHNGCIKALKDS
+SSGTERTGGRLGKVKGPTFRISGVQVN
+>O14646_PF13907_1410 <unknown description>
+DQKTFSICKERMRPVKAALKQLDRPEKGLSEREQLEHTRQCLIKIGDHITECLKEYTNPE
+QIKQWRKNLWIFVSKFTEFDARKLHKLYKH
+>O14647_PF00385_263 <unknown description>
+IEKVLDSRLGKKGATGASTTVYAIEANGDPSGDFDTEKDEGEIQYLIKWKGWSYIHSTWE
+SEESLQQQKVKGLKKLENFKK
+>O14647_PF00385_378 <unknown description>
+QIVERVIAVKTSKSTLGQTDFPAHSRKPAPSNEPEYLCKWMGLPYSECSWEDEALIGKKF
+QNCIDSFHSR
+>O14647_PF00176_502 <unknown description>
+NNSVILADEMGLGKTIQTISFLSYLFHQHQLYGPFLIVVPLSTLTSWQREFEIWAPEINV
+VVYIGDLMSRNTIREYEWIHSQTKRLKFNALITTYEILLKDKTVLGSINWAFLGVDEAHR
+LKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFEFWEDFEEDHGKG
+RENGYQSLHKVLEPFLLRRVKKDVEKSLPAKVEQILRVEMSALQKQYYKWILTRNYKALA
+KGTRGSTSGFLNIVMELKKCCNHCYL
+>O14647_PF00271_795 <unknown description>
+LLDKLLTRLRERGNRVLIFSQMVRMLDILAEYLTIKHYPFQRLDGSIKGEIRKQALDHFN
+ADGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIG
+>O14647_PF18375_1130 <unknown description>
+GFTDAEIRRFIKAYKKFGLPLERLECIARDAELVDKSVADLKRLGELIHNSCVSAMQEYE
+EQLKENASEGKGPGKRRGPTIKISGVQVN
+>O14647_PF13907_1465 <unknown description>
+DQETFSICKERMRPVKKALKQLDKPDKGLNVQEQLEHTRNCLLKIGDRIAECLKAYSDQE
+HIKLWRRNLWIFVSKFTEFDARKLHKLYK
+>Q12873_PF08073_148 <unknown description>
+EHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPMSKMMTILGAKWREFSANNP
+>Q12873_PF00628_381 <unknown description>
+YCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEK
+>Q12873_PF00628_458 <unknown description>
+YCRVCKDGGELLCCDACISSYHIHCLNPPLPDIPNGEWLCPRCTC
+>Q12873_PF00385_632 <unknown description>
+TVHRIINHSVDKKGNYHYLVKWRDLPYDQSTWEEDEMNIPEYEEHKQSYWR
+>Q12873_PF00176_755 <unknown description>
+TDTILADEMGLGKTIQTIVFLYSLYKEGHTKGPFLVSAPLSTIINWEREFQMWAPKFYVV
+TYTGDKDSRAIIRENEFSFEDNAIKGGKKAFKMKREAQVKFHVLLTSYELITIDQAALGS
+IRWACLVVDEAHRLKNNQSKFFRVLNGYKIDHKLLLTGTPLQNNLEELFHLLNFLTPERF
+NNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSPMQKK
+YYKYILTRNFEALNSRGGGNQVSLLNIMMDLKKCCNHPYL
+>Q12873_PF00271_1062 <unknown description>
+LMLLQKMLRKLKEQGHRVLIFSQMTKMLDLLEDFLDYEGYKYERIDGGITGALRQEAIDR
+FNAPGAQQFCFLLSTRAGGLGINLATADTVIIFDSDWNPHNDIQAFSRAHRIG
+>Q12873_PF06465_1298 <unknown description>
+KIEEIEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRVRKQVNYNDAAQED
+>Q12873_PF06461_1379 <unknown description>
+EGRRQSKRQLRNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQ
+WLVRDLRGKTEKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLV
+KKKVQEFEHINGRWSMPE
+>Q12873_PF08074_1737 <unknown description>
+NEIWHRRHDYWLLAGIVLHGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARR
+FKLLEQALVIEEQLRRAAYLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKP
+ANAVLHKVLNQLEELLSDMKADVTRL
+>Q14839_PF08073_164 <unknown description>
+DHVFSEEDYRTLTNYKAFSQFVRPLIAAKNPKIAVSKMMMVLGAKWREFSTNNP
+>Q14839_PF00628_372 <unknown description>
+YCEVCQQGGEIILCDTCPRAYHMVCLDPDMEKAPEGKWSCPHCEK
+>Q14839_PF00628_451 <unknown description>
+FCRVCKDGGELLCCDTCPSSYHIHCLNPPLPEIPNGEWLCPRCTC
+>Q14839_PF00385_623 <unknown description>
+MIHRILNHSVDKKGHVHYLIKWRDLPYDQASWESEDVEIQDYDLFKQSYW
+>Q14839_PF00176_744 <unknown description>
+GTDTILADEMGLGKTVQTAVFLYSLYKEGHSKGPFLVSAPLSTIINWEREFEMWAPDMYV
+VTYVGDKDSRAIIRENEFSFEDNAIRGGKKASRMKKEASVKFHVLLTSYELITIDMAILG
+SIDWACLIVDEAHRLKNNQSKFFRVLNGYSLQHKLLLTGTPLQNNLEELFHLLNFLTPER
+FHNLEGFLEEFADIAKEDQIKKLHDMLGPHMLRRLKADVFKNMPSKTELIVRVELSPMQK
+KYYKYILTRNFEALNARGGGNQVSLLNVVMDLKKCCNHPYL
+>Q14839_PF00271_1051 <unknown description>
+KLLLLQKMLKNLKEGGHRVLIFSQMTKMLDLLEDFLEHEGYKYERIDGGITGNMRQEAID
+RFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIG
+>Q14839_PF06465_1294 <unknown description>
+EEEEVEREIIKQEESVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDGSQED
+>Q14839_PF06461_1383 <unknown description>
+APRRPSRKGLRNDKDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRYGMPPQDAFTTQ
+WLVRDLRGKSEKEFKAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLI
+RKKVQEFEHVNGRWSMPE
+>Q14839_PF08074_1726 <unknown description>
+YEIWHRRHDYWLLAGIINHGYARWQDIQNDPRYAILNEPFKGEMNRGNFLEIKNKFLARR
+FKLLEQALVIEEQLRRAAYLNMSEDPSHPSMALNTRFAEVECLAESHQHLSKESMAGNKP
+ANAVLHKVLKQLEELLSDMKADVTRL
+>Q8TDI0_PF08073_150 <unknown description>
+FSEEDYHTLTNYKAFSQFLRPLIAKKNPKIPMSKMMTVLGAKWREFSANNP
+>Q8TDI0_PF00628_345 <unknown description>
+YCEVCQQGGEIILCDTCPRAYHLVCLDPELEKAPEGKWSCPHCEK
+>Q8TDI0_PF00628_418 <unknown description>
+FCRVCKDGGELLCCDACPSSYHLHCLNPPLPEIPNGEWLCPRCTC
+>Q8TDI0_PF00385_593 <unknown description>
+MIHRILNHSFDKKGDVHYLIKWKDLPYDQCTWEIDDIDI
+>Q8TDI0_PF00176_719 <unknown description>
+TDTILADEMGLGKTVQTIVFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVV
+TYTGDKESRSVIRENEFSFEDNAIRSGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGS
+IEWACLVVDEAHRLKNNQSKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERF
+NNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKK
+YYKFILTRNFEALNSKGGGNQVSLLNIMMDLKKCCNHPYL
+>Q8TDI0_PF00271_1026 <unknown description>
+LMLLQKMLKKLRDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGLRQEAIDR
+FNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIG
+>Q8TDI0_PF06465_1302 <unknown description>
+EEVEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDASQED
+>Q8TDI0_PF06461_1390 <unknown description>
+QSGRRQSRRQLKSDRDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRWGMPPQDAFNS
+HWLVRDLRGKSEKEFRAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSL
+VRKKVQEFEHVNGKYSTPD
+>Q8TDI0_PF08074_1733 <unknown description>
+YDIWHRRHDYWLLAGIVTHGYARWQDIQNDPRYMILNEPFKSEVHKGNYLEMKNKFLARR
+FKLLEQALVIEEQLRRAAYLNMTQDPNHPAMALNARLAEVECLAESHQHLSKESLAGNKP
+ANAVLHKVLNQLEELLSDMKADVTRL
+>Q8TD26_PF00385_293 <unknown description>
+IIEKILASKTVQEVHPGEPPFDLELFYVKYRNFSYLHCKWATMEELEKDPRIAQKIKRFR
+N
+>Q8TD26_PF00385_376 <unknown description>
+EVDRILEVAHTKDAETGEEVTHYLVKWCSLPYEESTWELEEDVDPAKVKEFES
+>Q8TD26_PF00176_480 <unknown description>
+KNCILADEMGLGKTIQSITFLSEIFLRGIHGPFLIIAPLSTITNWEREFRTWTEMNAIVY
+HGSQISRQMIQQYEMVYRDAQGNPLSGVFKFHVVITTFEMILADCPELKKIHWSCVIIDE
+AHRLKNRNCKLLEGLKLMALEHKVLLTGTPLQNSVEELFSLLNFLEPSQFPSETAFLEEF
+GDLKTEEQVKKLQSILKPMMLRRLKDDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNF
+SFLTKGANQHNMPNLINTMMELRKCCNHPYLI
+>Q8TD26_PF00271_785 <unknown description>
+LVLIDKLLPKLIAGGHKVLIFSQMVRCLDILEDYLIQRRYTYERIDGRVRGNLRQAAIDR
+FCKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIG
+>Q9P2D1_PF00385_801 <unknown description>
+VVEKIMSSRSVKKQKESGEEVEIEEFYVKYKNFSYLHCQWASIEDLEKDKRIQQKIKRFK
+A
+>Q9P2D1_PF00385_883 <unknown description>
+EVDRIMDFARSTDDRGEPVTHYLVKWCSLPYEDSTWERRQDIDQAKIEEFEK
+>Q9P2D1_PF00176_986 <unknown description>
+MRNCILADEMGLGKTIQSITFLYEIYLKGIHGPFLVIAPLSTIPNWEREFRTWTELNVVV
+YHGSQASRRTIQLYEMYFKDPQGRVIKGSYKFHAIITTFEMILTDCPELRNIPWRCVVID
+EAHRLKNRNCKLLEGLKMMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSRFPSETTFMQE
+FGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKEETIIEVELTNIQKKYYRAILEKN
+FTFLSKGGGQANVPNLLNTMMELRKCCNHPYLI
+>Q9P2D1_PF00271_1291 <unknown description>
+KLVLIDKLLPKLKAGGHRVLIFSQMVRCLDILEDYLIQRRYPYERIDGRVRGNLRQAAID
+RFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIG
+>Q9P2D1_PF07533_2563 <unknown description>
+LDPDTRIPVINLEDGTRLVGEDAPKNKDLVEWLKLHPTYTVDM
+>Q9P2D1_PF07533_2641 <unknown description>
+LTGEERVPVVNKRNGKKMGGAMAPPMKDLPRWLEENPEFAVAPD
+>Q9HCK8_PF00385_643 <unknown description>
+IVDKVLSMRIVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFK
+T
+>Q9HCK8_PF00385_725 <unknown description>
+EVDRILDESHSIDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKR
+>Q9HCK8_PF00176_829 <unknown description>
+RQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIV
+YHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIID
+EAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKD
+FGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKN
+FSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLI
+>Q9HCK8_PF00271_1134 <unknown description>
+KLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAID
+RFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIG
+>Q9HCK8_PF07533_2310 <unknown description>
+DLETRIPVINKVDGTLLVGEDAPRRAELEMWLQGHPEFAVDPR
+>Q3L8U1_PF00385_691 <unknown description>
+IVDKILSSRTVKKEISPGVMIDTEEFFVKYKNYSYLHCEWATEEQLLKDKRIQQKIKRFK
+>Q3L8U1_PF00385_774 <unknown description>
+EVDRVLEVSFCEDKDTGEPVIYYLVKWCSLPYEDSTWELKEDVDLAKIEEFEQ
+>Q3L8U1_PF00176_878 <unknown description>
+RRNCILADEMGLGKTIQSITFLYEILLTGIRGPFLIIAPLSTIANWEREFRTWTDINVVV
+YHGSLISRQMIQQYEMYFRDSQGRIIRGAYRFQAIITTFEMILGGCGELNAIEWRCVIID
+EAHRLKNKNCKLLEGLKLMNLEHKVLLTGTPLQNTVEELFSLLHFLEPLRFPSESTFMQE
+FGDLKTEEQVQKLQAILKPMMLRRLKEDVEKKLAPKEETIIEVELTNIQKKYYRAILEKN
+FSFLSKGAGQTNVPNLVNTMMELRKCCNHPYLI
+>Q3L8U1_PF00271_1183 <unknown description>
+KLVLIDKLLPKMKAGGHKVLIFSQMVRCLDILEDYLIHKRYLYERIDGRVRGNLRQAAID
+RFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIG
+>Q3L8U1_PF07533_2482 <unknown description>
+DTESPVPVINLKDGTRLAGDDAPKRKDLEKWLKEHPGYV
+>Q3L8U1_PF07533_2555 <unknown description>
+LTGEERVQLINRRNARKVGGAFAPPLKDLCRFLKENSEYGVAPE
+>Q8NE62_PF00732_41 <unknown description>
+YSYVVVGAGSAGCVLAGRLTEDPAERVLLLEAGPKDVLAGSKRLSWKIHMPAALVANLCD
+DRYNWCYHTEVQRGLDGRVLYWPRGRVWGGSSSLNAMVYVRGHAEDYERWQRQGARGWDY
+AHCLPYFRKAQGHELGASRYRGADGPLRVSRGKTNHPLHCAFLEATQQAGYPLTEDMNGF
+QQEGFGWMDMTIHEGKRWSAACAYLHPALSRTNLKAEAETLVSRVLFEGTRAVGVEYVKN
+GQSHRAYASKEVILSGGAINSPQLLMLSGIGNADDLKKLGIPVVCHLPGVGQNLQDHL
+>Q8NE62_PF05199_430 <unknown description>
+TSVGWLKLRSANPQDHPVIQPNYLSTETDIEDFRLCVKLTREIFAQEALAPFRGKELQPG
+SHIQSDKEIDAFVRAKADSAYHPSCTCKMGQPSDPTAVVDPQTRVLGVENLRVVDASIMP
+SMVSGNLNAPTIMIAEKA
+>Q8IWX8_PF01805_13 <unknown description>
+RNVIDKLAQFVARNGPEFEKMTMEKQKDNPKFSFLFGGEFYSYYKCKL
+>Q8IWX8_PF04818_160 <unknown description>
+LLQPIIDTCTKDAISAGKNWMFSNAKSPPHCELMAGHLRNRITADGAHFELRLHLIYLIN
+DVLHHCQRKQARELLAALQKVVVPIYCTSFLAVEEDKQQKIARLLQLWEKNGYFDDSIIQ
+QL
+>Q8IWX8_PF01585_842 <unknown description>
+ENKGHQMLVKMGWSGSGGLGAKEQGIQDPIKGGDVRDKWDQYKGVGV
+>Q96EP1_PF00498_38 <unknown description>
+WTIGRRRGCDLSFPSNKLVSGDHCRIVVDEKSGQVTLEDTSTSGTVINKLKVVKKQTCPL
+QTGDVIYL
+>Q96EP1_PF13923_292 <unknown description>
+CIICQDLLHDCVSLQPCMHTFCAACYSGWMERSSLCPTC
+>Q96EP1_PF17979_455 <unknown description>
+LTTAVQDYVCPLQGSHALCTCCFQPMPDRRAEREQDPRVAPQQCAVCLQPFCHLYWGCTR
+TGCYGCLAPFCELNLGDKCLDGVLNNNSYESDILKNYLATRGLTWKNMLTESLVALQRGV
+FLLSDYRVTGDTVLCYCCGLRSFRELTYQYRQNI
+>Q96EP1_PF10283_620 <unknown description>
+RPDCYWGRNCRTQVKAHHAMKFNH
+>Q9BZP6_PF00704_24 <unknown description>
+LTCYFTNWAQYRPGLGRFMPDNIDPCLCTHLIYAFAGRQNNEITTIEWNDVTLYQAFNGL
+KNKNSQLKTLLAIGGWNFGTAPFTAMVSTPENRQTFITSVIKFLRQYEFDGLDFDWEYPG
+SRGSPPQDKHLFTVLVQEMREAFEQEAKQINKPRLMVTAAVAAGISNIQSGYEIPQLSQY
+LDYIHVMTYDLHGSWEGYTGENSPLYKYPTDTGSNAYLNVDYVMNYWKDNGAPAEKLIVG
+FPTYGHNFILSNPSNTGIGAPTSGAGPAGPYAKESGIWAYYEICTFLKNGATQGWDAPQE
+VPYAYQGNVWVGYDNIKSFDIKAQWLKHNKFGGAMVWAIDLD
+>Q9BZP6_PF01607_430 <unknown description>
+CAVRANGLYPVANNRNAFWHCVNGVTYQQNCQAGLVFDTSCDCCNWA
+>Q5VXU3_PF10256_104 <unknown description>
+NKFDTEFPSVLTGKVAPEEFKTSIGRVNACLKKALPVNVKWLLCGCLCCCCTLGCSLWPV
+ICLNKRTRRSIQKLIEWENNRLYHKLALH
+>Q9UKJ5_PF10256_45 <unknown description>
+NKFESEFPSSLTGKVAPEEFKASINRVNSCLKKNLPVNVRWLLCGCLCCCCTLGCSMWPV
+ICLSKRTRRSIEKLLEWENNRLYHKLCL
+>Q9BWS9_PF00704_81 <unknown description>
+VLGYVTPWNSHGYDVTKVFGSKFTQISPVWLQLKRRGREMFEVTGLHDVDQGWMRAVRKH
+AKGLHIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVVQVAKNQHFDGFVVEVWNQLLSQ
+KRVGLIHMLTHLAEALHQARLLALLVIPPAITPGTDQLGMFTHKEFEQLAPVLDGFSLMT
+YDYSTAHQPGPNAPLSWVRACVQVLDPKSKWRSKILLGLNFYGMDYATSKDAREPVVGAR
+YIQTLKDHRPRMVWDSQASEHFFEYKKSRSGRHVVFYPTLKSLQVRLELARELGVGVSIW
+ELGQ
+>P15882_PF00017_50 <unknown description>
+FHGMISREAADQLLIVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKR
+FESIHDLVT
+>P15882_PF00130_206 <unknown description>
+HNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCGLNVHKQCSKMVPNDCKP
+>P15882_PF00620_282 <unknown description>
+PMVVDMCIREIESRGLNSEGLYRVSGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITG
+ALKLYFRDLPIPLITYDAYPKFIESAKIMDPDEQLETLHEALKLLPPAHCETLRYLMAHL
+KRVTLHEKENLMNAENLGIVFGPTLMRSPE
+>P52757_PF00017_60 <unknown description>
+FHGIISREQADELLGGVEGAYILRESQRQPGCYTLALRFGNQTLNYRLFHDGKHFVGEKR
+FESIHDLVT
+>P52757_PF00130_215 <unknown description>
+HNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQCSKHVPNDCQP
+>P52757_PF00620_291 <unknown description>
+PMVVDICIREIEARGLKSEGLYRVSGFTEHIEDVKMAFDRDGEKADISANVYPDINIITG
+ALKLYFRDLPIPVITYDTYSKFIDAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHL
+KKVTMNEKDNFMNAENLGIVFGPTLMRPPED
+>Q9UNE7_PF12895_41 <unknown description>
+KYPEAAACYGRAITRNPLVAVYYTNRALCYLKMQQHEQALADCRRALELDGQSVKAHFFL
+GQCQLEMESYDEAIANLQR
+>Q9UNE7_PF18391_142 <unknown description>
+AKKKRWNSIEERRIHQESELHSYLSRLIAAERERELEECQRNHEGDEDDSHVRAQQACIE
+AKHDKYMADMDELFSQVDEKRKKR
+>Q9UNE7_PF04564_227 <unknown description>
+IPDYLCGKISFELMREPCITPSGITYDRKDIEEHLQRVGHFDPVTRSPLTQEQLIPNLAM
+KEVIDAFISENGW
+>Q13231_PF00704_23 <unknown description>
+KLVCYFTNWAQYRQGEARFLPKDLDPSLCTHLIYAFAGMTNHQLSTTEWNDETLYQEFNG
+LKKMNPKLKTLLAIGGWNFGTQKFTDMVATANNRQTFVNSAIRFLRKYSFDGLDLDWEYP
+GSQGSPAVDKERFTTLVQDLANAFQQEAQTSGKERLLLSAAVPAGQTYVDAGYEVDKIAQ
+NLDFVNLMAYDFHGSWEKVTGHNSPLYKRQEESGAAASLNVDAAVQQWLQKGTPASKLIL
+GMPTYGRSFTLASSSDTRVGAPATGSGTPGPFTKEGGMLAYYEVCSWKGATKQRIQDQKV
+PYIFRDNQWVGFDDVESFKTKVSYLKQKGLGGAMVWALDLD
+>Q13231_PF01607_420 <unknown description>
+CQGKADGLYPNPRERSSFYSCAAGRLFQQSCPTGLVFSNSCKCCTW
+>O14757_PF00069_11 <unknown description>
+LVQTLGEGAYGEVQLAVNRVTEEAVAVKIVDMKRAVDCPENIKKEICINKMLNHENVVKF
+YGHRREGNIQYLFLEYCSGGELFDRIEPDIGMPEPDAQRFFHQLMAGVVYLHGIGITHRD
+IKPENLLLDERDNLKISDFGLATVFRYNNRERLLNKMCGTLPYVAPELLKRREFHAEPVD
+VWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLALLHKILVENP
+SARITIPDIKKDRW
+>O96017_PF00498_113 <unknown description>
+YWFGRDKSCEYCFDEPLLKRTDKYRTYSKKHFRIFREVGPKNSYIAYIEDHSGNGTFVNT
+ELVGKGKRRPLNNNSEIAL
+>O96017_PF00069_222 <unknown description>
+MSKTLGSGACGEVKLAFERKTCKKVAIKIISKRKFAIGSAREADPALNVETEIEILKKLN
+HPCIIKIKNFFDAEDYYIVLELMEGGELFDKVVGNKRLKEATCKLYFYQMLLAVQYLHEN
+GIIHRDLKPENVLLSSQEEDCLIKITDFGHSKILGETSLMRTLCGTPTYLAPEVLVSVGT
+AGYNRAVDCWSLGVILFICLSGYPPFSEHRTQVSLKDQITSGKYNFIPEVWAEVSEKALD
+LVKKLLVVDPKARFTTEEALRHPWL
+>P35790_PF01633_139 <unknown description>
+EPRKVLLRLYGAILQMRSCNKEGSEQAQKENEFQGAEAMVLESVMFAILAERSLGPKLYG
+IFPQGRLEQFIPSRRLDTEELSLPDISAEIAEKMATFHGMKMPFNKEPKWLFGTMEKYLK
+EVLRIKFTEESRIKKLHKLLSYNLPLELENLRSLLESTPSPVVFCHNDCQEGNILLLEGR
+ENSEKQKLMLIDFEYSSYNYRGFDIGNHFCEWMYDYSYEKYPFFRANIRKYPTKKQ
+>Q9Y259_PF01633_97 <unknown description>
+EPREVLLRLYGAILQGVDSLVLESVMFAILAERSLGPQLYGVFPEGRLEQYIPSRPLKTQ
+ELREPVLSAAIATKMAQFHGMEMPFTKEPHWLFGTMERYLKQIQDLPPTGLPEMNLLEMY
+SLKDEMGNLRKLLESTPSPVVFCHNDIQEGNILLLSEPENADSLMLVDFEYSSYNYRGFD
+IGNHFCEWVYDYTHEEWPFYKARPTDYPTQEQ
+>P06276_PF00135_31 <unknown description>
+DIIIATKNGKVRGMNLTVFGGTVTAFLGIPYAQPPLGRLRFKKPQSLTKWSDIWNATKYA
+NSCCQNIDQSFPGFHGSEMWNPNTDLSEDCLYLNVWIPAPKPKNATVLIWIYGGGFQTGT
+SSLHVYDGKFLARVERVIVVSMNYRVGALGFLALPGNPEAPGNMGLFDQQLALQWVQKNI
+AAFGGNPKSVTLFGESAGAASVSLHLLSPGSHSLFTRAILQSGSFNAPWAVTSLYEARNR
+TLNLAKLTGCSRENETEIIKCLRNKDPQEILLNEAFVVPYGTPLSVNFGPTVDGDFLTDM
+PDILLELGQFKKTQILVGVNKDEGTAFLVYGAPGFSKDNNSIITRKEFQEGLKIFFPGVS
+EFGKESILFHYTDWVDDQRPENYREALGDVVGDYNFICPALEFTKKFSEWGNNAFFYYFE
+HRSSKLPWPEWMGVMHGYEIEFVFGLPLERRDNYTKAEEILSRSIVKRWANFAKYGNPNE
+TQNNSTSWPVFKSTEQKYLTLNTESTRIMTKLRAQQCRFW
+>P06276_PF08674_565 <unknown description>
+DEAEWEWKAGFHRWNNYMMDWKNQFNDYTSKKESC
+>Q9HD42_PF03357_7 <unknown description>
+QLKFTAKQLEKLAKKAEKDSKAEQAKVKKALLQKNVECARVYAENAIRKKNEGVNWLRMA
+SRVDAVASKVQTAVTMKGVTKNMAQVTKALDKALSTMDLQKVSSVMDRFEQQVQNLDVHT
+SVMEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEG
+>Q7LBR1_PF03357_11 <unknown description>
+LKFAAKELSRSAKKCDKEEKAEKAKIKKAIQKGNMEVARIHAENAIRQKNQAVNFLRMSA
+RVDAVAARVQTAVTMGKVTKSMAGVVKSMDATLKTMNLEKISALMDKFEHQFETLDVQTQ
+QMEDTMSSTTTLTTPQNQVDMLLQEMADEAGLDLNMELPQ
+>O43633_PF03357_17 <unknown description>
+QNQRALNRAMRELDRERQKLETQEKKIIADIKKMAKQGQMDAVRIMAKDLVRTRRYVRKF
+VLMRANIQAVSLKIQTLKSNNSMAQAMKGVTKAMGTMNRQLKLPQIQKIMMEFERQAEIM
+DMKEEMMNDAIDDAMGDEEDEEESDAVVSQVLDELGLSLTDELSNLPST
+>Q9UQN3_PF03357_16 <unknown description>
+EQNRELRGTQRAIIRDRAALEKQEKQLELEIKKMAKIGNKEACKVLAKQLVHLRKQKTRT
+FAVSSKVTSMSTQTKVMNSQMKMAGAMSTTAKTMQAVNKKMDPQKTLQTMQNFQKENMKM
+EMTEEMINDTLDDIFDGSDDEEESQDIVNQVLDEIGIEISGKMAKAPSAA
+>Q9BY43_PF03357_21 <unknown description>
+EAIQKLKETEKILIKKQEFLEQKIQQELQTAKKYGTKNKRAALQALRRKKRFEQQLAQTD
+GTLSTLEFQREAIENATTNAEVLRTMELAAQSMKKAYQDMDIDKVDELMTDITEQQEVAQ
+QISDAISRPMGFGDDVDEDELLEELEELEQEELAQELLNVGDKEEEPSVKLPSVPSTHL
+>Q9H444_PF03357_24 <unknown description>
+EAIQRLRDTEEMLSKKQEFLEKKIEQELTAAKKHGTKNKRAALQALKRKKRYEKQLAQID
+GTLSTIEFQREALENANTNTEVLKNMGYAAKAMKAAHDNMDIDKVDELMQDIADQQELAE
+EISTAISKPVGFGEEFDEDELMAELEELEQEELDKNLLEISGPETVPLPNVPSIA
+>Q96CF2_PF03357_25 <unknown description>
+ALVRLRETEEMLGKKQEYLENRIQREIALAKKHGTQNKRAALQALKRKKRFEKQLTQIDG
+TLSTIEFQREALENSHTNTEVLRNMGFAAKAMKSVHENMDLNKIDDLMQEITEQQDIAQE
+ISEAFSQRVGFGDDFDEDELMAELEELEQEELNKKMTNIRLPNVPSSS
+>Q9Y3E7_PF03357_18 <unknown description>
+EWSLKIRKEMRVVDRQIRDIQREEEKVKRSVKDAAKKGQKDVCIVLAKEMIRSRKAVSKL
+YASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKIPEIQATMRELSKEMMKA
+GIIEEMLEDTFESMDDQEEMEEEAEMEIDRILFEITAGALGKAPSKVTDA
+>Q9NZZ3_PF03357_13 <unknown description>
+PPPSLTDCIGTVDSRAESIDKKISRLDAELVKYKDQIKKMREGPAKNMVKQKALRVLKQK
+RMYEQQRDNLAQQSFNMEQANYTIQSLKDTKTTVDAMKLGVKEMKKAYKQVKIDQIEDLQ
+DQLEDMMEDANEIQEALSRSYGTPELDEDDLEAELDALGDELLADEDSSYLDEAASAPAI
+PEG
+>Q96FZ7_PF03357_19 <unknown description>
+AILQLKQQRDKLRQYQKRIAQQLERERALARQLLRDGRKERAKLLLKKKRYQEQLLDRTE
+NQISSLEAMVQSIEFTQIEMKVMEGLQFGNECLNKMHQVMSIEEVERILDETQEAVEYQR
+QIDELLAGSFTQEDEDAILEELSAITQEQIELPEVPSEP
+>Q8WUX9_PF03357_243 <unknown description>
+QLMQSEQLLSRKVESLSQEAERCKEEARRACRAGKKQLALRSLKAKQRTEKRIEALHAKL
+DTVQGILDRIYASQTDQMVFNAYQAGVGALKLSMKDVTVEKAESLVDQIQELCDTQDEVS
+QTLAGGVTNGLDFDSEELEKELDILLQDTTKEPLDLPDNPRN
+>Q9H9P2_PF00059_52 <unknown description>
+VSFQEARLACESEGGVLLSLENEAEQKLIESMLQNLTKPGTGISDGDFWIGLWRNGDGQT
+SGACPDLYQWSDGSNSQYRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCN
+MKHNYICKY
+>Q99653_PF13499_113 <unknown description>
+NKLHFAFRLYDLDKDEKISRDELLQVLRMMVGVNISDEQLGSIADRTIQEADQDGDSAIS
+FTEFVKV
+>O43745_PF13202_34 <unknown description>
+RFRALDRNKKGYLSRMDLQQ
+>O43745_PF13499_114 <unknown description>
+NKLHYAFQLYDLDRDGKISRHEMLQVLRLMVGVQVTEEQLENIADRTVQEADEDGDGAVS
+FVEFTK
+>Q9P2E5_PF05679_242 <unknown description>
+HLDGCRGDILSARPDEWLGRCLIDSLGVGCVSQHQGQQYRSFELAKNRDPEKEGSSAFLS
+AFAVHPVSEGTLMYRLHKRFSALELERAYSEIEQLQAQIRNLTVLTPEGEAGLSWPVGLP
+APFTPHSRFEVLGWDYFTEQHTFSCADGAPKCPLQGASRADVGDALETALEQLNRRYQPR
+LRFQKQRLLNGYRRFDPARGMEYTLDLLLECVTQRGHRRALARRVSLLRPLSRVEILPMP
+YVTEATRVQLVLPLLVAEAAAAPAFLEAFAANVLEPREHALLTLLLVYGPREGGRGAPDP
+FLGVKAAAAELERRYPGTRLAWLAVRAEAPSQVRLMDVVSKKHPVDTLFFLTTVWTRPGP
+EVLNRCRMNAISGWQAFFPVHFQEFNPALSPQRSPPGPPGAGPDPPSPPGADPSRGAPIG
+GRFDRQASAEGCFYNADYLAARARLAGELAGQEEEEALEGLEVMDVFLRFSGLHLFRAVE
+PGLVQKFSLRDCSPRLSEELYHRCRLSNLEGLGGRAQL
+>Q8WUD6_PF01066_61 <unknown description>
+MAPNSITLLGLAVNVVTTLVLISYCPTATEEAPYWTYLLCALGLFIYQSLDAIDGKQARR
+TNSCSPLGELFDHGCD
+>Q9NRG0_PF00808_18 <unknown description>
+SLPLSRIRVIMKSSPEVSSINQEALVLTAKATELFVQCLATYSYRHGSGKEKKVLTYSDL
+A
+>Q9UHD1_PF04968_4 <unknown description>
+LCYNRGCGQRFDPETNSDDACTYHPGVPVFHDALKGWSCCKRRTTDFSDFLSIVGCTKGR
+H
+>Q9UHD1_PF04968_156 <unknown description>
+SCKNGGCSKTYQGLESLEEVCVYHSGVPIFHEGMKYWSCCRRKTSDFNTFLAQEGCTKGK
+H
+>Q9UHD1_PF04969_230 <unknown description>
+CRHDWHQTGGEVTISVYAKNSLPELSRVEANSTLLNVHIVFEGEKEFDQNVKLWGVIDVK
+RSYVTMTATKIEITMRK
+>Q9H2X0_PF00093_51 <unknown description>
+CTFGGKVYALDETWHPDLGEPFGVMRCVLCACEAPQWGRRTRGPGRVSCKNIKPECPTPA
+CGQPRQLPGHCCQTC
+>Q9H2X0_PF07452_407 <unknown description>
+QSVLCGADALIPVQTGAAGSASLTLLGNGSLIYQVQVVGTSSEVVAMTLETKPQRRDQRT
+VLCHMAGLQPGGHTAVGICPGLGARGAHMLLQNELFLNVGTKDFPDGELRGHV
+>Q9H2X0_PF07452_536 <unknown description>
+VPLAGALVLPPVKSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGTPGP
+RRLLKGFYGSEAQGVVKDLEPELLRHLAKGMASLMITTKGSPRGELRGQV
+>Q9H2X0_PF00093_705 <unknown description>
+CFFEGQQRPHGARWAPNYDPLCSLCTCQRRTVICDPVVCPPPSCPHPVQAPDQCCPVC
+>Q9H2X0_PF00093_792 <unknown description>
+WRAAGTRWHPVVPPFGLIKCAVCTCKGGTGEVHCEKVQCPRLACAQPVRVNPTDCCKQC
+>Q9Y2V2_PF00313_64 <unknown description>
+KGVCKCFCRSKGHGFITPADGGPDIFLHISDVEGEYVPVEGDEVTYKMCSIPPKNEKLQA
+VEVV
+>Q86X52_PF05679_238 <unknown description>
+HIGKCLREMYTTHEDVEVGRCVRRFAGVQCVWSYEMQQLFYENYEQNKKGYIRDLHNSKI
+HQAITLHPNKNPPYQYRLHSYMLSRKISELRHRTIQLHREIVLMSKYSNTEIHKEDLQLG
+IPPSFMRFQPRQREEILEWEFLTGKYLYSAVDGQPPRRGMDSAQREALDDIVMQVMEMIN
+ANAKTRGRIIDFKEIQYGYRRVNPMYGAEYILDLLLLYKKHKGKKMTVPVRRHAYLQQTF
+SKIQFVEHEELDAQELAKRINQESGSLSFLSNSLKKLVPFQLPGSKSEHKEPKDKKINIL
+IPLSGRFDMFVRFMGNFEKTCLIPNQNVKLVVLLFNSDSNPDKAKQVELMRDYRIKYPKA
+DMQILPVSGEFSRALALEVGSSQFNNESLLFFCDVDLVFTTEFLQRCRANTVLGQQIYFP
+IIFSQYDPKIVYSGKVPSDNHFAFTQKTGFWRNYGFGITCIYKGDLVRVGGFDVSIQGWG
+LEDVDLFNKVVQAGLKTFRSQEVGVVHVHHPVFCDPNLDPKQYKMCLGSKASTYGSTQQL
+>Q8IZ52_PF05679_262 <unknown description>
+HLEGCRNDIVSARPDEWLGRCILDATGVGCTGDHEGVHYSHLELSPGEPVQEGDPHFRSA
+LTAHPVRDPVHMYQLHKAFARAELERTYQEIQELQWEIQNTSHLAVDGDQAAAWPVGIPA
+PSRPASRFEVLRWDYFTEQHAFSCADGSPRCPLRGADRADVADVLGTALEELNRRYHPAL
+RLQKQQLVNGYRRFDPARGMEYTLDLQLEALTPQGGRRPLTRRVQLLRPLSRVEILPVPY
+VTEASRLTVLLPLAAAERDLAPGFLEAFATAALEPGDAAAALTLLLLYEPRQAQRVAHAD
+VFAPVKAHVAELERRFPGARVPWLSVQTAAPSPLRLMDLLSKKHPLDTLFLLAGPDTVLT
+PDFLNRCRMHAISGWQAFFPMHFQAFHPAVAPPQGPGPPELGRDTGRFDRQAASEACFYN
+SDYVAARGRLAAASEQEEELLESLDVYELFLHFSSLHVLRAVEPALLQRYRAQTCSARLS
+EDLYHRCLQSVLEGLGSRTQL
+>Q70JA7_PF05679_328 <unknown description>
+HIGECLREMYTTHEDVEVGRCVRRFGGTQCVWSYEMQQLFHENYEHNRKGYIQDLHNSKI
+HAAITLHPNKRPAYQYRLHNYMLSRKISELRYRTIQLHRESALMSKLSNTEVSKEDQQLG
+VIPSFNHFQPRERNEVIEWEFLTGKLLYSAAENQPPRQSLSSILRTALDDTVLQVMEMIN
+ENAKSRGRLIDFKEIQYGYRRVNPMHGVEYILDLLLLYKRHKGRKLTVPVRRHAYLQQLF
+SKPFFRETEELDVNSLVESINSETQSFSFISNSLKILSSFQGAKEMGGHNEKKVHILVPL
+IGRYDIFLRFMENFENMCLIPKQNVKLVIILFSRDSGQDSSKHIELIKGYQNKYPKAEMT
+LIPMKGEFSRGLGLEMASAQFDNDTLLLFCDVDLIFREDFLQRCRDNTIQGQQVYYPIIF
+SQYDPKVTNGGNPPTDDYFIFSKKTGFWRDYGYGITCIYKSDLLGAGGFDTSIQGWGLED
+VDLYNKVILSGLRPFRSQEVGVVHIFHPVHCDPNLDPKQYKMCLGSKASTFASTMQL
+>O43916_PF00685_60 <unknown description>
+KTHILILATTRSGSSFVGQLFNQHLDVFYLFEPLYHVQNTLIPRFTQGKSPADRRVMLGA
+SRDLLRSLYDCDLYFLENYIKPPPVNHTTDRIFRRGASRVLCSRPVCDPPGPADLVLEEG
+DCVRKCGLLNLTVAAEACRERSHVAIKTVRVPEVNDLRALVEDPRLNLKVIQLVRDPRGI
+LASRSETFRDTYRLWRLWYGTGRKPYNLDVTQLTTVCEDFSNSVSTGLMRPPWLKGKYML
+VRYEDLARNPMKKTEEIYGFLGIPLDSHVARWIQNNTRGDPTLGKHKYGTVRNSAATAEK
+WRFRLSYDIVAFAQNACQQVLAQLG
+>Q9Y4C5_PF00685_168 <unknown description>
+YVFTTWRSGSSFFGELFNQNPEVFFLYEPVWHVWQKLYPGDAVSLQGAARDMLSALYRCD
+LSVFQLYSPAGSGGRNLTTLGIFGAATNKVVCSSPLCPAYRKEVVGLVDDRVCKKCPPQR
+LARFEEECRKYRTLVIKGVRVFDVAVLAPLLRDPALDLKVIHLVRDPRAVASSRIRSRHG
+LIRESLQVVRSRDPRAHRMPFLEAAGHKLGAKKEGVGGPADYHALGAMEVICNSMAKTLQ
+TALQPPDWLQGHYLVVRYEDLVGDPVKTLRRVYDFVGLLVSPEMEQFALNMTSGSGSSSK
+PFVVSARNATQAANAWRTALTFQQIKQVEEFCYQPMA
+>Q7LGC8_PF00685_133 <unknown description>
+RHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVSFEPGGANAAGSALVYRDVL
+KQLFLCDLYVLEHFITPLPEDHLTQFMFRRGSSRSLCEDPVCTPFVKKVFEKYHCKNRRC
+GPLNVTLAAEACRRKEHMALKAVRIRQLEFLQPLAEDPRLDLRVIQLVRDPRAVLASRMV
+AFAGKYKTWKKWLDDEGQDGLREEEVQRLRGNCESIRLSAELGLRQPAWLRGRYMLVRYE
+DVARGPLQKAREMYRFAGIPLTPQVEDWIQKNTQAAHDGSGIYSTQKNSSEQFEKWRFSM
+PFKLAQVVQAACGPAMRLFG
+>Q8NCG5_PF00685_44 <unknown description>
+HVLVLSSWRSGSSFVGQLFGQHPDVFYLMEPAWHVWMTFKQSTAWMLHMAVRDLIRAVFL
+CDMSVFDAYMEPGPRRQSSLFQWENSRALCSAPACDIIPQDEIIPRAHCRLLCSQQPFEV
+VEKACRSYSHVVLKEVRFFNLQSLYPLLKDPSLNLHIVHLVRDPRAVFRSRERTKGDLMI
+DSRIVMGQHEQKLKKEDQPYYVMQVICQSQLEIYKTIQSLPKALQERYLLVRYEDLARAP
+VAQTSRMYEFVGLEFLPHLQTWVHNITRGKGMGDHAFHTNARDALNVSQAWRWSLPYEKV
+SRLQKACGDAMNLL
+>Q9GZS9_PF00685_64 <unknown description>
+HVLVLSSWRSGSSFLGQLFSQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLMRSIFL
+CDMDVFDAYMPQSRNLSAFFNWATSRALCSPPACSAFPRGTISKQDVCKTLCTRQPFSLA
+REACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREAAGPILARD
+NGIVLGTNGKWVEADPHLRLIREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPL
+AEIRALYAFTGLTLTPQLEAWIHNITHGSGIGKPIEAFHTSSRNARNVSQAWRHALPFTK
+ILRVQEVCAGALQLL
+>Q9GZX3_PF00685_42 <unknown description>
+HVLVLSSWRSGSSFVGQLFNQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLVRSVFL
+CDMDVFDAYLPWRRNLSDLFQWAVSRALCSPPACSAFPRGAISSEAVCKPLCARQSFTLA
+REACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREQTAKALARD
+NGIVLGTNGTWVEADPGLRVVREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPL
+AEIRALYAFTGLSLTPQLEAWIHNITHGSGPGARREAFKTSSRNALNVSQAWRHALPFAK
+IRRVQELCAGALQLL
+>Q9NS84_PF00685_102 <unknown description>
+QHIYVHATWRTGSSFLGELFNQHPDVFYLYEPMWHLWQALYPGDAESLQGALRDMLRSLF
+RCDFSVLRLYAPPGDPAARAPDTANLTTAALFRWRTNKVICSPPLCPGAPRARAEVGLVE
+DTACERSCPPVAIRALEAECRKYPVVVIKDVRLLDLGVLVPLLRDPGLNLKVVQLFRDPR
+AVHNSRLKSRQGLLRESIQVLRTRQRGDRFHRVLLAHGVGARPGGQSRALPAAPRADFFL
+TGALEVICEAWLRDLLFARGAPAWLRRRYLRLRYEDLVRQPRAQLRRLLRFSGLRALAAL
+DAFALNMTRGAAYGADRPFHLSARDAREAVHAWRERLSREQVRQVEAACAPAMRL
+>Q9H2A9_PF03567_183 <unknown description>
+RIFVEDRHRVLYCEVPKAGCSNWKRVLMVLAGLASSTADIQHNTVHYGSALKRLDTFDRQ
+GILHRLSTYTKMLFVREPFERLVSAFRDKFEHPNSYYHPVFGKAILARYRANASREALRT
+GSGVRFPEFVQYLLDVHRPVGMDIHWDHVSRLCSPCLIDYDFVGKFESMEDDANFFLSLI
+RAPRNLTFPRFKDRHSQEARTTARIAHQYFAQLSALQRQRTYDFYYMDYLMFNYS
+>Q7L1S5_PF03567_205 <unknown description>
+RIYVEDKHKILYCEVPKAGCSNWKRILMVLNGLASSAYNISHNAVHYGKHLKKLDSFDLK
+GIYTRLNTYTKAVFVRDPMERLVSAFRDKFEHPNSYYHPVFGKAIIKKYRPNACEEALIN
+GSGVKFKEFIHYLLDSHRPVGMDIHWEKVSKLCYPCLINYDFVGKFETLEEDANYFLQMI
+GAPKELKFPNFKDRHSSDERTNAQVVRQYLKDLTRTERQLIYDFYYLDYLMFNY
+>O43529_PF03567_112 <unknown description>
+RIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIEEIPENVVHDHEKNGLPRLSSFS
+DAEIQKRLKTYFKFFIVRDPFERLISAFKDKFVHNPRFEPWYRHEIAPGIIRKYRRNRTE
+TRGIQFEDFVRYLGDPNHRWLDLQFGDHIIHWVTYVELCAPCEIMYSVIGHHETLEDDAP
+YILKEAGIDHLVSYPTIPPGITVYNRTKVEHYFLGISKRDIRRLYARFEGDFKLFGYQ
+>Q9NPF2_PF03567_109 <unknown description>
+HLVVDEDHELIYCYVPKVACTNWKRLMMVLTGRGKYSDPMEIPANEAHVSANLKTLNQYS
+IPEINHRLKSYMKFLFVREPFERLVSAYRNKFTQKYNISFHKRYGTKIIKRQRKNATQEA
+LRKGDDVKFEEFVAYLIDPHTQREEPFNEHWQTVYSLCHPCHIHYDLVGKYETLEEDSNY
+VLQLAGVGSYLKFPTYAKSTRTTDEMTTEFFQNISSEHQTQLYEVYKLDFLMFNYS
+>Q9NRB3_PF03567_156 <unknown description>
+HLIVDDRHGAIYCYVPKVACTNWKRVMIVLSGSLLHRGAPYRDPLRIPREHVHNASAHLT
+FNKFWRRYGKLSRHLMKVKLKKYTKFLFVRDPFVRLISAFRSKFELENEEFYRKFAVPML
+RLYANHTSLPASAREAFRAGLKVSFANFIQYLLDPHTEKLAPFNEHWRQVYRLCHPCQID
+YDFVGKLETLDEDAAQLLQLLQVDRQLRFPPSYRNRTASSWEEDWFAKIPLAWRQQLYKL
+YEADFVLFGYP
+>Q8NET6_PF03567_97 <unknown description>
+RHVLVDDAHGLLYCYVPKVACTNWKRVLLALSGQARGDPRAISAQEAHAPGRLPSLADFS
+PAEINRRLRAYLAFLFVREPFERLASAYRNKLARPYSAAFQRRYGARIVQRLRPRALPDA
+RARGHDVRFAEFLAYLLDPRTRREEPFNEHWERAHALCHPCRLRYDVVGKFETLAEDAAF
+VLGLAGASDLSFPGPPRPRGAAASRDLAARLFRDISPFYQRRLFDLYKMDFLLFNYS
+>Q8NCH0_PF03567_139 <unknown description>
+RHILVSDRYRFLYCYVPKVACSNWKRVMKVLAGVLDSVDVRLKMDHRSDLVFLADLRPEE
+IRYRLQHYFKFLFVREPLERLLSAYRNKFGEIREYQQRYGAEIVRRYRAGAGPSPAGDDV
+TFPEFLRYLVDEDPERMNEHWMPVYHLCQPCAVHYDFVGSYERLEADANQVLEWVRAPPH
+VRFPARQAWYRPASPESLHYHLCSAPRALLQDVLPKYILDFSLFAYP
+>Q7LFX5_PF13469_254 <unknown description>
+PHFYIIGQPKCGTTDLYDRLRLHPEVKFSAIKEPHWWTRKRFGIVRLRDGLRDRYPVEDY
+LDLFDLAAHQIHQGLQASSAKEQSKMNTIIIGEASASTMWDNNAWTFFYDNSTDGEPPFL
+TQDFIHAFQPNARLIVMLRDPVERLYSDYLYFASSNKSADDFHEKVTEALQLFENCMLDY
+SLRACVYNNTLNNAMPVRLQVGLYAVYLLDWLSVFDKQQFLILRLEDHASNVKYTMHKVF
+QFLNL
+>Q9Y3Y2_PF13865_166 <unknown description>
+GLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRGALARPVLTKEQLDNQLDAYMS
+KTKGHLDAELDAYMAQT
+>Q8WUH1_PF06573_1 <unknown description>
+MCGDCVEKEYPNRGNTCLENGSFLLNFTGCAVCSKRDFMLITNKSLKEEDGEEIVTYDHL
+CKNCHHVIARHEYTFSIMDEFQEYTMLCLLCGKAEDTISILPDDPRQMT
+>Q8IXQ3_PF15017_149 <unknown description>
+QYNTFQYWRNPLPPIDLADIEDLSEDTLTEAT
+>Q8TAL5_PF15504_1 <unknown description>
+MDLPDESQWDETTCGLAVCQHPQCWATIRRIERGHPRILGSSCKTPLDAEDKLPVLTVVD
+ILDSGFAAHHLPECTFTKAHSLLSQSSKFYSKFHGRPPKGLPDKSLINCTNRLPKFPVLN
+LNETQLPCPEDVRNMVVLWIPEETEIHVSQHGKKKRKNSAVKSKSFLGLSGNQSAGTRVG
+TPGMIVPPPTPVQLSEQFSSDFLPLWAQSEALPQDLLKELLPGGKQTMLCPEMKIKLAMM
+KKNLPLEKNRPDSVISSKMFLSIHRLTLERPALRYPERLKKLHNLKTEGYRKQQQRQQQQ
+QQQQKKVKTPIKKQEAKKKAKSDPGIQSTSHKHPVTTVHDRLYGYRTLPGQNSDMKQQQQ
+MEKGTTSKQDSTERPKMNYYDHADFHHSVKSPELYETEPTNKDISAPVDAVPEAQAARQK
+KISFNFSEIMASTGWNSELKLLRILQDTDDEDEE
+>Q5SZB4_PF15737_193 <unknown description>
+CSFLPDLWGQSSHLQDSLTKISLQQTPILGPLKGDHSQFTTVRKANHRPHGAQVPRLKAA
+LTHNPSGEGSRPCRQRCPFRVRFADETLQDTTLRYWERRRSVQQSVIV
+>Q96LT7_PF15019_61 <unknown description>
+FLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTE
+LSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIILEGTERMEDQGQSIIPMLTGEV
+IPVMELLSSMKSHSVPEEIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSS
+AEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDSTGSFVLPFRQVMY
+APYPTTHIDVDVNTVKQMPPCHEH
+>Q96MD7_PF10217_14 <unknown description>
+QKHQNTFSFKNDKFDKSVQTKKINAKLHDGVCQRCKEVLEWRVKYSKYKPLSKPKKCVKC
+LQKTVKDSYHIMCRPCACELEVCAKCGKK
+>Q5T280_PF02598_74 <unknown description>
+TLSVALPGSILDNAQSPELRTYLAGQIARACAIFCVDEIVVFDEEGQDAKTVEGEFTGVG
+KKGQACVQLARILQYLECPQYLRKAFFPKHQDLQFAGLLNPLDSPHHMRQDEESEFREGI
+VVDRPTRPGHGSFVNCGMKKEVKIDKNLEPGLRVTVRLNQQQHPDCKTYHGKVVSSQDPR
+TKAGLYWGYTVRLASCLSAVFAEAPFQDGYDLTIGTSERGSDVASAQLPNFRHALVVFGG
+LQGLEAGADADPNLEVAEPSVLFDLYVNTCPGQGSRTIRTEEAILISLAAL
+>Q5JTZ5_PF15733_39 <unknown description>
+IQFLRAQYEGLKRQQRTQAHLLVLPKGGNTPAPAESMVNAVWINKERRSSLSLEEADSEV
+EGRLEEAAQGCLQAPKSPWHTHLEMHCLVQTSPQDTSHQVHHRGKLVGSDQRLPP
+>Q5TBE3_PF17673_1 <unknown description>
+MFLTGDTSPAEDNREATLPQCSLPELYACIENFNKESKKSNLLKMHGISLNEAQEVLARN
+LNVMSFTRGADVRGDLQPVIRKTI
+>Q9H5X1_PF01883_39 <unknown description>
+EVYDLIRTIRDPEKPNTLEELEVVSESCVEVQEINEEEYLVIIRFTPTVPHCSLATLIGL
+CLRVKLQRCLP
+>Q9Y3D0_PF01883_44 <unknown description>
+REIFDLIRSINDPEHPLTLEELNVVEQVRVQVSDPESTVAVAFTPTIPHCSMATLIGLSI
+KVKLLRSLPQRFKMDVH
+>Q9Y375_PF08547_125 <unknown description>
+WQFRGKEDLDKWTVTSDKTIGGRSEVFLKMGKNNQSALLYGTLSSEAPQDGESTRSGYCA
+MISRIPRGAFERKMSYDWSQFNTLYLRVRGDGRPWMVNIKEDTDFFQRTNQMYSYFMFTR
+GGPYWQEVKIPFSKFFFSNRGRIRDVQHELPLDKISSIGFTLADKVDGPFFLEI
+>O76071_PF00400_11 <unknown description>
+VPAHPDSRCWFLAWNPAGTLLASCGGDRRIRIW
+>O76071_PF00400_53 <unknown description>
+KSVLSEGHQRTVRKVAWSPCGNYLASASFDATTCIWK
+>O76071_PF00400_96 <unknown description>
+ECVTTLEGHENEVKSVAWAPSGNLLATCSRDKSVWVW
+>O76071_PF00400_141 <unknown description>
+ECVSVLNSHTQDVKHVVWHPSQELLASASYDDTVKLY
+>O76071_PF00400_185 <unknown description>
+VCCATLEGHESTVWSLAFDPSGQRLASCSDDRTVRIW
+>O76071_PF00400_293 <unknown description>
+SLTAHLHQAHSQDVNCVAWNPKEPGLLASCSDDGEVAFWK
+>Q9H6Q4_PF02906_112 <unknown description>
+VVVSVSPQSRASLAARFQLNPTDTARKLTSFFKKIGVHFVFDTAFSRHFSLLESQREFVR
+RFRGQADCRQALPLLASACPGWICYAEKTHGSFILPHISTARSPQQVMGSLVKDFFAQQQ
+HLTPDKIYHVTVMPCYDKKLEASRPDFFNQEHQTRDVDCVLTTGEVFRLLEEEGVSLPDL
+EPAPLDSLCSGASAEEPTSHRGGGSGGYLEHVFRHAARELFGIHVAEVTYKPLRNKDFQE
+VTLEKEGQVLLHFAMAYGFRNIQNLVQRLKRGRCPYHYVEVMACPSGCLNGG
+>Q9H6Q4_PF02256_431 <unknown description>
+APEDAPGVQELYTHWLQGTDSECAGRLLHTQYHA
+>Q8N365_PF15673_92 <unknown description>
+SGAKRSRDGELETSLNTQGCTTEGDLLFAQKCKELQGFIPPLTDLLNGLKMGRFERGLSS
+FQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGMLKTWFPQIAAQKSSLGGGKHQLTK
+HFPSHHSDSAASSPASPMEKMDQTQLGHLALKPKQPWHLTQWPAMNLTWIHTTPICNPPL
+SSPGTISFSHGPLGTGTGIGVILFLQHGVQPFTHSAPTTPVPPTTASPVIPGEPMKLSGE
+GPRCYSLPVTLPSDWSYTLSPPSLPTLARKMTIGHREQ
+>Q99828_PF13499_109 <unknown description>
+HYAFRIFDFDDDGTLNREDLSRLVNCLTGEGEDTRLSASEMKQLIDNILEESDIDRDGTI
+NLSEFQHVI
+>O75838_PF13499_107 <unknown description>
+KANYAFKIYDFNTDNFICKEDLELTLARLTKSELDEEEVVLVCDKVIEEADLDGDGKLGF
+ADFEDM
+>Q96Q77_PF13499_107 <unknown description>
+KAYYAFKIYDFNNDDYICAWDLEQTVTKLTRGGLSAEEVSLVCEKVLDEADGDHDGRLSL
+EDFQNMI
+>A0PJX0_PF13499_101 <unknown description>
+KIEYAFRIYDFNENGFIDEEDLQRIILRLLNSDDMSEDLLMDLTNHVLSESDLDNDNMLS
+FSEFEHAM
+>O60543_PF02017_35 <unknown description>
+RPFRVSNHDRSSRRGVMASSLQELISKTLDALVIATGLVTLVLEEDGTVVDTEEFFQTLG
+DNTHFMILEKGQKWM
+>Q9UHD4_PF02017_35 <unknown description>
+QRPFRVCDHKRTIRKGLTAATRQELLAKALETLLLNGVLTLVLEEDGTAVDSEDFFQLLE
+DDTCLMVLQSGQSWS
+>Q96AQ7_PF02017_43 <unknown description>
+RPCRVSTADRSVRKGIMAYSLEDLLLKVRDTLMLADKPFFLVLEEDGTTVETEEYFQALA
+GDTVFMVLQKGQKWQ
+>O43734_PF08357_401 <unknown description>
+RKVFITYSMDTAMEVVKFVNFLLVNGFQTAIDIFEDRIRGIDIIKWMERYLRDKTVMIIV
+AISPKYKQDVEGAESQLDEDEHGLHTKYIHRMMQIEFIKQGSMNFRFIPVLFPNAKKEHV
+PTWLQNTHVYSWPKNKKNILLRL
+>Q9UPZ9_PF00069_4 <unknown description>
+YTTIRQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHANVV
+KLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHGFFH
+RDLKPENLLCMGPELVKIADFGLAREIRSKPPYTDYVSTRWYRAPEVLLRSTNYSSPIDV
+WAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSSAMNFRWPQCVP
+NNLKTLIPNASSEAVQLLRDMLQWDPKKRPTASQALRYPYF
+>O75339_PF13330_56 <unknown description>
+WTTWFNIDYPGGKGDYERLDAIRFYYGDRVCARPLRLEARTTDWTPAGSTGQVVHGSPRE
+GFWCLNREQRPGQNCSNYTVRFLC
+>O75339_PF00090_153 <unknown description>
+SPWSPWSKCSAACGQTGVQTRTRICLAEMVSLCSEASEEGQHCMGQDC
+>O75339_PF13927_309 <unknown description>
+PYMVMNPETKARRAGQSVSLCCKATGKPRPDKYFWYHNDTLLDPSLYKHESKLVLRKLQQ
+HQAGEYFCKAQ
+>Q8IUL8_PF13330_59 <unknown description>
+WTSWFNVDHPGGDGDFESLAAIRFYYGPARVCPRPLALEARTTDWALPSAVGERVHLNPT
+RGFWCLNREQPRGRRCSNYHVRFRC
+>Q8IUL8_PF00090_151 <unknown description>
+AWGPWGPCSGSCGPGRRLRRRHCPSPAGDACPGRPLEAQKCVRPRC
+>Q8IUL8_PF13620_212 <unknown description>
+LGSVVTPSGQPLLGARVSLRDQPGTVATSDAHGTFRVPGVCADSRANIRAQMDGFSAGEA
+Q
+>Q8IUL8_PF13927_291 <unknown description>
+KPYLVKHPESRVREAGQNVTFCCKASGTPMPKKYSWFHNGTLLDRRAHGYGAHLELRGLR
+PDQAGIYHCKAWN
+>Q9P2M7_PF01576_795 <unknown description>
+KRALEARLEEAQRGLARLGQEQQTLNRALEEEGKQREVLRRGKAELEEQKRLLDRTVDRL
+NKELEKIGEDSKQALQQLQAQLEDYKEKARREVADAQRQAKDWASEAEKTSGGLSRLQDE
+IQRLRQALQASQAERDTARLDKELLAQRLQGLEQEAENKKRSQDDRARQLKGLEEKVSRL
+ETELDEEKNTVELLTDRVNRGRDQVDQLRTELMQERSARQDLECDKISLERQNKDLKTRL
+ASSEGFQKPSASLSQLESQNQLLQERLQAEEREKTVLQSTNRKLERKVKELSIQIEDERQ
+HVNDQKDQLSLRVKALKRQVDEAEEEIERLDGLRKKAQREVEEQHEVNEQLQARIKSLE
+>Q9NPC3_PF14634_10 <unknown description>
+CNYRKCRIKLSGYAWVTACSHIFCDQHGSGEFSRSPAICPACN
+>Q15642_PF00611_10 <unknown description>
+QFEVLERHTQWGLDLLDRYVKFVKERTEVEQAYAKQLRSLVKKYLPKRPAKDDPESKFSQ
+QQSFVQILQEVNDFAGQRE
+>Q15642_PF00018_546 <unknown description>
+VAIYHFEGSSEGTISMAEGEDLSLMEEDKGDGWTRVRRKEGGEGYVPT
+>Q9C0C6_PF15800_38 <unknown description>
+SDKDSGFSDGSSECLSSAEQMESEDMLSALGWSREDRPRQNSKTAKNAFPTLSPMVVMKN
+VLVKQGSSSSQLQSWTVQPSFEVISAQPQLLFLHPPVPSPVSPCHTGEKKSDSRNYLPIL
+NSYTKIAPHPGKRGLSLGPEEKGTSGVQKKICTERLGPSLSSSEPTKAGAVPSSPSTPAP
+PSAKLAEDSALQGVPSLVAGGSPQTLQPVSSSHVAKAPSLTFASPASPVCASDSTLHGLE
+SNSPLSPLSANYSSPLWAAEHLCRSPDIFSEQRQSKHRRFQNTLVVLHKSGLLEITLKTK
+ELIRQNQATQVELDQLKEQTQLFIEATKSRAPQAWAKLQ
+>Q86X95_PF10197_13 <unknown description>
+DFHPASKSNIKKVWMAEQKISYDKKKQEELMQQYLKE
+>A0A1B0GTW7_PF01457_260 <unknown description>
+PSVIAYAACCQLDSEDRPLAGTIVYCAQHLTSPSLSHSDIVMATLHELLHALGFSGQLFK
+KWRDCPSGFSVRENCSTRQLVTRQDEWGQLLLTTPAVSLSLAKHLGVSGASLGVPLEEEE
+GLLSSHWEARLLQGSLMTATFDGAQRTRLDPITLAAFKDSGWYQVNHSAAEELLWGQGSG
+PEFGLVTTCGTGSSDFFCTGSGLGCHYLHLDKGSCSSDPMLEGCRMYKPLANGSECWKKE
+NGFPAGVDNPHGEIYHPQSRCFFANLTSQLLPGDKPRHPSLTPHLKEAELMGRCYLHQCT
+GRGAYKVQVEGSPWVPCLPGKVIQIPGYYGLLFCPR
+>Q9NZ45_PF10660_12 <unknown description>
+EWIAAVTIAAGTAAIGYLAYKRFYVKDHR
+>Q9NZ45_PF09360_65 <unknown description>
+LGDKAVYCRCWRSKKFPFCDGAH
+>Q8N5K1_PF10660_1 <unknown description>
+MVLESVARIVKVQLPAYLKRLPVPESITGFARLTVSEWLRLLPFLGVLALLGYLAVRPFL
+PKKKQQ
+>Q8N5K1_PF09360_80 <unknown description>
+PKVVNEINIEDLCLTKAAYCRCWRSKTFPACDGSH
+>P0C7P0_PF09360_54 <unknown description>
+GKTYRWCVCGRSKKQPFCDGSH
+>P0C7P0_PF09360_90 <unknown description>
+QETRMVALCTCKATQRPPYCDGTHR
+>Q9NSE2_PF00017_82 <unknown description>
+WYWGSITASEARQHLQKMPEGTFLVRDSTHPSYLFTLSVKTTRGPTNVRIEYADSSFRLD
+SNCLSRPRILAFPDVVSLVQHY
+>Q9NSE2_PF07525_221 <unknown description>
+RSLQHLCRLVINRLVADVDCLPLPRRMADYL
+>O75390_PF00285_71 <unknown description>
+GMRGMKGLVYETSVLDPDEGIRFRGFSIPECQKLLPKAKGGEEPLPEGLFWLLVTGHIPT
+EEQVSWLSKEWAKRAALPSHVVTMLDNFPTNLHPMSQLSAAVTALNSESNFARAYAQGIS
+RTKYWELIYEDSMDLIAKLPCVAAKIYRNLYREGSGIGAIDSNLDWSHNFTNMLGYTDHQ
+FTELTRLYLTIHSDHEGGNVSAHTSHLVGSALSDPYLSFAAAMNGLAGPLHGLANQEVLV
+WLTQLQKEVGKDVSDEKLRDYIWNTLNSGRVVPGYGHAVLRKTDPRYTCQREFALKHLPN
+DPMFKLVAQLYKIVPNVLLEQGKAKNPWPNVDAHSGVLLQYYGMTEMNYYTVLFGVSRAL
+GVLAQLIWSRALGFPLERPK
+>Q99966_PF04487_88 <unknown description>
+APHLLASMHLQKLNSQYQGMAAATPGQPGEAGPLQNWDFGAQAGGAESLSPSAGAQSPAI
+IDSDPVDEEVLMSLVVELGLDRANELPELWLGQNEFDFTADF
+>Q99967_PF04487_1 <unknown description>
+MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGA
+GNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLN
+NQYFNHHPYPHNHYMPDLHPAAGHQMNGTNQHFRDCNPKHSGGSSTPGGSGGSSTPGGSG
+SSSGGGAGSSNSGGGSGSGNMPASVAHVPAAMLPPNVIDTDFIDEEVLMSLVIEMGLDRI
+KELPELWLGQNEFDFMTDFVCKQQPSRVSC
+>Q96RK1_PF04487_122 <unknown description>
+PPPPAHALGGMDAELIDEEALTSLELELGLHRVRELPELFLGQSEFDCFSDLGSAPPAGS
+VSC
+>Q9ULV3_PF12171_686 <unknown description>
+FCTVCNRYFKTPRKFVEHVKSQGHK
+>Q8N6V4_PF15092_1 <unknown description>
+MPKNAVVILRYGPYSAAGLPVEHHTFRLQGLQAVLAIDGHEVILEKIEDWNVVELMVNEE
+VIFHCNIKDLEFGGDGKLDPLCEKARIAV
+>Q5T681_PF17729_1 <unknown description>
+MLWVQRKRRRKETSECPSDKDKSPESHKAKNESWIKSHFSRLSEEKLALDNNASASGNAT
+QTESGSEEVSSTVHIETFTTRHGEVGSALHRESFTSRQKTSGPSVIQEIHQESGKAPSTD
+EATWAAVAACTKEIDTQGRHLAHSMLQRAIAYQHSGHLESKDINQEELRALEEVEMKLQK
+NFLTQRENTIAGANHTHTFYGHSHHSHHGHPSHQSHSLPNRRH
+>Q8TEF2_PF17665_1 <unknown description>
+MSTEGPSLASSPAISPLAFLSAPVTPGTLAEATDPLPMLIALACIFLLLATCLLFMTLCK
+PAALDPSRRRAHECMPHHPGSPSEPQLRLWKRLGSLRLSLHSFRHGRPTVPRQPLPGPED
+NRSHCDYMESTKM
+>Q5SQS8_PF17658_1 <unknown description>
+MIREWKNDCQRIEKQRASDTMVQERKNEKPVRIFNTNSSFQDQAPTCCQEDLSSASPLRI
+WSKFYRSDPRIALGKYSPLEKEILRLGGIHTIAARRLLAYKQEEECRMLKELQLLSPDYK
+QAMEYKKKHSSPCAICVPLEKIWTAKVIAPLEAFKMPQREQVNVSKHIERMRLARALGNH
+QPLPYIERFTRSSFLSGVGLGPMAKNKARRKEDNYDTHNCDDANQDKKEEAEGKNTKRRE
+IKMNVVFKSKEPKKCLTYHGNDRKSFLPAKKPERSIAGLTNRNLFCISEFPGDLMLMNQD
+FISRRDHFSDLVKTYSLEEESIWKERMRKATPYHY
+>Q9H5F2_PF06608_3 <unknown description>
+ASQCLCCSKFLFQRQNLACFLTNPHCGSLVNADGHGEVWTDWNNMSKFFQYGWRCTTNEN
+TYSNRTLMGNWNQERYDLRNIVQPKPLPSQFGHYFETTYDTSYNNKMPLSTHRFKREPHW
+FPGHQPELDPPRYKCTEKSTYMNSYSK
+>Q9NQ32_PF15057_85 <unknown description>
+NTWVLARREADGFYYRAQIKATPELERQGVLLVEFEAPLVAGPKLPAQQQRVVLEEDVIP
+LSPSVGYSLRPGDKVLALWEPGQQQYGPGTVLLGLEMRDPQRASKEKEITVHFWNGKAAK
+VPLGGVQSVSLTIWKKAVER
+>Q9P2W6_PF15399_20 <unknown description>
+VPRWPHLSSQSGVEPPDRWTGTPGWPSRDQEAPGSMMPPAAAQPSAHGALVPPATAHEPV
+DHPALHWLACCCCLSLPGQLPLAIRLGWDLDLEAGPSSGKLCPRARRWQPLPS
+>Q96F05_PF17823_1 <unknown description>
+MWTALVLIWIFSLSLSESHAASNDPRNFVPNKMWKGLVKRNASVETVDNKTSEDVTMAAA
+SPVTLTKGTSAAHLNSMEVTTEDTSRTDVSEPATSGGAADGVTSIAPTAVASSTTAASIT
+TAASSMTVASSAPTTAASSTTVASIAPTTAASSMTAASSTPMTLALPAPTSTSTGRTPST
+TATGHPSLSTALAQVPKSSALPRTATLATLATRAQTVATTANTSSPMSTRPSPSKHMPSD
+TAASPVPPMRPQAQGPISQVSVDQPVVNTTNKSTPMPSNTTPEPAPTPTVVTTTKAQARE
+PTASPVPVPHTSPIPEMEAMSPTTQPSPMPYTQRAAGPGTSQAPEQVETEATPGTDSTGP
+TPRSSGGTKMPATDSCQPSTQGQYMVVTTEPLTQAVVDKTLLLVVLLLGVTLFITVLVLF
+ALQAYESYKKKDYTQVDYLINGMYADSEM
+>Q8N5U0_PF15668_1 <unknown description>
+MLVGTPNLLTLDEADATWTLIKDKVIEEHFGPNAVAVPFLSDAACYDLLGVLVKQSRPAH
+TRLALPGRQGRRALKPVGPLPSLLEQAGSEGAFAHCTREYSPNGRAERAYEETRMLDGQP
+CKIRLHMGDLRKKVAFLLLPPGQVSLQQTLPWLRSTHSIYVIYQVFSCSWLQLGLTSTAR
+EPQLLRLLRSLPVAFSCLKFSLQSKGVLGPQKPLTKDPLPHGANWVRPNLSIMPPLAPTS
+APADTTEAADVPPPVPAPPTPPPQEGPEDKPTRFSYKGRNPFWRGPQILSENWLFSPRSP
+PPGAQGGGPRDPDGHSMSLPLLQGLSSEFDS
+>Q96A22_PF15147_1 <unknown description>
+MGNRVCCGGSWSCPSTFQKKKKTGSQTRRTLKPQPQQLQQNLPKGHETTGHTYERVLQQQ
+GSQERSPGLMSEDSNLHYADIQVCSRPHAREVKHVHLENATEYATLRFPQATPRYDSKNG
+TLV
+>Q9H0W9_PF08925_20 <unknown description>
+MQKGLKDNFADVQVSVVDCPDLTKEPFTFPVKGICGKTRIAEVGGVPYLLPLVNQKKVYD
+LNKIAKEIKLPGAFILGAGAGPFQTLGFNSEFMPVIQTESEHKPPVNGSYFAHVNPADGG
+CLLEKYSEKCHDFQCALLANLFASEGQPGKVIEVKAKRRTGPLNFVTCMRETLEKHYGNK
+PIGMGGTFIIQKGKVKSHIMPAEFSSCPLNSDEEVNKWLHFYEMKAPLVCLPVFVSRDPG
+FDLRLEHTHFFSRHGEGGHYHYDTTPDIVEYLGYFLPAEFLYRI
+>Q9H3H3_PF08939_156 <unknown description>
+TLRQLAITHHVLSGKWLMHLAPGFKLDHAWAGIARAVVEGQLQVAKVSPRAKEGGRQVIC
+VYTDDFTDRLGVLEADSAIRAAGIKCLLTYKPDVYTYLG
+>Q6IPW1_PF15747_1 <unknown description>
+MALNNVSLSSGDQRSRVAYRSSHGDLRPRASALAMVSGDGFLVSRPEAIHLGPRQAVRPS
+VRAESRRVDGGGRSPREPDGRGRSRQARFSPYPIPAVEPDLLRSVLQQRLIA
+>Q3C1V1_PF17669_8 <unknown description>
+SHSPTMSQRSAPPLYFPSLYDRGISSSPLSDFNIWKKLFVPLKAGGAPVGGAAGARSLSQ
+ALPAPAPPPPPPPGLGPSSERPWPSPWPSGLASIPYEPLRFFYSPPPGPEVVASPLVPCP
+STPRLASASHPEELCELEIRIKELELLTITGDGFDSQSYTFLKALKDEKLQGLKTKQPGK
+KSASLS
+>E9PRG8_PF17719_1 <unknown description>
+MGAPGGKINRPRTELKKKLFKRRRVLNRERRLRHRVVGAVIDQGLITRHHLKKRASSARA
+NITLSGKKRRKLLQQIRLAQKEKTAMEVEAPSKPART
+>Q7Z7J9_PF15170_1 <unknown description>
+MSEVLPYGDEKLSPYGDGGDVGQIFSCRLQDTNNFFGAGQNKRPPKLGQIGRSKRVVIED
+DRIDDVLKNMTDKAPPGV
+>Q96S95_PF15170_1 <unknown description>
+MSEILPYSEDKMGRFGADPEGSDLSFSCRLQDTNSFFAGNQAKRPPKLGQIGRAKRVVIE
+DDRIDDVLKGMGEKPPSGV
+>Q96SZ6_PF00919_101 <unknown description>
+KVYLETYGCQMNVNDTEIAWSILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLH
+QLKALKTRRPRSRVPLRIGILGCMAERLKEEILNREKMVDILAG
+>Q96SZ6_PF04055_252 <unknown description>
+VSIMRGCDNMCSYCIVPFTRGRERSRPIASILEEVKKLSEQGLKEVTLLGQNVNSFRDNS
+EVQFNSAVPTNLSRGFTTNYKTKQGGLRFAHLLDQVSRVDPEMRIRFTSPHPKDFPDEVL
+QLIHERDNICKQIHLPAQSGSSRVLEAMRRGYSREAYVELVHHIRESIPGVSLSSDFIAG
+FCGETEEDHVQTVSLL
+>Q96SZ6_PF01938_503 <unknown description>
+SVGCTQLVLVEGLSKRSATDLCGRNDGNLKVIFPDAEMEDVNNPGLRVRAQPGDYVLVKI
+TSASSQTLRGHVL
+>Q96SN8_PF07989_60 <unknown description>
+MKDFENQITELKKENFNLKLRIYFLEERMQQEFHGPTEHIYKTNIELKVEVESLKRELQE
+REQLLIKASKA
+>Q96JB5_PF05600_5 <unknown description>
+QHVPIDIQTSKLLDWLVDRRHCSLKWQSLVLTIREKINAAIQDMPESEEIAQLLSGSYIH
+YFHCLRILDLLKGTEASTKNIFGRYSSQRMKDWQEIIALYEKDNTYLVELSSLLVRNVNY
+EIPSLKKQIAKCQQLQQEYSRKEEECQAGAAEMREQFYHSCKQYGITGENVRGELLALVK
+DLPSQLAEIGAAAQQSLGEAIDVYQASVGFVCESPTEQVLPMLRFVQKRGNSTVYEWRTG
+TEPSVVERPHLEELPEQVAEDAIDWGDFGVEAVSEGTDSGISAEAAGIDWGIFPESDSKD
+PGGDGIDWGDDAVALQITVLEAGTQAPEGVARGPDALTLLEYTETRNQFLDELMELEIFL
+AQRAVELSEEADVLSVSQFQLAPAILQGQTKEKMVTMVSVLEDLIGKLTSLQLQHLFMIL
+ASPRYVDRVTEFLQQKLKQSQLLALKKELMVQKQQEALEEQAALEPKLDLLLEKTKELQK
+LIEADISKRYSGRPVNLMG
+>Q8WWK9_PF15297_324 <unknown description>
+RPASLSNDKLMEKSEPVDQRRHTAGKAIVDSRSAQPKETSEERKARLSEWKAGKGRVLKR
+PPNSVVTQHEPAGQNEKPVGSFWTTMAEEDEQRLFTEKVNNTFSECLNLINEGCPKEDIL
+VTLNDLIKNIPDAKKLVKYWICLALIEPITSPIENIIAIYEKAILAGAQPIEEMRHTIVD
+ILTMKSQEKANLGENMEKSCASKEEVKEVSIEDTGVDVDPEKLEMESKLHRNLLFQDCEK
+EQDNKTKDPTHDVKTPNTETRTSCLIKYNVSTTPYLQSVKKKVQFDGTNSAFKELKFLTP
+VRRSRRLQEKTSKLPDMLKDHYPCVSSLEQLTELGRETDAFVCRPNAAL
+>Q14008_PF12348_284 <unknown description>
+FYDKIEAKKWQERKEALESVEVLIKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKC
+LTGLAVGLRKKFGQYAGHVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLA
+VMDNKNPTIKQQTSLFIARSFRHCTASTLPKSLLKPFCAALLKHINDSAPEVRDAAFEAL
+GTALKVVGEK
+>Q14008_PF12348_865 <unknown description>
+LVSKIGDKNWKIRKEGLDEVAGIINDAKFIQPNIGELPTALKGRLNDSNKILVQQTLNIL
+QQLAVAMGPNIKQHVKNLGIPIITVLGDSKNNVRAAALATVNAWAEQTGMKEWLEGEDLS
+EELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCVPHLYSCLEDRNGDVRKKAQDAL
+>Q14008_PF12348_1245 <unknown description>
+ILKWLTLRFFDTNTSVLMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLVVKVGEPKDV
+IRKDVRAILNRMCLVYPASKMFPFIMEGTKSKNSKQRAECLEELGCLVESYGMNVCQPTP
+GKALKEIAVHIGDRDNAVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKR
+>Q96MX0_PF01284_57 <unknown description>
+ICYVASSASAFLTAPLLEFLLALYFLFADAMQLNDKWQGLCWPMMDFLRCVTAALIYFAI
+SITAIAKYSDGASKAAGVFGFFATIVFATDFYL
+>Q8IZR5_PF01284_53 <unknown description>
+ALGRLKVAQVILALIAFICIETIMACSPCEGLYFFEFVSCSAFVVTGVLLIMFSLNLHMR
+IPQINWNLTDLVNTGLSAFLFFIASIVLAALNHRAGAEIAAVIFGFLATAAYAVNTFL
+>Q96DZ9_PF01284_29 <unknown description>
+FLTSHKGILLETELALTLIIFICFTASISAYMAAALLEFFITLAFLFLYATQYYQRFDRI
+NWPCLLQGHGQSGGPHPLDLLSHSAKVQPQPWPGLTPPGWHTPAAVPWVPAPAPGFWSWL
+LWFI
+>Q9NX76_PF01284_39 <unknown description>
+RVLKGLQLLLSLLAFICEEVVSQCTLCGGLYFFEFVSCSAFLLSLLILIVYCTPFYERVD
+TTKVKSSDFYITLGTGCVFLLASIIFVSTHDRTSAEIAAIVFGFIASFMFLLDFI
+>Q96FZ5_PF01284_41 <unknown description>
+PRTHAALLKVAQMVTLLIAFICVRSSLWTNYSAYSYFEVVTICDLIMILAFYLVHLFRFY
+RVLTCISWPLSELLHYLIGTLLLLIASIVAASKSYNQSGLVAGAIFGFMATFLCMASIWL
+>Q8IZV2_PF01284_36 <unknown description>
+FLRTLPGFLIVAEIVLGLLVWTLIAGTEYFRVPAFGWVMFVAVFYWVLTVFFLIIYITMT
+YTRIPQVPWTTVGLCFNGSAFVLYLSAAVVDASSVSPERDSHNFNSWAASSFFAFLVTIC
+YAGNTYF
+>Q8IYA6_PF15297_435 <unknown description>
+TAPKTQADVTTVNGTQTNPNIKKKATAEDRRKQLEEWQKSKGKTYKRPPMELKTKRKVIK
+EMNISFWKSIEKEEEEKKAQLELSSKINNTLTECLNLIEGGVPSNEILNILSSIPEAEKF
+AKFWICKAKLLASKGTFDVIGLYEEAIKNGATPIQELRKVVLNILQDSNRTTEGITSDSL
+VAETSITSVEELAKKMESVKSCLSPKERE
+>Q8IYA6_PF15297_678 <unknown description>
+VQDMKFITPVRRSSRIERAVSRYPEMLQEHDLVVASLDELLEVEETKCFIFRRNEAL
+>P61024_PF01111_6 <unknown description>
+IYYSDKYDDEEFEYRHVMLPKDIAKLVPKTHLMSESEWRNLGVQQSQGWVHYMIHEPEPH
+ILLFRRP
+>P33552_PF01111_6 <unknown description>
+IYYSDKYFDEHYEYRHVMLPRELSKQVPKTHLMSEEEWRRLGVQQSLGWVHYMIHEPEPH
+ILLFRRP
+>Q9NQ89_PF10154_42 <unknown description>
+HLHGRLMLLHSLPCFIEKDLKEALTQFIEEESLSDYDRDAEASLAAVKSGEVDLHQLAST
+WAKAYAETTLEHARPEEPSWDEDFADVYHDLIHSPASETLLNLEHNYFVSISELIGERDV
+ELKKLRERQGIEMEKVMQELGKSLTDQDVNSLAAQHFESQQDLENKWSNELKQSTAIQKQ
+EYQEWVIKLHQDLKNPNNSSLSEEIKVQPSQFRESVEAIGRIYEEQRKLEESFTIHLGAQ
+LKTMHNLRLLRADMLDFCKHKRNHRSGVKLHRLQTALSLYSTSLCGLVLLVDNRINSYSG
+IKRDFATVCQECTDFHFPRIEEQLEVVQQVVLYARTQRRSKLKESLDSGNQNGGNDDKTK
+NAERNYLNVLPGEFYITRHSNLSEIHVAFHLCVDDHVKSGNITARDPAIMGLRNILKVCC
+THDITTISIPLLLVHDMSEEMTIPWCLRRAELVFKCVKGFMMEMASWDGGISRTVQFLVP
+QSISEEMFYQLSNMLPQIFRVSST
+>Q8N999_PF17720_1 <unknown description>
+MKRLGSVQRKMPCVFVTEVKEEPSSKREHQPFKVLATETVSHKALDADIYSAIPTEKVDG
+TCCYVTTYKDQPYLWARLDRKPNKQAEKRFKNFLHSKENPKEFFWNVEEDFKPAPECWIP
+AKETEQINGNPVPDENGHIPGWVPVEKNNKQYCWHSSVVNYEFEIALVLKHHPDDSGLLE
+ISAVPLSDLLEQTLELIGTNINGNPYGLGSKKHPLHLLIPHGAFQIRNLPSLKHNDLVSW
+FEDCKEGKIEGIVWHCSDGCLIKVHRHHLGLCWPIPDTYMNSRPVIINMNLNKCDSAFDI
+KCLFNHFLKIDNQKFVRLKDIIFD
+>Q86WS4_PF15089_229 <unknown description>
+SLGNRNLLTKSPAVIMDEDCRSTDEIRQSDYITEKHSIQHIWGKNGKEVSNFLEDVNQST
+PNLLSENCDSFVSQNMINVLNIDEQRIKKTFNKCDYDSMGDTCVVTSSDKNHVTDRCIRN
+IFTVPELTFSNSTLNKTSYPEKCQPNKKYQREYNKNERNDLSTSFENDYYPSSSERKEKF
+ENDYQEKTPQKSIQKYPANSMGNIPSEELHSKQSWDFGLDEILMEEGGIYSLKSKRISTK
+KISLDSAQSSRSTSYSPRPTDSCFSSSSDLPSEDEDQISQQIEDSNRMTIKTKEKMNNFY
+VERMAKLSGDRIVKNDDKIHKQNENFYQFSVKNNTDQFPQLQCNSAHILQNKTNDNCVLQ
+AARCDAGIQTESESVMEEKLDVAIQCDLISKCTCRSDVSLCNLERCSGNIKADTTGGQEI
+HKNN
+>Q96LP6_PF15380_96 <unknown description>
+MACKRLLHTCQYIVPRCSVSTVSFDEESYEEFRSSPAPSSETDEAPLIFTARGETEERAR
+GAPKQAWNSSFLEQLVKKPNWAHSVNPVHLEAQGIHISRHTRPKGQPLSSPKKNSGSAAR
+PSTAIGLCRRSQTPGALQSTGPSNTELEPEERMAVPAGAQAHPDDIQSRLLGASGNPVGK
+GAVAMAPEMLPKHPHTPRDRRPQADTSLHGNLAGAPLPLLAGASTHFPSKRLIKVCSSAP
+PRPTRRFHTVCSQALSRPVVNAHL
+>Q8NA57_PF15663_1 <unknown description>
+MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNITLQKE
+>Q8NA57_PF17732_66 <unknown description>
+LKPQENISRPIHHPLVLKTNFEEEEEVDEQNDASSLWTKTPEEIEEKRAIKEMCYKSGEY
+YRFHTPPDILSSKSMTPTAEKQLEKPLENGSELQEGDSLTVPTKLSQYERQGEIKTSLHG
+KPKTDIAAFENGGGDCYVPQRVIFLGVDESEALTEEKEITISKCSNTKDNKDSPHPKHSL
+TTRLVPTTHVLNATENISMKCREDPSSMNDVQPVKKPHFKGVKKRKWIYDEPQNFPNSGM
+QRAVQAPRPQNKMSYHRNNKNRNAENASYIHVQRDAVRTVALNAPSRSRPTHGSYNKVHA
+NREPKPNLSPDKYTSTSYNDSAWRKRIPFSKTYSKSEKIYPEPRRNGSK
+>Q6X4T0_PF15732_1 <unknown description>
+MAQHPCQDQEQKVEMTSKQQRSTSIEETMRPQEKQVTITETLWDQVLTVFKDIQKELQED
+ARIRGMSNCSMTPMTSAPRTGSIRPPDSLMTPKLRRLQFSSGEQPSGGRIHNLKTQLFSQ
+SAYYPGP
+>Q8IXR9_PF15087_11 <unknown description>
+ARRNSRLDVFLRRHLPPEVYDAVRAYEPCIVVSNSENHILKYVVLSDRLVYLTENPPKSI
+RRVVALRDVVAIDLIDDYPEFLSSPDREISQHIRIIYSSTVLKKECKKSNSVRKFLFPFH
+HTKANNKKVKEEKNGLAFWRSKESRSLKESPLRDQQESSTPSKDSTLCPRPGLKKLSLHG
+QGAFRPLPSPSRRSSQSAPTTGKAVSEPSCTTNTKEPQGLPDHNSISEIPFKCNGNGNEF
+YLGNSLLDSPSQSNSNLEKKESELHLYVISTTSSIFLHLKSSWNNYIIKATLLQDPFYAS
+EFSPAIGSQKPYRSEEKIKHFSQLKSELFLKDNSLRRILSLLMELKVAAQKNFILKRLFW
+KTSDLFYFIVNKLHEYLPESRDKNALQNQSQRVDELVACIEIIQTLVLMFRETETESSRL
+NTLAAKKGALFNLLVILISEPQIPKSCPVFDIQLVADSALVRMSFDAELQKLILEYTNTA
+TALLYEILLVFQQGNLGLGSTKFAISWIMSFLQSCPPIITFVASIVKQVVRGLSASFQLL
+SPCQAVLLYQQFYILKSCLRHSRTLAEYIRNNYREEFRYFIHMPALQKRLPLCYPITQPT
+IQLFHEVLKL
+>Q5U649_PF15047_8 <unknown description>
+DKERLIQAAKMFFFHVQDLASVINTLTELFSRSMNTQILLMAVKNNSYIKDFFEQMLKIF
+KEMQSVVDARHDKIQKESLCSKVAMAMCSVVQKSTNVEELHQSAKEVFKSAHTPVIISVL
+NSSNILGSLESSLSHLMKFPIMNLQLSDFYTEDTKEQSDVTTSERTRSPPGSSKTTMIDT
+LKKLQDVLKTEDSKNPTKSAADLLEQIVKAMGPILEILQKAIKTME
+>A8MTZ7_PF15480_15 <unknown description>
+SKSNSNLSLSVGYFPCEDTPCEDTTSWEDAPSKGPSIHFLPPVQGAWGTERIGRRMKRQD
+QIQDEPEQFCKLSIFLAWDVDIGSDNTDSRANRLLNGDNLWIDKLPKERTKLSVGKLNNL
+VQEFQIFLENLKDDDAVFPETAQQDFQLSSGSPPEMVQM
+>A8MTZ7_PF15480_169 <unknown description>
+EMVQMISQATASQRTSAPEISSILSEQPEKDDTPSHTQAQCCLNFGWAFSWLRQRILPSL
+LRRDHPVNATKSPHRSAPTKRLFHRGKRIQPQE
+>Q5QGZ9_PF00059_152 <unknown description>
+QTWQESKMACAAQNASLLKINNKNALEFIKSQSRSYDYWLGLSPEEDSTRGMRVDNIINS
+SAWVIRNAPDLNNMYCGYINRLYVQYYHCTYKKRMICEK
+>Q2HXU8_PF08391_38 <unknown description>
+IWRHAALGLVTLCLMLLIGLVTLGMMFLQISNDINSDSEKLSQLQKTIQQQQDNLSQQLG
+NSNNLSMEEEFLKSQISSVLKRQEQMAIKLCQELIIHTSDHRCNPCPKMWQWYQNSCYYF
+>Q2HXU8_PF00059_162 <unknown description>
+EKTWANSRKDCIDKNSTLVKIDSLEEKDFLMSQPLLMFSFFWLGLSWDSSGRSWFWEDGS
+VPSPSLFSTKELDQINGSKGCAYFQKGNIYISRCSAEIFWICEK
+>Q2KHT3_PF09758_51 <unknown description>
+IRSITEILIWGDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISH
+ETSLYYLLSNNYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFA
+LYTEAIKFFNHPESMVRIAVRTITLNVY
+>Q2KHT3_PF19439_245 <unknown description>
+RNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENQDKGGE
+RPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSEMYAKTEQDIQRSSAKPSIRC
+FIKPTETLERSLEMNKHKGKRRVQKRPNYKNVGEEEDEEKGPTEDAQEDAEKAKGTEGGS
+KGIKTSGESEEIEMVIMERSKLSELAASTSVQEQNTTDEEKSAAATCSESTQWSRPFLDM
+VYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLERIQLPVPNAAEKTTYNHPLAERLI
+RIMNNAAQPDGKIRLATLELSCLLLKQQVLMSAGCIMKDVHLACLEGAREESVHLVRHFY
+KGEDIFLDMFEDEYRSMTMKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTR
+RAIRVFFMLRSLSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQ
+RFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSK
+PFPILQATFIFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLGS
+STSTQHLPFRFYDQGRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSQSPPSA
+SGSPSGSGSTSHCDSGGTSSSSTPSTAQSPADAPMSPELPKPHLPDQLVIVNETEADSKP
+SKNVARSAAVETASLSPSLVPARQPTISLLCEDTADTLSVESLTLVPPVDPHSLRSL
+>Q6ZS10_PF00059_272 <unknown description>
+TKSWDEARMFCQENYSHLVIINSFAEHNFVAKAHGSPRVYWLGLNDRAQEGDWRWLDGSP
+VTLSFWEPEEPNNIHDEDCATMNKGGTWNDLSCYKTTYWICER
+>A5D8T8_PF00188_52 <unknown description>
+LSLHNRLRSWVQPPAADMRRLDWSDSLAQLAQARAALCGTPTPSLASGLWRTLQVGWNMQ
+LLPAGLVSFVEVVSLWFAEGQRYSHAAGECARNATCTHYTQLVWATSSQLGCGRHLCSAG
+QAAIEAFVCAY
+>A5D8T8_PF00059_317 <unknown description>
+ADTYYRARMKCQRKGGVLAQIKSQKVQDILAFYLGRLETTNEVIDSDFETRNFWIGLTYK
+TAKDSFRWATGEHQAFTSFAFGQPDNHGFGNCVELQASAAFNWNDQRCKTRNRYICQF
+>Q8NCF0_PF00188_52 <unknown description>
+LSLHNRLRSWVQPPAADMRRLDWSDSLAQLAQARAALCGIPTPSLASGLWRTLQVGWNMQ
+LLPAGLASFVEVVSLWFAEGQRYSHAAGECARNATCTHYTQLVWATSSQLGCGRHLCSAG
+QAAIEAFVCAY
+>Q8NCF0_PF00059_317 <unknown description>
+ADTYYRARMKCQRKGGVLAQIKSQKVQDILAFYLGRLETTNEVIDSDFETRNFWIGLTYK
+TAKDSFRWATGEHQAFTSFAFGQPDNHGFGNCVELQASAAFNWNNQRCKTRNRYICQF
+>Q6ZU45_PF00059_30 <unknown description>
+ALSWYDAQQHCRLHYTDLADLQPSGLWKLYSLMTSTPAWIGLFFDASTSGLRWSSGSTFT
+ALEWGQKLPEFGVGFCATLYTWLKLPSIGAASCTAQKPFLCY
+>Q6ZU45_PF00059_171 <unknown description>
+MTWSSALLYCRSHHTDLADLQMVTDETGKEALRSIMSETEAWIGLYLNANSGSLSWSSDL
+GASIPSWLQVPMMVRGLCTALGIYMTYSPKVYSVNCSSLLPFFC
+>P16619_PF00048_33 <unknown description>
+ACCFSYTSRQIPQNFIADYFETSSQCSKPSVIFLTKRGRQVCADPSEEWVQKYVSDL
+>P28329_PF00755_134 <unknown description>
+LPVPPLQQTLATYLQCMRHLVSEEQFRKSQAIVQQFGAPGGLGETLQQKLLERQEKTANW
+VSEYWLNDMYLNNRLALPVNSSPAVIFARQHFPGTDDQLRFAASLISGVLSYKALLDSHS
+IPTDCAKGQLSGQPLCMKQYYGLFSSYRLPGHTQDTLVAQNSSIMPEPEHVIVACCNQFF
+VLDVVINFRRLSEGDLFTQLRKIVKMASNEDERLPPIGLLTSDGRSEWAEARTVLVKDST
+NRDSLDMIERCICLVCLDAPGGVELSDTHRALQLLHGGGYSKNGANRWYDKSLQFVVGRD
+GTCGVVCEHSPFDGIVLVQCTEHLLKHVTQSSRKLIRADSVSELPAPRRLRWKCSPEIQG
+HLASSAEKLQRIVKNLDFIVYKFDNYGKTFIKKQKCSPDAFIQVALQLAFYRLHRRLVPT
+YESASIRRFQEGRVDNIRSATPEALAFVRAVTDHKAAVPASEKLLLLKDAIRAQTAYTVM
+AITGMAIDNHLLALRELARAMCKELPEMFMDETYLMSNRFVLSTSQVPTTTEMFCCYGPV
+VPNGYGACYNPQPETILFCISSFHSCKETSSSKFAKAVEE
+>Q96F83_PF15045_193 <unknown description>
+SRKLWRALQSIHTTSTSQRLWSESRCQENFFLVLGIDAAQKNLSGGQGHIMEDCDLKEPE
+GLLTVSSFCLQHCKALIQTK
+>Q9Y240_PF00059_198 <unknown description>
+AAAQARCTARGGSLAQPADRQQMEALTRYLRAALAPYNWPVWLGVHDRRAEGLYLFENGQ
+RVSFFAWHRSPRPELGAQPSASPHPLSPDQPNGGTLENCVAQASDDGSWWDHDCQRRLYY
+VCEF
+>Q8NC01_PF00059_155 <unknown description>
+SKSWEDCKYFCLSENSTMLKINKQEDLEFAASQSYSEFFYSYWTGLLRPDSGKAWLWMDG
+TPFTSELFHIIIDVTSPRSRDCVAILNGMIFSKDCKELKRCVCER
+>Q9P126_PF00059_121 <unknown description>
+LTWEESKQYCTDMNATLLKIDNRNIVEYIKARTHLIRWVGLSRQKSNEVWKWEDGSVISE
+NMFEFLEDGKGNMNCAYFHNGKMHPTFCENKHYLMCER
+>Q6UVW9_PF00059_77 <unknown description>
+RNWTASKIFCSLQKAELAQIDTQEDMEFLKRYAGTDMHWIGLSRKQGDSWKWTNGTTFN
+>Q92478_PF00059_55 <unknown description>
+DWNSSKYNCSTQHADLTIIDNIEEMNFLRRYKCSSDHWIGLKMAKNRTGQWVDGATFTKS
+FGMRGSEGCAYLSDDGAATARCYTERKWICRK
+>Q9UHP7_PF00059_93 <unknown description>
+TKNWTSSQRFCDSQDADLAQVESFQELNFLLRYKGPSDHWIGLSREQGQPWKWINGTEWT
+RQFPILGAGECAYLNDKGASSARHYTERKWICS
+>P0C7M8_PF00059_118 <unknown description>
+PRDWNTGRQYCHTHEAVLAVIQSQKELEFMFKFTRREPWIGLRRVGDEFHWVNGDPFDPD
+TFTIAGPGECVFVEPTRLVSTECLMTRPWVCSK
+>O75596_PF00059_86 <unknown description>
+KHFHEANEDCISKGGILVIPRNSDEINALQDYGKRSLPGVNDFWLGINDMVTEGKFVDVN
+GIAISFLNWDRAQPNGGKRENCVLFSQSAQGKWSDEACRSSKRYICEF
+>Q9UMR7_PF00059_124 <unknown description>
+SASWQDSEKDCARMEAHLLVINTQEEQDFIFQNLQEESAYFVGLSDPEGQRHWQWVDQTP
+YNESSTFWHPREPSDPNERCVVLNFRKSPKRWGWNDVNCLGPQRSVCEM
+>Q8WTT0_PF00059_102 <unknown description>
+QSWTKSQKNCSVMGADLVVINTREEQDFIIQNLKRNSSYFLGLSDPGGRRHWQWVDQTPY
+NENVTFWHSGEPNNLDERCAIINFRSSEEWGWNDIHCHVPQKSICKM
+>Q8WXI8_PF00059_102 <unknown description>
+NKTWAESERNCSGMGAHLMTISTEAEQNFIIQFLDRRLSYFLGLRDENAKGQWRWVDQTP
+FNPRRVFWHKNEPDNSQGENCVVLVYNQDKWAWNDVPCNFEASRICK
+>Q9ULY5_PF00059_100 <unknown description>
+SWALSLKNCSAMGAHLVVINSQEEQEFLSYKKPKMREFFIGLSDQVVEGQWQWVDGTPLT
+KSLSFWDVGEPNNIATLEDCATMRDSSNPRQNWNDVTCFLNYFRICE
+>Q8N1N0_PF00059_487 <unknown description>
+KKSWHEAEQFCVSQGAHLASVASKEEQAFLVEFTSKVYYWIGLTDRGTEGSWRWTDGTPF
+NAAQNKAPGSKG
+>Q6UXB4_PF00059_183 <unknown description>
+KTTWAAAQDHCADASAHLVIVGGLDEQGFLTRNTRGRGYWLGLRAVRHLGKVQGYQWVDG
+VSLSFSHWNQGEPNDAWGRENCVMMLHTGLWNDAPCDSEKDGWICEK
+>Q9UJ71_PF00059_213 <unknown description>
+PKTWYSAEQFCVSRNSHLTSVTSESEQEFLYKTAGGLIYWIGLTKAGMEGDWSWVDDTPF
+NKVQSVRFWIPGEPNNAGNNEHCGNIKAPSLQAWNDAPCDKTFLFICKR
+>Q9H2X3_PF00059_286 <unknown description>
+QRNWHDSVTACQEVRAQLVVIKTAEEQNFLQLQTSRSNRFSWMGLSDLNQEGTWQWVDGS
+PLSPSFQRYWNSGEPNNSGNEDCAEFSGSGWNDNRCDVDNYWICKK
+>Q9NY25_PF00059_89 <unknown description>
+ESSWNESRDFCKGKGSTLAIVNTPEKLKFLQDITDAEKYFIGLIYHREEKRWRWINNSVF
+NGNVTNQNQNFNCATIGLTKTFDAASCDISYRRICEK
+>Q6EIG7_PF00059_97 <unknown description>
+EKVWSKSEQNCVEMGAHLVVFNTEAEQNFIVQQLNESFSYFLGLSDPQGNNNWQWIDKTP
+YEKNVRFWHLGEPNHSAEQCASIVFWKPTGWGWNDVICETRRNSICEM
+>Q9BXN2_PF00059_139 <unknown description>
+NSWDGSKRQCWQLGSNLLKIDSSNELGFIVKQVSSQPDNSFWIGLSRPQTEVPWLWEDGS
+TFSSNLFQIRTTATQENPSPNCVWIHVSVIYDQLCSVPSYSICEK
+>Q6UXN8_PF00059_134 <unknown description>
+WHTSQENCLKEGSTLLQIESKEEMDFITGSLRKIKGSYDYWVGLSQDGHSGRWLWQDGSS
+PSPGLLPAERSQSANQVCGYVKSNSLLSSNCSTWKYFICEK
+>A8K7I4_PF08434_25 <unknown description>
+IQLNNNGYEGIVVAIDPNVPEDETLIQQIKDMVTQASLYLLEATGKRFYFKNVAILIPET
+WKTKADYVRPKLETYKNADVLVAESTPPGNDEPYTEQMGNCGEKGERIHLTPDFIAGKKL
+AEYGPQGRAFVHEWAHLRWGVFDEYNNDEKFYLSNGRIQAVRCSAGITGTNVVKKCQGGS
+CYTKRCTFNKVTGLYEKGCEFVLQSRQTEKASIMFAQHVDSIVEFCTEQNHNKEAPNKQN
+QKCNLRSTWEVIRDSEDFKKTTPMT
+>A8K7I4_PF13519_308 <unknown description>
+CLVLDKSGSMATGNRLNRLNQAGQLFLLQTVELGSWVGMVTFDSAAHVQNELIQINSGSD
+RDTLAKRLPAAASGGTSICSGLRSAFTVIRKKYPTDGSEIVLLTDGE
+>Q9UQC9_PF08434_33 <unknown description>
+VQLQDNGYNGLLIAINPQVPENQNLISNIKEMITEASFYLFNATKRRVFFRNIKILIPAT
+WKANNNSKIKQESYEKANVIVTDWYGAHGDDPYTLQYRGCGKEGKYIHFTPNFLLNDNLT
+AGYGSRGRVFVHEWAHLRWGVFDEYNNDKPFYINGQNQIKVTRCSSDITGIFVCEKGPCP
+QENCIISKLFKEGCTFIYNSTQNATASIMFMQSLSSVVEFCNASTHNQEAPNLQNQMCSL
+RSAWDVITDSADFHHSFPMN
+>Q14CN2_PF08434_24 <unknown description>
+IKLNNNGFEDIVIVIDPSVPEDEKIIEQIEDMVTTASTYLFEATEKRFFFKNVSILIPEN
+WKENPQYKRPKHENHKHADVIVAPPTLPGRDEPYTKQFTECGEKGEYIHFTPDLLLGKKQ
+NEYGPPGKLFVHEWAHLRWGVFDEYNEDQPFYRAKSKKIEATRCSAGISGRNRVYKCQGG
+SCLSRACRIDSTTKLYGKDCQFFPDKVQTEKASIMFMQSIDSVVEFCNEKTHNQEAPSLQ
+NIKCNFRSTWEVISNSEDFKNTIPM
+>Q14CN2_PF00092_307 <unknown description>
+VCLVLDKSGSMGGKDRLNRMNQAAKHFLLQTVENGSWVGMVHFDSTATIVNKLIQIKSSD
+ERNTLMAGLPTYPLGGTSICSGIKYAFQVIGELHSQLDGSEVLLLTDGEDNTASSCIDEV
+KQSGAIVHFIALGRAADEAVIEMSKITGGSHFYVSDEAQ
+>P09496_PF01086_26 <unknown description>
+AGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAPGPQPHGEPPGGPDAVDGVMNGEYYQ
+ESNGPTDSYAAISQVDRLQSEPESIRKWREEQMERLEALDANSRKQEAEWKEKAIKELEE
+WYARQDEQLQKTKANNRAAEEAFVNDIDESSPGTEWERVARLCDFNPKSSKQAKDVSRMR
+SVLISLKQAPL
+>P09497_PF01086_3 <unknown description>
+DDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDEGFGAPAGSHAAPAQPGPTSG
+AGSEDMGTTVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQRKRLQELDAASK
+VTEQEWREKAKKDLEEWNQRQSEQVEKNKINNRIADKAFYQQPDADIIGYVASEEAFVKE
+SKEETPGTEWEKVAQLCDFNPKSSKQCKDVSRLRSVLMSLKQTPL
+>Q96S66_PF05934_3 <unknown description>
+CSLLLCECLLLVAGYAHDDDWIDPTDMLNYDAASGTMRKSQAKYGISGEKDVSPDLSCAD
+EISECYHKLDSLTYKIDECEKKKREDYESQSNPVFRRYLNKILIEAGKLGLPDENKGDMH
+YDAEIILKRETLLEIQKFLNGEDWKPGALDDALSDILINFKFHDFETWKWRFEDSFGVDP
+YNVLMVLLCLLCIVVLVATELWTYVRWYTQLRRVLIISFLFSLGWNWMYLYKLAFAQHQA
+EVAKMEPLNNVCAKKMDWTGSIWEWFRSSWTYKDDPCQKYYELLLVNPIWLVPPTKALAV
+TFTTFVTEPLKHIGKGTGEFIKALMKEIPALLHLPVLIIMALAILSFCYGAGKSVHVLRH
+IGGPESEPPQALRPRDRRRQEEIDYRPDGGAGDADFHYRGQMGPTEQGPYAKTYEGRREI
+LRERDVDLRFQTGNKSPEVLRAFDVPDAEAREHPTVVPSHKSPVLDTKPKETGGILGEGT
+PKESSTESSQSAKPVSGQDTSGNTEGSPAAEKAQLKSEAAGSPDQGSTYSPARGVAGPRG
+QDPVSSPCG
+>Q9UBD9_PF06875_54 <unknown description>
+LRSLAGTYLNYLGPPFNEPDFNPPRLGAETLPRATVDLEVWRSLNDKLRLTQNYEAYSHL
+LCYLRGLNRQAATAELRRSLAHFCTSLQGLLGSIAGVMAALGYPLPQPLPGTEPTWTPGP
+AHSDFLQKMDDFWLLKELQTWLWRSAKDFNRLKKKMQP
+>P51800_PF00654_105 <unknown description>
+SFSSGFSQSITPSSGGSGIPELKTMLAGVILEDYLDIKNFGAKVVGLSCTLATGSTLFLG
+KVGPFVHLSVMIAAYLGRVRTTTIGEPENKSKQNEMLVAAAAVGVATVFAAPFSGVLFSI
+EVMSSHFSVRDYWRGFFAATCGAFIFRLLAVFNSEQETITSLYKTSFRVDVPFDLPEIFF
+FVALGGICGVLSCAYLFCQRTFLSFIKTNRYSSKLLATSKPVYSALATLLLASITYPPGV
+GHFLASRLSMKQHLDSLFDNHSWALMTQNSSPPWPEELDPQHLWWEWYHPRFTIFGTLAF
+FLVMKFWMLILATTIPMPAGYFMPIFILGAAIGRLLGEALAVAFPEGIVTGGVTNPIMPG
+GYALAGAAAFSGAVTHTISTALLAFELTGQIVHALPVLMAVLAANAIAQ
+>P51800_PF00571_547 <unknown description>
+VEHFMNHSITTLAKDTPLEEVVKVVTSTDVTEYPLVESTESQILVGIVQRAQLVQAL
+>P51801_PF00654_105 <unknown description>
+SFSSGFSQSITPSSGGSGIPEVKTMLAGVVLEDYLDIKNFGAKVVGLSCTLACGSTLFLG
+KVGPFVHLSVMMAAYLGRVRTTTIGEPENKSKQNEMLVAAAAVGVATVFAAPFSGVLFSI
+EVMSSHFSVWDYWRGFFAATCGAFMFRLLAVFNSEQETITSLYKTSFRVDVPFDLPEIFF
+FVALGGLCGILGSAYLFCQRIFFGFIRNNRFSSKLLATSKPVYSALATLVLASITYPPSA
+GRFLASRLSMKQHLDSLFDNHSWALMTQNSSPPWPEELDPQHLWWEWYHPRFTIFGTLAF
+FLVMKFWMLILATTIPMPAGYFMPIFVYGAAIGRLFGETLSFIFPEGIVAGGITNPIMPG
+GYALAGAAAFSGAVTHTISTALLAFEVTGQIVHALPVLMAVLAANAIAQ
+>P51801_PF00571_547 <unknown description>
+VEHFMNHSITTLAKDMPLEEVVKVVTSTDVAKYPLVESTESQILVGIVRRAQLVQALK
+>P35523_PF00654_172 <unknown description>
+ILFSALFCHLISPQAVGSGIPEMKTILRGVVLKEYLTMKAFVAKVVALTAGLGSGIPVGK
+EGPFVHIASICAAVLSKFMSVFCGVYEQPYYYSDILTVGCAVGVGCCFGTPLGGVLFSIE
+VTSTYFAVRNYWRGFFAATFSAFVFRVLAVWNKDAVTITALFRTNFRMDFPFDLKELPAF
+AAIGICCGLLGAVFVYLHRQVMLGVRKHKALSQFLAKHRLLYPGIVTFVIASFTFPPGMG
+QFMAGELMPREAISTLFDNNTWVKHAGDPESLGQSAVWIHPRVNVVIIIFLFFVMKFWMS
+IVATTMPIPCGGFMPVFVLGAAFGRLVGEIMAMLFPDGILFDDIIYKILPGGYAVIGAAA
+LTGAVSHTVSTAVICFELTGQIAHILPMMVAVILANMVAQ
+>P51788_PF00654_146 <unknown description>
+TFSAGFTQILAPQAVGSGIPEMKTILRGVVLKEYLTLKTFIAKVIGLTCALGSGMPLGKE
+GPFVHIASMCAALLSKFLSLFGGIYENESRNTEMLAAACAVGVGCCFAAPIGGVLFSIEV
+TSTFFAVRNYWRGFFAATFSAFIFRVLAVWNRDEETITALFKTRFRLDFPFDLQELPAFA
+VIGIASGFGGALFVYLNRKIVQVMRKQKTINRFLMRKRLLFPALVTLLISTLTFPPGFGQ
+FMAGQLSQKETLVTLFDNRTWVRQGLVEELEPPSTSQAWNPPRANVFLTLVIFILMKFWM
+SALATTIPVPCGAFMPVFVIGAAFGRLVGESMAAWFPDGIHTDSSTYRIVPGGYAVVGAA
+ALAGAVTHTVSTAVIVFELTGQIAHILPVMIAVILANAVAQ
+>P51790_PF00654_221 <unknown description>
+FAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLMIKTITLVLAVASGLSLG
+KEGPLVHVACCCGNIFSYLFPKYSTNEAKKREVLSAASAAGVSVAFGAPIGGVLFSLEEV
+SYYFPLKTLWRSFFAALVAAFVLRSINPFGNSRLVLFYVEYHTPWYLFELFPFILLGVFG
+GLWGAFFIRANIAWCRRRKSTKFGKYPVLEVIIVAAITAVIAFPNPYTRLNTSELIKELF
+TDCGPLESSSLCDYRNDMNASKIVDDIPDRPAGIGVYSAIWQLCLALIFKIIMTVFTFGI
+KVPSGLFIPSMAIGAIAGRIVGIAVEQLAYYHHDWFIFKEWCEVGADCITPGLYAMVGAA
+ACLGGVTRMTVSLVVIVFELTGGLEYIVPLMAAVMTSKWVG
+>P51790_PF00571_656 <unknown description>
+DVMRPRRNDPPLAVLTQDNMTVDDIENMINETSYNGFPVIMSKESQRLVGFALRRDLTIA
+I
+>P51790_PF00571_758 <unknown description>
+SPFTVTDHTPMEIVVDIFRKLGLRQCLVTHNGRLLGIITKKDILRHM
+>P51793_PF00654_163 <unknown description>
+FAFLAVSLVRVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLLIKTVTLVLVVSSGLSLG
+KEGPLVHVACCCGNFFSSLFSKYSKNEGKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEV
+SYYFPLKTLWRSFFAALVAAFTLRSINPFGNSRLVLFYVEYHTPWYMAELFPFILLGVFG
+GLWGTLFIRCNIAWCRRRKTTRLGKYPVLEVIVVTAITAIIAYPNPYTRQSTSELISELF
+NDCGALESSQLCDYINDPNMTRPVDDIPDRPAGVGVYTAMWQLALALIFKIVVTIFTFGM
+KIPSGLFIPSMAVGAIAGRMVGIGVEQLAYHHHDWIIFRNWCRPGADCVTPGLYAMVGAA
+ACLGGVTRMTVSLVVIMFELTGGLEYIVPLMAAAVTSKWVAD
+>P51793_PF00571_598 <unknown description>
+DVMRPRRGEPPLSVLTQDSMTVEDVETLIKETDYNGFPVVVSRDSERLIGFAQRRELILA
+IK
+>P51793_PF00571_700 <unknown description>
+SPFTVTDHTPMETVVDIFRKLGLRQCLVTRSGRLLGIITKKDVLRHM
+>P51795_PF00654_220 <unknown description>
+FAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLVIKTITLVLAVSSGLSLG
+KEGPLVHVACCCGNILCHCFNKYRKNEAKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEV
+SYYFPLKTLWRSFFAALVAAFTLRSINPFGNSRLVLFYVEFHTPWHLFELVPFILLGIFG
+GLWGALFIRTNIAWCRKRKTTQLGKYPVIEVLVVTAITAILAFPNEYTRMSTSELISELF
+NDCGLLDSSKLCDYENRFNTSKGGELPDRPAGVGVYSAMWQLALTLILKIVITIFTFGMK
+IPSGLFIPSMAVGAIAGRLLGVGMEQLAYYHQEWTVFNSWCSQGADCITPGLYAMVGAAA
+CLGGVTRMTVSLVVIMFELTGGLEYIVPLMAAAMTSKWVAD
+>P51795_PF00571_671 <unknown description>
+DSMTVEDVETIISETTYSGFPVVVSRESQRLVGFVLRRDLII
+>P51795_PF00571_756 <unknown description>
+SPFTVTDLTPMEIVVDIFRKLGLRQCLVTHNGRLLGIITKKDVLKHIA
+>P51797_PF00654_141 <unknown description>
+VFLASLLVLIEPVAAGSGIPEVKCYLNGVKVPGIVRLRTLLCKVLGVLFSVAGGLFVEKE
+GPMIHSGSVVGAGLPQFQSISLRKIQFNFPYFRSDRDKRDFVSAGAAAGVAAAFGAPIGG
+TLFSLEEGSSFWNQGLTWKVLFCSMSATFTLNFFRSGIQFGSWGSFQLPGLLNFGEFKCS
+DSDKKCHLWTAMDLGFFVVMGVIGGLLGATFNCLNKRLAKYRMRNVHPKPKLVRVLESLL
+VSLVTTVVVFVASMVLGECRQMSSSSQIGNDSFQLQVTEDVNSSIKTFFCPNDTYNDMAT
+LFFNPQESAILQLFHQDGTFSPVTLALFFVLYFLLACWTYGISVPSGLFVPSLLCGAAFG
+RLVANVLKSYIGLGHIYSGTFALIGAAAFLGGVVRMTISLTVILIESTNEITYGLPIMVT
+LMVAKWTG
+>P51797_PF00571_601 <unknown description>
+ASDIMEPNLTYVYPHTRIQSLVSILRTTVHHAFPVVTEN
+>P51797_PF00571_806 <unknown description>
+YMNPSPFTVSPNTHVSQVFNLFRTMGLRHLPVVNAVGEIVGIITRHNL
+>P51798_PF00654_187 <unknown description>
+VLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGK
+EGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVG
+GVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSE
+KMAYTIHEIPVFIAMGVVGGVLGAVFNALNYWLTMFRIRYIHRPCLQVIEAVLVAAVTAT
+VAFVLIYSSRDCQPLQGGSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYN
+PLTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWADPGK
+YALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVG
+>P51798_PF00571_627 <unknown description>
+AREVMSTPVTCLRRREKVGVIVDVLSDTASNHNGFPVVEHADDTQPARLQGLILRSQLIV
+LLK
+>P51798_PF00571_738 <unknown description>
+SEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNRNQVVGLVTRKDLARYRL
+>P78369_PF00822_6 <unknown description>
+SEIIAFMVSISGWVLVSSTLPTDYWKVSTIDGTVITTATYWANLWKACVTDSTGVSNCKD
+FPSMLALDGYIQACRGLMIAAVSLGFFGSIFALFGMKCTKVGGSDKAKAKIACLAGIVFI
+LSGLCSMTGCSLYANKITTEFFDPLFVEQKYELGAALFIGWAGASLCIIGGVIF
+>O75508_PF00822_6 <unknown description>
+LQVVGFVTSFVGWIGVIVTTSTNDWVVTCGYTIPTCRKLDELGSKGLWADCVMATGLYHC
+KPLVDILILPGYVQACRALMIAASVLGLPAILLLLTVLPCIRMGQEPGVAKYRRAQLAGV
+LLILLALCALVATIWFPVCAHRETTIVSFGYSLYAGWIGAVLCLVGGC
+>O95500_PF00822_5 <unknown description>
+AVQLLGFLLSFLGMVGTLITTILPHWRRTAHVGTNILTAVSYLKGLWMECVWHSTGIYQC
+QIYRSLLALPQDLQAARALMVISCLLSGIACACAVIGMKCTRCAKGTPAKTTFAILGGTL
+FILAGLLCMVAVSWTTNDVVQNFYNPLLPSGMKFEIGQALYLGFISSSLSLIGGTLL
+>P56746_PF00822_8 <unknown description>
+FGFFMATVGLLMLGVTLPNSYWRVSTVHGNVITTNTIFENLWFSCATDSLGVYNCWEFPS
+MLALSGYIQACRALMITAILLGFLGLLLGIAGLRCTNIGGLELSRKAKLAATAGALHILA
+GICGMVAISWYAFNITRDFFDPLYPGTKYELGPALYLGWSASLISILGGL
+>Q9Y5I7_PF00822_10 <unknown description>
+CFFAFFSAGFLIVATWTDCWMVNADDSLEVSTKCRGLWWECVTNAFDGIRTCDEYDSILA
+EHPLKLVVTRALMITADILAGFGFLTLLLGLDCVKFLPDEPYIKVRICFVAGATLLIAGT
+PGIIGSVWYAVDVYVERSTLVLHNIFLGIQYKFGWSCWLGMAGSLGCFLAGAV
+>P56750_PF00822_6 <unknown description>
+LQIAGLVLGFLGMVGTLATTLLPQWRVSAFVGSNIIVFERLWEGLWMNCIRQARVRLQCK
+FYSSLLALPPALETARALMCVAVALSLIALLIGICGMKQVQCTGSNERAKAYLLGTSGVL
+FILTGIFVLIPVSWTANIIIRDFYNPAIHIGQKRELGAALFLGWASAAVLFIGGGL
+>P56856_PF00822_7 <unknown description>
+QVVAFLLSILGLAGCIAATGMDMWSTQDLYDNPVTSVFQYEGLWRSCVRQSSGFTECRPY
+FTILGLPAMLQAVRALMIVGIVLGAIGLLVSIFALKCIRIGSMEDSAKANMTLTSGIMFI
+VSGLCAIAGVSVFANMLVTNFWMSTANMYTGMGGMVQTVQTRYTFGAALFVGWVAGGLTL
+IGGVMM
+>Q8N6F1_PF00822_5 <unknown description>
+GLQLLGYFLALGGWVGIIASTALPQWKQSSYAGDAIITAVGLYEGLWMSCASQSTGQVQC
+KLYDSLLALDGHIQSARALMVVAVLLGFVAMVLSVVGMKCTRVGDSNPIAKGRVAIAGGA
+LFILAGLCTLTAVSWYATLVTQEFFNPSTPVNARYEFGPALFVGWASAGLAVLGGSF
+>O95832_PF00822_5 <unknown description>
+GLQLLGFILAFLGWIGAIVSTALPQWRIYSYAGDNIVTAQAMYEGLWMSCVSQSTGQIQC
+KVFDSLLNLSSTLQATRALMVVGILLGVIAIFVATVGMKCMKCLEDDEVQKMRMAVIGGA
+IFLLAGLAILVATAWYGNRIVQEFYDPMTPVNARYEFGQALFTGWAAASLCLLGGALL
+>P56880_PF00822_5 <unknown description>
+GLQLLAFILALSGVSGVLTATLLPNWKVNVDVDSNIITAIVQLHGLWMDCTWYSTGMFSC
+ALKHSILSLPIHVQAARATMVLACVLSALGICTSTVGMKCTRLGGDRETKSHASFAGGVC
+FMSAGISSLISTVWYTKEIIANFLDLTVPESNKHEPGGAIYIGFISAMLLFISGMIF
+>Q8N7P3_PF00822_9 <unknown description>
+AQLAGVSLSLLGWVLSCLTNYLPHWKNLNLDLNEMENWTMGLWQTCVIQEEVGMQCKDFD
+SFLALPAELRVSRILMFLSNGLGFLGLLVSGFGLDCLRIGESQRDLKRRLLILGGILSWA
+SGVTALVPVSWVAHKTVQEFWDENVPDFVPRWEFGEALFLGWFAGLSLLLGGCL
+>Q96B33_PF00822_6 <unknown description>
+VMTLGMVLAPCGLLLNLTGTLAPGWRLVKGFLNQPVDVELYQGLWDMCREQSSRERECGQ
+TDQWGYFEAQPVLVARALMVTSLAATVLGLLLASLGVRCWQDEPNFVLAGLSGVVLFVAG
+LLGLIPVSWYNHFLGDRDVLPAPASPVTVQVSYSLVLGYLGSCLLLLGGF
+>A6NM45_PF00822_12 <unknown description>
+VGLLLSLLGWILSIITTYLPHWKNLNLDLNEMENWTMGLWQTCVIQEEVGMQCKDFDSFL
+ALPAELRVSRILMFLSNGLGFLGLLVSGFGLDCLRIGESQRDLKRRLLILGGILSWASGI
+TALVPVSWVAHKTVQEFWDENVPDFVPRWEFGEALFLGWFAGLSLLLGGCL
+>C9JDP6_PF00822_8 <unknown description>
+KVQLGGLLLSLLGWVCSCVTTILPQWKTLNLELNEMETWIMGIWEVCVDREEVATVCKAF
+ESFLSLPQELQVARILMVASHGLGLLGLLLCSFGSECFQFHRIRWVFKRRLGLLGRTLEA
+SASATTLLPVSWVAHATIQDFWDDSIPDIIPRWEFGGALYLGWAAGIFLALGGLLL
+>P57739_PF00822_5 <unknown description>
+GLQLVGYILGLLGLLGTLVAMLLPSWKTSSYVGASIVTAVGFSKGLWMECATHSTGITQC
+DIYSTLLGLPADIQAAQAMMVTSSAISSLACIISVVGMRCTVFCQESRAKDRVAVAGGVF
+FILGGLLGFIPVAWNLHGILRDFYSPLVPDSMKFEIGEALYLGIISSLFSLIAGIIL
+>O15551_PF00822_3 <unknown description>
+MGLEITGTALAVLGWLGTIVCCALPMWRVSAFIGSNIITSQNIWEGLWMNCVVQSTGQMQ
+CKVYDSLLALPQDLQAARALIVVAILLAAFGLLVALVGAQCTNCVQDDTAKAKITIVAGV
+LFLLAALLTLVPVSWSANTIIRDFYNPVVPEAQKREMGAGLYVGWAAAALQLLGGALL
+>O14493_PF00822_4 <unknown description>
+MGLQVMGIALAVLGWLAVMLCCALPMWRVTAFIGSNIVTSQTIWEGLWMNCVVQSTGQMQ
+CKVYDSLLALPQDLQAARALVIISIIVAALGVLLSVVGGKCTNCLEDESAKAKTMIVAGV
+VFLLAGLMVIVPVSWTAHNIIQDFYNPLVASGQKREMGASLYVGWAASGLLLLGGGL
+>O00501_PF00822_6 <unknown description>
+LEILGLVLCLVGWGGLILACGLPMWQVTAFLDHNIVTAQTTWKGLWMSCVVQSTGHMQCK
+VYDSVLALSTEVQAARALTVSAVLLAFVALFVTLAGAQCTTCVAPGPAKARVALTGGVLY
+LFCGLLALVPLCWFANIVVREFYDPSVPVSQKYELGAALYIGWAATALLMVGGCLL
+>P56747_PF00822_5 <unknown description>
+GMQILGVVLTLLGWVNGLVSCALPMWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTGQMQC
+KVYDSLLALPQDLQAARALCVIALLVALFGLLVYLAGAKCTTCVEEKDSKARLVLTSGIV
+FVISGVLTLIPVCWTAHAIIRDFYNPLVAEAQKRELGASLYLGWAASGLLLLGGGL
+>O95471_PF00822_5 <unknown description>
+GLQLLGFSMALLGWVGLVACTAIPQWQMSSYAGDNIITAQAMYKGLWMDCVTQSTGMMSC
+KMYDSVLALSAALQATRALMVVSLVLGFLAMFVATMGMKCTRCGGDDKVKKARIAMGGGI
+IFIVAGLAALVACSWYGHQIVTDFYNPLIPTNIKYEFGPAIFIGWAGSALVILGGALL
+>P56748_PF00822_6 <unknown description>
+LEIAGLFLGGVGMVGTVAVTVMPQWRVSAFIENNIVVFENFWEGLWMNCVRQANIRMQCK
+IYDSLLALSPDLQAARGLMCAASVMSFLAFMMAILGMKCTRCTGDNEKVKAHILLTAGII
+FIITGMVVLIPVSWVANAIIRDFYNSIVNVAQKRELGEALYLGWTTALVLIVGGALF
+>O95484_PF00822_5 <unknown description>
+GLELLGMTLAVLGWLGTLVSCALPLWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTGQMQC
+KVYDSLLALPQDLQAARALCVIALLLALLGLLVAITGAQCTTCVEDEGAKARIVLTAGVI
+LLLAGILVLIPVCWTAHAIIQDFYNPLVAEALKRELGASLYLGWAAAALLMLGGGL
+>Q9NY35_PF13903_18 <unknown description>
+ISTIYMAASIGTDFWYEYRSPVQENSSDLNKSIWDEFISDEADEKTYNDALFRYNGTVGL
+WRRCITIPKNMHWYSPPERTESFDVVTKCVSFTLTEQFMEKFVDPGNHNSGIDLLRTYLW
+RCQFLLPFVSLGLMCFGALIGLCACICRSLYPTIATGILHLLAGLCTLGSVSCYVAGIEL
+LHQKLELPDNVSGEFGWSFCLACVSAPLQFMASALFI
+>Q8NHS1_PF00822_11 <unknown description>
+GILLSLVANVLMVLSTATNYWTRQQEGHSGLWQECNHGICSSIPCQTTLAVTVACMVLAV
+GVGVVGMVMGLRIRCDEGESLRGQTTSAFLFLGGLLLLTALIGYTVKNAWKNNVFFSWSY
+FSGWLALPFSILAGF
+>O14967_PF00262_62 <unknown description>
+FAETFDSGRLAGWVLSKAKKDDMDEEISIYDGRWEIEELKENQVPGDRGLVLKSRAKHHA
+ISAVLAKPFIFADKPLIVQYEVNFQDGIDCGGAYIKLLADTDDLILENFYDKTSYIIMFG
+PDKCGEDYKLHFIFRHKHPKTGVFEEKHAKPPDVDLKKFFTDRKTHLYTLVMNPDDTFEV
+LVDQTVVNKGSLLEDVVPPIKPPKEIEDPNDKKPEEWDERAKIPDPSAVKPEDWDESEPA
+QIEDSSVVKPAGWLDDEPKFIPDPNAEKPDDWNEDTDGEWEAPQILNPACRIGCGEWKPP
+MIDNPKYKGVWRPPLVDNPNYQGIWSPRKIPNPDYFEDDHPFLLTSFSALGLELWSMTSD
+IYFDNFII
+>Q00610_PF01394_19 <unknown description>
+GINPANIGFSTLTMESDKFICIREKVGEQAQVVIIDMN
+>Q00610_PF01394_148 <unknown description>
+LAGCQIINYRTDAKQKWLLLTGISAQQNRVVGAMQLYSVD
+>Q00610_PF01394_198 <unknown description>
+AASFAQFKMEGNAEESTLFCFAVRGQAGGKLHIIEVG
+>Q00610_PF01394_256 <unknown description>
+AQNDFPVAMQISEKHDVVFLITKYGYIHLYDLE
+>Q00610_PF01394_296 <unknown description>
+NRISGETIFVTAPHEATAGIIGVNRKGQVLSVCVE
+>Q00610_PF09268_331 <unknown description>
+EENIIPYITNVLQNPDLALRMAVR
+>Q00610_PF13838_356 <unknown description>
+NLAGAEELFARKFNALFAQGNYSEAAKVAANAPKGILRTPDTIRRFQSVPAQPGQTSPLL
+QYFGIL
+>Q00610_PF00637_542 <unknown description>
+PLADITQIVDVFMEYNLIQQCTAFLLDALKNNRPSEGPLQTRLLEMNLMHAPQVADAILG
+NQMFTHYDRAHIAQLCEKAGLLQRALEHFTDLYDIKRAVVHTHLLNPEWLVNYFGSLSVE
+DSLECLRAMLSANIRQN
+>Q00610_PF00637_688 <unknown description>
+KYHEQLSTQSLIELFESFKSFEGLFYFLGSIVNFSQDPDVHFKYIQAACKTGQIKEVERI
+CRESNCYDPERVKNFLKEAKLTDQLPLIIVCDRFDFVHDLVLYLYRNNLQKYIEIYVQKV
+NPSRLPVVIGGLLDVDCSE
+>Q00610_PF00637_842 <unknown description>
+TDELVAEVEKRNRLKLLLPWLEARIHEGCEEPATHNALAKIYIDSNNNPERFLRENPYYD
+SRVVGKYCEKRDPHLACVAYERGQCDLELINVCNENSLFKSLSRYLVRRKDPELWGSVLL
+ESNPYRR
+>Q00610_PF00637_980 <unknown description>
+SETQDPEEVSVTVKAFMTADLPNELIELLEKIVLDNSVFSEHRNLQNLLILTAIKADRTR
+VMEYINRLDNYDAPDIANIAISNELFEEAFAIFRKFDVNTSAVQVLIEHIGNLDRAYEFA
+ERCNEPAVWSQLAKAQLQKG
+>Q00610_PF00637_1129 <unknown description>
+IKADDPSSYMEVVQAANTSGNWEELVKYLQMARKKARESYVETELIFALAKTNRLAELEE
+FINGPNNAHIQQVGDRCYDEKMYDAAKLLYNNVSNFGRLASTLVHLGEYQAAVDGARKAN
+STRTWKEVCFACVDGKEFR
+>Q00610_PF00637_1276 <unknown description>
+IVVHADELEELINYYQDRGYFEELITMLEAALGLERAHMGMFTELAILYSKFKPQKMREH
+LELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAIITMMNHPTDAWKEGQFKDII
+TKVANVELYYRAIQFYLEFKPL
+>Q00610_PF00637_1424 <unknown description>
+MVLSPRLDHTRAVNYFSKVKQLPLVKPYLRSVQNHNNKSVNESLNNLFITEEDYQALRTS
+IDAYDNFDNISLAQRLEKHELIEFRRIAAYLFKGNNRWKQSVELCKKDSLYKDAMQYASE
+SKDTELAEELLQWFLQEEKREC
+>P53675_PF01394_19 <unknown description>
+GINPANIGFSTLTMESDKFICIREKVGEQAQVTIIDM
+>P53675_PF01394_150 <unknown description>
+GCQVIHYRTDEYQKWLLLVGISAQQNRVVGAMQLYSVD
+>P53675_PF01394_198 <unknown description>
+AAAFAEFKMEGNAKPATLFCFAVRNPTGGKLHIIEVG
+>P53675_PF01394_296 <unknown description>
+NRISADTIFVTAPHKPTSGIIGVNKKGQVLSVCVE
+>P53675_PF09268_331 <unknown description>
+EDNIVNYATNVLQNPDLGLRLAVR
+>P53675_PF13838_356 <unknown description>
+NLAGAEKLFVRKFNTLFAQGSYAEAAKVAASAPKGILRTRETVQKFQSIPAQSGQASPLL
+QYFGIL
+>P53675_PF00637_542 <unknown description>
+PLANISQIVDIFMENSLIQQCTSFLLDALKNNRPAEGLLQTWLLEMNLVHAPQVADAILG
+NKMFTHYDRAHIAQLCEKAGLLQQALEHYTDLYDIKRAVVHTHLLNPEWLVNFFGSLSVE
+DSVECLHAMLSANIRQN
+>P53675_PF00637_689 <unknown description>
+YHEQLGTQALVELFESFKSYKGLFYFLGSIVNFSQDPDVHLKYIQAACKTGQIKEVERIC
+RESSCYNPERVKNFLKEAKLTDQLPLIIVCDRFGFVHDLVLYLYRNNLQRYIEIYVQKVN
+PSRTPAVIGGLLDVDCSE
+>P53675_PF00637_842 <unknown description>
+TDELVAEVEKRNRLKLLLPWLESQIQEGCEEPATHNALAKIYIDSNNSPECFLRENAYYD
+SSVVGRYCEKRDPHLACVAYERGQCDLELIKVCNENSLFKSEARYLVCRKDPELWAHVLE
+ETNPSRRQ
+>P53675_PF00637_979 <unknown description>
+LSETRDPEEISVTVKAFMTADLPNELIELLEKIVLDNSVFSEHRNLQNLLILTAIKADRT
+RVMEYISRLDNYDALDIASIAVSSALYEEAFTVFHKFDMNASAIQVLIEHIGNLDRAYEF
+AERCNEPAVWSQLAQAQLQKD
+>P53675_PF00637_1131 <unknown description>
+GDDPSSYLEVVQSASRSNNWEDLVKFLQMARKKGRESYIETELIFALAKTSRVSELEDFI
+NGPNNAHIQQVGDRCYEEGMYEAAKLLYSNVSNFARLASTLVHLGEYQAAVDNSRKASST
+RTWKEVCFACMDGQEF
+>P53675_PF00637_1277 <unknown description>
+VIHADELEELMCYYQDRGYFEELILLLEAALGLERAHMGMFTELAILYSKFKPQKMLEHL
+ELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAVLTMMSHPTEAWKEGQFKDIIT
+KVANVELCYRALQFYLDYKPL
+>P53675_PF00637_1425 <unknown description>
+VLSPRLDHTWTVSFFSKAGQLPLVKPYLRSVQSHNNKSVNEALNHLLTEEEDYQGLRASI
+DAYDNFDNISLAQQLEKHQLMEFRCIAAYLYKGNNWWAQSVELCKKDHLYKDAMQHAAES
+RDAELAQKLLQWFLEEGKREC
+>Q8NHS4_PF15739_29 <unknown description>
+RYIITETERLGCSEEGPADEYYIIYRNVFDKVIEHITAYKSILTSIKKEYDAFIETIKKD
+RRTTFCLHGKLKGLAAEPTALVYYRKRTIQLEAKMRIIESNSSKIQSQIDHIK
+>Q8NHS4_PF13838_297 <unknown description>
+YIERFNELISLGEYEKAACYAANSPRRILRNIGTMNTFKAVGKIRGKPLPLLLFFEAL
+>O00299_PF13409_24 <unknown description>
+CPFSQRLFMVLWLKGVTFNVTTVDTKRRTETVQKLCPGGQLPFLLYGTEVHTDTNKIEEF
+LEA
+>O00299_PF13410_124 <unknown description>
+ALNDNLEKGLLKALKVLDNYLTSPLPEEVDETSAEDEGVSQRKFLDGNELTLADCNLLPK
+LHIVQVVCKKYRGFTIPEAFRGVHRYL
+>O15247_PF13409_30 <unknown description>
+CPFCQRLFMILWLKGVKFNVTTVDMTRKPEELKDLAPGTNPPFLVYNKELKTDFIKIEEF
+LE
+>O15247_PF13410_131 <unknown description>
+ANKNFEKSLLKEFKRLDDYLNTPLLDEIDPDSAEEPPVSRRLFLDGDQLTLADCSLLPKL
+NIIKVAAKKYRDFDIPAEFSGVWRYL
+>O95833_PF13417_22 <unknown description>
+CPSCQRLFMVLLLKGVPFTLTTVDTRRSPDVLKDFAPGSQLPILLYDSDAKTDTLQIEDF
+LEETL
+>O95833_PF13410_125 <unknown description>
+EALYQQLLRALARLDSYLRAPLEHELAGEPQLRESRRRFLDGDRLTLADCSLLPKLHIVD
+TVCAHFRQAPIPAELRGVRRYLD
+>Q9Y696_PF13410_135 <unknown description>
+EANEALERGLLKTLQKLDEYLNSPLPDEIDENSMEDIKFSTRKFLDGNEMTLADCNLLPK
+LHIVKVVAKKYRNFDIPKEMTGIWRYL
+>Q9NZA1_PF13409_32 <unknown description>
+CPFSQRLFMILWLKGVVFNVTTVDLKRKPADLHNLAPGTHPPFLTFNGDVKTDVNKIEEF
+LEE
+>Q9NZA1_PF13410_135 <unknown description>
+AALERGLTKALKKLDDYLNTPLPEEIDANTCGEDKGSRRKFLDGDELTLADCNLLPKLHV
+VKIVAKKYRNYDIPAEMTGLWRYLK
+>Q96NY7_PF13410_570 <unknown description>
+ANEIHEKNLLKALRKLDNYLNSPLPDEIDAYSTEDVTVSGRKFLDGDELTLADCNLLPKL
+HIIKIVAKKYRDFEFPSEMTGIWRYL
+>P30622_PF01302_60 <unknown description>
+VGERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFT
+RPSKL
+>P30622_PF01302_214 <unknown description>
+IGDRVLVGGTKAGVVRFLGETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCQPKYGLFA
+PVHKV
+>P30622_PF16641_1376 <unknown description>
+RLFCDICDCFDLHDTEDC
+>P30622_PF16641_1416 <unknown description>
+RPYCEICEMFGHWATNC
+>Q9UDT6_PF01302_81 <unknown description>
+VGERVWVNGVKPGVVQYLGETQFAPGQWAGVVLDDPVGKNDGAVGGVRYFECPALQGIFT
+RPSKL
+>Q9UDT6_PF01302_221 <unknown description>
+LGDRVLVGGTKTGVVRYVGETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCPPKFGLFA
+PIHKV
+>Q96DZ5_PF12796_144 <unknown description>
+QQLLALGADVTLRSRWTNMNALHYAAYFDVPDLVRVLLKGARPRVVNSTCSDFNHGSALH
+IAASSLCLGAAKCLLEHGANPALR
+>Q96DZ5_PF01302_296 <unknown description>
+LGDRVLLDGQKTGTLRFCGTTEFASGQWVGVELDEPEGKNDGSVGGVRYFICPPKQGLFA
+SVSK
+>Q96DZ5_PF01302_418 <unknown description>
+VGDQVLVAGQKQGIVRFYGKTDFAPGYWYGIELDQPTGKHDGSVFGVRYFTCPPRHGVFA
+PASR
+>Q8N3C7_PF12796_133 <unknown description>
+TQLIDLGADISLRSRWTNMNALHYAAYFDVPELIRVILKTSKPKDVDATCSDFNFGTALH
+IAAYNLCAGAVKCLLEQGANPA
+>Q8N3C7_PF01302_285 <unknown description>
+LGDRVVIAGQKVGTLRFCGTTEFASGQWAGIELDEPEGKNNGSVGKVQYFKCAPKYGIFA
+PLSK
+>Q8N3C7_PF01302_488 <unknown description>
+GERVLVVGQRLGTIRFFGTTNFAPGYWYGIELEKPHGKNDGSVGGVQYFSCSPRYGIFAP
+PSRV
+>Q8N3C7_PF01302_627 <unknown description>
+SQVLLTSSNEMGTVRYVGPTDFASGIWLGLELRSAKGKNDGSVGDKRYFTCKPNHGVLVR
+PSRV
+>P49759_PF00069_161 <unknown description>
+YEIVDTLGEGAFGKVVECIDHKAGGRHVAVKIVKNVDRYCEAARSEIQVLEHLNTTDPNS
+TFRCVQMLEWFEHHGHICIVFELLGLSTYDFIKENGFLPFRLDHIRKMAYQICKSVNFLH
+SNKLTHTDLKPENILFVQSDYTEAYNPKIKRDERTLINPDIKVVDFGSATYDDEHHSTLV
+STRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFPTHDSKEHLAMMERILGPLPK
+HMIQKTRKRKYFHHDRLDWDEHSSAGRYVSRRCKPLKEFMLSQDVEHERLFDLIQKMLEY
+DPAKRITLREALKHPFF
+>P49760_PF00069_163 <unknown description>
+YEIVSTLGEGTFGRVVQCVDHRRGGARVALKIIKNVEKYKEAARLEINVLEKINEKDPDN
+KNLCVQMFDWFDYHGHMCISFELLGLSTFDFLKDNNYLPYPIHQVRHMAFQLCQAVKFLH
+DNKLTHTDLKPENILFVNSDYELTYNLEKKRDERSVKSTAVRVVDFGSATFDHEHHSTIV
+STRHYRAPEVILELGWSQPCDVWSIGCIIFEYYVGFTLFQTHDNREHLAMMERILGPIPS
+RMIRKTRKQKYFYRGRLDWDENTSAGRYVRENCKPLRRYLTSEAEEHHQLFDLIESMLEY
+EPAKRLTLGEALQHPFF
+>P49761_PF00069_156 <unknown description>
+YEIVGNLGEGTFGKVVECLDHARGKSQVALKIIRNVGKYREAARLEINVLKKIKEKDKEN
+KFLCVLMSDWFNFHGHMCIAFELLGKNTFEFLKENNFQPYPLPHVRHMAYQLCHALRFLH
+ENQLTHTDLKPENILFVNSEFETLYNEHKSCEEKSVKNTSIRVADFGSATFDHEHHTTIV
+ATRHYRPPEVILELGWAQPCDVWSIGCILFEYYRGFTLFQTHENREHLVMMEKILGPIPS
+HMIHRTRKQKYFYKGGLVWDENSSDGRYVKENCKPLKSYMLQDSLEHVQLFDLMRRMLEF
+DPAQRITLAEALLHPFF
+>Q9HAZ1_PF00069_159 <unknown description>
+YEIVDTLGEGAFGKVVECIDHGMDGMHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNS
+VFRCVQMLEWFDHHGHVCIVFELLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLH
+HNKLTHTDLKPENILFVKSDYVVKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLV
+STRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPQ
+HMIQKTRKRKYFHHNQLDWDEHSSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEY
+DPTQRITLDEALQHPFF
+>Q8TDQ1_PF07686_24 <unknown description>
+GPTTVNGLERGSLTVQCVYRSGWETYLKWWCRGAIWRDCKILVKTSGSEQEVKRDRVSIK
+DNQKNRTFTVTMEDLMKTDADTYWCGIEKTGNDLGVTVQVTI
+>Q8TDQ1_PF15330_157 <unknown description>
+VLLPLIFTILLLLLVAASLLAWRMMKYQQKAAGMSPEQVLQPLEGDLCYADLTLQLAGTS
+PQKATTKLSSAQVDQVEVEYVTMASLPKEDISYASLTLGAEDQ
+>Q496F6_PF07686_20 <unknown description>
+GPGSVTGTAGDSLTVWCQYESMYKGYNKYWCRGQYDTSCESIVETKGEEKVERNGRVSIR
+DHPEALAFTVTMQNLNEDDAGSYWCKI
+>Q6UXZ3_PF07686_23 <unknown description>
+GPTTVNGSEQGSLTVQCAYGSGWETYLKWRCQGADWNYCNILVKTNGSEQEVKKNRVSIR
+DNQKNHVFTVTMENLKRDDADSYWCGTERPGIDLGVKVQVT
+>Q08708_PF07686_28 <unknown description>
+PMTVAGPVGGSLSVQCRYEKEHRTLNKFWCRPPQILRCDKIVETKGSAGKRNGRVSIRDS
+PANLSFTVTLENLTEEDAGTYWCGVDT
+>A8K4G0_PF07686_19 <unknown description>
+QGPESVRAPEQGSLTVQCHYKQGWETYIKWWCRGVRWDTCKILIETRGSEQGEKSDRVSI
+KDNQKDRTFTVTMEGLRRDDADVYWCGIERRGPDLGTQVKVI
+>Q9UGN4_PF07686_22 <unknown description>
+RTVAGPVGGSLSVQCPYEKEHRTLNKYWCRPPQIFLCDKIVETKGSAGKRNGRVSIRDSP
+ANLSFTVTLENLTEEDAGTYWCGVDT
+>Q9UGN4_PF15330_181 <unknown description>
+LLLSLLALLLLLLVGASLLAWRMFQKWIKAGDHSELSQNPKQAATQSELHYANLELLMWP
+LQEKPAPPREVEVEYSTVASPREELHYASVVFDS
+>Q6UXG3_PF07686_21 <unknown description>
+GPEEISGFEGDTVSLQCTYREELRDHRKYWCRKGGILFSRCSGTIYAEEEGQETMKGRVS
+IRDSRQELSLIVTLWNLTLQDAGEYWCGVEKR
+>Q96JQ2_PF00307_33 <unknown description>
+VQKRTFTRWINLHLEKCNPPLEVKDLFVDIQDGKILMALLEVLSGRNLLHEYKSSSHRIF
+RLNNIAKALKFLEDSNVKLVSIDAAEIADGNPSLVLGLIWNIILFFQ
+>Q96JQ2_PF00307_191 <unknown description>
+KALLAWVQRKTRKYGVAVQDFAGSWRSGLAFLAVIKAIDPSLVDMKQALENSTRENLEKA
+FSIAQDALHIPRLLEPEDIMVDTPDEQSIMTYVAQFLERFP
+>Q9H6B4_PF07686_21 <unknown description>
+TEIKRVAEEKVTLPCHHQLGLPEKDTLDIEWLLTDNEGNQKVVITYSSRHVYNNLTEEQK
+GRVAFASNFLAGDASLQIEPLKPSDEGRYTCKVKNSGRYVWSH
+>Q9H6B4_PF13895_138 <unknown description>
+ELEGELTEGSDLTLQCESSSGTEPIVYYWQRIREKEGEDERLPPKSRIDYNHPGRVLLQN
+LTMSYSGLYQCTAGNEAGKESCVVRVTV
+>Q13286_PF02487_40 <unknown description>
+GFWLLGLCNNFSYVVMLSAAHDILSHKRTSGNQSHVDPGPTPIPHNSSSRFDCNSVSTAA
+VLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGICAAGSFVLVAFSHSVGTSLCGVVFAS
+ISSGLGEVTFLSLTAFYPRAVISWWSSGTGGAGLLGALSYLGLTQAGLSPQQTLLSMLGI
+PALLLASYFLLLTSPEAQDPGGEEEAESAARQPLIRTEAPESKPGSSSSLSLRERWTVFK
+GLLWYIVPLVVVYFAEYFINQGLFELLFFWNTSLSHAQQYRWYQMLYQAGVFASRSSLRC
+CRIRFTWALALLQCLNLVFLLADVWFGFLPSIYLVFLIILYEGLLGGAAYVNTFHNIALE
+TSDEHREFAMAATCISDTLGISLSGLLALPLHDFLCQL
+>O75503_PF15014_53 <unknown description>
+WPVPYKRFDFRPKPDPYCQAKYTFCPTGSPIPVMEGDDDIEVFRLQAPVWEFKYGDLLGH
+LKIMHDAIGFRSTLTGKNYTMEWYELFQLGNCTFPHLRPEMDAPFWCNQGAACFFEGIDD
+VHWKENGTLVQVATISGNMFNQMAKWVKQDNETGIYYETWNVKASPEKGAETWFDSYDCS
+KFVLRTFNKLAEFGAEFKNIETNYTRIFLYSGEPTYLGNETSVFGPTGNKTLGLAIKRFY
+YPFKPHLPTKEFLLSLLQIFDAVIVHKQFYLFYNFEYWFLPMKFPFIKITYEEIPLP
+>Q9NWW5_PF15156_33 <unknown description>
+SADEAARTAPFHLDLWFYFTLQNWVLDFGRPIAMLVFPLEWFPLNKPSVGDYFHMAYNVI
+TPFLLLKLIERSPRTLPRSITYVSIIIFIMGASIHLVGDSVNHRLLFSGYQHHLSVRENP
+IIKNLKPETLIDSFELLYYYDEYLGHCMWYIPFFLILFMYFSGCFTASKAESLIPGPALL
+LVAPSGLYYWYLVTEGQIFILFIFTFFAMLALVLHQKRKRLFLDSNGLFLFSSFALTLLL
+VALWVAWLWNDPVLRKKYPGVIYVPEPWAFYTLHVS
+>Q9UBY8_PF03798_68 <unknown description>
+ATRAVFGVQSTAAGLWALLGDPVLHADKARGQQNWCWFHITTATGFFCFENVAVHLSNLI
+FRTFDLFLVIHHLFAFLGFLGCLVNLQAGHYLAMTTLLLEMSTPFTCVSWMLLKAGWSES
+LFWKLNQWLMIHMFHCRMVLTYHMWWVCFWHWDGLVSSLYLPHLTLFLVGLALLTLIINP
+YWTH
+>Q7Z7G1_PF00017_309 <unknown description>
+WYIGEYSRQAVEEAFMKENKDGSFLVRDCSTKSKEEPYVLAVFYENKVYNVKIRFLERNQ
+QFALGTGLRGDEKFDSVEDIIEHY
+>O15516_PF00010_35 <unknown description>
+KRVSRNKSEKKRRDQFNVLIKELGSMLPGNARKMDKSTVLQKSIDFLRK
+>O15516_PF00989_110 <unknown description>
+FTQLMLEALDGFFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKI
+LSTHLLE
+>O15516_PF14598_274 <unknown description>
+EEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGK
+GKSCYYRFLTKGQQWIWLQTHYYITYHQWNSRPEFIVCTHTVVSY
+>Q96KA5_PF05602_10 <unknown description>
+SLVVGVFVVYVVHTCWVMYGIVYTRPCSGDANCIQPYLARRPKLQLSVYTTTRSHLGAEN
+NIDLVLNVEDFDVESKFERTVNVSVPKKTRNNGTLYAYIFLHHAGVLPWHDGKQVHLVSP
+LTTYMVPKPEEINLLTGESDTQQIEAEKKPTSALDEPVSHWRPRLALNVMADNFVFDGSS
+LPADVHRYMKMIQLGKTVHYLPILFIDQLSNRVKDLMVINRSTTELPLTVSYDKVSLGRL
+RFWIHMQDAVYSLQQFGFSEKDADEVKGIFVDTNLYFLALTFFVAAFHLLFDFLAFKNDI
+SFWKKKKSMIGMSTKAVLWRCFSTVVIFLFLLDEQTSLLVLVPAGVGAAIELWKVKKALK
+MTIFWRGLMPEFQFGTYSESERKTEEYDTQAMKYLSYLLYPLCVGGAVYSLL
+>Q92989_PF16573_16 <unknown description>
+ELERETELRFEVEASQSVQLELLTGMAEIFGTELTRNKKFTFDAGAKVAVFTWHGCSVQL
+SGRTEVAYVSKDTPMLLYLNTHTALEQMRRQA
+>Q92989_PF16575_121 <unknown description>
+GPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSI
+QAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGSGYQ
+ALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDER
+IREYFYG
+>Q92989_PF06807_313 <unknown description>
+YPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRDMVHHLLSVSTAE
+GTEENLSETSVAGFIVVTSVDLEHQVFTVLSPAPRPLPKNFLLIMDIRFMD
+>Q9H078_PF12796_130 <unknown description>
+SPSNKDAALLEAARANNMQEVSRLLSEGADVNAKHRLGWTALMVAAINRNNSVVQVLLAA
+GADPNLG
+>Q9H078_PF13857_257 <unknown description>
+RLNNRASFKGCTALHYAVLADDYRTVKELLDGGANPLQRNEMGHTPLDYA
+>Q9H078_PF07724_376 <unknown description>
+VFLFLGSSGIGKTELAKQTAKYMHKDAKKGFIRLDMSEFQERHEVAKFIGSPPGYVGHEE
+GGQLTKKLKQCPNAVVLFDEVDKAHPDVLTIMLQLFDEGRLTDGKGKTIDCKDAIFIMTS
+NVASDEIAQHALQLRQEALEMSRNRIAENLGDVQISDKITISKNFKENVIRPILKAHFRR
+DEFLGRINEI
+>Q9H078_PF10431_574 <unknown description>
+SELIQLVNKELNFWAKRAKQRHNITLLWDREVADVLVDGYNVHYGARSIKHEVERRVVNQ
+LAAAYEQDLLPGGC
+>Q16740_PF00574_68 <unknown description>
+RGERAYDIYSRLLRERIVCVMGPIDDSVASLVIAQLLFLQSESNKKPIHMYINSPGGVVT
+AGLAIYDTMQYILNPICTWCVGQAASMGSLLLAAGTPGMRHSLPNSRIMIHQPSGGARGQ
+ATDIAIQAEEIMKLKKQLYNIYAKHTKQSLQVIESAMERDRYMSPMEAQEFGILDKVLV
+>O96005_PF05602_59 <unknown description>
+VLFRIFIIWAISSWFRRGPAPQDQAGPGGAPRVASRNLFPKDTLMNLHVYISEHEHFTDF
+NATSALFWEQHDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPD
+PRQKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHW
+HPNITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESL
+ASLPLRVSFCPLSLWRWQLYAAQSTKSPWNFLGDELYEQSDEEQDSVKVALLETNPYLLA
+LTIIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFV
+VQVSVFIGVLIDLWKITKVMDVRLDREHRVAGIFPRLSFKDKSTYIESSTKVYDDMAFRY
+LSWILFPLLGCYAVYSLLY
+>O76031_PF07724_285 <unknown description>
+EKSNILLLGPTGSGKTLLAQTLAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDAN
+YNVEKAQQGIVFLDEVDKIGSVPGIHQLRDVGGEGVQQGLLKLLEGTIVNVPEKNSRKLR
+GETVQVDTTNILFVASGAFNGLDRIISRRKNEKYLGFGTPSNLGKGRRAAAAADLANRSG
+ESNTHQDIEEKDRLLRHVEARDLIEFGMIPEFVGRLPV
+>O76031_PF10431_509 <unknown description>
+LDEKTLVQILTEPRNAVIPQYQALFSMDKCELNVTEDALKAIARLALERKTGARGLRSIM
+EKLLLEPMFEVPNS
+>Q9Y271_PF00001_40 <unknown description>
+GNGFVLYVLIKTYHKKSAFQVYMINLAVADLLCVCTLPLRVVYYVHKGIWLFGDFLCRLS
+TYALYVNLYCSIFFMTAMSFFRCIAIVFPVQNINLVTQKKARFVCVGIWIFVILTSSPFL
+MAKPQKDEKNNTKCFEPPQDNQTKNHVLVLHYVSLFVGFIIPFVIIIVCYTMIILTLLKK
+SMKKNLSSHKKAIGMIMVVTAAFLVSFMPYHIQRTIHLHFLHNETKPCDSVLRMQKSVVI
+TLSLAASNCCFDPLLY
+>Q9NS75_PF00001_55 <unknown description>
+GNGLSIYVFLQPYKKSTSVNVFMLNLAISDLLFISTLPFRADYYLRGSNWIFGDLACRIM
+SYSLYVNMYSSIYFLTVLSVVRFLAMVHPFRLLHVTSIRSAWILCGIIWILIMASSIMLL
+DSGSEQNGSVTSCLELNLYKIAKLQTMNYIALVVGCLLPFFTLSICYLLIIRVLLKVEVP
+ESGLRVSHRKALTTIIITLIIFFLCFLPYHTLRTVHLTTWKVGLCKDRLHKALVITLALA
+AANACFNPLLY
+>Q9HBJ8_PF16959_24 <unknown description>
+AFKVRLSIRTALGDKAYAWDTNEEYLFKAMVAFSMRKVPNREATEISHVLLCNVTQRVSF
+WFVVTDPSKNHTLPAVEVQSAIRMNKNRINNAFFLNDQTLEFLKIPSTLAPPMDPSVPIW
+IIIFGVIFCIIIVAIALLILSGIWQRRR
+>Q96AJ1_PF10234_14 <unknown description>
+RALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPPDVDTEQDRVFFIKAIAQFM
+ATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSEIVEEDVNKFKFDLGSK
+IADLKAARQLASEITSKGASLYDLLGMEVELREMRTEAIARPLEINETEKVMRIAIKEIL
+TQVQKTKDLLNNVASDEANLEAKIEKRKLELERNRKRLETLQSVRPCFMDEYEKTEEELQ
+KQYDTYLEKFQNLTYLEQQLEDHHRMEQE
+>Q15846_PF01093_33 <unknown description>
+NLKSFSEVGEIDADEEVKKALTGIKQMKIMMERKEKEHTNLMSTLKKCREEKQEALKLLN
+EVQEHLEEEERLCRESLADSWGECRSCLENNCMRIYTTCQPSWSSVKNKIERFFRKIYQF
+LFPFHEDNEKDLPISEKLIEEDAQLTQMEDVFSQLTVDVNSLFNRSFNVFRQMQQEFDQT
+FQSHFISDTDLTEPYFFPAFSKEPMTKADLEQCWDIPNFFQLFCNFSVSIYESVSETITK
+MLKAIEDLPKQDKAPDHGGLISKMLPGQDRGLCGELDQNLSRCFKFHEKCQKCQAHLSED
+CPDVPALHTELDEAIRLVNVSNQQYGQILQMTRKHLEDTAYLVEKMRGQFGWVSELANQA
+PETEIIFNSIQVVPRIHEGNISKQDETMMTDLSILPSSNFTLKIPLEESAESSNFIGYVV
+AKALQHFKEHF
+>P10909_PF01093_30 <unknown description>
+ELQEMSNQGSKYVNKEIQNAVNGVKQIKTLIEKTNEERKTLLSNLEEAKKKKEDALNETR
+ESETKLKELPGVCNETMMALWEECKPCLKQTCMKFYARVCRSGSGLVGRQLEEFLNQSSP
+FYFWMNGDRIDSLLENDRQQTHMLDVMQDHFSRASSIIDELFQDRFFTREPQDTYHYLPF
+SLPHRRPHFFFPKSRIVRSLMPFSPYEPLNFHAMFQPFLEMIHEAQQAMDIHFHSPAFQH
+PPTEFIREGDDDRTVCREIRHNSTGCLRMKDQCDKCREILSVDCSTNNPSQAKLRRELDE
+SLQVAERLTRKYNELLKSYQWKMLNTSSLLEQLNEQFNWVSRLANLTQGEDQYYLRVTTV
+ASHTSDSDVPSGVTEVVVKLFDSDPITVTVPVEVSRKNPKFMETVAEKALQEYRKK
+>Q8IUQ0_PF03765_70 <unknown description>
+RTDDAFILRFLRARKFHQADAFRLL
+>Q8IUQ0_PF00650_126 <unknown description>
+FPGVLENRDHYGRKILLLFAANWDQSRNSFTDILRAILLSLEVLIEDPELQINGFILIID
+WSNFSFKQASKLTPSILKLAIEGLQDSFPARFGGVHFVNQPWYIHALYTLIKPFLKDKTR
+KRIFLHGNNLNSLHQLIHPEFLPSEFGG
+>Q5SYC1_PF03765_48 <unknown description>
+RTDDAFILRFLRARKFHHFEAFRLL
+>Q5SYC1_PF00650_103 <unknown description>
+GFPGGLANLDHYGRKILVLFAANWDQSRYTLVDILRAILLSLEAMIEDPELQVNGFVLII
+DWSNFTFKQASKLTPSMLRLAIEGLQDSFPARFGGIHFVNQPWYIHALYTVIRPFLKEKT
+RKRIFLHGNNLNSLHQLIHPEILPSEFGG
+>Q9HAE3_PF13499_102 <unknown description>
+EEKMKYCFEVFDLNGDGFISKEEMFHMLKNSLLKQPSEEDPDEGIKDLVEITLKKMDHDH
+DGKLSFADYEL
+>Q8N0X4_PF03328_46 <unknown description>
+RAVLYVPGNDEKKIKKIPSLNVDCAVLDCEDGVAANKKNEARLRIVKTLEDIDLGPTEKC
+VRVNSVSSGLAEEDLETLLQSRVLPSSLMLPKVESPEEIQWFADKFSFHLKGRKLEQPMN
+LIPFVETAMGLLNFKAVCEETLKVGPQVGLFLDAVVFGGEDFRASIGATSSKETLDILYA
+RQKIVVIAKAFGLQAIDLVYIDFRDGAGLLRQSREGAAMGFTGKQVIHP
+>P23946_PF00089_22 <unknown description>
+IIGGTECKPHSRPYMAYLEIVTSNGPSKFCGGFLIRRNFVLTAAHCAGRSITVTLGAHNI
+TEEEDTWQKLEVIKQFRHPKYNTSTLHHDIMLLKLKEKASLTLAVGTLPFPSQFNFVPPG
+RMCRVAGWGRTGVLKPGSDTLQEVKLRLMDPQACSHFRDFDHNLQLCVGNPRKTKSAFKG
+DSGGPLLCAGVAQGIVSYGRSDAKPPAVFTRISHYRPWI
+>Q96DG6_PF01738_31 <unknown description>
+KAYVTKSPVDAGKAVIVIQDIFGWQLPNTRYIADMISGNGYTTIVPDFFVGQEPWDPSGD
+WSIFPEWLKTRNAQKIDREISAILKYLKQQCHAQKIGIVGFCWGGTAVHHLMMKYSEFRA
+GVSVYGIVKDSEDIYNLKNPTLFIFAENDVVIPLKDVSLLTQKLKEHCKVEYQIKTFSGQ
+THGFVHRKREDCSPADKPYIDEARRNLIEWLNKY
+>P56277_PF08991_6 <unknown description>
+PCQKQACEIQKCLQANSYMESKCQAVIQELRKCCAQYPKGRSVVCSGFEKEEE
+>P10645_PF01271_25 <unknown description>
+MNKGDTEVMKCIVEVISDTLSKPSPMPVSQECFETLRGDERILSILRHQNLLKELQDLAL
+QGAKE
+>P10645_PF01271_87 <unknown description>
+AKERAHQQKKHSGFEDELSEVLENQSSQAELKEAVEEPSSKDVMEKREDSKEAEKSGEAT
+DGARPQALPEPMQESKAEGNNQAPGEEEEEEEEATNTHPPASLPSQKYPGPQAEGDSEGL
+SQGLVDREKGLSAEPGWQAKREEEEEEEEEAEAGEEAVPEEEGPTVVLNPHPSLGYKEIR
+KGESRSEALAVDGAGKPGAEEAQDPEGKGEQEHSQQKEEEEEMAVVPQGLFRGGKSGELE
+QEEERLSKEWEDSKRWSKMDQLAKELTAEKRLEGQEEEEDNRDSSMKLSFRARAYGFRGP
+GPQLRRGWRPSSREDSLEAGLPLQVRGYPEEKKEEEGSANRRPEDQELESLSAIEAELEK
+VAHQLQALRRG
+>Q7Z624_PF10294_125 <unknown description>
+VCIWPSEEVLAYYCLKHNNIFRALAVCELGGGMTCLAGLMVAISADVKEVLLTDGNEKAI
+RNVQDIITRNQKAGVFKTQKISSCVLRWDNETDVSQLEGHFDIVMCADCLFLDQYRASLV
+DAIKRLLQPRGKAMVFAPRRGNTLNQFCNLAE
+>Q99788_PF00001_57 <unknown description>
+GNGLVIIIATFKMKKTVNMVWFLNLAVADFLFNVFLPIHITYAAMDYHWVFGTAMCKISN
+FLLIHNMFTSVFLLTIISSDRCISVLLPVWSQNHRSVRLAYMACMVIWVLAFFLSSPSLV
+FRDTANLHGKISCFNNFSLSTPGSSSWPTHSQMDPVGYSRHMVVTVTRFLCGFLVPVLII
+TACYLTIVCKLQRNRLAKTKKPFKIIVTIIITFFLCWCPYHTLNLLELHHTAMPGSVFSL
+GLPLATALAIANSCMNPILY
+>P46091_PF00001_55 <unknown description>
+GNAIVIWFTGFKWKKTVTTLWFLNLAIADFIFLLFLPLYISYVAMNFHWPFGIWLCKANS
+FTAQLNMFASVFFLTVISLDHYIHLIHPVLSHRHRTLKNSLIVIIFIWLLASLIGGPALY
+FRDTVEFNNHTLCYNNFQKHDPDLTLIRHHVLTWVKFIIGYLFPLLTMSICYLCLIFKVK
+KRSILISSRHFWTILVVVVAFVVCWTPYHLFSIWELTIHHNSYSHHVMQAGIPLSTGLAF
+LNSCLNPILY
+>Q5EBM0_PF02223_257 <unknown description>
+IEGLDATGKTTVTQSVADSLKAVLLKSPPSCIGQWRKIFDDEPTIIRRAFYSLGNYIVAS
+EIAKESAKSPVIVDRYWHSTATYAIATEVSGGLQHLPPAHHPVYQWPEDLLKPDLILLLT
+VSPEERLQRLQGRGMEKTREEAELEANSVFRQKVEMSYQRMENPGCHVVDASPSREKVLQ
+>Q9BQ75_PF14617_61 <unknown description>
+KERKENTTKTRKRRKKKITDVLAKSEPKPGLPEDLQKLMKDYYSSRRLVIELEELNLPDS
+CFLKANDLTHSLSSYLKEICPKWVKLRKNHSEKKSVLMLIICSSAVRALELIRSMTAFRG
+DGKVIKLFAKHIKVQAQVKLLEKRVVHLGVGTPGRIKELVKQGGLNLSPLKFLVFDWNWR
+DQKLRRMMDIPEIRKEVFELLEM
+>Q9Y6Y1_PF03859_70 <unknown description>
+ERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKDGYCWKKRKD
+GKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYLN
+>Q9Y6Y1_PF01833_873 <unknown description>
+VTDYSPEWSYPEGGVKVLITGPWQEASNNYSCLFDQISVPASLIQPGVLRCYCPAHDTGL
+VTLQVAFNNQIISNSVVFEY
+>Q9Y6Y1_PF00612_1594 <unknown description>
+RRAAVLIQKYYRSYK
+>O94983_PF03859_39 <unknown description>
+LRWNTNEEIASYLITFEKHDEWLSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGK
+TTREDHMKLKVQGMECLYGCYVHSSIVPTFHRRCYWLLQNPDIVLVHYLN
+>O94983_PF01833_534 <unknown description>
+TITDFSPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVG
+LVSLQVAGREGPLSASVLFEY
+>Q86VU5_PF01596_61 <unknown description>
+RSMREHPALRSLRLLTLEQPQGDSMMTCEQAQLLANLARLIQAKKALDLGTFTGYSALAL
+ALALPADGRVVTCEVDAQPPELGRPLWRQAEAEHKIDLRLKPALETLDELLAAGEAGTFD
+VAVVDADKENCSAYYERCLQLLRPGGILAVLRVLWRGKVLQPPKGDVAAECVRNLNERIR
+RDVRVYISLLPLGDGLTLAFKI
+>Q8N1G2_PF01585_88 <unknown description>
+NSVSQKLMAKMGFREGEGLGKYSQGRKDIVEASSQKGRRGLG
+>Q8N1G2_PF01728_233 <unknown description>
+LNRAAMKMANMDFVFDRMFTNPRDSYGKPLVKDREAELLYFADVCAGPGGFSEYVLWRKK
+WHAKGFGMTLKGPNDFKLEDFYSASSELFEPYYGEGGIDGDGDITRPENISAFRNFVLDN
+TDRKGVHFLMADGGFSVEGQENLQEILSKQLLLCQFLMALSIVRTGGHFICKTFDLFTPF
+SVGLVYLLYCCFERVCLFKPITSRPANSERYVVCKG
+>Q8IYT2_PF01728_112 <unknown description>
+TQAWCKFHEILCSFPLIPQEAFQNGKLNSLHLCEAPGAFIASLNHYLKSHRFPCHWSWVA
+NTLNPYHEANDDLMMIMDDRLIANTLHWWYFGPDNTGDIMTLKFLTGLQNFISSMATVHL
+VTADGSFDCQGNPGEQEALVSSLHYCEVVTALTTLGNGGSFVLKMFTMFEHCSINLMYLL
+NCCFDQVHVFKPATSKAGNSEVYVVCLH
+>Q8N3K9_PF00041_3717 <unknown description>
+SATSTTIAVYWSMNKEDVIDSFQVYCMEEPQDDQEVNELVEEYRLTVKESYCIFEDLEPD
+RCYQVWVMAVNFTGCSLPS
+>Q9H972_PF15394_2 <unknown description>
+SFSATILFSPPSGSEARCCCCACKSETNGGNTGSQGGNPPPSTPITVTGHGLAVQSSEQL
+LHVIYQRVDKAVGLAEAALGLARANNELLKRLQEEVGDLRQGKVSIPDEDGESRAHSSPP
+EEPGPLKESPGEAFKALSAVEEECDSVGSGVQVVIEELRQLGAASVGPGPLGFPATQRDM
+RLPGCTLAASEAAPLLNPLVDDYVASEGAVQRVLVPAYAKQLSPATQLAIQRATPETGPE
+NGTKLPPPRPEDMLNAAAALDSALEESGPGSTGELRHSLGLTVSPCRTRGSGQKNSRRKR
+DLVLSKLVHNVHNHITNDKRFNGSESIKSSWNISVVKFLLEKLKQELVTSPHNYTDKELK
+GACVAYFLTKRREYRNSLNPFKGLKEKEEKKLRSRRYRLFANRSSIMRHFGPEDQRLWND
+VTEELMSDEEDSLNEPGVWVARPPRFRAQRLTELCYHLDANSKHGTKANRVYGPPSDRLP
+SAEAQLLPPELYNPNFQEEEDEGGDENAPGSPSFDQPHKTCCPDLNSFIEIKVEKDE
+>Q9NWQ9_PF14969_37 <unknown description>
+QEMKCILHWFANWSGPQRERFLEDLVAKAVPEKLQPLLDSLEQLSVSGADRPPSIFECQL
+HLWDQWFRGWAEQERNEFVRQLEFSEPDFVAKFYQAV
+>P09543_PF13671_52 <unknown description>
+LFILRGLPGSGKSTLARVIVDKYRDGTKMVSADAYKITPGARGAFSEEYKRLDEDLAAYC
+RRRDIRILVLDDTNHERERLEQLFEMADQYQYQVVLVEPKTAWRL
+>P09543_PF05881_186 <unknown description>
+LPLYFGWFLTKKSSETLRKAGQVFLEELGNHKAFKKELRQFVPGDEPREKMDLVTYFGKR
+PPGVLHCTTKFCDYGKAPGAEEYAQQDVLKKSYSKAFTLTISALFVTPKTTGARVELSEQ
+QLQLWPSDVDKLSPTDNLPRGSRAHITLGCAADVEAVQTGLDLLEILRQEKGGSRGEEVG
+ELSRGKLYSLGNGRWMLTLAKNMEVRAIFTGYYGKGKPVPTQGSRKGGALQSCTI
+>Q8NA66_PF00027_341 <unknown description>
+KKFPPGHVIVESGNIISFVGYINSGCCNIYRSIIGFVKLRSNKVKRSQKLVYMGKLKEKE
+SFGEISVLLQVPFTCTIITKKEVEMAIIEDKDL
+>Q96M20_PF00027_136 <unknown description>
+RFGRRRVIIKKGQKGNSFYFIYLGTVAITKDEDGSSAFLDPHPKLLHKGSCFGEMDVLHA
+SVRRSTIVCMEETEFLVVDREDFF
+>Q9NSA3_PF06384_1 <unknown description>
+MNREGAPGKSPEEMYIQQKVRVLLMLRKMGSNLTASEEEFLRTYAGVVNSQLSQLPPHSI
+DQGAEDVVMAFSRSETED
+>P62633_PF00098_5 <unknown description>
+ECFKCGRSGHWARECP
+>P62633_PF00098_53 <unknown description>
+ICYRCGESGHLAKDCD
+>P62633_PF00098_73 <unknown description>
+ACYNCGRGGHIAKDCKE
+>P62633_PF00098_97 <unknown description>
+CCYNCGKPGHLARDCDH
+>P62633_PF00098_117 <unknown description>
+QKCYSCGEFGHIQKDCTK
+>P62633_PF00098_136 <unknown description>
+KCYRCGETGHVAINCSK
+>P62633_PF00098_157 <unknown description>
+NCYRCGESGHLARECT
+>Q13956_PF04868_3 <unknown description>
+DNTTLPAPASNQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGFGDDIPGMEGLGTDITV
+ICPWEAFSHLELHELAQFGII
+>Q15021_PF12922_77 <unknown description>
+LKEDTLQFLIKVVSRHSQELPAILDDTTLSGSDRNAHLNALKMNCYALIRLLESFETMAS
+QTNLVDLDLGGKGKKARTKAAHGFDWEEERQPILQLLTQLLQLDIRHLWNHSIIEEEFVS
+LVTGCCYRLLENPTINHQKNRPTREAITHLLGVALTRYNHMLSA
+>Q15021_PF12717_1070 <unknown description>
+IVRSNLMVATGDLAIRFPNLVDPWTPHLYARLRDPAQQVRKTAGLVMTHLILKDMVKVKG
+QVSEMAVLLIDPEPQIAALAKNFFNELSHKGNAIYNLLPDIISRLSDPELGVEEEPFHTI
+MKQLLSYITKDKQTESLVEKLCQRFRTSRTERQQRDLAYCV
+>Q15003_PF05786_49 <unknown description>
+TPVLEDFPQNDDEKERLQRRRSRVFDLQFSTDSPRLLASPSSRSIDISATIPKFTNTQIT
+EHYSTCIKLSTENKITTKNAFGLHLIDFMSEILKQKDTEPTNFKVAAGTLDASTKIYAVR
+VDAVHADVYRVLGGLGKDAPSLEEVEGHVADGSATEMGTTKKAVKPKKKHLHRTIEQNIN
+NLNVSEADRKCEIDPMFQKTAASFDECSTAGVFLSTLHCQDYRSELLFPSDVQTLSTGEP
+LELPELGCVEMTDLKAPLQQCAEDRQICPSLAGFQFTQWDSETHNESVSALVDKFKKNDQ
+VFDINAEVDESDCGDFPDGSLGDDFDANDEPDHTAVGDHEEFRSWKEPCQVQSCQEEMIS
+LGDGDIRTMCPLLSMKPGEYSYFSPRTMSMWAGPDHWRFRPRRKQDAPSQSENKKKSTKK
+DFEIDFEDDIDFDVYFRKTKAATILTKSTLENQNWRATTLPTDFNYNVDTLVQLHLKPGT
+RLLKMAQGHRVETEHYEEIEDYDYNNPNDTSNFCPGLQAADSDDEDLDDLFVGPVGNSDL
+SPYPCHPPKTAQQNGDTPEAQGLDITTYGESNLVAEPQKVNKIEIHYAKTAKKMDMKKLK
+QSMWSLLTALSGKEADAEANHREAGKEAALAEVADEKMLSGLTKDLQRSLPPVMAQNLSI
+PLAFACLLHLANEKNLKLEGTEDLSDVLVRQ
+>Q9BPX3_PF12719_559 <unknown description>
+KCLILCYELLKQMSISTGLSATMNGIIESLILPGIISIHPVVRNLAVLCLGCCGLQNQDF
+ARKHFVLLLQVLQIDDVTIKISALKAIFDQLMTFGIEPFKTKKIKTLHCEGTEINSDDEQ
+ESKEVEETATAKNVLKLLSDFLDSEVSELRTGAAEGLAKLMFSGLLVSSRILSRLILLWY
+NPVTEEDVQLRHCLGVFFPVFAYASRTNQECFEEAFLPTLQTLANAPASSPLAEIDITNV
+AELLVDLTRPSGLNPQAKTSQDYQALTVHDNLAMKICNEILTSPCSPEIRVYTKALSSLE
+L
+>P42695_PF12717_956 <unknown description>
+AVRNNVIIVMCDLCIRYTIMVDKYIPNISMCLKDSDPFIRKQTLILLTNLLQEEFVKWKG
+SLFFRFVSTLIDSHPDIASFGEFCLAHLLLKRNPVMFFQHFIECIFHFNNYEKHEKYNKF
+PQSEREKRLFSLKGKSNKERRMKIYKFLLEHFTDEQRFNITSKICLSILAC
+>Q86XI2_PF12422_212 <unknown description>
+ECFININYIKKEEGRRFLSCLFNWNINFIKMIHGTIKNQLQGLQKSLMVYIAEIYFRAWK
+KASGKILEAIENDCIQDFMFHGIHLPRRSPVHSKVREVLSYFHHQKKVRQGVEEMLYRLY
+KPILWRGLKARNSEVRSNAALLFVEAFPIRD
+>Q6IBW4_PF06278_7 <unknown description>
+RFAHLLQPIRDLTKNWEVDVAAQLGEYLEELDQICISFDEGKTTMNFIEAALLIQGSACV
+YSKKVEYLYSLVYQALDFISGKRRAKQLSSVQEDRANGVASSGVPQEAENEFLSLDDF
+>Q6IBW4_PF16869_148 <unknown description>
+LPMALVAPDEMEKNNNPLYSRQGEVLASRKDFRMNTCVPHPRGAFMLEPEGMSPMEPAGV
+SPMPG
+>Q6IBW4_PF16858_316 <unknown description>
+PWQSLDPFDSLESKPFKKGRPYSVPPCVEEALGQKRKRKGAAKLQDFHQWYLAAYADHAD
+SRRLRRKGPSFADMEVLYWTHVKEQLETLRKLQRREVAEQWLRPAEEDHLEDSLEDLGAA
+DDFLEPEEYMEPEGADPREAADLDAVPMSLSYEELVRRNVELFIATSQKFVQETELSQRI
+RDWEDTVQPLLQEQEQHVPFDIHTYGDQLVSRFPQLNEWCPFAELVAGQPAFEVCRSMLA
+SLQLANDYTVEITQQPGLEMAVDTMSLRLLTHQRAHKRFQ
+>Q96KN2_PF01546_128 <unknown description>
+CFYGHLDVQPADRGDGWLTDPYVLTEVDGKLYGRGATDNKGPVLAWINAVSAFRALEQDL
+PVNIKFIIEGMEEAGSVALEELVEKEKDRFFSGVDYIVISDNLWISQRKPAITYGTRGNS
+YFMVEVKCRDQDFHSGTFGGILHEPMADLVALLGSLVDSSGHILVPGIYDEVVPLTEEEI
+NTYKAIHLDLEEYRNSSRVEKFLFDTKEEILMHLWRYPSLSIHGIEGAFDEPGTKTVIPG
+RVIGKFSIRLVPHMNVSAVEKQVTRHLEDVFSKRNSSNKMVVSMTLGLHPWIANIDDTQY
+LAAKRAIRTVFGTEPDMIRDGSTIPIAKMFQEIVHKSVVLIPLGAVDDGEHSQNEKINRW
+NYIEGTKLFAAFF
+>Q96KN2_PF07687_242 <unknown description>
+GTRGNSYFMVEVKCRDQDFHSGTFGGILHEPMADLVALLGSLVDSSGHILVPGIYDEVVP
+LTEEEINTYKAIHLDLEEYRNSSRVEKFLFDTKEEILMHLWRYPSLSIHGIEGAFDEPGT
+KTVIPGRVIGKFSIRLVPHMNVSAVEKQVTRHLEDVFSK
+>Q96KP4_PF01546_95 <unknown description>
+CIYGHLDVQPAALEDGWDSEPFTLVERDGKLYGRGSTDDKGPVAGWINALEAYQKTGQEI
+PVNVRFCLEGMEESGSEGLDELIFARKDTFFKDVDYVCISDNYWLGKKKPCITYGLRGIC
+YFFIEVECSNKDLHSGVYGGSVHEAMTDLILLMGSLVDKRGNILIPGINEAVAAVTEEEH
+KLYDDIDFDIEEFAKDVGAQILLHSHKKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPR
+KVVGKFSIRLVPNMTPEVVGEQVTSYLTKKFAELRSPNEFKVYMGHGGKPWVSDFSHPHY
+LAGRRAMKTVFGVEPDLTREGGSIPVTLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRY
+NYIEGTKMLAAYLY
+>Q96KP4_PF07687_208 <unknown description>
+YGLRGICYFFIEVECSNKDLHSGVYGGSVHEAMTDLILLMGSLVDKRGNILIPGINEAVA
+AVTEEEHKLYDDIDFDIEEFAKDVGAQILLHSHKKDILMHRWRYPSLSLHGIEGAFSGSG
+AKTVIPRKVVGKFSIRLVPNMTPEVVGEQVTSYLTKKFA
+>O95476_PF03031_62 <unknown description>
+KILVLDLDETLIHSHHDGVLRPTVRPGTPPDFILKVVIDKHPVRFFVHKRPHVDFFLEVV
+SQWYELVVFTASMEIYGSAVADKLDNSRSILKRRYYRQHCTLELGSYIKDLSVVHSDLSS
+IVILDNSPGAYRSHPDNAIPIKSWFSDPSDTALLNLLPMLDALRFTADVR
+>Q9BYD5_PF04749_24 <unknown description>
+WHTGLTDCCNDMPVCLCGTFAPLCLACRISDDFGECCCAPYLPGGLHSIRTGMRERYHIQ
+GSVGHDWAALTFCLPCALCQMARE
+>P29973_PF00520_163 <unknown description>
+NWLFCITLPVMYNWTMVIARACFDELQSDYLEYWLILDYVSDIVYLIDMFVRTRTGYLEQ
+GLLVKEELKLINKYKSNLQFKLDVLSLIPTDLLYFKLGWNYPEIRLNRLLRFSRMFEFFQ
+RTETRTNYPNIFRISNLVMYIVIIIHWNACVFYSISKAIGFGNDTWVYPDINDPEFGRLA
+RKYVYSLYWSTLTLTTIGETPPPVRDSEYVFVVVDFLIGVLIFATIVGNIGSMISNMNAA
+>P29973_PF00027_494 <unknown description>
+QVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGVTQFVVLSDGSYFGEISILNIKGSKA
+GNRRTANIKSIGYSDLFCLSKDDLMEALTE
+>P29973_PF16526_591 <unknown description>
+LEEKGKQILMKDGLLDLNIANAGSDPKDLEEKVTRMEGSVDLLQTRFARILAEYESMQQK
+LKQRLTKVEK
+>Q16280_PF00520_141 <unknown description>
+YCWLFVIAMPVLYNWCLLVARACFSDLQKGYYLVWLVLDYVSDVVYIADLFIRLRTGFLE
+QGLLVKDTKKLRDNYIHTLQFKLDVASIIPTDLIYFAVDIHSPEVRFNRLLHFARMFEFF
+DRTETRTNYPNIFRISNLVLYILVIIHWNACIYYAISKSIGFGVDTWVYPNITDPEYGYL
+AREYIYCLYWSTLTLTTIGETPPPVKDEEYLFVIFDFLIGVLIFATIVGNVGSMISNMNA
+T
+>Q16280_PF00027_473 <unknown description>
+QVFSPGDYICRKGDIGKEMYIIKEGKLAVVADDGVTQYALLSAGSCFGEISILNIKGSKM
+GNRRTANIRSLGYSDLFCLSKDDLMEAVTE
+>Q16280_PF16526_570 <unknown description>
+LEERGREILMKEGLLDENEVATSMEVDVQEKLGQLETNMETLYTRFGRLLAEYTGAQQKL
+KQRITVLETK
+>Q16281_PF00520_171 <unknown description>
+WLTAIALPVFYNWYLLICRACFDELQSEYLMLWLVLDYSADVLYVLDVLVRARTGFLEQG
+LMVSDTNRLWQHYKTTTQFKLDVLSLVPTDLAYLKVGTNYPEVRFNRLLKFSRLFEFFDR
+TETRTNYPNMFRIGNLVLYILIIIHWNACIYFAISKFIGFGTDSWVYPNISIPEHGRLSR
+KYIYSLYWSTLTLTTIGETPPPVKDEEYLFVVVDFLVGVLIFATIVGNVGSMISNMNAS
+>Q16281_PF00027_502 <unknown description>
+VFSPGDYICKKGDIGKEMYIINEGKLAVVADDGVTQFVVLSDGSYFGEISILNIKGSKSG
+NRRTANIRSIGYSDLFCLSKDDLMEALTE
+>Q16281_PF16526_598 <unknown description>
+LEEKGRQILMKDNLIDEELARAGADPKDLEEKVEQLGSSLDTLQTRFARLLAEYNATQMK
+MKQRLSQLESQ
+>Q8IV77_PF00520_35 <unknown description>
+YWWLNTMVFPVMYNLIILVCRACFPDLQHGYLVAWLVLDYTSDLLYLLDMVVRFHTGFLE
+QGILVVDKGRISSRYVRTWSFFLDLASLMPTDVVYVRLGPHTPTLRLNRFLRAPRLFEAF
+DRTETRTAYPNAFRIAKLMLYIFVVIHWNSCLYFALSRYLGFGRDAWVYPDPAQPGFERL
+RRQYLYSFYFSTLILTTVGDTPPPAREEEYLFMVGDFLLAVMGFATIMGSMSSVIYNMN
+>Q8IV77_PF00027_367 <unknown description>
+QTYSPGEYVCRKGDIGQEMYIIREGQLAVVADDGITQYAVLGAGLYFGEISIINIKGNMS
+GNRRTANIKSLGYSDLFCLSKEDLREVLSEY
+>Q8IV77_PF16526_464 <unknown description>
+MEEKGREILLKMNKLDVNAEAAEIALQEATESRLRGLDQQLDDLQTKFARLLAELESSAL
+KIAYRIERLEWQ
+>Q14028_PF00027_982 <unknown description>
+VYLPNDYVCKKGEIGREMYIIQAGQVQVLGGPDGKSVLVTLKAGSVFGEISLLAVGGGNR
+RTANVVAHGFTNLFILDKKDLNEIL
+>Q9NQW8_PF00027_545 <unknown description>
+YLPGDFVCKKGEIGKEMYIIKHGEVQVLGGPDGTKVLVTLKAGSVFGEISLLAAGGGNRR
+TANVVAHGFANLLTLDKKTLQEIL
+>O95406_PF03311_7 <unknown description>
+AFCYMLALLLTAALIFFAIWHIIAFDELKTDYKNPIDQCNTLNPLVLPEYLIHAFFCVMF
+LCAAEWLTLGLNMPLLAYHIWRYMSRPVMSGPGLYDPTTIMNADILAYCQKEGWCKLAFY
+LLAFFYYLY
+>Q6PI25_PF03311_7 <unknown description>
+AFCYMLTLVLCASLIFFVIWHIIAFDELRTDFKNPIDQG
+>Q6PI25_PF03311_55 <unknown description>
+KNIERICCLLRKLVVPEYSIHGLFCLMFLCAAEWVTLGLNIPLLFYHLWRYFHRPADGSE
+VMYDAVSIMNADILNYCQKESWCKLAFYLLSFFYYLY
+>Q8TBE1_PF03311_7 <unknown description>
+AFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNP
+>Q8TBE1_PF03311_59 <unknown description>
+RICFLLRKLVLPEYSIHSLFCIMFLCAQEWLTLGLNVPLLFYHFWRYFHCPADSSELAYD
+PPVVMNADTLSYCQKEAWCKLAFYLLSFFYYLY
+>Q9P003_PF03311_4 <unknown description>
+VVFVFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELIGHTIVTVLLL
+MSLHWFIFLLNLPVATWNIYRYIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGFHLL
+CFFMYLY
+>Q969H4_PF00536_6 <unknown description>
+TWTPGKVATWLRGLDDSLQDYPFEDWQLPGKNLLQLCPQSLEALAVRSLGHQELILGGVE
+QL
+>Q969H4_PF10534_78 <unknown description>
+NLQSLTEGLLGATHDFQSIVQGCLGDCAKTPIDVLCAAVELLHEADALLFWLSRYLFSHL
+NDFSACQEIRDLLEELSQVLHEDG
+>Q969H4_PF00169_398 <unknown description>
+DCDGWLLLRKAPGGFMGPRWRRRWFVLKGHTLYWYRQPQDEKAEGLINVSNYSLESGHDQ
+KKKYVFQLTHDVYKPFIFAADTLTDLSMWVRHLITCI
+>Q8WXI2_PF00536_9 <unknown description>
+SKWSPSQVVDWMKGLDDCLQQYIKNFEREKISGDQLLRITHQELEDLGVSRIGHQELILE
+AVD
+>Q8WXI2_PF10534_84 <unknown description>
+NLKTLSHKLNASAKNLQNFITGRRRSGHYDGRTSRKLPNDFLTSVVDLIGAAKSLLAWLD
+RSPFAAVTDYSVTRNNVIQLCLELTTIVQQDCT
+>Q8WXI2_PF00595_223 <unknown description>
+PSEGLGMYIKSTYDGLHVITGTTENSPADRCKKIHAGDEVIQVNHQTVVGWQLKNLVNAL
+REDPSGVIL
+>Q8WXI2_PF06663_340 <unknown description>
+PTKRDSSALQDLYIPPPPAEPYIPRDEKGNLPCEDLRGHMVGKPVHKGSESPNSFLDQEY
+RKRFNIVEEDTVLYCYEYEKGRSSSQGRRESTPTYGKLRPISMPVEYNWVGDYEDPNKMK
+RDSRRENSLLRYMSNEKIAQEEYMFQRNSKKDTGKK
+>Q8WXI2_PF00169_573 <unknown description>
+CEGWLWKKKDAKSYFSQKWKKYWFVLKDASLYWYINEEDEKAEGFISLPEFKIDRASECR
+KKYAFKACHPKIKSFYFAAEHLDDMNRWLNRINML
+>Q6P9H4_PF00536_6 <unknown description>
+KWSPKQVVDWTRGLDDCLQQYVHKFEREKINGEQLLQISHQDLEELGVTRIGHQELVLEA
+VD
+>Q6P9H4_PF10534_80 <unknown description>
+NMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSRKAPNEFLTSVVELIGAAKALLAWLD
+RAPFTGITDFSVTKNKIIQLCLDLTTTVQKDCF
+>Q6P9H4_PF00595_217 <unknown description>
+IKPGEGLGMYIKSTYDGLHVITGTTENSPADRSQKIHAGDEVIQVNQQTVVGWQLKNLVK
+KLRENPT
+>Q6P9H4_PF06663_332 <unknown description>
+TSLKKEKSAILDLYIPPPPAVPYSPRDENGSFVYGGSSKCKQPLPGPKGSESPNSFLDQE
+SRRRRFTIADSDQLPGYSVETNILPTKMREKTPSYGKPRPLSMPADGNWMGIVDPFARPR
+GHGRKGEDALCRYFSNERIPPIIEESSSPPYRFSRPTTERHLVRGADYIRGSRCYINSDL
+HSSATIPFQEEGTKKKSGSSATKSSSTEPSLLVS
+>O75829_PF04089_108 <unknown description>
+EEAIAVNDFQNGITGIRFAGGEKCYIKAQVKARIPEVGAVTKQSISSKLEGKIMPVKYEE
+NSLIWVAVDQPVKDNSFLSSKVLELCGDLPIFW
+>P51911_PF00307_29 <unknown description>
+QREQELREWIEGVTGRRIGNNFMDGLKDGIILCEFINKLQPGSVKKINESTQNWHQLENI
+GNFIKAITKYGVKPHDIFEANDLFENTNHTQVQSTLLALASMAK
+>P51911_PF00402_164 <unknown description>
+IGLQMGTNKFASQQGMTAYGTRRHL
+>P51911_PF00402_204 <unknown description>
+ISLQMGTNKGASQAGMTAPGTKRQI
+>P51911_PF00402_244 <unknown description>
+SLQMGSNKGASQRGMTVYGLPRQV
+>Q99439_PF00307_30 <unknown description>
+KEAELRTWIEGLTGLSIGPDFQKGLKDGTILCTLMNKLQPGSVPKINRSMQNWHQLENLS
+NFIKAMVSYGMNPVDLFEANDLFESGNMTQVQVSLLALAGKA
+>Q99439_PF00402_166 <unknown description>
+IGLQMGTNKCASQSGMTAYGTRRHL
+>Q99439_PF00402_206 <unknown description>
+ISLQMGTNKCASQVGMTAPGTRRHI
+>Q99439_PF00402_245 <unknown description>
+MSLQMGYTQGANQSGQVFGLGRQI
+>Q15417_PF00307_27 <unknown description>
+QAEEDLRNWIEEVTGMSIGPNFQLGLKDGIILCELINKLQPGSVKKVNESSLNWPQLENI
+GNFIKAIQAYGMKPHDIFEANDLFENGNMTQVQTTLVALAG
+>Q15417_PF00402_164 <unknown description>
+IGLQMGTNKCASQAGMTAYGTRRHL
+>Q15417_PF00402_204 <unknown description>
+ISLQMGTNKGASQAGMLAPGTRRDI
+>Q15417_PF00402_243 <unknown description>
+ISLQMGTNKVASQKGMSVYGLGRQV
+>Q9NRU3_PF01595_228 <unknown description>
+GALLLLALSALFSGLRLSLLSLDPVELRVLRNSGSAAEQEQARRVQAVRGRGTHLLCTLL
+LGQAGANAALAGWLYTSLPPGFGGTGEDYSEEGIHFPWLPALVCTGAVFLGAEICPYSVC
+SRHGLAIASHSVCLTRLLMAAAFPVCYPLGRLLDWALRQEISTFYTREKLLETLRA
+>Q9NRU3_PF00571_499 <unknown description>
+TRFYNRPLHCVFNDTRLDTVLEEFKKGKSHLAIVQRVNNEGEGDPFYEVMGIVTLEDIIE
+EI
+>Q9H8M5_PF01595_261 <unknown description>
+FISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKNYAKRIEPVRRQGNYLLCSLL
+LGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVPQAICSRHGLAVGANTIFLTK
+FFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRVT
+>Q9H8M5_PF00571_518 <unknown description>
+YNHPLHFVFNDTKLDAMLEEFKKGKSHLAIVQRVNNEGEGDPFYEVLGIVTLEDVIEEI
+>Q8NE01_PF00571_385 <unknown description>
+FYNHPLHFVFNDTKLDAVLEEFKRGKSHLAIVQKVNNEGEGDPFYEVLGLVTLEDVIEEI
+>Q6P4Q7_PF01595_188 <unknown description>
+LITVLLVLSGIFSGLNLGLMALDPMELRIVQNCGTEKERRYARKIEPIRRKGNYLLCSLL
+LGNVLVNTSLTILLDNLIGSGLMAVASSTIGIVIFGEILPQALCSRHGLAVGANTILLTK
+FFMLLTFPLSFPISKLLDFFLGQEIRTVYNREKLMEMLKVTEP
+>Q6P4Q7_PF00571_444 <unknown description>
+FYNHPVHFVFHDTKLDAMLEEFKKGKSHLAIVQKVNNEGEGDPFYEVLGLVTLEDVIEEI
+>Q9UKZ1_PF10155_371 <unknown description>
+VENNPLVAIEMLLKLMQSSQITEYFSVLVNMDMSLHSMEVVNRLTTAVDLPPEFIHLYIS
+NCISTCEQIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGL
+FRLLK
+>Q96LI5_PF13855_57 <unknown description>
+HLTALHLNDNYLSRIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNNNLL
+>Q96LI5_PF03372_194 <unknown description>
+YNVLCDKYATRQLYGYCPSWALNWEYRKKGIMEEIVNCDADIISLQEVETEQYFTLFLPA
+LKERGYDGFFSPKSRAKIMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQVAMANSDGS
+EAMLNRVMTKDNIGVAVVLEVHKELFGAGMKPIHAADKQLLIVANAHMHWDPEYSDVKLI
+QTMMFVSEVKNILEKASSRPGSPTADPNSIPLVLCADLNSLPDSGVVEYLSNGGVADNHK
+DFKELRYNECLMNFSCNGKNGSSEGRITHGFQLKSAYENNLMPYTNYTFDFKGVIDYIFY
+SKTHMNVLGVLGPLDPQWLVENNITGCPHPHIPSDH
+>A5YKK6_PF16418_500 <unknown description>
+HELISTLMPIFLGNHPNSAIILHYAWHGQGQSPSIRQLIMHAMAEWYMRGEQYDQAKLSR
+ILDVAQDLKALSMLLNGTPFAFVIDLAALASRREYLKLDKWLTDKIREHGEPFIQACMTF
+LKRRCPSILGGLAPEKDQPKSAQLPPETLATMLACLQ
+>A5YKK6_PF16417_816 <unknown description>
+QPTFQQSKMKPSDLSQVWPEANQHFSKEIDDEANSYFQRIYNHPPHPTMSVDEVLEMLQR
+FKDSTIKREREVFNCMLRNLFEEYRFFPQYPDKELHITACLFGGIIEKGLVTYMALGLAL
+RYVLEALRKPFGSKMYYFGIAALDRFKNRLKDYPQYCQHLASISHFMQFPHHLQEYIEYG
+QQSRDPP
+>A5YKK6_PF16415_1088 <unknown description>
+IVEPPENIQEKIAFIFNNLSQSNMTQKVEELKETVKEEFMPWVSQYLVMKRVSIEPNFHS
+LYSNFLDTLKNPEFNKMVLNETYRNIKVLLTSDKAAANFSDRSLLKNLGHWLGMITLAKN
+KPILHTDLDVKSLLLEAYVKGQQELLYVVPFVAKVLESSIRSVVFRPPNPWTMAIMNVLA
+ELHQEHDLKLNLKFEIEVLCKNLALDINELKPGNLLKDKDRLKN
+>A5YKK6_PF12842_1387 <unknown description>
+PQLKQCVRQAIERAVQELVHPVVDRSIKIAMTTCEQIVRKDFALDSEESRMRIAAHHMMR
+NLTAGMAMITCREPLLMSISTNLKNSFASALRTASPQQREMMDQAAAQLAQDNCELACCF
+IQKTAVEKAGPEMDKRLATEFELRKHAR
+>A5YKK6_PF04054_1998 <unknown description>
+LLELNAPEHVLETINFQTLTAFCNTFHILRPTKAPGFVYAWLELISHRIFIARMLAHTPQ
+QKGWPMYAQLLIDLFKYLAPFLRNVELTKPMQILYKGTLRVLLVLLHDFPEFLCDYHYGF
+CDVIPPNCIQLRNLILSAFPRNMRLPDPFTPNLKVDMLSEINIAPRILTNFTGVMPPQFK
+KDLDSYLKTRSPVTFLSDLRSNLQVSNEPGNRYNLQLINALVLYVGTQAIAHIHNKGSTP
+SMSTITHSAHMDIFQNLAVDLDTEGRYLFLNAIANQLRYPNSHTHYFSCTMLYLFAEANT
+EAIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPAFKFWNHEFVHCAPEIEKLFQSVA
+>Q9NZN8_PF04153_398 <unknown description>
+KFASPWASSPCRPQDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQ
+LLAAVELFNRDWRYHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLE
+YDKL
+>O75175_PF04065_3 <unknown description>
+DKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRDQI
+KTWVASNEIKDKRQLIDNRKLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKEKE
+EVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDKQDRIEGLKRHIEKHRYHVRM
+LETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENEFLYDDLDLE
+>O75175_PF04153_623 <unknown description>
+HHMPHPSDSERIRQYLPRNPCPTPPYHHQMPPPHSDTVEFYQRLSTETLFFIFYYLEGTK
+AQYLAAKALKKQSWRFHTKYMMWFQRHEEPKTITDEFEQGTYIYFDYEKWGQRKKEGFTF
+EYRYL
+>O95628_PF14570_14 <unknown description>
+CPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY
+>O95628_PF00076_130 <unknown description>
+EYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVVVDGRTLK
+>Q9ULM6_PF13855_52 <unknown description>
+HLTALHLSDNSLSRIPSDIAKLHNLVYLDLSSNKIRSLPAELGNMVSLRELHLNNNLL
+>Q9ULM6_PF03372_194 <unknown description>
+YNVLCDKYATRQLYGYCPSWALNWDYRKKAIIQEILSCNADIVSLQEVETEQYYSFFLVE
+LKERGYNGFFSPKSRARTMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQLAMANSEGS
+EAMLNRVMTKDNIGVAVLLELRKESIEMPSGKPHLGTEKQLILVANAHMHWDPEYSDVKL
+VQTMMFLSEVKNIIDKASRNLKSSVLGEFGTIPLVLCADLNSLPDSGVVEYLSTGGVETN
+HKDFKELRYNESLTNFSCHGKNGTTNGRITHGFKLQSAYESGLMPYTNYTFDFKGIIDYI
+FYSKPQLNTLGILGPLDHHWLVENNISGCPHPLIPSDH
+>Q9UIV1_PF04857_17 <unknown description>
+ACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADYQYQLLRCNVDLLKIIQ
+LGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSGIQFKKHEEEGIE
+>Q9UIV1_PF04857_152 <unknown description>
+KWLSFHSGYDFGYLIKILTNSNLPEEELDFFEILRLFFPVIYDVKYLMKSCKNLKGGLQE
+VAEQLELERIGPQHQAGSDSLLTGMAF
+>Q9UFF9_PF04857_15 <unknown description>
+VWASNLEEEMRKIREIVLSYSYIAMDTEFPGVVVRPIGEFRSSIDYQYQLLRCNVDLLKI
+IQLGLTFTNEKGEYPSGINTWQFNFKFNLTEDMYSQDSIDLLANSGLQFQKHEEEGID
+>Q9UFF9_PF04857_147 <unknown description>
+LCDNVKWLSFHSGYDFGYMVKLLTDSRLPEEEHEFFHILNLFFPSIYDVKYLMKSCKNLK
+GGLQEVADQLDLQRIGRQHQAGSDSLLTGMAF
+>Q92600_PF04078_25 <unknown description>
+WINELSSPETRENALLELSKKRESVPDLAPMLWHSFGTIAALLQEIVNIYPSINPPTLTA
+HQSNRVCNALALLQCVASHPETRSAFLAAHIPLFLYPFLHTVSKTRPFEYLRLTSLGVIG
+ALVKTDEQEVINFLLTTEIIPLCLRIMESGSELSKTVATFILQKILLDDTGLAYICQTYE
+RFSHVAMILGKMVLQLSKEPSARLLKHVVRCYLRLSDNPRAREALRQCLPDQLKDTTFAQ
+VLKDDTTTKRWLAQLVKNL
+>Q9BV87_PF08613_80 <unknown description>
+KYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSSDLFLISMMVASKYLYDEGE
+EEEVFNDEWGAAGGVAVPTLNALERGFLSAMDWH
+>Q9Y2B0_PF11938_27 <unknown description>
+HCGACRALVDELEWEIAQVDPKKTIQMGSFRINPDGSQSVVEVPYARSEAHLTELLEEIC
+DRMKEYGEQIDPSTHRKNYVRVVGRNGESSELDLQGIRIDSDISGTLKFACESIVEEYED
+ELIEFFSREADNVKDKLCSKRTDLC
+>Q9BT09_PF11938_48 <unknown description>
+KCEVCKYVAVELKSAFEETGKTKEVIGTGYGILDQKASGVKYTKSDLRLIEVTETICKRL
+LDYSLHKERTGSNRFAKGMSETFETLHNLVHKGVKVVMDIPYELWNETSAEVADLKKQCD
+VLVEEFEEVIEDWYRNHQEEDLTEFLCANHVLKGKD
+>Q8N129_PF11938_37 <unknown description>
+KCEVCKLLSTELQAELSRTGRSREVLELGQVLDTGKRKRHVPYSVSETRLEEALENLCER
+ILDYSVHAERKGSLRYAKGQSQTMATLKGLVQKGVKVDLGIPLELWDEPSVEVTYLKKQC
+ETMLEEFEDIVGDWYFHHQEQPLQNFLCEGHVLPAAE
+>P21554_PF00001_133 <unknown description>
+ENLLVLCVILHSRSLRCRPSYHFIGSLAVADLLGSVIFVYSFIDFHVFHRKDSRNVFLFK
+LGGVTASFTASVGSLFLTAIDRYISIHRPLAYKRIVTRPKAVVAFCLMWTIAIVIAVLPL
+LGWNCEKLQSVCSDIFPHIDETYLMFWIGVTSVLLLFIVYAYMYILWKAHSHAVRMIQRG
+TQKSIIIHTSEDGKVQVTRPDQARMDIRLAKTLVLILVVLIICWGPLLAIMVYDVFGKMN
+KLIKTVFAFCSMLCLLNSTVNPIIY
+>P34972_PF00001_50 <unknown description>
+ENVAVLYLILSSHQLRRKPSYLFIGSLAGADFLASVVFACSFVNFHVFHGVDSKAVFLLK
+IGSVTMTFTASVGSLLLTAIDRYLCLRYPPSYKALLTRGRALVTLGIMWVLSALVSYLPL
+MGWTCCPRPCSELFPLIPNDYLLSWLLFIAFLFSGIIYTYGHVLWKAHQHVASLSGHQDR
+QVPGMARMRLDVRLAKTLGLVLAVLLICWFPVLALMAHSLATTLSDQVKKAFAFCSMLCL
+INSMVNPVIY
+>P18545_PF04868_13 <unknown description>
+ATRVAGGPVTPRKGPPKFKQRQTRQFKSKPPKKGVQGFGDDIPGMEGLGTDITVICPWEA
+FNHLELHELAQYGII
+>Q96F85_PF15043_6 <unknown description>
+GLVRLSIALRIQPNDGPVFYKVDGQRFGQNRTIKLLTGSSYKVEVKIKPSTLQVENISIG
+GVLVPLELKSKEPDGDRVVYTGTYDTEGVTPTKSGERQPIQITMPFTDIGTFETVWQVKF
+YNYHKRDHCQWGSPFSVIEYECKPNETRSLMWVNKESF
+>Q6PJW8_PF15281_612 <unknown description>
+EVVPTEGLVSILKKRNDTVGDHPAQMQHKPSKRRVRFQEIDDSLDQDEVGGGSCILLVLL
+CIATVFLSVGGTALYCTFGDMESPVCTDFADNMDFYYTKLLQGVAELKHWI
+>Q96NU0_PF00754_46 <unknown description>
+SSSELSSSHGPGFSRLNRRDGAGGWTPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDW
+VTSYLLMFSDGGRNWKQYRREESIWGFPGNTNADSVVHYRLQPPFEARFLRFLPLAWNPR
+GRIGMRIEV
+>Q96NU0_PF02210_212 <unknown description>
+FKAMQSNGILLHREGQHGNHITLELIKGKLVFFLNSGNAKLPSTIAPVTLTLGSLLDDQH
+WHSVLIELLDTQVNFTVDKHTHHFQAKGDSSNLDLNFEISFGGILSPGRSRAFTRKSFHG
+CLENLYYNG
+>Q96NU0_PF02210_398 <unknown description>
+FRTWNRAGHLLFGELQRGSGSFVLFLKDGKLKLSLFQAGQSPRNVTAGAGLNDGQWHSVS
+FSAKWSHMNVVVDDDTAVQPLVAVLIDSGDTYYFGGCLGNSSGSGCKSPLGGFQGCLRLI
+TIGD
+>Q96NU0_PF02210_821 <unknown description>
+FKTTVSSGVFMENLGITDFIRIELRAPTEVTFSFDVGNGPCEVTVQSPTPFNDNQWHHVR
+AERNVKGASLQVDQLPQKMQPAPADGHVRLQLNSQLFIGGTATRQRGFLGCIRSLQLNG
+>Q96NU0_PF02210_1046 <unknown description>
+FRTTRTPSLLLYVSSFYEEYLSVILANNGSLQIRYKLDRHQNPDAFTFDFKNMADGQLHQ
+VKINREEAVVMVEVNQSAKKQVILSSGTEFNAVKSLILGKVLEAAGADPDTRRAATSGFT
+GCLSAVRFG
+>P26992_PF00041_205 <unknown description>
+DPPENVVARPVPSNPRRLEVTWQTPSTWPDPESFPLKFFLRYRPLILDQWQHVELSDGTA
+HTITDAYAGKEYIIQVAAKDNEIGTWS
+>P26441_PF01110_1 <unknown description>
+MAFTEHSPLTPHRRDLCSRSIWLARKIRSDLTALTESYVKHQGLNKNINLDSADGMPVAS
+TDQWSELTEAERLQENLQAYRTFHVLLARLLEDQQVHFTPTEGDFHQAIHTLLLQVAAFA
+YQIEELMILLEYKIPRNEADGMPINVGDGGLFEKKLWGLKVLQELSQWTVRSIHDLRFIS
+SHQTGIPARGSHY
+>Q12860_PF13927_50 <unknown description>
+TIYPEESLEGKVSLNCRARASPFPVYKWRMNNGDVDLTSDRYSMVGGNLVINNPDKQKDA
+GIYYCLASN
+>Q12860_PF07679_244 <unknown description>
+IVVQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNIQLE
+DEGIYECEAENIRGKDKHQARI
+>Q12860_PF13927_331 <unknown description>
+PEWVEHINDTEVDIGSDLYWPCVATGKPIPTIRWLKNGYAYHKGELRLYDVTFENAGMYQ
+CIAEN
+>Q12860_PF07679_422 <unknown description>
+KKILAAKGGRVIIECKPKAAPKPKFSWSKGTEWLVNSSRILIWEDGSLEINNITRNDGGI
+YTCFAENNRGKANSTGTLV
+>Q12860_PF13927_507 <unknown description>
+IILAPINADITVGENATMQCAASFDPALDLTFVWSFNGYVIDFNKENIHYQRNFMLDSNG
+ELLIRNAQLKHAGRYTCTAQ
+>Q12860_PF00041_606 <unknown description>
+PPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPIIEGNMEA
+ARAVDLIPWMEYEFRVVATNTLGRGEPS
+>Q12860_PF00041_811 <unknown description>
+APTEVGVKVLSSSEISVHWEHVLEKIVESYQIRYWAAHDKEEAANRVQVTSQEYSARLEN
+LLPDTQYFIEVGACNSAGCGPPS
+>Q02246_PF13927_52 <unknown description>
+STEEQVLLACRARASPPATYRWKMNGTEMKLEPGSRHQLVGGNLVIMNPTKAQDAGVYQC
+LASN
+>Q02246_PF13927_239 <unknown description>
+PSIKARFPAETYALVGQQVTLECFAFGNPVPRIKWRKVDGSLSPQWTTAEPTLQIPSVSF
+EDEGTYECEAEN
+>Q02246_PF07679_330 <unknown description>
+LKVISDTEADIGSNLRWGCAAAGKPRPTVRWLRNGEPLASQNRVEVLAGDLRFSKLSLED
+SGMYQCVAENKHGTIYASAELAV
+>Q02246_PF07679_431 <unknown description>
+ARGGEILIPCQPRAAPKAVVLWSKGTEILVNSSRVTVTPDGTLIIRNISRSDEGKYTCFA
+ENFMGKANSTGILSV
+>Q02246_PF13927_510 <unknown description>
+KITLAPSSADINLGDNLTLQCHASHDPTMDLTFTWTLDDFPIDFDKPGGHYRRTNVKETI
+GDLTILNAQLRHGGKYTCMAQ
+>Q02246_PF00041_610 <unknown description>
+PPGGVVVRDIGDTTIQLSWSRGFDNHSPIAKYTLQARTPPAGKWKQVRTNPANIEGNAET
+AQVLGLTPWMDYEFRVIASNILGTGEPS
+>Q02246_PF00041_714 <unknown description>
+PSGLSGGGGAPGELIVNWTPMSREYQNGDGFGYLLSFRRQGSTHWQTARVPGADAQYFVY
+SNESVRPYTPFEVKIRSYNRRGDGPES
+>Q02246_PF00041_815 <unknown description>
+APTKVWAKGVSSSEMNVTWEPVQQDMNGILLGYEIRYWKAGDKEAAADRVRTAGLDTSAR
+VSGLHPNTKYHVTVRAYNRAGTGPAS
+>Q9P232_PF13927_26 <unknown description>
+PVFIKEPSNSIFPVGSEDKKITLHCEARGNPSPHYRWQLNGSDIDMSMEHRYKLNGGNLV
+VINPNRNWDTGTYQCFATN
+>Q9P232_PF13927_130 <unknown description>
+STVSVREGQGVVLLCGPPPHSGELSYAWIFNEYPSFVEEDSRRFVSQETGHLYISKVEPS
+DVGNYTCVVT
+>Q9P232_PF13927_227 <unknown description>
+PKIEVQFPETLPAAKGSTVKLECFALGNPIPQINWRRSDGLPFSSKIKLRKFSGVLEIPN
+FQQEDAGSYECIAEN
+>Q9P232_PF07679_319 <unknown description>
+HWVQLIKDVEIAVEDSLYWECRASGKPKPSYRWLKNGAALVLEERTQIENGALTISNLSV
+TDSGMFQCIAENKHGLVYSSAELKV
+>Q9P232_PF07679_409 <unknown description>
+DFSKNPMKKLVQVQVGSLVSLDCKPRASPRALSSWKKGDVSVQEHERISLLNDGGLKIAN
+VTKADAGTYTCMAENQFGKANGTTHLVV
+>Q9P232_PF13927_501 <unknown description>
+RITLAPSNMDVSVGESVILPCQVQHDPLLDIIFTWYFNGALADFKKDGSHFEKVGGSSSG
+DLMIRNIQLKHSGKYVCMV
+>Q9P232_PF00041_600 <unknown description>
+PPENVKVDEITDTTAQLSWKEGKDNHSPVISYSIQARTPFSVGWQTVTTVPEVIDGKTHT
+ATVVELNPWVEYEFRVVASNKIGGGEPS
+>Q9P232_PF00041_704 <unknown description>
+PSEVNGGGGSRSELVITWDPVPEELQNGEGFGYVVAFRPLGVTTWIQTVVTSPDTPRYVF
+RNESIVPYSPYEVKVGVYNNKGEGPFS
+>Q9P232_PF00041_805 <unknown description>
+APSQVSANSLSSSEIEVSWNTIPWKLSNGHLLGYEVRYWNGGGKEESSSKMKVAGNETSA
+RLRGLKSNLAYYTAVRAYNSAGAGPFS
+>Q9P232_PF00041_906 <unknown description>
+PPGNVVWNATDTKVLLNWEQVKAMENESEVTGYKVFYRTSSQNNVQVLNTNKTSAELVLP
+IKEDYIIEVKATTDGGDGTSS
+>Q8IWV2_PF07679_41 <unknown description>
+SEEKKVKLNCEVKGNPKPHIRWKLNGTDVDTGMDFRYSVVEGSLLINNPNKTQDAGTYQC
+TATNSFGTIVSREAK
+>Q8IWV2_PF13927_129 <unknown description>
+RSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLYIAKVEKSD
+VGNYTCVVTN
+>Q8IWV2_PF13927_225 <unknown description>
+PKIEVQFPETVPTAKGATVKLECFALGNPVPTIIWRRADGKPIARKARRHKSNGILEIPN
+FQQEDAGLYECVAEN
+>Q8IWV2_PF07679_318 <unknown description>
+WIQKINDIHVAMEENVFWECKANGRPKPTYKWLKNGEPLLTRDRIQIEQGTLNITIVNLS
+DAGMYQCLAENKHGVIFSNAELSV
+>Q8IWV2_PF07679_409 <unknown description>
+SRTLLKRVTLVKVGGEVVIECKPKASPKPVYTWKKGRDILKENERITISEDGNLRIINVT
+KSDAGSYTCIATNHFGTASSTGNLVV
+>Q8IWV2_PF13927_500 <unknown description>
+VMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWSFNGHLIDFDRDGDHFERVGGQDSAG
+DLMIRNIQLKHAGKYVCMV
+>Q8IWV2_PF00041_599 <unknown description>
+PPEAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVSTVPELIDGKTFT
+ATVVGLNPWVEYEFRTVAANVIGIGEPS
+>Q8IWV2_PF00041_804 <unknown description>
+PPASIFARSLSATDIEVFWASPLEKNRGRIQGYEVKYWRHEDKEENARKIRTVGNQTSTK
+ITNLKGSVLYHLAVKAYNSAGTGPSS
+>O94779_PF13895_116 <unknown description>
+EKKVALNCEVRGNPVPSYRWLRNGTEIDLESDYRYSLIDGTFIISNPSEAKDSGHYQCLA
+TNTVGSILSREATLQ
+>O94779_PF07679_307 <unknown description>
+PFTVTAAKGTTVKMECFALGNPVPTITWMKVNGYIPSKARLRKSQAVLEIPNVQLDDAGI
+YECRAENSRGKNSFRGQLQV
+>O94779_PF07679_392 <unknown description>
+WVEKLNDTQLDSGSPLRWECKATGKPRPTYRWLKNGVPLSPQSRVEMVNGVLMIHNVNQS
+DAGMYQCLAENKYGAIYASAELKI
+>O94779_PF07679_486 <unknown description>
+QLKKTIIVTKDQEVVIECKPQGSPKPTISWKKGDRAVRENKRIAILPDGSLRILNASKSD
+EGKYVCRGENVFGSAEIIASLSV
+>O94779_PF07679_574 <unknown description>
+IELTPKRTELTVGESIVLNCKAIHDASLDVTFYWTLKGQPIDFEEEGGHFESIRAQASSA
+DLMIRNILLMHAGRYGCRVQTTADSVSDEAELLV
+>O94779_PF00041_673 <unknown description>
+PPGIVIVEEITESTATLSWSPAADNHSPISSYNLQARSPFSLGWQTVKTVPEIITGDMES
+AMAVDLNPWVEYEFRVVATNPIGTGDPS
+>O94779_PF00041_878 <unknown description>
+APTDVKATSVSVSEILVAWKHIKESLGRPQGFEVGYWKDMEQEDTAETVKTRGNESFVIL
+TGLEGNTLYHFTVRAYNGAGYGPPS
+>Q9UQ52_PF13927_26 <unknown description>
+PIFTQEPHDVIFPLDLSKSEVILNCAANGYPSPHYRWKQNGTDIDFTMSYHYRLDGGSLA
+INSPHTDQDIGMYQCLATN
+>Q9UQ52_PF13927_128 <unknown description>
+TRSTVSVREGQGVVLLCGPPPHFGDLSYAWTFNDNPLYVQEDNRRFVSQETGNLYIAKVE
+PSDVGNYTCFITN
+>Q9UQ52_PF13927_227 <unknown description>
+PKIEVRFPETIQAAKDSSVKLECFALGNPVPDISWRRLDGSPLPGKVKYSKSQAILEIPN
+FQQEDEGFYECIASN
+>Q9UQ52_PF07679_318 <unknown description>
+PEWEQKIQNTHLSIYDNLLWECKASGKPNPWYTWLKNGERLNPEERIQIENGTLIITMLN
+VSDSGVYQCAAENKYQIIYANAELRV
+>Q9UQ52_PF07679_410 <unknown description>
+FSKSPVKKKSFVQVGGDIVIGCKPNAFPRAAISWKRGTETLRQSKRIFLLEDGSLKIYNI
+TRSDAGSYTCIATNQFGTAKNTGSLIV
+>Q9UQ52_PF13927_501 <unknown description>
+VITVPPSKMDVTVGESIVLPCQVSHDPSIEVVFVWFFNGDVIDLKKGVAHFERIGGESVG
+DLMIRNIQLHHSGKYLCTV
+>Q9UQ52_PF00041_600 <unknown description>
+PPEDVQVEDISSTTSQLSWRAGPDNNSPIQIFTIQTRTPFSVGWQAVATVPEILNGKTYN
+ATVVGLSPWVEYEFRVVAGNSIGIGEPS
+>Q9UQ52_PF00041_805 <unknown description>
+APRGTSLQSFSASEMEVSWNAIAWNRNTGRVLGYEVLYWTDDSKESMIGKIRVSGNVTTK
+NITGLKANTIYFASVRAYNTAGTGPSS
+>P78357_PF00754_40 <unknown description>
+ASSYYSLLTAPRFARLHGISGWSPRIGDPNPWLQIDLMKKHRIRAVATQGSFNSWDWVTR
+YMLLYGDRVDSWTPFYQRGHNSTFFGNVNESAVVRHDLHFHFTARYIRIVPLAWNPRGKI
+GLRL
+>P78357_PF02210_203 <unknown description>
+FKTEEKDGLLLHAEGAQGDYVTLELEGAHLLLHMSLGSSPIQPRPGHTTVSAGGVLNDQH
+WHYVRVDRFGRDVNFTLDGYVQRFILNGDFERLNLDTEMFIGGLVGAARKNLAYRHNFRG
+CIENVIFNR
+>P78357_PF02210_389 <unknown description>
+FRTWDLTGLLLFSRLGDGLGHVELTLSEGQVNVSIAQSGRKKLQFAAGYRLNDGFWHEVN
+FVAQENHAVISIDDVEGAEVRVSYPLLIRTGTSYFFGGCPKPASRWDCHSNQTAFHGCME
+LLKVDGQ
+>P78357_PF02210_813 <unknown description>
+FRTSAPSGVFLENMGGPYCQWRRPYVRVELNTSRDVVFAFDVGNGDENLTVHSDDFEFND
+DEWHLVRAEINVKQARLRVDHRPWVLRPMPLQTYIWMEYDQPLYVGSAELKRRPFVGCLR
+AMRLNG
+>P78357_PF02210_1088 <unknown description>
+FSTSSAPAVLLYVSSFVRDYMAVLIKDDGTLQLRYQLGTSPYVYQLTTRPVTDGQPHSIN
+ITRVYRNLFIQVDYFPLTEQKFSLLVDSQLDSPKALYLGRVMETGVIDPEIQRYNTPGFS
+GCLSGVRFNN
+>Q9UHC6_PF00754_49 <unknown description>
+SSSSSISGSYSPGYAKINKRGGAGGWSPSDSDHYQWLQVDFGNRKQISAIATQGRYSSSD
+WVTQYRMLYSDTGRNWKPYHQDGNIWAFPGNINSDGVVRHELQHPIIARYVRIVPLDWNG
+EGRIGLRIEV
+>Q9UHC6_PF02210_216 <unknown description>
+FKTSESEGVILHGEGQQGDYITLELKKAKLVLSLNLGSNQLGPIYGHTSVMTGSLLDDHH
+WHSVVIERQGRSINLTLDRSMQHFRTNGEFDYLDLDYEITFGGIPFSGKPSSSSRKNFKG
+CMESINYNG
+>Q9UHC6_PF02210_401 <unknown description>
+FRTWNPNGLLVFSHFADNLGNVEIDLTESKVGVHINITQTKMSQIDISSGSGLNDGQWHE
+VRFLAKENFAILTIDGDEASAVRTNSPLQVKTGEKYFFGGFLNQMNNSSHSVLQPSFQGC
+MQLIQVDDQ
+>Q9UHC6_PF02210_827 <unknown description>
+FKTLTPWGVFLENMGKEDFIKLELKSATEVSFSFDVGNGPVEIVVRSPTPLNDDQWHRVT
+AERNVKQASLQVDRLPQQIRKAPTEGHTRLELYSQLFVGGAGGQQGFLGCIRSLRMNG
+>Q9UHC6_PF02210_1055 <unknown description>
+FSTTKAPCILLYISSFTTDFLAVLVKPTGSLQIRYNLGGTREPYNIDVDHRNMANGQPHS
+VNITRHEKTIFLKLDHYPSVSYHLPSSSDTLFNSPKSLFLGKVIETGKIDQEIHKYNTPG
+FTGCLSRVQFNQ
+>Q9BZ76_PF00754_46 <unknown description>
+SSSELSSSHGPGFSRLNRRDGAGGWTPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDW
+VTSYLLMFSDGGRNWKQYRREESIWGFPGNTNADSVVHYRLQPPFEARFLRFLPLAWNPR
+GRIGMRIEV
+>Q9BZ76_PF02210_212 <unknown description>
+FKAMQSNGILLHREGQHGNHITLELIKGKLVFFLNSGNAKLPSTIAPVTLTLGSLLDDQH
+WHSVLIELLDTQVNFTVDKHTHHFQAKGDSSYLDLNFEISFGGIPTPGRSRAFRRKSFHG
+CLENLYYNG
+>Q9BZ76_PF02210_398 <unknown description>
+FRTWNRAGHLLFGELRRGSGSFVLFLKDGKLKLSLFQPGQSPRNVTAGAGLNDGQWHSVS
+FSAKWSHMNVVVDDDTAVQPLVAVLIDSGDTYYFGGCLDNSSGSGCKSPLGGFQGCLRLI
+TIGD
+>Q9BZ76_PF02210_821 <unknown description>
+FKTTVSSGVFMENLGITDFIRIELRAPTEVTFSFDVGNGPCEVTVQSPTPFNDNQWHHVR
+AERNVKGASLQVDQLPQKMQPAPADGHVRLQLNSQLFIGGTATRQRGFLGCIRSLQLNG
+>Q9BZ76_PF02210_1046 <unknown description>
+FRTTRTPSLLLYVSSFYEEYLSVILANNGSLQIRYKLDRHQNPDAFTFDFKNMADGQLHQ
+VKINREEAVVMVEVNQSTKKQVILSSGTEFNAVKSLILGKVLEAAGADPDTRRAATSGFT
+GCLSAVRFG
+>Q9C0A0_PF00754_45 <unknown description>
+SSSSELSSSHGPGFARLNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSN
+WVTSYLLMFSDSGWNWKQYRQEDSIWGFSGNANADSVVYYRLQPSIKARFLRFIPLEWNP
+KGRIGMRIEV
+>Q9C0A0_PF02210_212 <unknown description>
+FKTMQSDGILLHREGPNGDHITLQLRRARLFLLINSGEAKLPSTSTLVNLTLGSLLDDQH
+WHSVLIQRLGKQVNFTVDEHRHHFHARGEFNLMNLDYEISFGGIPAPGKSVSFPHRNFHG
+CLENLYYNG
+>Q9C0A0_PF02210_398 <unknown description>
+FRTWNKAGLLLFSELQLISGGILLFLSDGKLKSNLYQPGKLPSDITAGVELNDGQWHSVS
+LSAKKNHLSVAVDGQMASAAPLLGPEQIYSGGTYYFGGCPDKSFGSKCKSPLGGFQGCMR
+LISISGK
+>Q9C0A0_PF02210_821 <unknown description>
+FKTTASSGVFLENLGIADFIRIELRSPTVVTFSFDVGNGPFEISVQSPTHFNDNQWHHVR
+VERNMKEASLQVDQLTPKTQPAPADGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNG
+>Q9C0A0_PF02210_1046 <unknown description>
+FRTTRTPSLLLFVSSFYKEYLSVIIAKNGSLQIRYKLNKYQEPDVVNFDFKNMADGQLHH
+IMINREEGVVFIEIDDNRRRQVHLSSGTEFSAVKSLVLGRILEHSDVDQDTALAGAQGFT
+GCLSAVQLS
+>Q7Z7A1_PF14580_130 <unknown description>
+LNLSYNLIGKIEKLDKLLKLRELNLSYNKISKIEGIENMCNLQKLNLAGNEIEHIPVWLG
+KKLKSLRVLNLKGNKISSLQDISKLKPLQDLISLILVENPVVTLPHYLQFTIFHLRSLES
+LEGQPVTTQDRQEAFERFSLEEVERLERD
+>Q6ZRI6_PF17663_1 <unknown description>
+MAEKRPLRTLGPVMYGKLPRLETDSGLEHSLPHSVGNQDPCTYKGSYFSCPMAGTPKAES
+EQLASWTPYPPLYSTGMAGPPLQADNLLTNCLFYRSPAEGPEKMQDSSPVELLPFSPQAH
+SYPGPPLAAPKPVYRNPLCYGLSTCLGEGAVKRPLDVDWTLATGPLLPSADPPCSLAPAP
+SKGQTLDGTFLRGVPAEGSSKDSSGSFSPCQPFLEKYQTIHSTGFLASRYTGPYPRNSKQ
+AMSEGPSSPWTQLAQPLGPPCQDTGPTHYPPPHHPPPHPPQALPCPPACRHPEKQGSYSP
+ALPLQPLGGHKGTGYQAGGLGSPYLRQQAAQAPYIPPLGLDAYPYPSAPLPAPSPGLKLE
+PPLTPRCPLDFAPQTLSFPYARDDLSLYGASPGLGGTPPSQNNVRAVPQPGAFQRACQPL
+PASQPCSEPVRPAQEAEEKTWLPSCRKEKLQPRLSEHSGPPIVIRDSPVPCTPPALPPCA
+RECQSLPQKEGARPPSSPPMPVIDNVFSLAPYRDYLDVPAPEATTEPDSATAEPDSAPAT
+SEGQDKGCRGTLPAQEGPSGSKPLRGSLKEEVALDLSVRKPTAEASPVKASRSVEHAKPT
+AAMDVPDVGNMVSDLPGLKKIDTEAPGLPGVPVTTDAMPRTNFHSSVAFMFRKFKILRPA
+PLPAAVVPSTPTSAPAPTQPAPTPTSGPIGLRILAQQPLSVTCFSLALPSPPAVAVASPA
+PAPAPSPAPARAQAPASARDPAPAPAPVAGPAPASTSAPGDSLEQHFTGLHASLCDAISG
+SVAHSPPEKLREWLETAGPWGQAAWQDCQGVQGLLAKLLSQLQRFDRTHRCPFPHVVRAG
+AIFVPIHLVKERLFPRLPPASVDHVLQEHRVELRPTTLSEERALRELALPGCTSRMLKLL
+ALRQLPDIYPDLLGLQWRDCVRRQLGDFDTEAGAVSSSEPTVARGEPESLALAQKSPAPK
+VRKPGRKPPTPGPEKAEAAAGEESCGASPTPATSASPPGPTLKARFRSLLETAWLNGLAL
+PTWGHKSSRPDQPSPCPQLLDSQSHHL
+>Q8WUR7_PF02594_62 <unknown description>
+CVTIAIHAKPGSKQNAVTDLTAEAVNVAIAAPPSEGEANAELCRYLSKVLELRKSDVVLD
+KGGKSREKVVK
+>A6NNL5_PF15031_29 <unknown description>
+KPSASEVLTRHLLQRRLPHWTSFCVPYSAVRNDQFGLSHFNWPVQGANYHVLRTGCFPFI
+KYHCSKAPWQDLARQNRFFTALKVVNLGIPTLLYGLGSWLFARVTETVHTSYGPITVYFL
+NKEDE
+>P02452_PF00093_40 <unknown description>
+CVQNGLRYHDRDVWKPEPCRICVCDNGKVLCDDVICDETKNCPGAEVPEGECCPVC
+>P02452_PF01391_109 <unknown description>
+GVEGPKGDTGPRGPRGPAGPPGRDGIPGQPGLPGPPGPPGPPGPPGLGGN
+>P02452_PF01391_179 <unknown description>
+GPMGPSGPRGLPGPPGAPGPQGFQGPPGEPGEPGASGPMGPRGPPGPPGKNGDDGEAGK
+>P02452_PF01391_236 <unknown description>
+GKPGRPGERGPPGPQGARGLPGTAGLPGMKGHRGFSGLDGAKGDAGPAGPKGEPGSPGEN
+>P02452_PF01391_296 <unknown description>
+GAPGQMGPRGLPGERGRPGAPGPAGARGNDGATGAAGPPGPTGPAGPPGFPGAVGAKGE
+>P02452_PF01391_356 <unknown description>
+GPQGPRGSEGPQGVRGEPGPPGPAGAAGPAGNPGADGQPGAKGANGAPGIAGAPGFPGA
+>P02452_PF01391_416 <unknown description>
+GPSGPQGPGGPPGPKGNSGEPGAPGSKGDTGAKGEPGPVGVQGPPGPAGEEGKRGARGE
+>P02452_PF01391_476 <unknown description>
+GPTGLPGPPGERGGPGSRGFPGADGVAGPKGPAGERGSPGPAGPKGSPGEAGRPGEAG
+>P02452_PF01391_716 <unknown description>
+GAPGSQGAPGLQGMPGERGAAGLPGPKGDRGDAGPKGADGSPGKDGVRGLTGPIGPPGP
+>P02452_PF01391_779 <unknown description>
+GDKGESGPSGPAGPTGARGAPGDRGEPGPPGPAGFAGPPGADGQPGAKGEPGDAGAKGD
+>P02452_PF01391_839 <unknown description>
+GPPGPAGPAGPPGPIGNVGAPGAKGARGSAGPPGATGFPGAAGRVGPPGPSGNAGPPGP
+>P02452_PF01391_1079 <unknown description>
+GPVGARGPAGPQGPRGDKGETGEQGDRGIKGHRGFSGLQGPPGPPGSPGEQGPSGASGP
+>P02452_PF01391_1133 <unknown description>
+GASGPAGPRGPPGSAGAPGKDGLNGLPGPIGPPGPRGRTGDAGPVGPPGPPGPPGPPGP
+>P02452_PF01410_1228 <unknown description>
+DLEVDTTLKSLSQQIENIRSPEGSRKNPARTCRDLKMCHSDWKSGEYWIDPNQGCNLDAI
+KVFCNMETGETCVYPTQPSVAQKNWYISKNPKDKRHVWFGESMTDGFQFEYGGQGSDPAD
+VAIQLTFLRLMSTEASQNITYHCKNSVAYMDQQTGNLKKALLLQGSNEIEIRAEGNSRFT
+YSVTVDGCTSHTGAWGKTVIEYKTTKTSRLPIIDVAPLDVGAPDQEFGFDVGPVCF
+>P08123_PF01391_32 <unknown description>
+KGPAGDRGPRGERGPPGPPGRDGEDGPTGPPGPPGPPGPPGLGG
+>P08123_PF01391_91 <unknown description>
+GPMGLMGPRGPPGAAGAPGPQGFQGPAGEPGEPGQTGPAGARGPAGPPGKAGEDGHPGK
+>P08123_PF01391_148 <unknown description>
+GKPGRPGERGVVGPQGARGFPGTPGLPGFKGIRGHNGLDGLKGQPGAPGVKGEPGAPG
+>P08123_PF01391_469 <unknown description>
+GLPGIDGRPGPIGPAGARGEPGNIGFPGPKGPTGDPGKNGDKGHAGLAGARGAPGPDGN
+>P08123_PF01391_604 <unknown description>
+GPIGSRGPSGPPGPDGNKGEPGVVGAVGTAGPSGPSGLPGERGAAGIPGGKGEKGEPG
+>P08123_PF01391_1045 <unknown description>
+GSVGPAGPRGPAGPSGPAGKDGRTGHPGTVGPAGIRGPQGHQGPAGPPGPPGPPGPPG
+>P08123_PF01410_1132 <unknown description>
+DYEVDATLKSLNNQIETLLTPEGSRKNPARTCRDLRLSHPEWSSGYYWIDPNQGCTMDAI
+KVYCDFSTGETCIRAQPENIPAKNWYRSSKDKKHVWLGETINAGSQFEYNVEGVTSKEMA
+TQLAFMRLLANYASQNITYHCKNSIAYMDEETGNLKKAVILQGSNDVELVAEGNSRFTYT
+VLVDGCSKKTNEWGKTIIEYKTNKPSRLPFLDIAPLDIGGADQEFFVDIGPVCF
+>P02458_PF00093_34 <unknown description>
+CVQDGQRYNDKDVWKPEPCRICVCDTGTVLCDDIICEDVKDCLSPEIPFGECCPIC
+>P02458_PF01391_117 <unknown description>
+GPKGPPGPQGPAGEQGPRGDRGDKGEKGAPGPRGRDGEPGTPGNPGPPGPPGPPGPPG
+>P02458_PF01391_201 <unknown description>
+GPMGPMGPRGPPGPAGAPGPQGFQGNPGEPGEPGVSGPMGPRGPPGPPGKPGDDGEAGK
+>P02458_PF01391_243 <unknown description>
+GPPGPPGKPGDDGEAGKPGKAGERGPPGPQGARGFPGTPGLPGVKGHRGYPGLDGA
+>P02458_PF01391_438 <unknown description>
+GPPGPQGATGPLGPKGQTGEPGIAGFKGEQGPKGEPGPAGPQGAPGPAGEEGKRGARGE
+>P02458_PF01391_801 <unknown description>
+GEKGEVGPPGPAGSAGARGAPGERGETGPPGPAGFAGPPGADGQPGAKGEQGEAGQKGD
+>P02458_PF01391_1158 <unknown description>
+GPSGPRGPPGPVGPSGKDGANGIPGPIGPPGPRGRSGETGPAGPPGNPGPPGPPGPPGP
+>P02458_PF01410_1252 <unknown description>
+DAEVDATLKSLNNQIESIRSPEGSRKNPARTCRDLKLCHPEWKSGDYWIDPNQGCTLDAM
+KVFCNMETGETCVYPNPANVPKKNWWSSKSKEKKHIWFGETINGGFHFSYGDDNLAPNTA
+NVQMTFLRLLSTEGSQNITYHCKNSIAYLDEAAGNLKKALLIQGSNDVEIRAEGNSRFTY
+TALKDGCTKHTGKWGKTVIEYRSQKTSRLPIIDIAPMDIGGPEQEFGVDIGPVCF
+>P06681_PF00084_89 <unknown description>
+CPAPVSFENGIYTPRLGSYPVGGNVSFECEDGFILRGSPVRQCRPNGMWDGETAVC
+>P06681_PF00084_151 <unknown description>
+CPNPGISLGAVRTGFRFGHGDKVRYRCSSNLVLTGSSERECQGNGVWSGTEPIC
+>P06681_PF00092_254 <unknown description>
+NLYLLLDCSQSVSENDFLIFKESASLMVDRIFSFEINVSVAIITFASEPKVLMSVLNDNS
+RDMTEVISSLENANYKDHENGTGTNTYAALNSVYLMMNNQMRLLGMETMAWQEIRHAIIL
+LTDGKSNMGGSPKTAVDHIREILNINQKRNDYLDIYAIGVGKLDVDWRELNELGSKKDGE
+RHAFILQDTKALHQVFE
+>P06681_PF00089_473 <unknown description>
+SDQERTPWHVTIKPKSQETCRGALISDQWVLTAAHCFRDGNDHSLWRVNVGDPKSQWGKE
+FLIEKAVISPGFDVFAKKNQGILEFYGDDIALLKLAQKVKMSTHARPICLPCTMEANLAL
+RRPQGSTCRDHENELLNKQSVPAHFVALNGSKLNINLKMGVEWTSCAEVVSQEKTMFPNL
+TDVREVVTDQFLCSGTQEDESPCKGESGGAVFLERRFRFFQVGLVSWGLYN
+>P02461_PF00093_32 <unknown description>
+CSHLGQSYADRDVWKPEPCQICVCDSGSVLCDDIICDDQELDCPNPEIPFGECCAVC
+>P02461_PF01391_171 <unknown description>
+GYPGPAGPPGPPGPPGTSGHPGSPGSPGYQGPPGEPGQAGPSGPPGPPGAIGPSGP
+>P02461_PF01391_294 <unknown description>
+GAPGPMGPRGAPGERGRPGLPGAAGARGNDGARGSDGQPGPPGPPGTAGFPGSPGAKGE
+>P02461_PF01391_354 <unknown description>
+GPAGSPGSNGAPGQRGEPGPQGHAGAQGPPGPPGINGSPGGKGEMGPAGIPGAPGLMGA
+>P02461_PF01391_474 <unknown description>
+GANGLPGAAGERGAPGFRGPAGPNGIPGEKGPAGERGAPGPAGPRGAAGEPGRDGVPGG
+>P02461_PF01391_534 <unknown description>
+GMRGMPGSPGGPGSDGKPGPPGSQGESGRPGPPGPSGPRGQPGVMGFPGPKGNDGAPGK
+>P02461_PF01391_959 <unknown description>
+AGPPGMPGPRGSPGPQGVKGESGKPGANGLSGERGPPGPQGLPGLAGTAGEPGRDGN
+>P02461_PF01391_1077 <unknown description>
+GPAGSRGAPGPQGPRGDKGETGERGAAGIKGHRGFPGNPGAPGSPGPAGQQGAIGSPGP
+>P02461_PF01391_1137 <unknown description>
+GPRGPVGPSGPPGKDGTSGHPGPIGPPGPRGNRGERGSEGSPGHPGQPGPPGPPGAPGP
+>P02461_PF01410_1232 <unknown description>
+DEIMTSLKSVNGQIESLISPDGSRKNPARNCRDLKFCHPELKSGEYWVDPNQGCKLDAIK
+VFCNMETGETCISANPLNVPRKHWWTDSSAEKKHVWFGESMDGGFQFSYGNPELPEDVLD
+VHLAFLRLLSSRASQNITYHCKNSIAYMDQASGNVKKALKLMGSNEGEFKAEGNSKFTYT
+VLEDGCTKHTGEWSKTVFEYRTRKAVRLPIVDIAPYDIGGPDQEFGVDVGPVCF
+>P01024_PF17790_24 <unknown description>
+PMYSIITPNILRLESEETMVLEAHDAQGDVPVTVTVHDFPGKKLVLSSEKTVLTPATNHM
+GNVTFTIPANREFKSEKGRNKFVTVQATFGTQVVEKVVLVS
+>P01024_PF01835_130 <unknown description>
+LFIQTDKTIYTPGSTVLYRIFTVNHKLLPVGRTVMVNIENPEGIPVKQDSLSSQNQLGVL
+PLSWDIPELVNMGQWKIRAYYENSPQQVFSTEFEV
+>P01024_PF17791_226 <unknown description>
+EYVLPSFEVIVEPTEKFYYIYNEKGLEVTITARFLYGKKVEGTAFVIFGIQDGEQRISLP
+ESLKRIPIEDGSGEVVLSR
+>P01024_PF17789_355 <unknown description>
+IHFTKTPKYFKPGMPFDLMVFVTNPDGSPAYRVPVAVQGEDTVQSLTQGDGVAKLSINTH
+PSQKPLSITVRTKKQELSEAEQATRTMQALPY
+>P01024_PF07703_456 <unknown description>
+LHLSVLRTELRPGETLNVNFLLRMDRAHEAKIRYYTYLIMNKGRLLKAGRQVREPGQDLV
+VLPLSITTDFIPSFRLVAYYTLIGASGQREVVADSVWVDVKDSCVGSLVVKSGQSEDRQP
+VPGQQMTLKIEGDHGARVVLVAVDKGVFVL
+>P01024_PF01821_693 <unknown description>
+CCEDGMRENPMRFSCQRRTRFISLGEACKKVFLDCC
+>P01024_PF00207_770 <unknown description>
+SWLWNVEDLKEPPKNGISTKLMNIFLKDSITTWEILAVSMSDKKGICVADPFEVTVMQDF
+FIDLRLPYSVVRNEQVEIRAVLYNYRQNQELKVRVEL
+>P01024_PF07678_996 <unknown description>
+DAERLKHLIVTPSGCGEQNMIGMTPTVIAVHYLDETEQWEKFGLEKRQGALELIKKGYTQ
+QLAFRQPSSAFAAFVKRAPSTWLTAYVVKVFSLAVNLIAIDSQVLCGAVKWLILEKQKPD
+GVFQEDAPVIHQEMIGGLRNNNEKDMALTAFVLISLQEAKDICEEQVNSLPGSITKAGDF
+LEANYMNLQRSYTVAIAGYALAQMGRLKGPLLNKFLTTAKDKNRWEDPGKQLYNVEATSY
+ALLALLQLKDFDFVPPVVRWLNEQRYYGGGYGSTQATFMVFQALAQY
+>P01024_PF07677_1397 <unknown description>
+DATMSILDISMMTGFAPDTDDLKQLANGVDRYISKYELDKAFSDRNTLIIYLDKVSHSED
+DCLAFKVHQYFNVELIQPGAVKVYAYYNLEESCTRFYH
+>P01024_PF01759_1534 <unknown description>
+DKACEPGVDYVYKTRLVKVQLSNDFDEYIMAIEQTIKSGSDEVQVGQQRTFISPIKCREA
+LKLEEKKHYLMWGLSSDFWGEKPNLSYIIGKDTWVEHWPEEDECQDEENQK
+>P02462_PF01391_46 <unknown description>
+GQKGERGLPGLQGVIGFPGMQGPEGPQGPPGQKGDTGEPGLPGTKGTRGPPGASGYPG
+>P02462_PF01391_64 <unknown description>
+GMQGPEGPQGPPGQKGDTGEPGLPGTKGTRGPPGASGYPGNPGLPGIPGQDGPPGP
+>P02462_PF01391_103 <unknown description>
+GNPGLPGIPGQDGPPGPPGIPGCNGTKGERGPLGPPGLPGFAGNPGPPGLPGMKGDPG
+>P02462_PF01391_172 <unknown description>
+KGERGFPGIPGTPGPPGLPGLQGPVGPPGFTGPPGPPGPPGPPGEKGQMG
+>P02462_PF01391_275 <unknown description>
+GEKGEPGKPGPRGKPGKDGDKGEKGSPGFPGEPGYPGLIGRQGPQGEKGEAGPPGPP
+>P02462_PF01391_474 <unknown description>
+GYRGPPGPQGPPGEIGFPGQPGAKGDRGLPGRDGVAGVPGPQGTPGLIGQPGAKGEPGE
+>P02462_PF01391_541 <unknown description>
+GDKGDPGFPGQPGMPGRAGSPGRDGHPGLPGPKGSPGSVGLKGERGPPGG
+>P02462_PF01391_645 <unknown description>
+PGPPGAEGLPGSPGFPGPQGDRGFPGTPGRPGLPGEKGAVGQPG
+>P02462_PF01391_690 <unknown description>
+GFPGPPGPKGVDGLPGDMGPPGTPGRPGFNGLPGNPGVQGQKGEPG
+>P02462_PF01391_743 <unknown description>
+GLPGLPGIPGTPGEKGSIGVPGVPGEHGAIGPPGLQGIRGEPGPPGLPGSVGSP
+>P02462_PF01391_839 <unknown description>
+PGPKGDKGAQGLPGITGQSGLPGLPGQQGAPGIPGFPGSKGEMGVMGTPGQPGSPGP
+>P02462_PF01391_885 <unknown description>
+GTPGQPGSPGPVGAPGLPGEKGDHGFPGSSGPRGDPGLKGDKGDVGLPGKPGS
+>P02462_PF01391_948 <unknown description>
+GQKGDQGEKGQIGPIGEKGSRGDPGTPGVPGKDGQAGQPGQPGPKGDPGISGTPGAPG
+>P02462_PF01391_999 <unknown description>
+GTPGAPGLPGPKGSVGGMGLPGTPGEKGVPGIPGPQGSPGLPGDKGAKGEKGQAGPPG
+>P02462_PF01391_1058 <unknown description>
+GIPGLRGEKGDQGIAGFPGSPGEKGEKGSIGIPGMPGSPGLKGSPGSVGYPGSPGLPGE
+>P02462_PF01391_1384 <unknown description>
+GVTGLVGIPGPPGIPGFDGAPGQKGEMGPAGPTGPRGFPGPPGPDGLPGSMGPPGTP
+>P02462_PF01413_1447 <unknown description>
+LVTRHSQTIDDPQCPSGTKILYHGYSLLYVQGNERAHGQDLGTAGSCLRKFSTMPFLFCN
+INNVCNFASRNDYSYWLSTPEPMPMSMAPITGENIRPFISRCAVCE
+>P02462_PF01413_1556 <unknown description>
+MVMAVHSQTIQIPPCPSGWSSLWIGYSFVMHTSAGAEGSGQALASPGSCLEEFRSAPFIE
+CHGRGTCNYYANAYSFWLATIERSEMFKKPTPSTLKAGELRTHVSRCQVCM
+>P08572_PF01391_61 <unknown description>
+GQPGPVGPQGYNGPPGLQGFPGLQGRKGDKGERGAPGVTGPKGDVGARGVSGFPGA
+>P08572_PF01391_115 <unknown description>
+GADGIPGHPGQGGPRGRPGYDGCNGTQGDSGPQGPPGSEGFTGPPGPQGPKGQKGE
+>P08572_PF01391_184 <unknown description>
+GEPGEPGLVGFQGPPGRPGHVGQMGPVGAPGRPGPPGPPGPKGQQGNRG
+>P08572_PF01391_293 <unknown description>
+GEEGIMGFPGLRGYPGLSGEKGSPGQKGSRGLDGYQGPDGPRGPKGEAGDPGPPG
+>P08572_PF01391_423 <unknown description>
+GPPGPDGKRGPPGPPGLPGPPGPDGFLFGLKGAKGRAGFPGLPGSPGARGPKGWKGDAGE
+>P08572_PF01391_494 <unknown description>
+GLPGLPGPKGFAGINGEPGRKGDRGDPGQHGLPGFPGLKGVPGNIGAPGPKGAKGD
+>P08572_PF01391_684 <unknown description>
+GPKGLPGLPGPPGPTGAKGLRGIPGFAGADGGPGPRGLPGDAGREGFPGPPGFIGP
+>P08572_PF01391_720 <unknown description>
+GLPGDAGREGFPGPPGFIGPRGSKGAVGLPGPDGSPGPIGLPGPDGPPGERGLPGE
+>P08572_PF01391_780 <unknown description>
+QPGPRGDAGVPGQPGLKGLPGDRGPPGFRGSQGMPGMPGLKGQPGLPGPSGQPGLYGP
+>P08572_PF01391_821 <unknown description>
+GQPGLPGPSGQPGLYGPPGLHGFPGAPGQEGPLGLPGIPGREGLPGDRGDPGDTGAP
+>P08572_PF01391_869 <unknown description>
+GDPGDTGAPGPVGMKGLSGDRGDAGFTGEQGHPGSPGFKGIDGMPGTPGLKGDRGSPG
+>P08572_PF01391_920 <unknown description>
+GDRGSPGMDGFQGMPGLKGRPGFPGSKGEAGFFGIPGLKGLAGEPGFKGSRGDPGPPGP
+>P08572_PF01391_1033 <unknown description>
+KGVKGDIGVPGIPGLPGFPGVAGPPGITGFPGFIGSRGDKGAPGRAGLYGEIGATG
+>P08572_PF01391_1101 <unknown description>
+GRPGLKGERGTTGIPGLKGFFGEKGTEGDIGFPGITGVTGVQGPPGLKGQTGFPG
+>P08572_PF01391_1155 <unknown description>
+GLTGPPGSQGELGRIGLPGGKGDDGWPGAPGLPGFPGLRGIRGLHGLPGTKGFPGSPG
+>P08572_PF01391_1278 <unknown description>
+GAPGDKGAPGIFGLKGYRGPPGPPGSAALPGSKGDTGNPGAPGTPGTKGWAGDSGPQGR
+>P08572_PF01391_1332 <unknown description>
+GPQGRPGVFGLPGEKGPRGEQGFMGNTGPTGAVGDRGPKGPKGDPGFPGAPGTVGAPG
+>P08572_PF01413_1491 <unknown description>
+LLVKHSQTDQEPMCPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTMPFLYCN
+PGDVCYYASRNDKSYWLSTTAPLPMMPVAEDEIKPYISRCSVCE
+>P08572_PF01413_1599 <unknown description>
+AIAVHSQDVSIPHCPAGWRSLWIGYSFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFIEC
+NGGRGTCHYYANKYSFWLTTIPEQSFQGSPSADTLKAGLIRTHISRCQVCMK
+>Q01955_PF01391_46 <unknown description>
+GEKGEKGFPGPPGSPGQKGFTGPEGLPGPQGPKGFPGLPGLTGSKGVRGISGLPGF
+>Q01955_PF01391_103 <unknown description>
+GSPGLPGTPGNTGPYGLVGVPGCSGSKGEQGFPGLPGTLGYPGIPGAAGLKGQKG
+>Q01955_PF01391_171 <unknown description>
+GDPGLPGAPGPQGLPGPPGFPGPVGPPGPPGFFGFPGAMGPRGPKGHMGE
+>Q01955_PF01391_287 <unknown description>
+KGAPGDPGLQGKPGKDGVPGFPGSEGVKGNRGFPGLMGEDGIKGQKGDIGPPG
+>Q01955_PF01391_353 <unknown description>
+KGDEGTPGPPGPRGARGPQGPSGPPGVPGSPGSSRPGLRGAPGWPGLKGSKGERGRPGK
+>Q01955_PF01391_389 <unknown description>
+GLRGAPGWPGLKGSKGERGRPGKDAMGTPGSPGCAGSPGLPGSPGPPGPPG
+>Q01955_PF01391_415 <unknown description>
+GTPGSPGCAGSPGLPGSPGPPGPPGDIVFRKGPPGDHGLPGYLGSPGIPGVDGPKGEPG
+>Q01955_PF01391_484 <unknown description>
+GPPGLPGLPGLHGVKGIPGRQGAAGLKGSPGSPGNTGLPGFPGFPGAQGDPGLKGEKGE
+>Q01955_PF01391_590 <unknown description>
+GEKGDQGPPGDPGSPGSPGPAGPAGPPGYGPQGEPGLQGTQGVPGAPGPPGEAGPRGE
+>Q01955_PF01391_700 <unknown description>
+GFPGPPGPKGDQGFPGTKGSLGCPGKMGEPGLPGKPGLPGAKGEP
+>Q01955_PF01391_750 <unknown description>
+GGPGTPGFPGERGNSGEHGEIGLPGLPGLPGTPGNEGLDGPRGDPGQPGPPGEQGPPG
+>Q01955_PF01391_789 <unknown description>
+GPRGDPGQPGPPGEQGPPGRCIEGPRGAQGLPGLNGLKGQQGRRGKTGPKGDPGIPG
+>Q01955_PF01391_849 <unknown description>
+SGFPGETGSPGIPGHQGEMGPLGQRGYPGNPGILGPPGEDGVIGMMGFPGAIGPPGP
+>Q01955_PF01391_892 <unknown description>
+GMMGFPGAIGPPGPPGNPGTPGQRGSPGIPGVKGQRGTPGAKGEQGDKGNPGP
+>Q01955_PF01391_952 <unknown description>
+GDKGEPGLKGFAGNPGEKGNRGVPGMPGLKGLKGLPGPAGPPGPRGDLGSTGNPGEP
+>Q01955_PF01391_998 <unknown description>
+DLGSTGNPGEPGLRGIPGSMGNMGMPGSKGKRGTLGFPGRAGRPGLPGIHGLQGDKGEP
+>Q01955_PF01391_1064 <unknown description>
+PGPPGPTGDPGLPGDMGKKGEMGQPGPPGHLGPAGPEGAPGSPGSPGLPGKPGPHG
+>Q01955_PF01391_1119 <unknown description>
+GDLGFKGIKGLLGPPGIRGPPGLPGFPGSPGPMGIRGDQGRDGIPGPAGEKGETG
+>Q01955_PF01391_1178 <unknown description>
+PPGPRGNPGAQGAKGDRGAPGFPGLPGRKGAMGDAGPRGPTGIEGFPGPPGLPGA
+>Q01955_PF01391_1292 <unknown description>
+GRLGAPGTPGLPGPRGDPGFQGFPGVKGEKGNPGFLGSIGPPGPIGPKGPPGVRGDPG
+>Q01955_PF01391_1379 <unknown description>
+GPPGNLGPCGPRGKPGKDGKPGTPGPAGEKGNKGSKGEPGPAGSDGLPGLKGKRGDSGS
+>Q01955_PF01413_1447 <unknown description>
+VFTRHSQTTAIPSCPEGTVPLYSGFSFLFVQGNQRAHGQDLGTLGSCLQRFTTMPFLFCN
+VNDVCNFASRNDYSYWLSTPALMPMNMAPITGRALEPYISRCTVCE
+>Q01955_PF01413_1557 <unknown description>
+AIAVHSQTTDIPPCPHGWISLWKGFSFIMFTSAGSEGTGQALASPGSCLEEFRASPFLEC
+HGRGTCNYYSNSYSFWLASLNPERMFRKPIPSTVKAGELEKIISRCQVCMK
+>P53420_PF01391_62 <unknown description>
+GSRGPPGPPGPQGPIGPLGAPGPIGLSGEKGMRGDRGPPGAAGDKGDKGPTGVPGFPG
+>P53420_PF01391_119 <unknown description>
+GLDGIPGHPGPPGPRGKPGMSGHNGSRGDPGFPGGRGALGPGGPLGHPGEKGEKGN
+>P53420_PF01391_183 <unknown description>
+KGIQGDRGDPGLPGLPGSWGAGGPAGPTGYPGEPGLVGPPGQPGRPGLKGNPG
+>P53420_PF01391_296 <unknown description>
+GAKGEKGIPGFPGPRGDPGSYGSPGFPGLKGELGLVGDPGLFGLIGPKGDPGNRGHPGP
+>P53420_PF01391_367 <unknown description>
+GPPGDPGFPGRYGETGDVGPPGPPGLLGRPGEACAGMIGPPGPQGFPGLPGLPGEAGIPG
+R
+>P53420_PF01391_402 <unknown description>
+GMIGPPGPQGFPGLPGLPGEAGIPGRPDSAPGKPGKPGSPGLPGAPGLQGLPGS
+>P53420_PF01391_500 <unknown description>
+GPPGPPGLPGRQGSKGDLGLPGWLGTKGDPGPPGAEGPPGLPGKHGASGPPGNKGAKG
+>P53420_PF01391_906 <unknown description>
+GPRGLPGFPGFPGERGKPGAEGCPGAKGEPGEKGMSGLPGDRGLRGAKGAIGPPGDEGE
+>P53420_PF01391_971 <unknown description>
+KGTPGEPGPPGDDGFPGERGDKGTPGMQGRRGEPGRYGPPGFHRGEPGEKGQPGPPGP
+>P53420_PF01391_1015 <unknown description>
+GEPGEKGQPGPPGPPGPPGSTGLRGFIGFPGLPGDQGEPGSPGPPGFSGIDGARGPKGN
+>P53420_PF01391_1082 <unknown description>
+GPPGPKGEPGSPGCPGHFGASGEQGLPGIQGPRGSPGRPGPPGSSGPPGCPGDHGMPG
+>P53420_PF01391_1133 <unknown description>
+GDHGMPGLRGQPGEMGDPGPRGLQGDPGIPGPPGIKGPSGSPGLNGLHGLKGQKGTKGA
+>P53420_PF01391_1198 <unknown description>
+GPPGPVGIPGLKGERGDPGSPGISPPGPRGKKGPPGPPGSSGPPGPAGATGR
+>P53420_PF01391_1313 <unknown description>
+GFPGCDGKDGQKGPVGFPGPQGPHGFPGPPGEKGLPGPPGRKGPTGLPGPRGEPGPPA
+>P53420_PF01413_1467 <unknown description>
+LLVLHSQTDQEPTCPLGMPRLWTGYSLLYLEGQEKAHNQDLGLAGSCLPVFSTLPFAYCN
+IHQVCHYAQRNDRSYWLASAAPLPMMPLSEEAIRPYVSRCAVCE
+>P53420_PF01413_1575 <unknown description>
+AVAVHSQDQSIPPCPQTWRSLWIGYSFLMHTGAGDQGGGQALMSPGSCLEDFRAAPFLEC
+QGRQGTCHFFANKYSFWLTTVKADLQFSSAPAPDTLKESQAQRQKISRCQVCV
+>P29400_PF01391_57 <unknown description>
+GHPGLPGFPGPEGPPGPRGQKGDDGIPGPPGPKGIRGPPGLPGFPGTPGLPGMPGHDGA
+>P29400_PF01391_99 <unknown description>
+GFPGTPGLPGMPGHDGAPGPQGIPGCNGTKGERGFPGSPGFPGLQGPPGPPGIPG
+>P29400_PF01391_167 <unknown description>
+PGPKGNPGYPGPPGIQGLPGPTGIPGPIGPPGPPGLMGPPGPPGLPGPKGNMG
+>P29400_PF01391_283 <unknown description>
+GEKGEKGEQGEPGKRGKPGKDGENGQPGIPGLPGDPGYPGEPGRDGEKGQKGDTGPPGP
+>P29400_PF01391_394 <unknown description>
+GPPGPPGFPGERGQKGDEGPPGISIPGPPGLDGQPGAPGLPGPPGPAGPH
+>P29400_PF01391_491 <unknown description>
+GPPGQPGLPGLPGPPGSLGFPGQKGEKGQAGATGPKGLPGIPGAPGAPGFPGSKGEPGD
+>P29400_PF01391_600 <unknown description>
+GERGPPGNPGLPGLPGNIGPMGPPGFGPPGPVGEKGIQGVAGNPGQPGIPGPKGDPGQ
+>P29400_PF01391_662 <unknown description>
+PGKPGLPGNPGRDGDVGLPGDPGLPGQPGLPGIPGSKGEPGIPG
+>P29400_PF01391_707 <unknown description>
+GLPGPPGPKGFPGIPGPPGAPGTPGRIGLEGPPGPPGFPGPKGEPGFALPGPPGPPGLPG
+>P29400_PF01391_757 <unknown description>
+GPPGPPGLPGFKGALGPKGDRGFPGPPGPPGRTGLDGLPGPKGDVGPNGQPGPMGP
+>P29400_PF01391_796 <unknown description>
+GPKGDVGPNGQPGPMGPPGLPGIGVQGPPGPPGIPGPIGQPGLHGIPGEKGDPGPPG
+>P29400_PF01391_856 <unknown description>
+PGPPGERGSPGIPGAPGPIGPPGSPGLPGKAGASGFPGTKGEMGMMGPPGPPGP
+>P29400_PF01391_896 <unknown description>
+GEMGMMGPPGPPGPLGIPGRSGVPGLKGDDGLQGQPGLPGPTGEKGSKGEPGLPGPPGP
+>P29400_PF01391_961 <unknown description>
+GSKGEKGEPGLPGIPGVSGPKGYQGLPGDPGQPGLSGQPGLPGPPGPKGNPGLPGQPG
+>P29400_PF01391_1015 <unknown description>
+GQPGLIGPPGLKGTIGDMGFPGPQGVEGPPGPSGVPGQPGSPGLPGQKGDKGDPG
+>P29400_PF01391_1074 <unknown description>
+GLPGLPGPKGEPGLPGYPGNPGIKGSVGDPGLPGLPGTPGAKGQPGLPGFPGTPGPPGP
+>P29400_PF01391_1128 <unknown description>
+GPPGPKGISGPPGNPGLPGEPGPVGGGGHPGQPGPPGEKGKPGQDGIPGPAGQKGEPGQP
+>P29400_PF01391_1190 <unknown description>
+GNPGPPGLPGLSGQKGDGGLPGIPGNPGLPGPKGEPGFHGFPGVQGPPGPPGSPGP
+>P29400_PF01391_1248 <unknown description>
+EGPKGNPGPQGPPGRPGPTGFQGLPGPEGPPGLPGNGGIKGEKGNPGQPGLPGLPG
+>P29400_PF01391_1321 <unknown description>
+GLNGMKGDPGLPGVPGFPGMKGPSGVPGSAGPEGEPGLIGPPGPPGLPGPSGQ
+>P29400_PF01391_1404 <unknown description>
+LPGPTGPPGDPGRNGLPGFDGAGGRKGDPGLPGQPGTRGLDGPPGPDGLQGPPGPPG
+>P29400_PF01413_1469 <unknown description>
+LITRHSQTTDAPQCPQGTLQVYEGFSLLYVQGNKRAHGQDLGTAGSCLRRFSTMPFMFCN
+INNVCNFASRNDYSYWLSTPEPMPMSMQPLKGQSIQPFISRCAVCE
+>P29400_PF01413_1579 <unknown description>
+VIAVHSQTIQIPHCPQGWDSLWIGYSFMMHTSAGAEGSGQALASPGSCLEEFRSAPFIEC
+HGRGTCNYYANSYSFWLATVDVSDMFSKPQSETLKAGDLRTRISRCQVCMK
+>Q14031_PF01391_46 <unknown description>
+GARGRPGPIGIQGPTGPQGFTGSTGLSGLKGERGFPGLLGPYGPKGDKGPMGVPG
+>Q14031_PF01391_103 <unknown description>
+GINGIPGHPGQPGPRGPPGLDGCNGTQGAVGFPGPDGYPGLLGPPGLPGQKGSKGD
+>Q14031_PF01391_168 <unknown description>
+GMKGDPGLPGLDGITGPQGAPGFPGAVGPAGPPGLQGPPGPPGPLGPDGNMG
+>Q14031_PF01391_358 <unknown description>
+GNPGDPGVPGLPGLKGDEGIQGLRGPSGVPGLPALSGVPGALGPQGFPGLKGDQGNPGR
+>Q14031_PF01391_491 <unknown description>
+GPPGEPGPPGPWGLIGLPGLKGARGDRGSGGAQGPAGAPGLVGPLGPSGPKGKKGE
+>Q14031_PF01391_659 <unknown description>
+PGSYGPSGFPGTPGFPGPKGSRGLPGTPGQPGSSGSKGEPGSPG
+>Q14031_PF01391_757 <unknown description>
+NGAPGEQGLQGLTGHKGFLGDSGLPGLKGVHGKPGLLGPKGERGSPGTPGQVGQPGT
+>Q14031_PF01391_797 <unknown description>
+GERGSPGTPGQVGQPGTPGSSGPYGIKGKSGLPGAPGFPGISGHPGKKGTRGKKGPPG
+>Q14031_PF01391_863 <unknown description>
+GLKGLPGNPGLVGLKGSPGSPGVAGLPALSGPKGEKGSVGFVGFPGIPGLPGIPGTRG
+>Q14031_PF01391_893 <unknown description>
+GPKGEKGSVGFVGFPGIPGLPGIPGTRGLKGIPGSTGKMGPSGRAGTPGEKGDRGNPGP
+>Q14031_PF01391_968 <unknown description>
+GDKGSQGSAGSNGFPGPRGDKGEAGRPGPPGLPGAPGLPGIIKGVSGKPGPPGFMGI
+>Q14031_PF01391_1014 <unknown description>
+GKPGPPGFMGIRGLPGLKGSSGITGFPGMPGESGSQGIRGSPGLPGASGLPGLKGD
+>Q14031_PF01391_1078 <unknown description>
+GSPGPKGQPGESGFKGTKGRDGLIGNIGFPGNKGEDGKVGVSGDVGLPGAPGFPGVA
+>Q14031_PF01391_1132 <unknown description>
+GVAGMRGEPGLPGSSGHQGAIGPLGSPGLIGPKGFPGFPGLHGLNGLPGTKGTHGTPGP
+>Q14031_PF01391_1194 <unknown description>
+GVPGPAGLPGPKGEKGYPGIGIGAPGKPGLRGQKGDRGFPGLQGPAGLPGAPG
+>Q14031_PF01391_1255 <unknown description>
+GQPGDPGRPGLDGERGRPGPAGPPGPPGPSSNQGDTGDPGFPGIPGPKGPKGDQGIPG
+>Q14031_PF01391_1309 <unknown description>
+GIPGFSGLPGELGLKGMRGEPGFMGTPGKVGPPGDPGFPGMKGKAGPRGSSGLQGDPGQ
+>Q14031_PF01391_1379 <unknown description>
+GPLGLPGIDGIPGLTGDPGAQGPVGLQGSKGLPGIPGKDGPSGLPGPPGALGDPG
+>Q14031_PF01391_1408 <unknown description>
+KGLPGIPGKDGPSGLPGPPGALGDPGLPGLQGPPGFEGAPGQQGPFGMPGMPGQ
+>Q14031_PF01413_1469 <unknown description>
+LVKHSQSEQVPPCPIGMSQLWVGYSLLFVEGQEKAHNQDLGFAGSCLPRFSTMPFIYCNI
+NEVCHYARRNDKSYWLSTTAPIPMMPVSQTQIPQYISRCSVCE
+>Q14031_PF01413_1576 <unknown description>
+AIAVHSQDITIPQCPLGWRSLWIGYSFLMHTAAGAEGGGQSLVSPGSCLEDFRATPFIEC
+SGARGTCHYFANKYSFWLTTVEERQQFGELPVSETLKAGQLHTRVSRCQVCMK
+>P0C0L4_PF01835_141 <unknown description>
+LFLQTDQPIYNPGQRVRYRVFALDQKMRPSTDTITVMVENSHGLRVRKKEVYMPSSIFQD
+DFVIPDISEPGTWKISARFSDGLESNSSTQFEV
+>P0C0L4_PF17791_235 <unknown description>
+KYVLPNFEVKITPGKPYILTVPGHLDEMQLDIQARYIYGKPVQGVAYVRFGLLDEDGKKT
+FFRGLESQTKLVNGQSHISLSKAEFQ
+>P0C0L4_PF17789_369 <unknown description>
+LDLSKTKRHLVPGAPFLLQALVREMSGSPASGIPVKVSATVSSPGSVPEVQDIQQNTDGS
+GQVSIPIIIPQTISELQLSVSAGSPH
+>P0C0L4_PF07703_474 <unknown description>
+SIERPDSRPPRVGDTLNLNLRAVGSGATFSHYYYMILSRGQIVFMNREPKRTLTSVSVFV
+DHHLAPSFYFVAFYYHGDHPVANSLRVDVQAGACEGKLELSVDGAKQYRNGESVKLHLET
+DSLALVALGALDTALYA
+>P0C0L4_PF01821_702 <unknown description>
+CCQDGVTRLPMMRSCEQRAARVQQPDCREPFLSCC
+>P0C0L4_PF00207_782 <unknown description>
+WLWRVETVDRFQILTLWLPDSLTTWEIHGLSLSKTKGLCVATPVQLRVFREFHLHLRLPM
+SVRRFEQLELRPVLYNYLDKNLTVSVHV
+>P0C0L4_PF07678_984 <unknown description>
+DPLDTLGSEGALSPGGVASLLRLPRGCGEQTMIYLAPTLAASRYLDKTEQWSTLPPETKD
+HAVDLIQKGYMRIQQFRKADGSYAAWLSRDSSTWLTAFVLKVLSLAQEQVGGSPEKLQET
+SNWLLSQQQADGSFQDPCPVLDRSMQGGLVGNDETVALTAFVTIALHHGLAVFQDEGAEP
+LKQRVEASISKANSFLGEKASAGLLGAHAAAITAYALTLTKAPVDLLGVAHNNLMAMAQE
+TGDNLYWGSVTGSQSNAVSPTPAPRNPSDPMPQAPALWIETTAYALLHLLLHEGKAEMAD
+QASAWLTRQGSFQGGFRSTQDTVIALDALSAY
+>P0C0L4_PF07677_1481 <unknown description>
+SGMAIADVTLLSGFHALRADLEKLTSLSDRYVSHFETEGPHVLLYFDSVPTSRECVGFEA
+VQEVPVGLVQPASATLYDYYNPERRCSVFY
+>P0C0L4_PF01759_1616 <unknown description>
+FACYYPRVEYGFQVKVLREDSRAAFRLFETKITQVLHFTKDVKAAANQMRNFLVRASCRL
+RLEPGKEYLIMGLDGATYDLEGHPQYLLDSNSWIEEMPSERLCRSTRQR
+>P0C0L5_PF01835_141 <unknown description>
+LFLQTDQPIYNPGQRVRYRVFALDQKMRPSTDTITVMVENSHGLRVRKKEVYMPSSIFQD
+DFVIPDISEPGTWKISARFSDGLESNSSTQFEV
+>P0C0L5_PF17791_235 <unknown description>
+KYVLPNFEVKITPGKPYILTVPGHLDEMQLDIQARYIYGKPVQGVAYVRFGLLDEDGKKT
+FFRGLESQTKLVNGQSHISLSKAEFQ
+>P0C0L5_PF17789_369 <unknown description>
+LDLSKTKRHLVPGAPFLLQALVREMSGSPASGIPVKVSATVSSPGSVPEVQDIQQNTDGS
+GQVSIPIIIPQTISELQLSVSAGSPH
+>P0C0L5_PF07703_474 <unknown description>
+SIERPDSRPPRVGDTLNLNLRAVGSGATFSHYYYMILSRGQIVFMNREPKRTLTSVSVFV
+DHHLAPSFYFVAFYYHGDHPVANSLRVDVQAGACEGKLELSVDGAKQYRNGESVKLHLET
+DSLALVALGALDTALYA
+>P0C0L5_PF01821_702 <unknown description>
+CCQDGVTRLPMMRSCEQRAARVQQPDCREPFLSCC
+>P0C0L5_PF00207_782 <unknown description>
+WLWRVETVDRFQILTLWLPDSLTTWEIHGLSLSKTKGLCVATPVQLRVFREFHLHLRLPM
+SVRRFEQLELRPVLYNYLDKNLTVSVHV
+>P0C0L5_PF07678_984 <unknown description>
+DPLDTLGSEGALSPGGVASLLRLPRGCGEQTMIYLAPTLAASRYLDKTEQWSTLPPETKD
+HAVDLIQKGYMRIQQFRKADGSYAAWLSRGSSTWLTAFVLKVLSLAQEQVGGSPEKLQET
+SNWLLSQQQADGSFQDLSPVIHRSMQGGLVGNDETVALTAFVTIALHHGLAVFQDEGAEP
+LKQRVEASISKASSFLGEKASAGLLGAHAAAITAYALTLTKAPADLRGVAHNNLMAMAQE
+TGDNLYWGSVTGSQSNAVSPTPAPRNPSDPMPQAPALWIETTAYALLHLLLHEGKAEMAD
+QAAAWLTRQGSFQGGFRSTQDTVIALDALSAY
+>P0C0L5_PF07677_1481 <unknown description>
+SGMAIADVTLLSGFHALRADLEKLTSLSDRYVSHFETEGPHVLLYFDSVPTSRECVGFEA
+VQEVPVGLVQPASATLYDYYNPERRCSVFY
+>P0C0L5_PF01759_1616 <unknown description>
+FACYYPRVEYGFQVKVLREDSRAAFRLFETKITQVLHFTKDVKAAANQMRNFLVRASCRL
+RLEPGKEYLIMGLDGATYDLEGHPQYLLDSNSWIEEMPSERLCRSTRQR
+>P20908_PF01391_469 <unknown description>
+GPPGPEGPAGLPGPPGTMGPTGQVGDPGERGPPGRPGLPGADGLPGPPG
+>P20908_PF01391_561 <unknown description>
+AGPMGLTGRPGPVGPPGSGGLKGEPGDVGPQGPRGVQGPPGPAGKPGRRGRAGSDG
+>P20908_PF01391_751 <unknown description>
+GLPGMPGADGPPGHPGKEGPPGEKGGQGPPGPQGPIGYPGPRGVKGADGIRGLKGTKG
+>P20908_PF01391_1466 <unknown description>
+PPGLPGLKGDSGPKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGSSGPKGEQGITGP
+>P20908_PF01391_1513 <unknown description>
+GPKGEQGITGPSGPIGPPGPPGLPGPPGPKGAKGSSGPTGPKGEAGHPGPPGPPGPPGE
+>P20908_PF01410_1608 <unknown description>
+MEEIFGSLNSLKLEIEQMKRPLGTQQNPARTCKDLQLCHPDFPDGEYWVDPNQGCSRDSF
+KVYCNFTAGGSTCVFPDKKSEGARITSWPKENPGSWFSEFKRGKLLSYVDAEGNPVGVVQ
+MTFLRLLSASAHQNVTYHCYQSVAWQDAATGSYDKALRFLGSNDEEMSYDNNPYIRALVD
+GCATKKGYQKTVLEIDTPKVEQVPIVDIMFNDFGEASQKFGFEVGPACF
+>P05997_PF00093_41 <unknown description>
+CTQNGQMYLNRDIWKPAPCQICVCDNGAILCDKIECQDVLDCADPVTPPGECCPVC
+>P05997_PF01391_126 <unknown description>
+GIRGRPGPAGPPGSQGPRGERGPKGRPGPRGPQGIDGEPGVPGQPGAPGPPGHPSHPGP
+>P05997_PF01391_213 <unknown description>
+GSVGPVGPRGPQGLQGQQGGAGPTGPPGEPGDPGPMGPIGSRGPEGPPGKPGEDGEPGR
+>P05997_PF01391_846 <unknown description>
+GFAGPQGPDGQPGVKGEPGEPGQKGDAGSPGPQGLAGSPGPHGPNGVPGLKGGRGTQG
+>P05997_PF01391_1113 <unknown description>
+GRAGKRGLPGPQGPRGDKGDHGDRGDRGQKGHRGFTGLQGLPGPPGPNGEQGSAGIPG
+>P05997_PF01391_1171 <unknown description>
+PFGPRGPPGPVGPSGKEGNPGPLGPIGPPGVRGSVGEAGPEGPPGEPGPPGPPGPPG
+>P05997_PF01410_1266 <unknown description>
+PGVHATLKSLSSQIETMRSPDGSKKHPARTCDDLKLCHSAKQSGEYWIDPNQGSVEDAIK
+VYCNMETGETCISANPSSVPRKTWWASKSPDNKPVWYGLDMNRGSQFAYGDHQSPNTAIT
+QMTFLRLLSKEASQNITYICKNSVGYMDDQAKNLKKAVVLKGANDLDIKAEGNIRFRYIV
+LQDTCSKRNGNVGKTVFEYRTQNVARLPIIDLAPVDVGGTDQEFGVEIGPVCF
+>P25940_PF01391_482 <unknown description>
+GPVGLTGRPGPVGLPGHPGLKGEEGAEGPQGPRGLQGPHGPPGRVGKMGRPGADGAR
+>P25940_PF01391_1430 <unknown description>
+GPPGPKGDPGPPGPIGSLGHPGPPGVAGPLGQKGSKGSPGSMGPRGDTGPAGPPGPPGA
+>P25940_PF01410_1514 <unknown description>
+EEVLASLTSLSLELEQLRRPPGTAERPGLVCHELHRNHPHLPDGEYWIDPNQGCARDSFR
+VFCNFTAGGETCLYPDKKFEIVKLASWSKEKPGGWYSTFRRGKKFSYVDADGSPVNVVQL
+NFLKLLSATARQNFTYSCQNAAAWLDEATGDYSHSARFLGTNGEELSFNQTTAATVSVPQ
+DGCRLRKGQTKTLFEFSSSRAGFLPLWDVAATDFGQTNQKFGFELGPVCF
+>P01031_PF17790_20 <unknown description>
+EQTYVISAPKIFRVGASENIVIQVYGYTEAFDATISIKSYPDKKFSYSSGHVHLSSENKF
+QNSAILTIQPKQLPGGQNPVSYVYLEVVSKHFSKSKRMPIT
+>P01031_PF01835_126 <unknown description>
+LFIHTDKPVYTPDQSVKVRVYSLNDDLKPAKRETVLTFIDPEGSEVDMVEEIDHIGIISF
+PDFKIPSNPRYGMWTIKAKYKEDFSTTGTAYFEV
+>P01031_PF17791_221 <unknown description>
+EYVLPHFSVSIEPEYNFIGYKNFKNFEITIKARYFYNKVVTEADVYITFGIREDLKDDQK
+EMMQTAMQNTMLINGIAQVTFDSE
+>P01031_PF17789_354 <unknown description>
+LNLVATPLFLKPGIPYPIKVQVKDSLDQLVGGVPVTLNAQTIDVNQETSDLDPSKSVTRV
+DDGVASFVLNLPSGVTVLEFNVKTDAPDLPEENQAREGYRAIAY
+>P01031_PF07703_470 <unknown description>
+TDNHKALLVGEHLNIIVTPKSPYIDKITHYNYLILSKGKIIHFGTREKFSDASYQSINIP
+VTQNMVPSSRLLVYYIVTGEQTAELVSDSVWLNIEEKCGNQLQVHLSPDADAYSPGQTVS
+LNMATGMDSWVALAAVDSAVY
+>P01031_PF01821_698 <unknown description>
+CCYDGACVNNDETCEQRAARISLGPRCIKAFTECC
+>P01031_PF00207_772 <unknown description>
+SWLWEVHLVPRRKQLQFALPDSLTTWEIQGVGISNTGICVADTVKAKVFKDVFLEMNIPY
+SVVRGEQIQLKGTVYNYRTSGMQFCVKM
+>P01031_PF07678_978 <unknown description>
+SVKGLLVGEILSAVLSQEGINILTHLPKGSAEAELMSVVPVFYVFHYLETGNHWNIFHSD
+PLIEKQKLKKKLKEGMLSIMSYRNADYSYSVWKGGSASTWLTAFALRVLGQVNKYVEQNQ
+NSICNSLLWLVENYQLDNGSFKENSQYQPIKLQGTLPVEARENSLYLTAFTVIGIRKAFD
+ICPLVKIDTALIKADNFLLENTLPAQSTFTLAISAYALSLGDKTHPQFRSIVSALKREAL
+VKGNPPIYRFWKDNLQHKDSSVPNTGTARMVETTAYALLTSLNLKDINYVNPVIKWLSEE
+QRYGGGFYSTQDTINAIEGLTEY
+>P01031_PF07677_1419 <unknown description>
+SSHAVMDISLPTGISANEEDLKALVEGVDQLFTDYQIKDGHVILQLNSIPSSDFLCVRFR
+IFELFEVGFLSPATFTVYEYHRPDKQCTMFYS
+>P01031_PF01759_1551 <unknown description>
+TACKPEIAYAYKVSITSITVENVFVKYKATLLDIYKTGEAVAEKDSEITFIKKVTCTNAE
+LVKGRQYLIMGKEALQIKYNFSFRYIYPLDSLTWIEYWPRDTTCSSCQ
+>P12109_PF00092_37 <unknown description>
+DLFFVLDTSESVALRLKPYGALVDKVKSFTKRFIDNLRDRYYRCDRNLVWNAGALHYSDE
+VEIIQGLTRMPGGRDALKSSVDAVKYFGKGTYTDCAIKKGLEQLLVGGSHLKENKYLIVV
+TDGHPLEGYKEPCGGLEDAVNEAKHLGVKVFSVAITPDHLEPRLSIIATDHTYRRNFTAA
+DWGQSRD
+>P12109_PF01391_257 <unknown description>
+GPPGLRGDPGFEGERGKPGLPGEKGEAGDPGRPGDLGPVGYQGMKGEKGSRGEKG
+>P12109_PF01391_298 <unknown description>
+QGMKGEKGSRGEKGSRGPKGYKGEKGKRGIDGVDGVKGEMGYPGLPGCKGSPG
+>P12109_PF01391_356 <unknown description>
+GPPGPKGDPGAFGLKGEKGEPGADGEAGRPGSSGPSGDEGQPGEPGPPGEKGEAGDEGN
+>P12109_PF01391_450 <unknown description>
+PQGDQGREGPVGVPGDPGEAGPIGPKGYRGDEGPPGSEGARGAPGPAGPPGDPGLMGE
+>P12109_PF01391_500 <unknown description>
+GDPGLMGERGEDGPAGNGTEGFPGFPGYPGNRGAPGINGTKGYPGLKGDEGEAGDPGD
+>P12109_PF00092_615 <unknown description>
+DLLFVLDSSESIGLQNFEIAKDFVVKVIDRLSRDELVKFEPGQSYAGVVQYSHSQMQEHV
+SLRSPSIRNVQELKEAIKSLQWMAGGTFTGEALQYTRDQLLPPSPNNRIALVITDGRSDT
+QRDTTPLNVLCSPGIQVVSVGIKDVF
+>P12109_PF00092_829 <unknown description>
+DITILLDGSASVGSHNFDTTKRFAKRLAERFLTAGRTDPAHDVRVAVVQYSGTGQQRPER
+ASLQFLQNYTALASAVDAMDFINDATDVNDALGYVTRFYREASSGAAKKRLLLFSDGNSQ
+GATPAAIEKAVQEAQRAGIEIFVVVVGRQVNEPHIRVLVTGKTAEYDVAYGESHLFRVPS
+YQAL
+>P12110_PF00092_47 <unknown description>
+VYFVLDTSESVTMQSPTDILLFHMKQFVPQFISQLQNEFYLDQVALSWRYGGLHFSDQVE
+VFSPPGSDRASFIKNLQGISSFRRGTFTDCALANMTEQIRQDRSKGTVHFAVVITDGHVT
+GSPCGGIKLQAERAREEGIRLFAVAPNQNLKEQGLRDIASTPHELYRNDYATMLPDSTE
+>P12110_PF01391_259 <unknown description>
+GPKGYRGQKGAKGNMGEPGEPGQKGRQGDPGIEGPIGFPGPKGVPGFKGEKGEFGADG
+>P12110_PF01391_310 <unknown description>
+GEFGADGRKGAPGLAGKNGTDGQKGKLGRIGPPGCKGDPGNRGPDGYPGEAGSPGERG
+>P12110_PF01391_409 <unknown description>
+GAKGGPGPRGPKGEPGRRGDPGTKGSPGSDGPKGEKGDPGPEGPRGLAGEVGNKGAKGD
+>P12110_PF01391_531 <unknown description>
+GPRGPEGGRGDFGLKGEPGRKGEKGEPADPGPPGEPGPRGPRGVPGPEGEPGPPGDPG
+>P12110_PF00092_615 <unknown description>
+DVVFVIDSSESIGYTNFTLEKNFVINVVNRLGAIAKDPKSETGTRVGVVQYSHEGTFEAI
+QLDDERIDSLSSFKEAVKNLEWIAGGTWTPSALKFAYDRLIKESRRQKTRVFAVVITDGR
+HDPRDDDLNLRALCDRDVTVTAIGIGDMFHEKHESENLYSIACDKPQQVRNMTLFS
+>P12110_PF00092_833 <unknown description>
+DIVFLLDGSERLGEQNFHKARRFVEQVARRLTLARRDDDPLNARVALLQFGGPGEQQVAF
+PLSHNLTAIHEALETTQYLNSFSHVGAGVVHAINAIVRSPRGGARRHAELSFVFLTDGVT
+GNDSLHESAHSMRKQNVVPTVLALGSDVDMDVLTTLSLGDRAAVFHEKDYDSLA
+>P12111_PF00092_39 <unknown description>
+DIIFLVDSSWTIGEEHFQLVREFLYDVVKSLAVGENDFHFALVQFNGNPHTEFLLNTYRT
+KQEVLSHISNMSYIGGTNQTGKGLEYIMQSHLTKAAGSRAGDGVPQVIVVLTDGHSKDGL
+ALPSAELKSADVNVFAIGVEDADEGALKEIASEPLNMHMFNLENFTSLHDIV
+>P12111_PF00092_242 <unknown description>
+DIIFLIDGSNNTGSVNFAVILDFLVNLLEKLPIGTQQIRVGVVQFSDEPRTMFSLDTYST
+KAQVLGAVKALGFAGGELANIGLALDFVVENHFTRAGGSRVEEGVPQVLVLISAGPSSDE
+IRYGVVALKQASVFSFGLGAQAASRAELQHIATDDNLVFTVPEFRSFGDLQE
+>P12111_PF00092_445 <unknown description>
+DIVFLVDGSSALGLANFNAIRDFIAKVIQRLEIGQDLIQVAVAQYADTVRPEFYFNTHPT
+KREVITAVRKMKPLDGSALYTGSALDFVRNNLFTSSAGYRAAEGIPKLLVLITGGKSLDE
+ISQPAQELKRSSIMAFAIGNKGADQAELEEIAFDSSLVFIPAEFR
+>P12111_PF00092_639 <unknown description>
+DIIFLLDGSANVGKTNFPYVRDFVMNLVNSLDIGNDNIRVGLVQFSDTPVTEFSLNTYQT
+KSDILGHLRQLQLQGGSGLNTGSALSYVYANHFTEAGGSRIREHVPQLLLLLTAGQSEDS
+YLQAANALTRAGILTFCVGASQANKAELEQIAFNPSLVYLMDDFSSLPAL
+>P12111_PF00092_837 <unknown description>
+DILFLFDGSANLVGQFPVVRDFLYKIIDELNVKPEGTRIAVAQYSDDVKVESRFDEHQSK
+PEILNLVKRMKIKTGKALNLGYALDYAQRYIFVKSAGSRIEDGVLQFLVLLVAGRSSDRV
+DGPASNLKQSGVVPFIFQAKNADPAELEQIVLSPAFILAAES
+>P12111_PF00092_1029 <unknown description>
+DVVFLLDGSEGVRSGFPLLKEFVQRVVESLDVGQDRVRVAVVQYSDRTRPEFYLNSYMNK
+QDVVNAVRQLTLLGGPTPNTGAALEFVLRNILVSSAGSRITEGVPQLLIVLTADRSGDDV
+RNPSVVVKRGGAVPIGIGIGNADITEMQTISFIPDFAVAIPTFRQLGTVQ
+>P12111_PF00092_1233 <unknown description>
+DVVFLIDGSQSAGPEFQYVRTLIERLVDYLDVGFDTTRVAVIQFSDDPKVEFLLNAHSSK
+DEVQNAVQRLRPKGGRQINVGNALEYVSRNIFKRPLGSRIEEGVPQFLVLISSGKSDDEV
+DDPAVELKQFGVAPFTIARNADQEELVKISLSPEYVFSVSTFRELPSLEQ
+>P12111_PF00092_1436 <unknown description>
+DIVFLIDSSEGVRPDGFAHIRDFVSRIVRRLNIGPSKVRVGVVQFSNDVFPEFYLKTYRS
+QAPVLDAIRRLRLRGGSPLNTGKALEFVARNLFVKSAGSRIEDGVPQHLVLVLGGKSQDD
+VSRFAQVIRSSGIVSLGVGDRNIDRTELQTITNDPRLVFTVREFRELPNIEE
+>P12111_PF00092_1639 <unknown description>
+DIVFLLDGSINFRRDSFQEVLRFVSEIVDTVYEDGDSIQVGLVQYNSDPTDEFFLKDFST
+KRQIIDAINKVVYKGGRHANTKVGLEHLRVNHFVPEAGSRLDQRVPQIAFVITGGKSVED
+AQDVSLALTQRGVKVFAVGVRNIDSEEVGKIASNSATAFRVGNVQELSELSEQ
+>P12111_PF01391_2038 <unknown description>
+GQRGDRGPIGSIGPKGIPGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKG
+>P12111_PF00092_2403 <unknown description>
+LAFALDTSEGVNQDTFGRMRDVVLSIVNDLTIAESNCPRGARVAVVTYNNEVTTEIRFAD
+SKRKSVLLDKIKNLQVALTSKQQSLETAMSFVARNTFKRVRNGFLMRKVAVFFSNTPTRA
+SPQLREAVLKLSDAGITPLFL
+>P12111_PF00092_2619 <unknown description>
+DMAFILDSAETTTLFQFNEMKKYIAYLVRQLDMSPDPKASQHFARVAVVQHAPSESVDNA
+SMPPVKVEFSLTDYGSKEKLVDFLSRGMTQLQGTRALGSAIEYTIENVFESAPNPRDLKI
+VVLMLTGEVPEQQLEEAQRVILQAKCKGYFFVVLGIGRKVNIKEVYTFASEPNDVFFKLV
+DKSTELN
+>P12111_PF00014_3111 <unknown description>
+ICKLPKDEGTCRDFILKWYYDPNTKSCARFWYGGCGGNENKFGSQKECEKVC
+>A6NMZ7_PF00092_27 <unknown description>
+DVVFLVDSSDRLGSKSFPFVKMFITKMISSLPIEADKYRVALAQYSDKLHSEFHLSTFKG
+RSPMLNHLRKNFGFIGGSLQIGKALQEAHRTYFSAPANGRDKKQFPPILVVLASSESEDN
+VEEASKALRKDGVKIISVGVQKASEENLKAMATSQF
+>A6NMZ7_PF00092_229 <unknown description>
+DVVFLLDMSINGSEENFDYLKGFLEESVSALDIKENCMRVGLVAYSNETKVINSLSMGIN
+KSEVLQHIQNLSPRTGKAYTGAAIKKLRKEVFSARNGSRKNQGVPQIAVLVTHRDSEDNV
+TKAAVNLRREGVTIFTLGIEGASDTQLEKIASHPAEQYVSKLKTFADL
+>A6NMZ7_PF00092_436 <unknown description>
+DIYLLIDGSGSTQATDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYADSWDLEFEINKYSN
+KQDLGKAIENIRQMGGNTNTGAALNFTLSLLQKAKKQRGNKVPCHLVVLTNGMSKDSILE
+PANRLREEHIRVYAIGIKEANQTQLREIAGEEKRVYYVHDFDALKDIRNQ
+>A6NMZ7_PF00092_622 <unknown description>
+DIMFLVDSSGSIGPENFSKMKTFMKNLVSKSQIGPDRVQIGVVQFSDINKEEFQLNRFMS
+QSDISNAIDQMAHIGQTTLTGSALSFVSQYFSPTKGARPNIRKFLILITDGEAQDIVKEP
+AVVLRQEGVIIYSVGVFGSNVTQLEEISGRPEMVFYVENFDILQRIE
+>A6NMZ7_PF00092_809 <unknown description>
+DVVFVIDSSGSIDYDEYNIMKDFMIGLVKKADVGKNQVRFGALKYADDPEVLFYLDDFGT
+KLEVISVLQNDQAMGGSTYTAEALGFSDHMFTEARGSRLNKGVPQVLIVITDGESHDADK
+LNATAKALRDKGILVLAVGIDGANPVELLAMAGSSDKYFFVETFGGLKGIFS
+>A6NMZ7_PF00092_1000 <unknown description>
+DLVFLMDGSTSIQPNDFKKMKEFLASVVQDFDVSLNRVRIGAAQFSDTYHPEFPLGTFIG
+EKEISFQIENIKQIFGNTHIGAALREVEHYFRPDMGSRINTGTPQVLLVLTDGQSQDEVA
+QAAEALRHRGIDIYSVGIGDVDDQQLIQITGTAEKKLTVHNFDELKKVNK
+>A6NMZ7_PF01391_1392 <unknown description>
+GGDGTMGDPGPPGKRGPPGFKGSEGYLGEEGIAGERGAPGPVGEQGTKGCYGTKGPKG
+>A6NMZ7_PF00092_1758 <unknown description>
+LVFALDHSRDVTEQEFERMKEMMAFLVRDIKVRENSCPVGAHIAILSYNSHARHLVRFSD
+AYKKSQLLREIETIPYERSSASREIGRAMRFISRNVFKRTLPGAHTRKIATFFSSGQSAD
+AHSITTAAMEFGALEIIPVVITFSNV
+>A6NMZ7_PF00092_1967 <unknown description>
+AFLLDASRNMGSAEFEDIRAFLGALLDHFEITPEPETSVTGDRVALLSHAPPDFLPNTQK
+SPVRAEFNLTTYRSKRLMKRHVHESVKQLNGDAFIGHALQWTLDNVFLSTPNLRRNKVIF
+VISAGETSHLDGEILKKESLRAKCQGYALFVFSLGPIWDDKELEDLASHPLDHH
+>P13671_PF00090_29 <unknown description>
+WTQWTSCSKTCNSGTQSRHRQIVVDKYYQENFCEQICSKQETRECNWQRC
+>P13671_PF00090_86 <unknown description>
+DFGPWSDCDPCIEKQSKVRSVLRPSQFGGQPCTAPLVAFQPCIPSKLC
+>P13671_PF00057_139 <unknown description>
+DCKNKFRCDSGRCIARKLECNGENDCGDNSDERDC
+>P13671_PF01823_293 <unknown description>
+INHNSAFKQAIQASHKKDSSFIRIHKVMKVLNFTTKAKDLHLSDVFLKALNHLPLEYNSA
+LYSRIFDDFGTHYFTSGSLGGVYDLLYQFSSEELKNSGLTEEEAKHCVRIETKKRVLFAK
+KTKVEHRCTTNKLSEKHEGSFIQGAEKSISLIRGGRSEYGAALAWEKGSSGLEEKTFSEW
+LESVKENPAVIDFELAPIVDLVRNIPCAVTKRNNLRKALQEY
+>P13671_PF00090_571 <unknown description>
+WSSWSTCDATYKRSRTRECNNPAPQRGGKRCEGEKRQEEDCT
+>P13671_PF00084_644 <unknown description>
+CPQPVPPENGFIRNEKQLYLVGEDVEISCLTGFETVGYQYFRCLPDGTWRQGDVEC
+>P13671_PF00084_720 <unknown description>
+RLYRIGESIELTCPKGFVVAGPSRYTCQGNSWTPP
+>Q02388_PF00092_38 <unknown description>
+DIVFLLDGSSSIGRSNFREVRSFLEGLVLPFSGAASAQGVRFATVQYSDDPRTEFGLDAL
+GSGGDVIRAIRELSYKGGNTRTGAAILHVADHVFLPQLARPGVPKVCILITDGKSQDLVD
+TAAQRLKGQGVKLFAVGIKNADPEELKRVASQPTSDFFFFVNDFSILR
+>Q02388_PF00041_233 <unknown description>
+SAPRDLVLSEPSSQSLRVQWTAASGPVTGYKVQYTPLTGLGQPLPSERQEVNVPAGETSV
+RLRGLRPLTEYQVTVIALYANSIGE
+>Q02388_PF00041_332 <unknown description>
+ELTIQNTTAHSLLVAWRSVPGATGYRVTWRVLSGGPTQQQELGPGQGSVLLRDLEPGTDY
+EVTVSTLFGRSVGP
+>Q02388_PF00041_427 <unknown description>
+GPTSILLSWNLVPEARGYRLEWRRETGLEPPQKVVLPSDVTRYQLDGLQPGTEYRLTLYT
+L
+>Q02388_PF00041_509 <unknown description>
+SPVTDLQATELPGQRVRVSWSPVPGATQYRIIVRSTQGVERTLVLPGSQTAFDLDDVQAG
+LSYTVRVSARVGPREG
+>Q02388_PF00041_600 <unknown description>
+AVPGLRVVVSDATRVRVAWGPVPGASGFRISWSTGSGPESSQTLPPDSTATDITGLQPGT
+TYQVAVSVLRGREEGP
+>Q02388_PF00041_688 <unknown description>
+PVRTVHVTQASSSSVTITWTRVPGATGYRVSWHSAHGPEKSQLVSGEATVAELDGLEPDT
+EYTVHVRAHVAGVDGPP
+>Q02388_PF00041_779 <unknown description>
+VSRLQILNASSDVLRITWVGVTGATAYRLAWGRSEGGPMRHQILPGNTDSAEIRGLEGGV
+SYSVRVTALVGDREG
+>Q02388_PF00041_869 <unknown description>
+ALGTLHVVQRGEHSLRLRWEPVPRAQGFLLHWQPEGGQEQSRVLGPELSSYHLDGLEPAT
+QYRVRLSVLGPAGEGP
+>Q02388_PF00092_1066 <unknown description>
+AHRAEATRRVLERLVLALGPLGPQAVQVGLLSYSHRPSPLFPLNGSHDLGIILQRIRDMP
+YMDPSGNNLGTAVVTAHRYMLAPDAPGRRQHVPGVMVLLVDEPLRGDIFSPIREAQASGL
+NVVMLGMAGADPEQLRRLAPGMDSVQTFFAVDDGPSLDQ
+>Q02388_PF01391_1252 <unknown description>
+PKGQKGEPGEMGLRGQVGPPGDPGLPGRTGAPGPQGPPGSATAKGERGFPGADGRPGS
+>Q02388_PF01391_1296 <unknown description>
+GERGFPGADGRPGSPGRAGNPGTPGAPGLKGSPGLPGPRGDPGERGPRGPKGEPGAPGQ
+>Q02388_PF01391_1452 <unknown description>
+DGAPGLPGQPGSPGEQGPRGPPGAIGPKGDRGFPGPLGEAGEKGERGPPGPAG
+>Q02388_PF01391_1489 <unknown description>
+GEAGEKGERGPPGPAGSRGLPGVAGRPGAKGPEGPPGPTGRQGEKGEPGRPGDPAVVGP
+>Q02388_PF01391_2034 <unknown description>
+GEPGKPGIPGLPGRAGGVGEAGRPGERGERGEKGERGEQGRDGPPGLPGTPGPPGPPGP
+>Q02388_PF01391_2101 <unknown description>
+PGLSGEQGPPGLKGAKGEPGSNGDQGPKGDRGVPGIKGDRGEPGPRGQDGNPGLPGE
+>Q02388_PF01391_2257 <unknown description>
+GAPGRDGASGKDGDRGSPGVPGSPGLPGPVGPKGEPGPTGAPGQAVVGLPGAKGEKGAPG
+>Q02388_PF01391_2324 <unknown description>
+GEPGAKGDRGLPGPRGEKGEAGRAGEPGDPGEDGQKGAPGPKGFKGDPG
+>Q02388_PF01391_2374 <unknown description>
+GVPGSPGPPGPPGVKGDLGLPGLPGAPGVVGFPGQTGPRGEMGQPGPSGERGLAGP
+>Q02388_PF01391_2407 <unknown description>
+GQTGPRGEMGQPGPSGERGLAGPPGREGIPGPLGPPGPPGSVGPPGASGLKGDKGDPG
+>Q02388_PF01391_2466 <unknown description>
+GLPGPRGERGEPGIRGEDGRPGQEGPRGLTGPPGSRGERGEKGDVGSAGLKGDKGDS
+>Q02388_PF01391_2527 <unknown description>
+GPPGPRGAKGDMGERGPRGLDGDKGPRGDNGDPGDKGSKGEPGDKGSAGLPGLRG
+>Q02388_PF01391_2563 <unknown description>
+GSKGEPGDKGSAGLPGLRGLLGPQGQPGAAGIPGDPGSPGKDGVPGIRGEKGDVGFMGP
+>Q02388_PF01391_2634 <unknown description>
+CGLDGEKGDKGEAGPPGRPGLAGHKGEMGEPGVPGQSGAPGKEG
+>Q02388_PF01391_2722 <unknown description>
+GPPGPPGSVGPRGPEGLQGQKGERGPPGERVVGAPGVPGAPGERGEQGRPGPAGPRGEKG
+E
+>Q02388_PF00014_2876 <unknown description>
+CSLPLDEGSCTAYTLRWYHRAVTGSTEACHPFVYGGCGGNANRFGTREACERRC
+>P10643_PF00090_34 <unknown description>
+APWSECNGCTKTQTRRRSVAVYGQYGGQPCVGNAFETQSCEPTRGC
+>P10643_PF00057_85 <unknown description>
+CGERFRCFSGQCISKSLVCNGDSDCDEDSADEDRC
+>P10643_PF01823_229 <unknown description>
+SSSSRSYTSHTNEIHKGKSYQLLVVENTVEVAQFINNNPEFLQLAEPFWKELSHLPSLYD
+YSAYRRLIDQYGTHYLQSGSLGGEYRVLFYVDSEKLKQNDFNSVEEKKCKSSGWHFVVKF
+SSHGCKELENALKAASGTQNNVLRGEPFIRGGGAGFISGLSYLELDNPAGNKRRYSAWAE
+SVTNLPQVIKQKLTPLYELVKEVPCASVKKLYLKWALEEY
+>P10643_PF00090_503 <unknown description>
+WSCWSSWSPCVQGKKTRSRECNNPPPSGGGRSCVGETTESTQCEDE
+>P10643_PF00084_571 <unknown description>
+CPSPPALKDGFVQDEGTMFPVGKNVVYTCNEGYSLIGNPVARCGEDLRWLVGEMHC
+>P10643_PF00084_636 <unknown description>
+LMDGIQSHPQKPFYTVGEKVTVSCSGGMSLEGPSAFLCGSSLKWSPEM
+>P10643_PF18434_791 <unknown description>
+CREASECEEEGFSICVEVNGKEQTMSECEAGALRCRGQSISVTSIRPC
+>P27658_PF01391_158 <unknown description>
+GKPGMPGMPGKPGAMGMPGAKGEIGQKGEIGPMGIPGPQGPPGPHGLPGIGKPGGP
+>P27658_PF01391_209 <unknown description>
+KPGGPGLPGQPGPKGDRGPKGLPGPQGLRGPKGDKGFGMPGAPGVKGPPGMHGPPGPVG
+>P27658_PF00386_617 <unknown description>
+AFTAELTAPFPPVGAPVKFNKLLYNGRQNYNPQTGIFTCEVPGVYYFAYHVHCKGGNVWV
+ALFKNNEPVMYTYDEYKKGFLDQASGSAVLLLRPGDRVFLQMPSEQAAGLYAGQYVHSSF
+SGYLL
+>P25067_PF01391_123 <unknown description>
+GKAGPPGLPGKVGPPGQPGLRGEPGIRGDQGLRGPPGPPGLPGPSG
+>P25067_PF00386_576 <unknown description>
+AFTAVLTSPFPASGMPVKFDRTLYNGHSGYNPATGIFTCPVGGVYYFAYHVHVKGTNVWV
+ALYKNNVPATYTYDEYKKGYLDQASGGAVLQLRPNDQVWVQMPSDQANGLYSTEYIHSSF
+SGFLL
+>P07357_PF00057_95 <unknown description>
+QCGQDFQCKETGRCLKRHLVCNGDQDCLDGSDEDDC
+>P07357_PF01823_268 <unknown description>
+SHSQDTSFLNELNKYNEKKFIFTRIFTKVQTAHFKMRKDDIMLDEGMLQSLMELPDQYNY
+GMYAKFINDYGTHYITSGSMGGIYEYILVIDKAKMESLGITSRDITTCFGGSLGIQYEDK
+INVGGGLSGDHCKKFGGGKTERARKAMAVEDIISRVRGGSSGWSGGLAQNRSTITYRSWG
+RSLKYNPVVIDFEMQPIHEVLRHTSLGPLEAKRQNLRRALDQY
+>P07357_PF00090_541 <unknown description>
+SWSCWSSWSVCRAGIQERRRECDNPAPQNGGASCPGRKVQTQAC
+>P07358_PF00090_68 <unknown description>
+SSWSSWTTCDPCQKKRYRYAYLLQPSQFHGEPCNFSDKEVEDCVTNRPC
+>P07358_PF00057_121 <unknown description>
+RCEGFVCAQTGRCVNRRLLCNGDNDCGDQSDEANC
+>P07358_PF01823_284 <unknown description>
+RFSHTKSVFLHARSDLEVAHYKLKPRSLMLHYEFLQRVKRLPLEYSYGEYRDLFRDFGTH
+YITEAVLGGIYEYTLVMNKEAMERGDYTLNNVHACAKNDFKIGGAIEEVYVSLGVSVGKC
+RGILNEIKDRNKRDTMVEDLVVLVRGGASEHITTLAYQELPTADLMQEWGDAVQYNPAII
+KVKVEPLYELVTATDFAYSSTVRQNMKQALEEF
+>P07358_PF00090_551 <unknown description>
+WSNWSSCSGRRKTRQRQCNNPPPQNGGSPCSGPASETLDC
+>P07360_PF00061_48 <unknown description>
+AGTWLLVAVGSACRFLQEQGHRAEATTLHVAPQGTAMAVSTFRKLDGICWQVRQLYGDTG
+VLGRFLLQARDARGAVHVVVAETDYQSFAVLYLERAGQLSVKLYARSLPVSDSVLSGFEQ
+RVQEAHLTEDQIFYFPK
+>P20849_PF01391_269 <unknown description>
+GPPGEQGPPGPPGPPGVPGIDGIDGDRGPKGPPGPPGPAGEPGKPGAPGKPGTPGA
+>P20849_PF01391_304 <unknown description>
+PGPAGEPGKPGAPGKPGTPGADGLTGPDGSPGSIGSKGQKGEPGVPGSRGFPG
+>P20849_PF01391_358 <unknown description>
+GIPGPPGPPGTAGLPGELGRVGPVGDPGRRGPPGPPGPPGPRGTIG
+>P20849_PF01391_416 <unknown description>
+PPGRSGYPGLPGMRGHKGAKGEIGEPGRQGHKGEEGDQGELGEVGAQGPPGAQGLRG
+>P20849_PF01391_462 <unknown description>
+QGPPGAQGLRGITGIVGDKGEKGARGLDGEPGPQGLPGAPGDQGQRGPPGEAGPK
+>P20849_PF01391_655 <unknown description>
+GPPGLPGMKGDRGVVGEPGPKGEQGASGEEGEAGERGELGDIGLPGPKGSAGNPGEPG
+>P20849_PF01391_697 <unknown description>
+GLPGPKGSAGNPGEPGLRGPEGSRGLPGVEGPRGPPGPRGVQGEQGATGLPGVQGPPGR
+>P20849_PF01391_790 <unknown description>
+GLPGRPGPPGPPGPPGENGFPGQMGIRGLPGIKGPPGALGLRGPKGDLGEKGERGPPG
+>Q14055_PF01391_26 <unknown description>
+RGPPGERGPPGPPGPPGVPGSDGIDGDNGPPGKAGPPGPKGEPGKAGPDGPDGKPG
+>Q14055_PF01391_60 <unknown description>
+GPPGPKGEPGKAGPDGPDGKPGIDGLTGAKGEPGPMGIPGVKGQPGLPGPPGLPGP
+>Q14055_PF01391_116 <unknown description>
+GFAGPPGPPGPVGLPGEIGIRGPKGDPGPDGPSGPPGPPGKPGRPGT
+>Q14055_PF01391_179 <unknown description>
+PPGMKGPPGLQGVKGHAGKRGILGDPGHQGKPGPKGDVGASGEQGIPGPPGPQGIRG
+>Q14055_PF01391_322 <unknown description>
+GQAGQPGSPGHQGLAGVPGQPGTKGGPGDQGEPGPQGLPGFSGPPGKEGEPGP
+>Q14055_PF01391_406 <unknown description>
+GPKGEQGPPGIPGPQGLPGVKGDKGSPGKTGPRGKVGDPGVAGLPGEKGEKGESGEPGP
+>Q14055_PF01391_460 <unknown description>
+GEPGPKGQQGVRGEPGYPGPSGDAGAPGVQGYPGPPGPRGLAGNRGVPGQPGRQGVEG
+>Q14055_PF01391_550 <unknown description>
+GAVGMMGPPGPPGPPGYPGKQGPHGHPGPRGVPGIVGAVGQIGNTGPKGKRGEKGDPGE
+>Q14055_PF01391_612 <unknown description>
+GHPGMPGPPGIPGLPGRPGQAINGKDGDRGSPGAPGEAGRPGLPGPVGLPG
+>Q14050_PF01391_29 <unknown description>
+GLPGPPGPPGPPGKPGQDGIDGEAGPPGLPGPPGPKGAPGKPGKPGEAGLPGLPG
+>Q14050_PF01391_62 <unknown description>
+GPKGAPGKPGKPGEAGLPGLPGVDGLTGRDGPPGPKGAPGERGSLGPPGPPGLGG
+>Q14050_PF01391_179 <unknown description>
+PPGPPGPPGMPGFKGPTGYKGEQGEVGKDGEKGDPGPPGPAGLPGSVGLQGPRGLRG
+>Q14050_PF01391_361 <unknown description>
+GPSGEPGVPGDAGMPGERGEAGHRGSAGALGPQGPPGAPGVRGFQGQKGSMGDPG
+>Q14050_PF01391_463 <unknown description>
+GPKGESGSRGELGPKGTQGPNGTSGVQGVPGPPGPLGLQGVPGVPGITGKPGVPGK
+>Q14050_PF01391_554 <unknown description>
+GRPGPAGPPGPPGPPGSIGHPGARGPPGYRGPTGELGDPGPRGNQGDRGDKGAAG
+>P02748_PF00090_46 <unknown description>
+SPWSEWSQCDPCLRQMFRSRSIEVFGQFNGKRCTDAVGDRRQCVPTEPC
+>P02748_PF00057_100 <unknown description>
+DCGNDFQCSTGRCIKMRLRCNGDNDCGDFSDEDDC
+>P02748_PF01823_273 <unknown description>
+SYSKNETYQLFLSYSSKKEKMFLHVKGEIHLGRFVMRNRDVVLTTTFVDDIKALPTTYEK
+GEYFAFLETYGTHYSSSGSLGGLYELIYVLDKASMKRKGVELKDIKRCLGYHLDVSLAFS
+EISVGAEFNKDDCVKRGEGRAVNITSENLIDDVVSLIRGGTRKYAFELKEKLLRGTVIDV
+TDFVNWASSINDAPVLISQKLSPIYNLVPVKMKNAHLKKQNLERAIEDY
+>Q9GZY4_PF08695_20 <unknown description>
+LFHGVFYAGGFAIVYYLIQKFHSRALYYKLAVEQLQSHPEAQEALGPPLNIHYLKLIDRE
+NFVDIVDAKLKIPVSGSKSEGLLYVHSSRGGPFQRWHLDEVFLELKDGQQIPVF
+>Q9Y2R0_PF09813_9 <unknown description>
+PLDSKRGEAPFAQRIDPTREKLTPEQLHSMRQAELAQWQKVLPRRRTRNIVTGLGIGALV
+LAIYGYTFYSISQERFLDELEDEAKAARARALARASG
+>Q86WW8_PF10203_13 <unknown description>
+ACAGLKEDLGACLLQSDCVVQEGKSPRQCLKEGYCNSLKYAFFECKRSVLDNRARFRGR
+>Q5JTJ3_PF02297_79 <unknown description>
+APSMKERQVCWGARDEYWKCLDENLEDASQCKKLRSSFESSCPQQWIKYFDKRRDYLK
+>Q96BR5_PF08238_68 <unknown description>
+SDSCYKLGAYYVTGKGGLTQDLKAAARCFLMACEK
+>Q96BR5_PF08238_109 <unknown description>
+AACHNVGLLAHDGQVNEDGQPDLGKARDYYTRACDGGY
+>Q96BR5_PF08238_148 <unknown description>
+SSCFNLSAMFLQGAPGFPKDMDLACKYSMKACDLGH
+>Q96BR5_PF08238_186 <unknown description>
+ACANASRMYKLGDGVDKDEAKAE
+>Q03692_PF01391_106 <unknown description>
+GKPGVPGLPGKPGERGPYGPKGDVGPAGLPGPRGPPGPPGIPGPAG
+>Q03692_PF01391_300 <unknown description>
+KPGLPGLKGERGPAGLPGGPGAKGEQGPAGLPGKPGLTGPPGNMGPQGPKGIPGSHG
+>Q03692_PF01391_464 <unknown description>
+GSKGDPGSPGPPGPAGIATKGLNGPTGPPGPPGPRGHSGEPGLPGPPGPPGPPGQA
+>Q03692_PF00386_553 <unknown description>
+AFTVILSKAYPAIGTPIPFDKILYNRQQHYDPRTGIFTCQIPGIYYFSYHVHVKGTHVWV
+GLYKNGTPVMYTYDEYTKGYLDQASGSAIIDLTENDQVWLQLPNAESNGLYSSEYVHSSF
+SGFLV
+>Q96CD2_PF02441_19 <unknown description>
+HVLVGVTGSVAALKLPLLVSKLLDIPGLEVAVVTTERAKHFYSPQDIPVTLYSDADEWEI
+WKSRSDPVLHIDLRRWADLLLVAPLDANTLGKVASGICDNLLTCVMRAWDRSKPLLFCPA
+MNTAMWEHPITAQQVDQLKAFGYVEIPCVAKKLVCGDEGLGAMAEVGTIVDKVKE
+>Q13057_PF01467_196 <unknown description>
+VGGTFDRLHNAHKVLLSVACILAQEQLVVGVADKDLLKSKLLPELLQPYTERVEHLSEFL
+VDIKPSLTFDVIPLLDPYGPAGSDPSLEFLVVSEETYRGGMAINRFRLENDLEELALYQI
+QLLKDLRHTENEEDKVSSSSFRQR
+>Q13057_PF01121_359 <unknown description>
+YVIGLTGISGSGKSSIAQRLKGLGAFVIDSDHLGHRAYAPGGPAYQPVVEAFGTDILHKD
+GIINRKVLGSRVFGNKKQLKILTDIMWPIIAKLAREEMDRAVAEGKRVCVIDAAVLLEAG
+WQNLVHEVWTAVIPETEAVRRIVERDGLSEAAAQSRLQSQMSGQQLVEQSHVVLS
+>P12107_PF02210_151 <unknown description>
+YPLFRTVNIADGKWHRVAISVEKKTVTMIVDCKKKTTKPLDRSERAIVDTNGITVFGTRI
+LDEEVFEGDIQQF
+>P12107_PF01391_442 <unknown description>
+GPPGPAGPAGIMGPPGLQGPTGPPGDPGDRGPPGRPGLPGADGLPGPPG
+>P12107_PF01391_532 <unknown description>
+GPMGLTGRPGPVGGPGSSGAKGESGDPGPQGPRGVQGPPGPTGKPGKRGRPGADG
+>P12107_PF01391_583 <unknown description>
+GADGGRGMPGEPGAKGDRGFDGLPGLPGDKGHRGERGPQGPPGPPGDDGMRGEDGEIGP
+>P12107_PF01391_616 <unknown description>
+GERGPQGPPGPPGDDGMRGEDGEIGPRGLPGEAGPRGLLGPRGTPGAPGQPGMAGVDGP
+>P12107_PF01391_643 <unknown description>
+GLPGEAGPRGLLGPRGTPGAPGQPGMAGVDGPPGPKGNMGPQGEPGPPGQQGNPGPQ
+>P12107_PF01391_1429 <unknown description>
+GPPGPMGPPGLPGLKGDPGSKGEKGHPGLIGLIGPPGEQGEKGDRGLPGTQGSPGAKGD
+>P12107_PF01391_1483 <unknown description>
+GAKGDGGIPGPAGPLGPPGPPGLPGPQGPKGNKGSTGPAGQKGDSGLPGPPGSPGPPGE
+>P12107_PF01410_1576 <unknown description>
+MEEIFGSLNSLKQDIEHMKFPMGTQTNPARTCKDLQLSHPDFPDGEYWIDPNQGCSGDSF
+KVYCNFTSGGETCIYPDKKSEGVRISSWPKEKPGSWFSEFKRGKLLSYLDVEGNSINMVQ
+MTFLKLLTASARQNFTYHCHQSAAWYDVSSGSYDKALRFLGSNDEEMSYDNNPFIKTLYD
+GCASRKGYEKTVIEINTPKIDQVPIVDVMINDFGDQNQKFGFEVGPVCF
+>Q53SF7_PF09469_136 <unknown description>
+KKPTPIIPEKTVRVVINFKKTQKTIVRVSPHASLQELAPIICSKCEFDPLHTLLLKDYQS
+QEPLDLTKSLNDLGLRELYAMDVNRESCQISQ
+>O75128_PF09469_144 <unknown description>
+KPGPPKVPEKSVRLVVNYLRTQKAVVRVSPEVPLQNILPVICAKCEVSPEHVVLLRDNIA
+GEELELSKSLNELGIKELYAWDNRRETFRKSS
+>O75128_PF02205_1107 <unknown description>
+TSLHSALMEAIHSAGGKDRLRKTAEHTG
+>O75128_PF02205_1147 <unknown description>
+EGERSALLAAIRGHSGTCSLRKVASSAS
+>O75128_PF02205_1236 <unknown description>
+DARQALMDAIRSGTGAARLRKV
+>Q99715_PF00041_26 <unknown description>
+DPPSDLNFKIIDENTVHMSWAKPVDPIVGYRITVDPTTDGPTKEFTLSASTTETLLSELV
+PETEYVVTITSYDEV
+>Q99715_PF00092_140 <unknown description>
+DLVFLVDGSWSVGRNNFKYILDFIAALVSAFDIGEEKTRVGVVQYSSDTRTEFNLNQYYQ
+RDELLAAIKKIPYKGGNTMTGDAIDYLVKNTFTESAGARVGFPKVAIIITDGKSQDEVEI
+PARELRNVGVEVFSLGIKAADAKELKQIASTPSLNHVFNVANFDAIVDIQN
+>Q99715_PF00041_336 <unknown description>
+PPSNLIAMEVSSKYVKLNWNPSPSPVTGYKVILTPMTAGSRQHALSVGPQTTTLSVRDLS
+ADTEYQISVSAMKGMTSSE
+>Q99715_PF00092_440 <unknown description>
+DIVFLVDGSYSIGIANFVKVRAFLEVLVKSFEISPNRVQISLVQYSRDPHTEFTLKKFTK
+VEDIIEAINTFPYRGGSTNTGKAMTYVREKIFVPSKGSRSNVPKVMILITDGKSSDAFRD
+PAIKLRNSDVEIFAVGVKDAVRSELEAIASPPAETHVFTVEDFDAFQRI
+>Q99715_PF00041_634 <unknown description>
+PPKDLSFSEVTSYGFKTNWSPAGENVFSYHITYKEAAGDDEVTVVEPASSTSVVLSSLKP
+ETLYLVNVTAEYEDG
+>Q99715_PF00041_725 <unknown description>
+APRNLKVTDETTDSFKITWTQAPGRVLRYRIIYRPVAGGESREVTTPPNQRRRTLENLIP
+DTKYEVSVI
+>Q99715_PF00041_816 <unknown description>
+NPRDLRVSDPTTSTMKLSWSGAPGKVKQYLVTYTPVAGGETQEVTVRGDTTNTVLQGLKE
+GTQYALSVTALYASGAG
+>Q99715_PF00041_907 <unknown description>
+SPQDLVTKDITDTSIGAYWTSAPGMVRGYRVSWKSLYDDVDTGEKNLPEDAIHTMIENLQ
+PETKYRISVFATYSSGEGEP
+>Q99715_PF00041_1002 <unknown description>
+LKVDEETENTMRVTWKPAPGKVVNYRVVYRPHGRGKQMVAKVPPTVTSTVLKRLQPQTTY
+DITVLPIYKMGEGK
+>Q99715_PF00041_1089 <unknown description>
+SPRNLKTSDPTMSSFRVTWEPAPGEVKGYKVTFHPTGDDRRLGELVVGPYDNTVVLEELR
+AGTTYKVNVFGMFDGGE
+>Q99715_PF00092_1199 <unknown description>
+DIVLLVDGSWSIGRANFRTVRSFISRIVEVFDIGPKRVQIALAQYSGDPRTEWQLNAHRD
+KKSLLQAVANLPYKGGNTLTGMALNFIRQQNFRTQAGMRPRARKIGVLITDGKSQDDVEA
+PSKKLKDEGVELFAIGIKNADEVELKMIATDPDDTHAYNVADFESLSRIVD
+>Q99715_PF00041_1387 <unknown description>
+APSNLVISERTHRSFRVSWTPPSDSVDRYKVEYYPVSGGKRQEFYVSRMETSTVLKDLKP
+ETEYVVNVYSVVED
+>Q99715_PF00041_1479 <unknown description>
+SLNIYDVGPTTMHVQWQPVGGATGYILSYKPVKDTEPTRPKEVRLGPTVNDMQLTDLVPN
+TEYAVTVQAVLH
+>Q99715_PF00041_1567 <unknown description>
+PRPQDLKLRDVTHSTMNVFWEPVPGKVRKYIVRYKTPEEDVKEVEVDRSETSTSLKDLFS
+QTLYTVSVSAVHDEGESPP
+>Q99715_PF00041_1656 <unknown description>
+PAPTNLKITEVTSEGFRGTWDHGASDVSLYRITWAPFGSSDKMETILNGDENTLVFENLN
+PNTIYEVSITAIY
+>Q99715_PF00041_1755 <unknown description>
+GPRNLQVYNATSNSLTVKWDPASGRVQKYRITYQPSTGEGNEQTTTIGGRQNSVVLQKLK
+PDTPYTITVSSLYPDGEGG
+>Q99715_PF00041_1847 <unknown description>
+VRNLRVYDPSTSTLNVRWDHAEGNPRQYKLFYAPAAGGPEELVPIPGNTNYAILRNLQPD
+TSYTVTVVPVYTEGDGGR
+>Q99715_PF00041_1938 <unknown description>
+RNVQVYNPTPNSLDVRWDPAPGPVLQYRVVYSPVDGTRPSESIVVPGNTRMVHLERLIPD
+TLYSVNLVALYSDGEGNPS
+>Q99715_PF00041_2027 <unknown description>
+GPRNLRVFGETTNSLSVAWDHADGPVQQYRIIYSPTVGDPIDEYTTVPGRRNNVILQPLQ
+PDTPYKITVIAVYEDGDGG
+>Q99715_PF00041_2118 <unknown description>
+PPQNIHISDEWYTRFRVSWDPSPSPVLGYKIVYKPVGSNEPMEAFVGEMTSYTLHNLNPS
+TTYDVNVYAQYDSGL
+>Q99715_PF00041_2207 <unknown description>
+VTDLKTYQIGWDTFCVKWSPHRAATSYRLKLSPADGTRGQEITVRGSETSHCFTGLSPDT
+DYGVTVFVQTPNLEG
+>Q99715_PF00092_2323 <unknown description>
+DIVFLTDASWSIGDDNFNKVVKFIFNTVGGFDEISPAGIQVSFVQYSDEVKSEFKLNTYN
+DKALALGALQNIRYRGGNTRTGKALTFIKEKVLTWESGMRKNVPKVLVVVTDGRSQDEVK
+KAALVIQQSGFSVFVVGVADVDYNELANIASKPSERHVFIVDDFESFEKIED
+>Q99715_PF01391_2747 <unknown description>
+GPPGPPGPAGGPGAKGPRGERGISGAIGPPGPRGDIGPPGPQGPPGPQGPNG
+>Q99715_PF01391_2802 <unknown description>
+PGEQGRQGMKGDAGEPGLPGRTGTPGLPGPPGPMGPPGDRGFTGKDGAMGP
+>Q99715_PF01391_2845 <unknown description>
+GKDGAMGPRGPPGPPGSPGSPGVTGPSGKPGKPGDHGRPGPSGLKGEKGDRGDI
+>Q99715_PF01391_2941 <unknown description>
+PGPPGPPGPPGSAGARGEPGPGGRPGFPGTPGMQGPPGERGLPGEKGERG
+>O43405_PF03815_34 <unknown description>
+CFTRGLDIRKEKADVLCPGGCPLEEFSVYGNIVYASVSSICGAAVHRGVISNSGGPVRVY
+SLPGRENYSSVDANGIQSQMLSRWSASF
+>O43405_PF00092_165 <unknown description>
+DIAFLIDGSFNIGQRRFNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTS
+AKDVLFAIKEVGFRGGNSNTGKALKHTAQKFFTVDAGVRKGIPKVVVVFIDGWPSDDIEE
+AGIVAREFGVNVFIVSVAKPIPEELGMV
+>O43405_PF00092_367 <unknown description>
+NIAFLIDGSSSVGDSNFRLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTEFSFTDYST
+KENVLAVIRNIRYMSGGTATGDAISFTVRNVFGPIRESPNKNFLVIVTDGQSYDDVQGPA
+AAAHDAGITIFSVGVAWAPLDDLKDMASKPKESHAFFTREFTGLEPI
+>Q9UH73_PF16422_19 <unknown description>
+PLGSGMNAVRTWMQGAGVLDANTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLALYDRQ
+GQPVEIERTAFVGFVEKEKEANSEKTNNGIHYRLQLLYSNGIRTEQDFYVRLIDSMTKQA
+IVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDPVIIDRFFLKFFLKCNQNC
+LKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKHGRRARRL
+>Q9UH73_PF01833_262 <unknown description>
+PCIKAISPSEGWTTGGATVIIIGDNFFDGLQVIFGTMLVWSELITPHAIRVQTPPRHIPG
+VVEVTLSYKSKQFCKGTPGRF
+>Q9UH73_PF16423_347 <unknown description>
+LNEPTIDYGFQRLQKVIPRHPGDPERLPKEVILKRAADLVEALY
+>Q9HAK2_PF16422_17 <unknown description>
+KSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSNLRKSNFFHFVLALYDR
+QGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVRTEQDLYVRLIDSVTKQ
+PIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPVIIDRFFLKFFLKCNQN
+CLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHGRRARRL
+>Q9HAK2_PF01833_253 <unknown description>
+PCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHAIRVQTPPRHIPG
+VVEVTLSYKSKQFCKGA
+>Q9HAK2_PF16423_338 <unknown description>
+LNEPTIDYGFQRLQKVIPRHPGDPERLAKEMLLKRAADLVEALY
+>Q9BQW3_PF16422_25 <unknown description>
+LGSVRSWMQGAGILDASTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLAMYDRQGQPVE
+VERTAFIDFVEKDREPGAEKTNNGIHYRLRLVYNNGLRTEQDLYVRLIDSMSKQAIIYEG
+QDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNAG
+NPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSKHGRRARRL
+>Q9BQW3_PF01833_256 <unknown description>
+PCIKAISPGEGWTTGGATVIVIGDNFFDGLQVVFGNVLVWSELITPHAIRVQTPPRHIPG
+VVEVTLSYKSKQF
+>Q9BQW3_PF16423_341 <unknown description>
+LNEPTIDYGFQRLQKVIPRHPGDPERLPKEVLLKRAADLAEALY
+>Q05707_PF00041_32 <unknown description>
+PPTRLRYNVISHDSIQISWKAPRGKFGGYKLLVTPTSGGKTNQLNLQNTATKAIIQGLMP
+DQNYTVQIIAYNKDKES
+>Q05707_PF00092_158 <unknown description>
+DIVILVDGSWSIGRFNFRLVRHFLENLVTAFDVGSEKTRIGLAQYSGDPRIEWHLNAFST
+KDEVIEAVRNLPYKGGNTLTGLALNYIFENSFKPEAGSRTGVSKIGILITDGKSQDDIIP
+PSRNLRESGVELFAIGVKNADVNELQEIASEPDSTHVYNVAEFDLMHTVV
+>Q05707_PF00041_355 <unknown description>
+PPTELITSEVTARSFMVNWTHAPGNVEKYRVVYYPTRGGKPDEVVVDGTVSSTVLKNLMS
+LTEYQIAVFAIYAHTA
+>Q05707_PF00041_449 <unknown description>
+LLLYDVTENSMRVKWDAVPGASGYLILYAPLTEGLAGDEKEMKIGETHTDIELSGLLPNT
+EYTVTVYAMFGE
+>Q05707_PF00041_536 <unknown description>
+SPPRNLRISNVGSNSARLTWDPTSRQINGYRIVYNNADGTEINEVEVDPITTFPLKGLTP
+LTEYTIAIFSIYDEGQSE
+>Q05707_PF00041_628 <unknown description>
+QYLEIDEVTTDSFRVTWHPLSADEGLHKLMWIPVYGGKTEEVVLKEEQDSHVIEGLEPGT
+EYEVSLLAVLDDG
+>Q05707_PF00041_739 <unknown description>
+RNLVVGDETTSSLRVKWDISDSDVQQFRVTYMTAQGDPEEEVIGTVMVPGSQNNLLLKPL
+LPDTEYKVTVTPIYTDGEG
+>Q05707_PF00041_831 <unknown description>
+GPQNLRVSEEWYNRLRITWDPPSSPVKGYRIVYKPVSVPGPTLETFVGADINTILITNLL
+SGMDYNVKIFASQASG
+>Q05707_PF00041_922 <unknown description>
+VTNLQAKHVEMTSLCAHWQVHRHATAYRVVIESLQDRQKQESTVGGGTTRHCFYGLQPDS
+EYKISVYTKLQEIEG
+>Q05707_PF00092_1032 <unknown description>
+DLVFMVDGSWSIGDENFNKIISFLYSTVGALNKIGTDGTQVAMVQFTDDPRTEFKLNAYK
+TKETLLDAIKHISYKGGNTKTGKAIKYVRDTLFTAESGTRRGIPKVIVVITDGRSQDDVN
+KISREMQLDGYSIFAIGVADADYSELVSIGSKPSARHVFFVDDFDAFKKIED
+>Q05707_PF01391_1462 <unknown description>
+GPAGPPGGPGLRGPKGQQGEPGPKGPDGPRGEIGLPGPQGPPGPQGPSG
+>Q05707_PF01391_1514 <unknown description>
+QGMPGMPGEKGEKGDTGLPGPQGIPGGVGSPGRDGSPGQRGLPGKDGSSGPPGPPGP
+>Q05707_PF01391_1554 <unknown description>
+GLPGKDGSSGPPGPPGPIGIPGTPGVPGITGSMGPQGALGPPGVPGAKGERGERGD
+>Q05707_PF01391_1653 <unknown description>
+QGPPGEPGRPGSPGAPGEQGPPGTPGFPGNAGVPGTPGERGLTGIKGEKGNPG
+>P23528_PF00241_27 <unknown description>
+EEVKKRKKAVLFCLSEDKKNIILEEGKEILVGDVGQTVDDPYATFVKMLPDKDCRYALYD
+ATYETKESKKEDLVFIFWAPESAPLKSKMIYASSKDAIKKKLTGIKHELQANCYEEVKDR
+>Q9Y281_PF00241_27 <unknown description>
+EEIKKRKKAVLFCLSDDKRQIIVEEAKQILVGDIGDTVEDPYTSFVKLLPLNDCRYALYD
+ATYETKESKKEDLVFIFWAPESAPLKSKMIYASSKDAIKKKFTGIKHEWQVNGLDDIKDR
+>P39059_PF13385_88 <unknown description>
+FRDFAISVVVKPSSTRGGVLFAITDAFQKVIYLGLRLSGVEDGHQRIILYYTEPGSHVSQ
+EAAAFSVPVMTHRWNRFAMIVQGEEVTLLVNCEEHSRIPFQRSSQALAFESSAGIFMGNA
+GATGLERFTGSLQQLTVHPDPRTPEEL
+>P39059_PF01391_619 <unknown description>
+GPPGPPGPPGLPGIPGKPGTDVFMGPPGSPGEDGPAGEPGPPGPEGQPGVDGATGLPGMK
+GE
+>P39059_PF01391_673 <unknown description>
+GLPGMKGEKGARGPNGSVGEKGDPGNRGLPGPPGKKGQAGPPGVMGPPGPPGPPGPPGP
+>P39059_PF01391_823 <unknown description>
+GPPGPDGLPGLPGFPGPRGPKGDTGLPGFPGLKGEQGEKGEPG
+>P39059_PF01391_879 <unknown description>
+GKKGEPGMHGAPGPMGPKGPPGHKGEFGLPGRPGRPGLNGLKGTKGDPG
+>P39059_PF20010_1135 <unknown description>
+VTAFSNMDDMLQKAHLVIEGTFIYLRDSTEFFIRVRDGWKKLQLGELIP
+>P39059_PF06482_1218 <unknown description>
+LHLAALNMPFSGDIRADFQCFKQARAAGLLSTYRAFLSSHLQDLSTIVRKAERYSLPIVN
+LKGQVLFNNWDSIFSGHGGQFNMHIPIYSFDGRDIMTDPSWPQKVIWHGSSPHGVRLVDN
+YCEAWRTADTAVTGLASPLSTGKILDQKAYSCANRLIVLCIENSFM
+>Q8WTW3_PF08700_17 <unknown description>
+DPAALFETHGAEEIRGLERQVRAEIEHKKEELRQMVGERYRDLIEAADTIGQMRRCAVGL
+VDAVKATDQYCA
+>Q14746_PF06148_15 <unknown description>
+LCFDKDEFMKEDFDVDHFVSDCRKRVQLEELRDDLELYYKLLKTAMVELINKDYADFVNL
+STNLVGMDKALNQLSVPLGQLREEVLSLRSSVSEGIRAVDERMSKQEDIRKKKMCVLRLI
+QVIRSVEKIEKI
+>Q14746_PF12022_574 <unknown description>
+DLSDSCFGFLKSALEVPRLYRRTNKEVPTTASSYVDSALKPLFQLQSGHKDKLKQAIIQQ
+WLEGTLSESTHKYYETVSDVLNSVKKMEESLKRLKQARKTTPANPVGPSGGMSDDDKIRL
+QLALDV
+>Q96JB2_PF04136_131 <unknown description>
+RDYLSGFQEQCDAILNDVNSALQHLESLQKQYLFVSNKTGTLHEACEQLLKEQSELVDLA
+ENIQQKLSYFNELETINTKLNSPTLSVNSDGFIPMLAKLDDCITYISSHPNFKDYPIYLL
+KFKQCLSKALHLMKTYTVNTLQTLTSQ
+>Q9UP83_PF10392_35 <unknown description>
+SDFLNEDFDVKTYTSQSIHQAVIAEQLAKLAQGISQLDRELHLQVVARHEDLLAQATGIE
+SLEGVLQMMQTRIGALQGAVDRIKAKIVEPYNKIVARTAQLARLQVACDLLRRIIRILNL
+SKRL
+>Q9Y2V7_PF06419_56 <unknown description>
+ALKALSTFFVENSLRTRRNLRGDIERKSLAINEEFVSIFKEVKEELESISEDVQAMSNCC
+QDMTSRLQAAKEQTQDLIVKTTKLQSESQKLEIRAQVADAFLSKFQLTSDEMSLLRGTRE
+GPITEDFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETAYERLYRWAQSECR
+TLTQESCDVSPVLTQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPR
+PIEMHSHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTTQGVEENIQEVVGHITEGVCR
+PLKVRIEQVIVAEPGAVLLYKISNLLKFYHHTISGIVGNSATALLTTIEEMHLLSKKIFF
+NSLSLHASKLMDKVELPPPDLGPSSALNQTLMLLREVLASHDSSVVPLDARQADFVQVLS
+CVLDPLLQMCTVSASNLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDT
+LINEQASYVLTRVGLSYIYNTVQQHKPEQGSLANMPNLDSVTLKAAMVQFDRYLSAPDNL
+LIPQLNFLLSATVKEQIVKQSTELVCRAYGEVYAAVMNPINEYKDPENILHRSPQQVQTL
+L
+>P83436_PF10191_2 <unknown description>
+DFSKFLADDFDVKEWINAAFRAGSKEAASGKADGHAATLVMKLQLFIQEVNHAVEETSHQ
+ALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQLA
+AESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEALK
+NRLEALASPQIVAAFTSQAVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLAAWQELCQ
+SDLSLDRQLTGLYDALLGAWHTQIQWATQVFQKPHEVVMVLLIQTLGALMPSLPSCLSNG
+VERAGPEQELTRLLEFYDATAHFAKGLEMALLPHLHEHNLVKVTELVDAVYDPYKPYQLK
+YGDMEESNLLIQMSAVPLEHGEVIDCVQELSHSVNKLFGLASAAVDRCVRFTNGLGTCGL
+LSALKSLFAKYVSDFTSTLQSIRKKCKLDHIPPNSLFQEDWTAFQNSIRIIATCGELLRH
+CGDFEQQLANRILSTAGKYLSDSCSPRSLAGFQESILTDKKNSAKNPWQEYNYLQKDNPA
+EYASLMEILYTLKEKGSSNHNLLAAPRAALTRLNQQAHQLAFDSVFLRIKQQLLLISKMD
+SWNTAGIGETLTDELPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGKL
+PFPPEQGDELPELDNMADNWLGSIARATMQTYCDAILQIPELSPHSAKQLATDIDYLINV
+MDALGLQPSRTLQHIVTLLKTRPEDYRQVSKGLPRRLATTVATMR
+>Q96MW5_PF04124_50 <unknown description>
+LRELSGSGLERLRREPERLAEERAQLLQQTRDLAFANYKTFIRGAECTERIHRLFGDVEA
+SLGRLLDRLPSFQQSCRNFVKEAEEISSNRRMNSLTLNRHTEILEILEIPQLMDTCVRNS
+YYEEALELAAYVRRLERKYSSIPVIQGIVNEVRQSMQLMLSQLIQQLRTNIQLPACLRVI
+GYLRRMDVFTEAELRVKFLQARDAWLRSILTAIPNDDPYFHITKTIEASRVHLFDIITQY
+RAIFSDEDPLLPPAMGEHTVNESAIFHGWVLQKVSQFLQVLETDLYRGIGGHLDSLLGQC
+MYFGLSFSRVGADFRGQLAPVFQRVAISTFQKAIQETVE
+>Q07092_PF01391_375 <unknown description>
+GPKGEKGESGALGPSGLPGSTGEKGQKGEKGDGGIKGVPGKPGRDGRPG
+>Q07092_PF01391_573 <unknown description>
+GASGDVGSPGFGLPGLPGRAGVPGLKGEKGNFGEAGPAGSPGPPGPVGPAGIKGAKGEPC
+E
+>Q07092_PF01391_667 <unknown description>
+GLPGKQGKAGERGLKGQKGDAGNPGDPGTPGTTGRPGLSGEPGVQGPAGPKGEKG
+>Q07092_PF01391_788 <unknown description>
+GVQGPQGEPGAPGLPGIQGLPGPRGPPGPTGEKGAQGSPGVKGATGPVGPPGA
+>Q07092_PF01391_1018 <unknown description>
+GSPGLPGPPGLPGQRGEEGPPGMRGSPGPPGPIGPPGFPGAVGSPGLPGLQGERGLTG
+>Q07092_PF01391_1472 <unknown description>
+PGRPGPPGKDGAPGRPGAPGSPGLPGQIGREGRQGLPGVRGLPGTKGEKGDIG
+>Q9UMD9_PF01391_567 <unknown description>
+GSPGPKGDMGSPGPKGDRGFPGTPGIPGPLGHPGPQGPKGQKGSVGDPGMEGPMGQRG
+>Q9UMD9_PF01391_749 <unknown description>
+GHQGPRGEQGLTGMPGIRGPPGPSGDPGKPGLTGPQGPQGLPGTPGRPGIKGEPGAPGK
+>Q9UMD9_PF01391_1438 <unknown description>
+GPPGQKGEMGTPGPKGDRGPAGPPGHPGPPGPRGHKGEKGDKGDQ
+>P39060_PF01391_387 <unknown description>
+GPQGPPGPPGRDGTPGRDGEPGDPGEDGKPGDTGPQGFPGTPGDVGPKGDKGDPG
+>P39060_PF01391_517 <unknown description>
+GPAGLPGVPGREGPPGFPGLPGPPGPPGREGPPGRTGQKGSLGEAGAPGHKGSKGAPG
+>P39060_PF01391_629 <unknown description>
+DGPQGPPGLPGLKGDPGVPGLPGAKGEVGADGVPGFPGLPGREGIAGPQGP
+>P39060_PF01391_657 <unknown description>
+GADGVPGFPGLPGREGIAGPQGPKGDRGSRGEKGDPGKDGVGQPGLPGPPGPPGP
+>P39060_PF01391_780 <unknown description>
+QKGAKGEPGFRGPPGPYGRPGYKGEIGFPGRPGRPGMNGLKGEKGEPGD
+>P39060_PF20010_1030 <unknown description>
+VRLWATRQAMLGQVHEVPEGWLIFVAEQEELYVRVQNGFRKVQLEARTP
+>P39060_PF06482_1166 <unknown description>
+LHLVALNSPLSGGMRGIRGADFQCFQQARAVGLAGTFRAFLSSRLQDLYSIVRRADRAAV
+PIVNLKDELLFPSWEALFSGSEGPLKPGARIFSFDGKDVLRHPTWPQKSVWHGSDPNGRR
+LTESYCETWRTEAPSATGQASSLLGGRLLGQSAASCHHAYIVLCIENSFM
+>P38432_PF15862_7 <unknown description>
+VRLRLQFDYPPPATPHCTAFWLLVDLNRCRVVTDLISLIRQRFGFSSGAFLGLYLEGGLL
+PPAESARLVRDNDCLRVKLEERGVAENSVVISNGDINLSLRKAKKRAFQLEEGEETEPDC
+KYSKKHWKSRENNNNNEKVLDLEPKAVTDQTVSKKNKRKNKA
+>Q14993_PF01391_292 <unknown description>
+GEAGLPGAPGSPGQKGHKGEPGENGLHGAPGFPGQKGEQGFEGSKGETGEKGEQGEKG
+>Q14993_PF01391_334 <unknown description>
+GSKGETGEKGEQGEKGDPALAGLNGENGLKGDLGPHGPPGPKGEKGDTGPPGPPALPG
+>Q14993_PF01391_379 <unknown description>
+GDTGPPGPPALPGSLGIQGPQGPPGKEGQRGRRGKTGPPGKPGPPGPPGPPGIQG
+>Q14993_PF01391_474 <unknown description>
+GPKGQKGEPGEPFTKGEKGDRGEPGVIGSQGVKGEPGDPGPPG
+>Q14993_PF01391_568 <unknown description>
+GPPGLPGPKGEAGPPGKSLPGEPGLDGNPGAPGPRGPKGERGLPGVHGSPGDIGPQG
+>Q14993_PF01391_626 <unknown description>
+GIPGRTGAQGPAGEPGIQGPRGLPGLPGTPGTPGNDGVPGRDGKPGLPGPPGD
+>Q14993_PF01391_756 <unknown description>
+GIPGEKGDEGLQGIPGIPGAPGPTGPPGLMGRTGHPGPTGAKGEKGSDGPPGKPGPPGP
+>Q14993_PF01391_845 <unknown description>
+GPPGPKGDPGPVGEPGAMGLPGLEGFPGVKGDRGPAGPPGIAGMSGKPGAPGPPGVPGE
+>Q14993_PF01391_890 <unknown description>
+GKPGAPGPPGVPGEPGERGPVGDIGFPGPEGPSGKPGINGKDGIPGAQGIMGKPGDRG
+>Q14993_PF01391_947 <unknown description>
+GPKGERGDQGIPGDRGSQGERGKPGLTGMKGAIGPMGPPGNKGSMGSPGHQGPPGSPG
+>Q9P218_PF00092_179 <unknown description>
+DMVFLVDGSWSIGHSHFQQVKDFLASVIAPFEIGPDKVQVGLTQYSGDAQTEWDLNSLST
+KEQVLAAVRRLRYKGGNTFTGLALTHVLGQNLQPAAGLRPEAAKVVILVTDGKSQDDVHT
+AARVLKDLGVNVFAVGVKNADEAELRLLASPPRDITVHSVLDFLQL
+>Q9P218_PF00041_378 <unknown description>
+PAPTSLVLSQVTSSSIRLSWTPAPRHPLKYLIVWRASRGGTPREVVVEGPAASTELHNLA
+SRTEYLVSVFPIYEGGVG
+>Q9P218_PF00041_468 <unknown description>
+PPPRALTLAAVTPRTVHLTWQPSAGATHYLVRCSPASPKGEEEEREVQVGRPEVLLDGLE
+PGRDYEVSVQSLRGP
+>Q9P218_PF00041_559 <unknown description>
+PPRHLGFSDVSHDAARVFWEGAPRPVRLVRVTYVSSEGGHSGQTEAPGNATSATLGPLSS
+STTYTVRVTCLYPG
+>Q9P218_PF00041_648 <unknown description>
+PSPSQLSMTELPGDAVQLAWVAAAPSGVLVYQITWTPLGEGKAHEISVPGNLGTAVLPGL
+GRHTEYDVTILAYYRDG
+>Q9P218_PF00041_742 <unknown description>
+SPPSNLALASETPDSLQVSWTPPLGRVLHYWLTYAPASGLGPEKSVSVPGARSHVTLPDL
+QAATKYRVLVSAIYAAGRS
+>Q9P218_PF01391_1071 <unknown description>
+PGPPGPQGPPGLPGRNGTPGEQGFPGPRGPPGVKGEKGDHGLPGLQGHPGHQGIPGR
+>Q9P218_PF01391_1133 <unknown description>
+PKGMRGLEGTAGLPGPPGPRGFQGMAGARGTSGERGPPGTVGPTGLPGPKGERGEKGE
+>Q9Y6Z7_PF01391_46 <unknown description>
+PGPKGDDGEKGDPGEEGKHGKVGRMGPKGIKGELGDMGDQGNIGKTGPIG
+>Q9Y6Z7_PF01391_62 <unknown description>
+GKHGKVGRMGPKGIKGELGDMGDQGNIGKTGPIGKKGDKGEKGLLGIPGEKGKAGT
+>Q9Y6Z7_PF00059_166 <unknown description>
+EKNYRESLTHCRIRGGMLAMPKDEAANTLIADYVAKSGFFRVFIGVNDLEREGQYMFTDN
+TPLQNYSNWNEGEPSDPYGHEDCVEMLSSGRWNDTECHLTMYFVCEF
+>Q9BWP8_PF01391_41 <unknown description>
+GLKGDAGEKGDKGAPGRPGRVGPTGEKGDMGDKGQKGSVGRHGKIGPIGSKG
+>Q9BWP8_PF01391_62 <unknown description>
+GPTGEKGDMGDKGQKGSVGRHGKIGPIGSKGEKGDSGDIGPPGPNGEPGL
+>Q9BWP8_PF00059_160 <unknown description>
+EKRYADAQLSCQGRGGTLSMPKDEAANGLMAAYLAQAGLARVFIGINDLEKEGAFVYSDH
+SPMRTFNKWRSGEPNNAYDEEDCVEMVASGGWNDVACHTTMYFMCEF
+>Q5KU26_PF01391_443 <unknown description>
+GPPGPRGPRGDRGSQGPPGPTGNKGQKGEKGEPGPPGPAGERGPIGPAGPPGERG
+>Q5KU26_PF01391_530 <unknown description>
+GPPGKEGLPGPQGPPGFQGLQGTVGEPGVPGPRGLPGLPGVPGMPGPKGPPGPPGPSGA
+>Q5KU26_PF00059_625 <unknown description>
+KEIFEDAKLFCEDKSSHLVFINTREEQQWIKKQMVGRESHWIGLTDSERENEWKWLDGTS
+PDYKNWKAGQPDNWGHGHGPGEDCAGLIYAGQWNDFQCEDVNNFICEK
+>Q96P44_PF00092_37 <unknown description>
+DLVFILDGSYSVGPENFEIVKKWLVNITKNFDIGPKFIQVGVVQYSDYPVLEIPLGSYDS
+GEHLTAAVESILYLGGNTKTGKAIQFALDYLFAKSSRFLTKIAVVLTDGKSQDDVKDAAQ
+AARDSKITLFAIGVGSETEDAELRAIANKPSSTYVFYVEDYIAISKIR
+>Q96P44_PF01391_448 <unknown description>
+PGKPGLQGPKGDPGLPGNPGYPGQPGQDGKPGYQGIAGTPGVPGSPGIQGARG
+>Q96P44_PF01391_486 <unknown description>
+TPGVPGSPGIQGARGLPGYKGEPGRDGDKGDRGLPGFPGLHGMPGSKGEMGAKGDKG
+>Q96P44_PF01391_536 <unknown description>
+GAKGDKGSPGFYGKKGAKGEKGNAGFPGLPGPAGEPGRHGKDGLMGSPGFKGEAGSPGA
+>Q96P44_PF01391_681 <unknown description>
+SPGEPGYMGLPGIQGKKGDKGNQGEKGIQGQKGENGRQGIPGQQGIQGHHGAK
+>Q96P44_PF01391_731 <unknown description>
+GAKGERGEKGEPGVRGAIGSKGESGVDGLMGPAGPKGQPGDPGPQGPPGLDGKPGRE
+>Q96P44_PF01391_825 <unknown description>
+GSPGIPGPPGPIGPEGPRGLPGLPGRDGVPGLVGVPGRPGVRGLKGLPGRNGEKGSQG
+>P01189_PF08384_27 <unknown description>
+WCLESSQCQDLTTESNLLECIRACKPDLSAETPMFPGNGDEQPL
+>P01189_PF00976_74 <unknown description>
+PRKYVMGHFRWDRFGRRN
+>P01189_PF00976_136 <unknown description>
+KRSYSMEHFRWGKPVGKKRR
+>P01189_PF00976_219 <unknown description>
+GPYRMEHFRWGSPPKDKR
+>P01189_PF08035_237 <unknown description>
+YGGFMTSEKSQTPLVTLFKNAIIKNAYK
+>P04118_PF01114_21 <unknown description>
+PRGIIINLENGELCMNSAQCKSNCCQHSSALGLARCTSMA
+>P04118_PF02740_63 <unknown description>
+NSECSVKTLYGIYYKCPCERGLTCEGDKTIVGSITNTNFGICHD
+>Q8NFW1_PF00092_38 <unknown description>
+DLVFLLDTSSSVGKEDFEKVRQWVANLVDTFEVGPDRTRVGVVRYSDRPTTAFELGLFGS
+QEEVKAAARRLAYHGGNTNTGDALRYITARSFSPHAGGRPRDRAYKQVAILLTDGRSQDL
+VLDAAAAAHRAGIRIFAVGVGEALKEELEEIASEPKSAHVFHVSDFNAIDKI
+>Q8NFW1_PF01391_539 <unknown description>
+GPPGRDGSKGMRGEPGELGEPGLPGEVGMRGPQGPPGLPGPPGRVGAPGLQGERGEKG
+>Q8NFW1_PF01391_566 <unknown description>
+GMRGPQGPPGLPGPPGRVGAPGLQGERGEKGTRGEKGERGLDGFPGKPGDTGQQGRPGP
+>Q8NFW1_PF01391_714 <unknown description>
+GPPGPPGVPGPPGPGGSPGLPGEIGFPGKPGPPGPTGPPGKDGPNGPPGPPGTKGEPGE
+>Q8NFW1_PF01391_750 <unknown description>
+GPPGKDGPNGPPGPPGTKGEPGERGEDGLPGKPGLRGEIGEQGLAGRPGEKGEAGLPG
+>Q8NFW1_PF01391_798 <unknown description>
+GEKGEAGLPGAPGFPGVRGEKGDQGEKGELGLPGLKGDRGEKGEAGPAGPPGLPGT
+>Q8NFW1_PF01391_865 <unknown description>
+GEQGPKGEKGDPGLPGEPGLQGRPGELGPQGPTGPPGAKGQEGAHGAPGAAGNPGA
+>Q8NFW1_PF01391_1045 <unknown description>
+GVAGPPGPSGPPGDKGSPGSRGLPGFPGPQGPAGRDGAPGNPGERGPPGKPG
+>Q8NFW1_PF01391_1118 <unknown description>
+PPGPPGLPGLPGFKGDKGVPGKPGREGTEGKKGEAGPPGLPGPPGIAGPQGSQGERG
+>Q8NFW1_PF01391_1159 <unknown description>
+GPPGIAGPQGSQGERGADGEVGQKGDQGHPGVPGFMGPPGNPGPPGADGIAGAAGP
+>Q8NFW1_PF01391_1249 <unknown description>
+GKPGPPGEPGKAGEPGLPGPEGARGPPGFKGHTGDSGAPGPRGESGAMGLPGQEGLPGK
+>Q8NFW1_PF01391_1300 <unknown description>
+GQEGLPGKDGDTGPTGPQGPQGPRGPPGKNGSPGSPGEPGPSGTPGQKGSKGENGSPG
+>Q8NFW1_PF01391_1402 <unknown description>
+GDKGPPGGKGQPGDPGIPGHKGHTGLMGPQGLPGENGPVGPPGPPGQPGFPGLRGE
+>Q8NFW1_PF01391_1496 <unknown description>
+QGRPGPPGPPGKDGLPGRAGPMGEPGRPGQGGLEGPSGPIGPKGERGAKGDPGAPG
+>Q8N668_PF17221_7 <unknown description>
+EGGKPLSGLLNALAQDTFHGYPGITEELLRSQLYPEVPPEEFRPFLAKMRGILKSIASAD
+MDFNQLEAFLTAQTKKQGGITSDQAAVISKFWKSHKTKIRE
+>Q8N668_PF07258_118 <unknown description>
+GLRGLSWRVDGKSQSRHSAQIHTPVAIIELELGKYGQESEFLCLEFDEVKVNQILKTLSE
+>Q86X83_PF07258_120 <unknown description>
+SLPSYHNLEWRLDVQLASRSLRQQIKPAVTIKLHLNQNGDHNTKVLQTDPATLLHLVQQL
+EQA
+>Q9UBI1_PF07258_121 <unknown description>
+SLPHITDVSWRLEYQIKTNQLHRMYRPAYLVTLSVQNTDSPSYPEISFSCSMEQLQDLVG
+KLKDA
+>Q9H0A8_PF07258_128 <unknown description>
+MNRLAGVGWRVDYTLSSSLLQSVEEPMVHLRLEVAAAPGTPAQPVAMSLSADKFQVLLAE
+LKQA
+>Q9GZQ3_PF07258_148 <unknown description>
+WLPHVADFRWRVDVAISTSALARSLQPSVLMQLKLSDGSAYRFEVPTAKFQELRYSV
+>Q7Z4G1_PF07258_17 <unknown description>
+NQLVDFQWKLGMAVSSDTCRSLKYPYVAVMLKVADHSGQVKTKCFEMTIPQFQNFYRQFK
+EI
+>Q86VX2_PF07258_131 <unknown description>
+INQLIDMEWKFGVTSGSSELEKVGSIFLQLKLVVKKGNQTENVYIELTLPQFYSFLHEME
+R
+>Q9NX08_PF07258_113 <unknown description>
+SSAQLQDFDWQVKLALSSDKIAALRMPLLSLHLDVKENGEVKPYSIEMSREELQNLIQSL
+EAA
+>Q9P000_PF07258_119 <unknown description>
+SLPRLVDLDWRVDIKTSSDSISRMAVPTCLLQMKIQEDPSLCGDKPSISAVTVELSKETL
+DTMLDGLGRI
+>Q9Y6G5_PF07258_131 <unknown description>
+PCKLETVGWQLNLQMAHSAQAKLKSPQAVLQLGVNNEDSKSLEKVLVEFSHKELFDFYNK
+LETI
+>P49747_PF11598_33 <unknown description>
+QMLRELQETNAALQDVRELLRQQVREITFLKNTVMECDACG
+>P49747_PF07645_127 <unknown description>
+DVNECNAHPCFPRVRCINTSPGFRCEACPPGYSGP
+>P49747_PF07645_180 <unknown description>
+DINECETGQHNCVPNSVCINTRGSFQCGPCQPGFVGDQASGC
+>P49747_PF02412_301 <unknown description>
+EDVDRDGIGDACDPDADGDGVPNEKDNCPLVRNPDQ
+>P49747_PF02412_360 <unknown description>
+KDTDQDGRGDACDDDIDGDRIRNQADNCPRVPNSDQ
+>P49747_PF02412_420 <unknown description>
+DVDHDFVGDACDSDQDQDGDGHQDSRDNCPTVPNSAQ
+>P49747_PF02412_457 <unknown description>
+EDSDHDGQGDACDDDDDNDGVPDSRDNCRLVPNPGQ
+>P49747_PF02412_493 <unknown description>
+EDADRDGVGDVCQDDFDADKVVDKIDVCPENAEVT
+>P49747_PF05735_546 <unknown description>
+QIDPNWVVLNQGREIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTVTDDDYAGFIFGYQD
+SSSFYVVMWKQMEQTYWQANPFRAVAEPGIQLKAVKSSTGPGEQLRNALWHTGDTESQVR
+LLWKDPRNVGWKDKKSYRWFLQHRPQVGYIRVRFYEGPELVADSNVVLDTTMRGGRLGVF
+CFSQENIIWANLRYRCND
+>P21964_PF01596_77 <unknown description>
+EAIDTYCEQKEWAMNVGDKKGKIVDAVIQEHQPSVLLELGAYCGYSAVRMARLLSPGARL
+ITIEINPDCAAITQRMVDFAGVKDKVTLVVGASQDIIPQLKKKYDVDTLDMVFLDHWKDR
+YLPDTLLLEECGLLRKGTVLLADNVICPG
+>Q86Y22_PF01391_120 <unknown description>
+PGPPGRRGKPGRRGDPGPPGQSGRDGYPGPLGLDGKPGLPGPKGEKGAPGDFG
+>Q86Y22_PF01391_199 <unknown description>
+GDTGKDGPRGAQGPAGPKGEPGQDGEMGPKGPPGPKGEPGVPGKKGDDGTPSQPGPPG
+>Q86Y22_PF01391_251 <unknown description>
+QPGPPGPKGEPGSMGPRGENGVDGAPGPKGEPGHRGTDGAAGPRGAPGLKGEQGD
+>Q86Y22_PF01391_321 <unknown description>
+GPPGPQGPPGPPGIPGAKGELGLPGAPGIDGEKGPKGQKGDPGEPGPAGLKGEAG
+>Q86Y22_PF01391_342 <unknown description>
+GLPGAPGIDGEKGPKGQKGDPGEPGPAGLKGEAGEMGLSGLPGADGLKGEKGESA
+>Q86Y22_PF01391_412 <unknown description>
+GPPGPPGPPGPMGLQGIQGPKGLDGAKGEKGASGERGPSGLPGPVGPPGLIGLPGTKGE
+>Q86Y22_PF01391_463 <unknown description>
+GLPGTKGEKGRPGEPGLDGFPGPRGEKGDRSERGEKGERGVPGRKGVKGQKGEPGPPG
+>Q17RW2_PF01391_489 <unknown description>
+GPKGDTGPPGPPGPAGIPGPSGKRGPRGIPGPHGNPGLPGLPGPKGPKGDPG
+>Q17RW2_PF01391_548 <unknown description>
+PGEKGDQGLSGLMGPPGMQGDKGLKGHPGLPGLPGEQGIPGFAGNIGSPGYPGR
+>Q17RW2_PF01391_597 <unknown description>
+GYPGRQGLAGPEGNPGPKGAQGFIGSPGEAGQLGPEGERGIPGIRGKKGFKGRQG
+>Q17RW2_PF01391_741 <unknown description>
+GPPGMRGKSGPSGQTGDPGLQGPSGPPGPEGFPGDIGIPGQNGPEGPKGLLGNRGPPG
+>Q17RW2_PF01391_753 <unknown description>
+GQTGDPGLQGPSGPPGPEGFPGDIGIPGQNGPEGPKGLLGNRGPPGPPGLKGTQGEEGP
+>Q17RW2_PF01391_795 <unknown description>
+GPPGPPGLKGTQGEEGPIGAFGELGPRGKPGQKGYAGEPGPEGLKGEVGDQGN
+>Q17RW2_PF01391_804 <unknown description>
+GTQGEEGPIGAFGELGPRGKPGQKGYAGEPGPEGLKGEVGDQGNIGKIGETGPVGLPGE
+>Q17RW2_PF01391_858 <unknown description>
+GLPGEVGMTGSIGEKGERGSPGPLGPQGEKGVMGYPGPPGVPGPIGPL
+>Q17RW2_PF01391_1095 <unknown description>
+GQTGLPGPEGIVGIPGQRGRPGKKGDKGQIGPTGEVGSRGPPGKIGKSGPKGARGTRG
+>Q17RW2_PF01391_1137 <unknown description>
+GKIGKSGPKGARGTRGAVGHLGLMGPDGEPGIPGYRGHQGQPGPSGLPGPKGEKGYPGE
+>Q17RW2_PF01391_1201 <unknown description>
+GPPGPRGEPGPVGDQGERGEPGAEGYKGHVGVPGLRGATGQQGPPGEPGDQGEQGLKGE
+>Q17RW2_PF01391_1242 <unknown description>
+QGPPGEPGDQGEQGLKGERGSEGNKGKKGAPGPSGKPGIPGLQGLLGPKGIQGYHGA
+>Q17RW2_PF01391_1420 <unknown description>
+GPKGPIGHRGNTGPLGREGIIGPTGRTGPRGEKGFRGETGPQGPRGQPGPPGPPGAPGP
+>Q17RW2_PF01410_1514 <unknown description>
+SEEIFKTLNYLSNLLHSIKNPLGTRDNPARICKDLLNCEQKVSDGKYWIDPNLGCPSDAI
+EVFCNFSAGGQTCLPPVS
+>Q17RW2_PF01410_1600 <unknown description>
+GKVQMNFLHLLSSEATHIITIHCLNTPRWTSTQTSGPGLPIGFKGWNGQIFKVNTLLEPK
+VLSDDCKIQDGSWHKATFLFHTQEPNQLPVIEVQKLPHLKTERKYYIDSSSVCF
+>Q8NHY2_PF13923_136 <unknown description>
+CPICFDMIEEAYMTKCGHSFCYKCIHQSLEDNNRCPKC
+>Q8NHY2_PF00400_470 <unknown description>
+NSKISCISWSSYHKNLLASSDYEGTVILWD
+>Q8NHY2_PF00400_504 <unknown description>
+QRSKVYQEHEKRCWSVDFNLMDPKLLASGSDDAKVKLWS
+>Q8NHY2_PF00400_557 <unknown description>
+NVCCVKFSPSSRYHLAFGCADHCVHYYD
+>Q8NHY2_PF00400_590 <unknown description>
+QPIMVFKGHRKAVSYAKFVSGEEIVSASTDSQLKLWN
+>Q8NHY2_PF00400_632 <unknown description>
+CLRSFKGHINEKNFVGLASNGDYIACGSENNSLYLY
+>Q9BXS0_PF01391_122 <unknown description>
+AGPPGKRGKRGRRGESGPPGQPGPQGPPGPKGDKGEQGDQGP
+>Q9BXS0_PF01391_313 <unknown description>
+KGEPGESGRPGQKGEPGLPGLPGLPGIKGEPGFIGPQGEPGLPGLPGTKGERGEAGP
+>Q9BXS0_PF01391_372 <unknown description>
+RGERGEPGAPGPKGKQGESGTRGPKGSKGDRGEKGDSGAQGPRGPPGQKGDQGA
+>Q9BXS0_PF01391_448 <unknown description>
+TGPPGPPGPQGLQGPKGEQGSPGIPGMDGEQGLKGSKGDMGDPGMTGEKGGIGLPG
+>Q9BXS0_PF01391_574 <unknown description>
+GAMGEPGPRGPYGLPGKDGEPGLDGFPGPRGEKGDLGEKGEKGFRGVKGEKGEPGQPG
+>P53621_PF00400_45 <unknown description>
+DKFDEHDGPVRGIDFHKQQPLFVSGGDDYKIKVWN
+>P53621_PF00400_84 <unknown description>
+RCLFTLLGHLDYIRTTFFHHEYPWILSASDDQTIRVWN
+>P53621_PF00400_126 <unknown description>
+TCVCVLTGHNHYVMCAQFHPTEDLVVSASLDQTVRVWD
+>P53621_PF12894_212 <unknown description>
+AFHPTMPLIVSGADDRQVKIWRMNESKAWEVDTCRGHYNNVSCAVFHPRQELILSNSEDK
+SIRVWDMSKRTGVQTFRRDH
+>P53621_PF04053_343 <unknown description>
+QLDFNSSKDVAVMQLRSGSKFPVFNMSYNPAENAVLLCTRASNLENSTYDLYTIPKDADS
+QNPDAPEGKRSSGLTAVWVARNRFAVLDRMHSLLIKNLKNEITKKVQVPNCDEIFYAGTG
+NLLLRDADSITLFDVQQKRTLASVKISKVKYVIWSADMSHVALLAKHAIVICNRKLDALC
+NIHENIRVKSGAWDESGVFIYTTSNHIKYAVTTGDHGIIRTLDLPIYVTRVKGNNVYCLD
+RECRPRVLTIDPTEFKFKLALINRKYDEVLHMVRNAKLVGQSIIAYLQKKGYPEVALHFV
+KDEKTRFSLALECGNIEIALEAAKALDDKNCWEKLGEVALLQGNHQIVEMCYQRTKNFDK
+LSFLYLITGNLEKLRKMMKIAEIRKDMSGHYQNALYLGDVSERVRILKNCGQKSLAYLTA
+ATHG
+>P53621_PF06957_815 <unknown description>
+KGFFEGTIASKGKGGALAADIDIDTVGTEGWGEDAELQLDEDGFVEATEGLGDDALGKGQ
+EEGGGWDVEEDLELPPELDISPGAAGGAEDGFFVPPTKGTSPTQIWCNNSQLPVDHILAG
+SFETAMRLLHDQVGVIQFGPYKQLFLQTYARGRTTYQALPCLPSMYGYPNRNWKDAGLKN
+GVPAVGLKLNDLIQRLQLCYQLTTVGKFEEAVEKFRSILLSVPLLVVDNKQEIAEAQQLI
+TICREYIVGLSVETERKKLPKETLEQQKRICEMAAYFTHSNLQPVHMILVLRTALNLFFK
+LKNFKTAATFARRLLELGPKPEVAQQTRKILSACEKNPTDAYQLNYDMHNPFDICAASYR
+PIYRGKPVEKCPLSGACYSPEFKGQICRVTTVTEIGKDVIGLRISPLQFR
+>P35606_PF00400_92 <unknown description>
+VHMFEAHSDYIRCIAVHPTQPFILTSSDDMLIKLWD
+>P35606_PF00400_132 <unknown description>
+WSCSQVFEGHTHYVMQIVINPKDNNQFASASLDRTIKVW
+>P35606_PF00400_177 <unknown description>
+PNFTLEGHEKGVNCIDYYSGGDKPYLISGADDRLVKIWD
+>P35606_PF00400_220 <unknown description>
+TCVQTLEGHAQNVSCASFHPELPIIITGSEDGTVRIW
+>P35606_PF04053_319 <unknown description>
+HSEVQQANLKAMGDAEIKDGERLPLAVKDMGSCEIYPQTIQHNPNGRFVVVCGDGEYIIY
+TAMALRNKSFGSAQEFAWAHDSSEYAIRESNSIVKIFKNFKEKKSFKPDFGAESIYGGFL
+LGVRSVNGLAFYDWDNTELIRRIEIQPKHIFWSDSGELVCIATEESFFILKYLSEKVLAA
+QETHEGVTEDGIEDAFEVLGEIQEIVKTGLWVGDCFIYTSSVNRLNYYVGGEIVTIAHLD
+RTMYLLGYIPKDNRLYLGDKELNIISYSLLVSVLEYQTAVMRRDFSMADKVLPTIPKEQR
+TRVAHFLEKQGFKQQALTVSTDPEHRFELALQLGELKIAYQLAVEAESEQKWKQLAELAI
+SKCQFGLAQECLHHAQDYGGLLLLATASGNANMVNKLAEGAERDGKNNVAFMSYFLQGKV
+DACLELLIRTGRLPEAAFLARTYL
+>P53618_PF01602_24 <unknown description>
+ISLKNDLEKGDVKSKTEALKKVIIMILNGEKLPGLLMTIIRFVLPLQDHTIKKLLLVFWE
+IVPKTTPDGRLLHEMILVCDAYRKDLQHPNEFIRGSTLRFLCKLKEAELLEPLMPAIRAC
+LEHRHSYVRRNAVLAIYTIYRNFEHLIPDAPELIHDFLVNEKDASCKRNAFMMLIHADQD
+RALDYLSTCIDQVQTFGDILQLVIVELIYKVCHANPSERARFIRCIYNLLQSSSPAVKYE
+AAGTLVTLSSAPTAIKAAAQCYIDLIIKESDNNVKLIVLDRLIELKEHPAHERVLQDLVM
+DILRVLSTPDLEVRKKTLQLALDLVSSRNVEELVIVLKKEVIKTNNVSEHEDTDKYRQLL
+VRTLHSCSVRFPDMAANVIPVLMEFLSDNNEAAAADVLEFVREAIQRFDNLRMLIVEKML
+EVFHAIKSVKIYRGALWILGEYCSTKEDIQSVMTEIRRSLGEIPIVESEIKKEAGELKPE
+EEITVGPVQKLVTEMGTYAT
+>P53618_PF07718_667 <unknown description>
+PDDPISFMQLTAKNEMNCKEDQFQLSLLAAMGNTQRKEAADPLASKLNKVTQLTGFSDPV
+YAEAYVHVNQYDIVLDVLVVNQTSDTLQNCTLELATLGDLKLVEKPSPLTLAPHDFANIK
+ANVKVASTENGIIFGNIVYDV
+>P53618_PF14806_813 <unknown description>
+DRNCVVLSDIHIDIMDYIQPATCTDAEFRQMWAEFEWENKVTVNTNMVDLNDYLQHILKS
+TNMKCLTPEKALSGYCGFMAANLYARSIFGEDALANVSIEKPIHQGPDAAVTGHIRIRAK
+SQGMALSLGDKI
+>P48444_PF01217_9 <unknown description>
+CTKAGKAIVSRQFVEMTRTRIEGLLAAFPKLMNTGKQHTFVETESVRYVYQPMEKLYMVL
+ITTKNSNILEDLETLRLFSRVIPEYCRALEENEISEHCFDLIFAFDEIVALGYRENVNLA
+QI
+>P48444_PF00928_270 <unknown description>
+NMESVHMKIEEKITLTCGRDGGLQNMELHGMIMLRISDDKYGRIRLHVENEDKKGVQLQT
+HPNVDKKLFTAESLIGLKNPEKSFPVNSDVGVLKWRLQTTEESFIPLTINCWPSESGNGC
+DVNIEYELQEDNLELNDVVITIPLPSGVGAPVIGEIDGEYRHDSRRNTLEWCLPVIDAKN
+KSGSLEFSIAGQPNDFFPVQVSFVSKKNYCNIQVTKVTQVDGNSPVR
+>O14579_PF04733_16 <unknown description>
+VDELFDVKNAFYIGSYQQCINEAQRVKLSSPERDVERDVFLYRAYLAQRKFGVVLDEIKP
+SSAPELQAVRMFADYLAHESRRDSIVAELDREMSRSVDVTNTTFLLMAASIYLHDQNPDA
+ALRALHQGDSLECTAMTVQILLKLDRLDLARKELKRMQDLDEDATLTQLATAWVSLATGG
+EKLQDAYYIFQEMADKCSPTLLLLNGQAACHMAQGRWEAAEGLLQEALDKDSGYPETLVN
+LIVLSQHLGKPPEVTNRYLSQLKDAHRSHPFIKEYQAKENDFDRLVLQYA
+>Q9Y678_PF01602_25 <unknown description>
+SAVLQEARVFNETPINPRKCAHILTKILYLINQGEHLGTTEATEAFFAMTKLFQSNDPTL
+RRMCYLTIKEMSCIAEDVIIVTSSLTKDMTGKEDNYRGPAVRALCQITDSTMLQAIERYM
+KQAIVDKVPSVSSSALVSSLHLLKCSFDVVKRWVNEAQEAASSDNIMVQYHALGLLYHVR
+KNDRLAVNKMISKVTRHGLKSPFAYCMMIRVASKQLEEEDGSRDSPLFDFIESCLRNKHE
+MVVYEAASAIVNLPGCSAKELAPAVSVLQLFCSSPKAALRYAAVRTLNKVAMKHPSAVTA
+CNLDLENLVTDSNRSIATLAITTLLKTGSESSIDRLMKQISSFMSEISDEFKVVVVQAIS
+ALCQKYPRKHAVLMNFLFTMLREEGGFEYKRAIVDCIISIIEENSESKETGLSHLCEFIE
+DCEFTVLATRILHLLGQEGPKTTNPSKYIRFIYNRVVLEHEEVRAGAVSALAKFGAQNEE
+MLPSILVLLKRCVMDDDNEVRDRATFYLNVLEQK
+>Q9Y678_PF08752_612 <unknown description>
+IFQEQLAAVPEFRGLGPLFKSSPEPVALTESETEYVIRCTKHTFTNHMVFQFDCTNTLND
+QTLENVTVQMEPTEAYEVLCYVPARSLPYNQPGTCYTLVALPKEDPTAVACTFSCMMKFT
+VKDCDPTTGETDDEGYEDEYVLEDLEVT
+>Q9Y678_PF16381_761 <unknown description>
+ADHIQKVMKLNFEAAWDEVGDEFEKEETFTLSTIKTLEEAVGNIVKFLGMHPCERSDKVP
+DNKNTHTLLLAGVFRGGHDILVRSRLLLLDTVTMQVTARSLEELPVDIILASV
+>Q9UBF2_PF01602_25 <unknown description>
+SAVLQEARIFNETPINPRRCLHILTKILYLLNQGEHFGTTEATEAFFAMTRLFQSNDQTL
+RRMCYLTIKEMATISEDVIIVTSSLTKDMTGKEDVYRGPAIRALCRITDGTMLQAIERYM
+KQAIVDKVSSVSSSALVSSLHMMKISYDVVKRWINEAQEAASSDNIMVQYHALGVLYHLR
+KNDRLAVSKMLNKFTKSGLKSQFAYCMLIRIASRLLKETEDGHESPLFDFIESCLRNKHE
+MVIYEAASAIIHLPNCTARELAPAVSVLQLFCSSPKPALRYAAVRTLNKVAMKHPSAVTA
+CNLDLENLITDSNRSIATLAITTLLKTGSESSVDRLMKQISSFVSEISDEFKVVVVQAIS
+ALCQKYPRKHSVMMTFLSNMLRDDGGFEYKRAIVDCIISIVEENPESKEAGLAHLCEFIE
+DCEHTVLATKILHLLGKEGPRTPVPSKYIRFIFNRVVLENEAVRAAAVSALAKFGAQNES
+LLPSILVLLQRCMMDTDDEVRDRATFYLNVLQQR
+>Q9UBF2_PF08752_611 <unknown description>
+FQEQLAAIPEFLNIGPLFKSSEPVQLTEAETEYFVRCIKHMFTNHIVFQFDCTNTLNDQL
+LEKVTVQMEPSDSYEVLSCIPAPSLPYNQPGICYTLVRLPDDDPTAVAGSFSCTMKFTVR
+DCDPNTGVPDEDGYDDEYVLEDLEVT
+>Q9UBF2_PF16381_758 <unknown description>
+SDHIQKVLKPNFAAAWEEVGDTFEKEETFALSSTKTLEEAVNNIITFLGMQPCERSDKVP
+ENKNSHSLYLAGIFRGGYDLLVRSRLALADGVTMQVTVRSKERTPVDVILASV
+>Q9NQ92_PF15340_34 <unknown description>
+AGFATADHSSQERETEKAMDRLARGTQSIPNDSPARGEGTHSEEEGFAMDEEDSDGELNT
+WELSEGTNCPPKEQPGDLFNEDWDSELKADQGNPYDADDIQESISQELKPWVCCAPQGDM
+IYDPSWHHPPPLIPYYSKMVFETGQFDDAED
+>O15431_PF04145_45 <unknown description>
+MTFYFGFKNVELLFSGLVINTAGEMAGAFVAVFLLAMFYEGLKIARESLLRKSQVSIRYN
+SMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAV
+AAGAGTGYFLF
+>O15432_PF04145_3 <unknown description>
+MHFIFSDTAVLLFDFWSVHSPAGMALSVLVLLLLAVLYEGIKVGKAKLLNQVLVNLPTSI
+SQQTIAETDGDSAGSDSFPVGRTHHRWYLCHFGQSLIHVIQVVIGYFIMLAVMSYNTWIF
+LGVVLGSAVGYYL
+>P61923_PF01217_12 <unknown description>
+TVKAILILDNDGDRLFAKYYDDTYPSVKEQKAFEKNIFNKTHRTDSEIALLEGLTVVYKS
+SIDLYFYVIGSSYENELMLMAVLNCLFDSLSQMLRKNVEKRALLENMEGLFLAVDEIVDG
+GVILESDPQQVVHRVALRGE
+>Q9P299_PF01217_45 <unknown description>
+TIKAVFILDNDGRRLLAKYYDDTFPSMKEQMVFEKNVFNKTSRTESEIAFFGGMTIVYKN
+SIDLFLYVVGSSYENELMLMSVLTCLFESLNHMLRKNVEKRWLLENMDGAFLVLDEIVDG
+GVILESDPQQVIQKVNFR
+>Q96H96_PF01040_92 <unknown description>
+PCTWSIGLAAEPGCFPDWYMLSLFGTGAILMRGAGCTINDMWDQDYDKKVTRTANRPIAA
+GDISTFQSFVFLGGQLTLALGVLLCLNYYSIALGAGSLLLVITYPLMKRISYWPQLALGL
+TFNWGALLGWSAIKGSCDPSVCLPLYFSGVMWTLIYDTIYAHQDKRDDVLIGLKSTALRF
+GENTKPWLSGFSVAMLGALSLVGVNSGQTAPYYAALGAVGAHLTHQIYTLDIHRPEDCWN
+KF
+>Q9NZJ6_PF13489_127 <unknown description>
+FIRDNLLKTIPNHQPGKPLLGMKILDVGCGGGLLTEPLGRLGASVIGIDPVDENIKTAQC
+HKSFDPVLDKRIEYRVCSLEEIVEETAETFDAVVASEVVEHVIDLETFLQCCCQVLKPGG
+SLFITTINKTQLSYALGIVFSEQIASIVPKGTHTWEKFVSPETLESILESNGLSVQ
+>Q9Y3A0_PF05019_38 <unknown description>
+YSHHLPTSPLQKGLLAAGSAAMALYNPYRHDMVAVLGETTGHRTLKVLRDQMRRDPEGAQ
+ILQERPRISTSTLDLGKLQSLPEGSLGREYLRFLDVNRVSPDTRAPTRFVDDEELAYVIQ
+RYREVHDMLHTLLGMPTNILGEIVVKWFEAVQTGLPMCILGAFFGPIRLGAQSLQVLVSE
+LIPWAVQNGRRAPCVLNLYYERRWEQSLRALREELGITAPP
+>Q5HYK3_PF01209_62 <unknown description>
+EEKGGKVYQVFESVAKKYDVMNDMMSLGIHRVWKDLLLWKMHPLPGTQLLDVAGGTGDIA
+FRFLNYVQSQHQRKQKRQLRAQQNLSWEEIAKEYQNEEDSLGGSRVVVCDINKEMLKVGK
+QKALAQGYRAGLAWVLGDAEELPFDDDKFDIYTIAFGIRNVTHIDQALQEAHRVLKPGGR
+FLCLEFSQVNNPLISRLYDLYSFQVIPVLGEVIAGDWKSYQYLVESIRRFPSQEEFKDMI
+EDAGFHKVTYESLTSGIVAIHSGFK
+>Q9Y2Z9_PF01494_194 <unknown description>
+GDGSTFQTKLLIGADGHNSGVRQAVGIQNVSWNYDQSAVVATLHLSEATENNVAWQRFLP
+SGPIALLPLSDTLSSLVWSTSHEHAAELVSMDEEKFVDAVNSAFWSDAD
+>Q9Y2Z9_PF01494_347 <unknown description>
+PLGLGHAAEYVRPRVALIGDAAHRVHPLAGQGVNMGFGDISSLAHHLSTAAFNGKDLGSV
+SHLTGYETERQRHNTALLA
+>Q99807_PF03232_49 <unknown description>
+VDRIIRVDHAGEYGANRIYAGQMAVLGRTSVGPVIQKMWDQEKDHLKKFNELMVTFRVRP
+TVLMPLWNVLGFALGAGTALLGKEGAMACTVAVEESIAHHYNNQIRTLMEEDPEKYEELL
+QLIKKFRDEELEHHDIGLDHDAELAPAYAVLKSIIQAGCRVAIYLSER
+>Q8NI60_PF03109_300 <unknown description>
+VRQSADFMPLKQMMKTLNNDLGPNWRDKLEYFEERPFAAASIGQVHLARMKGGREVAMKI
+QYPGVAQSINSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELALECDYQREAACARKFR
+DLLKGHPFFYVPEIVDELCSPHVLTTELVSGFPLDQAEGLSQEIRNEICYNILVLCLREL
+FEFHFMQTDPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQIIRAAADRDRETVR
+>Q96D53_PF03109_179 <unknown description>
+VRQSADFMPRWQMLRVLEEELGRDWQAKVASLEEVPFAAASIGQVHQGLLRDGTEVAVKI
+QYPGIAQSIQSDVQNLLAVLKMSAALPAGLFAEQSLQALQQELAWECDYRREAACAQNFR
+QLLANDPFFRVPAVVKELCTTRVLGMELAGGVPLDQCQGLSQDLRNQICFQLLTLCLREL
+FEFRFMQTDPNWANFLYDASSHQVTLLDFGASREFGTEFTDHYIEVVKAAADGDRDCVLQ
+>O75208_PF08511_210 <unknown description>
+PHNIPSSLSLLTSMVDDMWHYAGDQSTDFNWYTRRAMLAAIYNTTELVMMQDSSPDFEDT
+WRFLENRVNDAMNMGH
+>Q96A83_PF07546_53 <unknown description>
+RHWCHHTVTRTVSCQVQNGSETVVQRVYQSCRWPGPCANLVSYRTLIRPTYRVSYRTVTV
+LEWRCCPGFTG
+>Q96A83_PF01391_203 <unknown description>
+PPGQTGPPGPAGPPGSKGDRGQTGEKGPAGPPGLLGPPGPRGLPGEMGRPGPPGPPG
+>Q96A83_PF01391_302 <unknown description>
+GVPGPRGPPGPPGPPGPRGPPGPPGTPGSQGLAGERGTVGPSGEPGVKGEEGEKAATA
+>P31146_PF08953_5 <unknown description>
+VVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFVALICEASGGGAFLVLPL
+GKTGR
+>P31146_PF00400_77 <unknown description>
+VCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVW
+>P31146_PF00400_122 <unknown description>
+EPVVTLEGHTKRVGIVAWHTTAQNVLLSAGCDNVIMVWD
+>P31146_PF00400_174 <unknown description>
+VHPDTIYSVDWSRDGGLICTSCRDKRVRI
+>P31146_PF16300_345 <unknown description>
+CEPIAMTVPRKSDLFQEDLYPPTAGPDPALTAEEWLGGRDAGP
+>P31146_PF08954_410 <unknown description>
+LDTGRRRAAPEASGTPSSDAVSRLEEEMRKLQATVQELQKRLDRLEETVQAK
+>Q9BR76_PF08953_5 <unknown description>
+KVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAFLVLP
+LSKTGR
+>Q9BR76_PF00400_79 <unknown description>
+CGHTGPVLDIDWCPHNDEVIASGSEDCTVMVW
+>Q9BR76_PF00400_124 <unknown description>
+PVVVLEGHTKRVGIIAWHPTARNVLLSAGCDNVVLIWN
+>Q9BR76_PF00400_175 <unknown description>
+HPDLIYNVSWNHNGSLFCSACKDKSVRIID
+>Q9BR76_PF16300_345 <unknown description>
+CEPIVMTVPRKSDLFQDDLYPDTAGPEAALEAEEWVSGRDADP
+>Q9ULV4_PF08953_3 <unknown description>
+RVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLVLP
+LHKTGR
+>Q9ULV4_PF00400_76 <unknown description>
+VCGHTGPVLDIDWCPHNDQVIASGSEDCTVMVW
+>Q9ULV4_PF00400_122 <unknown description>
+PVVILEGHSKRVGIVAWHPTARNVLLSAGCDNAIIIWN
+>Q9ULV4_PF00400_173 <unknown description>
+HSDMIYNVSWNRNGSLICTASKDKKVRVID
+>Q9ULV4_PF16300_343 <unknown description>
+CEPIIMTVPRKSDLFQDDLYPDTAGPEAALEAEEWFEGKNADP
+>Q92828_PF08953_7 <unknown description>
+YRSSKFRHVFGKPASKENCYDSVPITRSVHDNHFCAVNPHFIAVVTECAGGGAFLVIPLH
+QTGK
+>Q92828_PF00400_80 <unknown description>
+GHRGNVLDVKWNPFDDFEIASCSEDATIKIWS
+>Q92828_PF00400_172 <unknown description>
+PMSTISCHQDVILSMSFNTNGSLLATTCKDRKIRVID
+>Q92828_PF16300_350 <unknown description>
+IEPISMIVPRRSESYQEDIYPPTAGAQPSLTAQEWLSGMNRDP
+>Q9UQ03_PF08953_12 <unknown description>
+YRSSKFRNVYGKVANREHCFDGIPITKNVHDNHFCAVNTRFLAIVTESAGGGSFLVIPLE
+QTGR
+>Q9UQ03_PF00400_85 <unknown description>
+GHQGNVLDIKWNPFIDNIIASCSEDTSVRIW
+>Q9UQ03_PF00400_170 <unknown description>
+GEPVKMIDCHTDVILCMSFNTDGSLLTTTCKDKKLRV
+>Q9UQ03_PF16300_350 <unknown description>
+IEPISMIVPRRSDSYQEDIYPMTPGTEPALTPDEWLGGINRDP
+>Q8IZC6_PF01391_625 <unknown description>
+GPPGPKGDCGLPGPPGLPGLPGIPGARGPRGPPGPYGNPGLPGPPGAKGQKGDPG
+>Q8IZC6_PF01391_688 <unknown description>
+GAKGDMGLPGLSGNPGPPGRKGHKGYPGPAGHPGEQGQPGPEGSPGAKGYPGRQGLPGP
+>Q8IZC6_PF01391_834 <unknown description>
+PGPKGMKGLMGSVGEPGLKGDKGEQGVPGVSGDPGFQGDKGSQGLPGFPGARGKPG
+>Q8IZC6_PF01391_877 <unknown description>
+GLPGFPGARGKPGPLGKVGDKGSIGFPGPPGPEGFPGDIGPPGDNGPEGMKGKPGARG
+>Q8IZC6_PF01391_892 <unknown description>
+GKVGDKGSIGFPGPPGPEGFPGDIGPPGDNGPEGMKGKPGARGLPGPRGQLGPEGDEGP
+>Q8IZC6_PF01391_931 <unknown description>
+GARGLPGPRGQLGPEGDEGPMGPPGAPGLEGQPGRKGFPGRPGLDGVKGEPGDPGRPG
+>Q8IZC6_PF01391_1079 <unknown description>
+SRGLKGPPGPQGRPGRPGQQGVAGERGHLGSRGFPGIPGPSGPPGTKGLPGEPGPQG
+>Q8IZC6_PF01391_1189 <unknown description>
+GLEGDSGPMGPDGLKGDRGDPGPDGEHGEKGQEGLMGEDGPPGPPG
+>Q8IZC6_PF01391_1225 <unknown description>
+GEDGPPGPPGVTGVRGPEGKSGKQGEKGRTGAKGAKGYQGQLGEMGVPGDPGPPGTPGP
+>Q8IZC6_PF01391_1279 <unknown description>
+GTPGPKGSRGSLGPTGAPGRMGAQGEPGLAGYDGHKGIVGPLGPPGPKGEKGEQGEDGK
+>Q8IZC6_PF01391_1340 <unknown description>
+GPPGPPGDRGPVGDRGDRGEPGDPGYPGQEGVQGLRGKPGQQGQPGHPGPRGWPGPKGS
+>Q8IZC6_PF01391_1511 <unknown description>
+GRTGLPGNQGEPGSKGQPGDSGEMGFPGMAGLFGPKGPPGDIGFKGIQGPRGPPG
+>Q8IZC6_PF01391_1562 <unknown description>
+GPPGLMGKEGIVGPLGILGPSGLPGPKGDKGSRGDWGLQGPRGPPGPRGRPGPPGPPGG
+>Q8IZC6_PF01410_1661 <unknown description>
+EIFKTLHYLSNLIQSIKTPLGTKENPARVCRDLMDCEQKMVDGTYWVDPNLGCSSDTIEV
+SCNFTHGGQTCLKPITAS
+>Q8IZC6_PF01410_1744 <unknown description>
+ISRVQMNFLHLLSSEVTQHITIHCLNMTVWQEGTGQTPAKQAVRFRAWNGQIFEAGGQFR
+PEVSMDGCKVQDGRWHQTLFTFRTQDPQQLPIISVDNLPPASSGKQYRLEVGPACF
+>Q9Y5Q5_PF01392_139 <unknown description>
+CMNITHSQCQMLPYHATLTPLLSVVRNMEMEKFLKFFTYLHRLSCYQHIMLFGCTLAFPE
+CIIDGDDSHGLLPCRSFCEAAKEGCESVLGMVNYSWPDFLRCSQFRNQ
+>Q9Y5Q5_PF00057_269 <unknown description>
+CGRGENFLCASGICIPGKLQCNGYNDCDDWSDEAHC
+>Q9Y5Q5_PF00057_305 <unknown description>
+NCSENLFHCHTGKCLNYSLVCDGYDDCGDLSDEQNC
+>Q9Y5Q5_PF00057_342 <unknown description>
+CNPTTEHRCGDGRCIAMEWVCDGDHDCVDKSDEVNC
+>Q9Y5Q5_PF00057_383 <unknown description>
+GLVECRNGQCIPSTFQCDGDEDCKDGSDEENC
+>Q9Y5Q5_PF01392_455 <unknown description>
+CEPITLELCMNLPYNSTSYPNYFGHRTQKEASISWESSLFPALVQTNCYKYLMFFSCTIL
+VPKCDVNTGEHIPPCRALCEHSKERCESVLGIVGLQWPEDTDCSQFPEEN
+>Q9Y5Q5_PF00057_579 <unknown description>
+ECSPSHFKCRSGQCVLASRRCDGQADCDDDSDEENC
+>Q9Y5Q5_PF00057_655 <unknown description>
+CQDDELECANHACVSRDLWCDGEADCSDSSDEWDC
+>Q9Y5Q5_PF15494_711 <unknown description>
+HHVCADGWQEILSQLACKQMGLGEPSVTKLIQEQEKEPRWLTLHSNWESLNGTTLHELLV
+NGQSCESRSKISLLCTKQDCGRR
+>Q9Y5Q5_PF00089_802 <unknown description>
+ILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFEGRENAAVWKVVLGIN
+NLDHPSVFMQTRFVKTIILHPRYSRAVVDYDISIVELSEDISETGYVRPVCLPNPEQWLE
+PDTYCYITGWGHMGNKMPFKLQEGEVRIISLEHCQSYFDMKTITTRMICAGYESGTVDSC
+MGDSGGPLVCEKPGGRWTLFGLTSWGSVCFSKVLGPGVYSNVSYFVEWI
+>Q6QEF8_PF08953_4 <unknown description>
+RVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSSFCAVNPKFLAIIVEAGGGGAFIVLP
+LAKTGR
+>Q6QEF8_PF00400_78 <unknown description>
+TGHTAPVLDIDWCPHNDNVIASASDDTTIMVW
+>Q6QEF8_PF00400_122 <unknown description>
+EPIITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWN
+>Q6QEF8_PF12894_177 <unknown description>
+VIHSVCWNSNGSLLATTCKDKTLRIIDPRKGQVVAER
+>Q6QEF8_PF16300_344 <unknown description>
+CEPIIMTVPRKSDLFQDDLYPDTPGPEPALEADEWLSGQDAEP
+>P57737_PF08953_3 <unknown description>
+RFRVSKFRHTEARPPRRESWISDIRAGTAPSCRNHIKSSCSLIAFNSDRPGVLGIVPLQG
+QG
+>P57737_PF00400_70 <unknown description>
+VAHLGCHSDLVTDLDFSPFDDFLLATGSADRTVKLW
+>P57737_PF00400_159 <unknown description>
+QPLTELAAHGDLVQSAVWSRDGALVGTACKDKQLRIFD
+>P57737_PF16300_338 <unknown description>
+IVPIGYHVPRKAVEFHEDLFPDTAGCVPATDPHSWWAGDNQQV
+>P57737_PF08953_470 <unknown description>
+SSKFRHAQGTVLHRDSHITNLKGLNLTTPGESDGFCANKLRVAVPLLSSGGQVAVLELRK
+PGR
+>P57737_PF00400_587 <unknown description>
+ETVLTGHTEKICSLRFHPLAANVLASSSYDLTVRIWD
+>P57737_PF00400_633 <unknown description>
+LQGHQDQIFSLAWSPDGQQLATVCKDGRVRVY
+>P57737_PF16300_808 <unknown description>
+LEPVAFRLPRVRKEFFQDDVFPDTAVIWEPVLSAEAWLQGANGQP
+>O00230_PF03002_91 <unknown description>
+MPCRNFFWKTFSSC
+>Q2UY09_PF00092_48 <unknown description>
+DIVFIVDSSESSKIALFDKQKDFVDSLSDKIFQLTPGRSLEYDIKLAALQFSSSVQIDPP
+FSSWKDLQTFKQKVKSMNLIGQGTFSYYAISNATRLLKREGRKDGVKVVLLMTDGIDHPK
+NPDVQSISEDARISGISFITIALSTVVNEAKLRLISGDSSSEPTLLLSDPTLVDKIQD
+>Q2UY09_PF01391_245 <unknown description>
+GDPGDPGPPGTHGNPGIKGERGPKGNPGNAQKGEAGERGPGGIPGYKGDKGERGECGKPG
+>Q2UY09_PF01391_277 <unknown description>
+GEAGERGPGGIPGYKGDKGERGECGKPGIKGDKGSPGPYGPKGPRGIQGITGPPGDPGP
+>Q2UY09_PF01391_534 <unknown description>
+GARGPEGPPGKGQPGPKGDEGKKGSKGNQGQRGLPGPEGPKGEPGIMGPFGMPG
+>Q2UY09_PF01391_730 <unknown description>
+GLPGQKGEHGERGDVGKKGDKGEIGEPGSPGKQGLQGPKGDLG
+>Q2UY09_PF00092_799 <unknown description>
+LVFVIDSSESVGPENFQIIKNFVKTMADRVALDLATARIGIINYSHKVEKVANLKQFSSK
+DDFKLAVDNMQYLGEGTYTATALQAANDMFEDARPGVKKVALVITDGQTDSRDKEKLTEV
+VKNASDTNVEIFVIGVVKKNDPNFEIFHKEMNLIATDPEHVYQFDDFFTLQDTLK
+>Q2UY09_PF00014_1072 <unknown description>
+CLEALKPGNCGEYVVRWYYDKQVNSCARFWFSGCNGSGNRFNSEKECQETC
+>P10589_PF00105_85 <unknown description>
+ECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLTYTCRANRNCPIDQHHRNQCQYCRLK
+KCLKVGMRR
+>P10589_PF00104_208 <unknown description>
+MQPNNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQC
+SMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVL
+FTSDACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQ
+LFFVRL
+>P24468_PF00105_78 <unknown description>
+ECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLSYTCRANRNCPIDQHHRNQCQYCRLK
+KCLKVGMRR
+>P24468_PF00104_200 <unknown description>
+CMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITDQVALLRLTWSELFVLNAAQ
+CSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIV
+LFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRFGKLLLRLPSLRTVSSSVIE
+QLFFVRL
+>Q14019_PF00241_10 <unknown description>
+CRAAYNLVRDDGSAVIWVTFKYDGSTIVPGEQGAEYQHFIQQCTDDVRLFAFVRFTTGDA
+MSKRSKFALITWIGENVSGLQRAKTGTDKTLVKEVVQNFAKEFVISDRKELEEDFIKS
+>Q12887_PF01040_169 <unknown description>
+VVSTTAAGFALAPGPFDWPCFLLTSVGTGLASCAANSINQFFEVPFDSNMNRTKNRPLVR
+GQISPLLAVSFATCCAVPGVAILTLGVNPLTGALGLFNIFLYTCCYTPLKRISIANTWVG
+AVVGAIPPVMGWTAATGSLDAGAFLLGGILYSWQFPHFNALSWGLREDYSRGGYCMMSVT
+HPGLCRRVALRHCLALLVLSAAAPVLDITTWTFPIMALPINAYISYLGFRFYVDADRRSS
+RRLFFCSL
+>Q9Y6N1_PF04442_113 <unknown description>
+AVPLYRLYCQTTGLGGSAVAGHASDKIENMVPVKDRIIKISFNADVHASLQWNFRPQQTE
+IYVVPGETALAFYRAKNPTDKPVIGISTYNIVPFEAGQYFNKIQCFCFEEQRLNPQEEVD
+MPVFFYIDPEFAEDPRMIKVDLITLSYTFFE
+>Q96I36_PF14880_2 <unknown description>
+PTGKQLADIGYKTFSTSMMLLTVYGGYLCSVRVYHYFQWRRAQRQAAEEQKT
+>Q7KZN9_PF02628_71 <unknown description>
+RWLLVCSGTVAGAVILGGVTRLTESGLSMVDWHLIKEMKPPTSQEEWEAEFQRYQQFPEF
+KILNHDMTLTEFKFIWYMEYSHRMWGRLVGLVYILPAAYFWRKGWLSRGMKGRVLALCGL
+VCFQGLLGWYMVKSGLEEKSDSHDIPRVSQYRLAAHLGSALVLYCASLWTSLSLLLPPHK
+LPETHQLLQLRRFAHGTAGLVFLTALSGAFVAGLDAGLVYNSFPKMGESWIPEDLFTFSP
+ILRNVFENPTMVQFDHRILGITSVTAITVLYFLSRRIPLPRRTKMAAVTLLALAYTQVGL
+GISTLLMYVPTPLAATHQSGSLALLT
+>Q9P0S2_PF14138_17 <unknown description>
+GYGVPMLLLIVGGSFGLREFSQIRYDAVKSKMDPELEKKLKENKISLESEYEKIKDSKFD
+DWKNIRGPRPWE
+>Q14061_PF05051_19 <unknown description>
+PLKPCCACPETKKARDACIIEKGEEHCGHLIEAHKECMRALGFKI
+>Q49B96_PF06747_30 <unknown description>
+CKSFKEKFMKCLHNNNFENALCRKESKEYLECRME
+>Q5RI15_PF12597_14 <unknown description>
+KSLKLLGFLDVENTPCARHSILYGSLGSVVAGFGHFLFTSRIRRSCDVGVGGFILVTLGC
+WFHCRYNYAKQRIQERIAREEIKKK
+>P13073_PF02936_31 <unknown description>
+EDFSLPAYMDRRDHPLPEVAHVKHLSASQKALKEKEKASWSSLSMDEKVELYRIKFKESF
+AEMNRGSNEWKTVVGGAMFFIGFTALVIMWQKHYVYGPLPQSFDKEWVAKQTKRMLDMKV
+NPIQGLASKWDYEKNEWK
+>Q96KJ9_PF02936_39 <unknown description>
+NCYAQRYYPMPEEPFCTELNAEEQALKEKEKGSWTQLTHAEKVALYRLQFNETFAEMNRR
+SNEWKTVMGCVFFFIGFAALVIWWQRVYVFPPKPITLTDERKAQQLQRMLDMKVNPVQGL
+ASRWDYEKKQWK
+>P20674_PF02284_46 <unknown description>
+QETDEEFDARWVTYFNKPDIDAWELRKGINTLVTYDMVPEPKIIDAALRACRRLNDFAST
+VRILEVVKDKAGPHKEIYPYVIQELRPTLNELGISTPEELG
+>P10606_PF01215_13 <unknown description>
+AAQALRARGPSGAAAMRSMASGGGVPTDEEQATGLEREIMLAAKKGLDPYNVLAPKGASG
+TREDPNLVPSISNKRIVGCICEEDNTSVVWFWLHKGEAQRCPRCGAHYKLV
+>P09669_PF02937_6 <unknown description>
+LPKPRMRGLLARRLRNHMAVAFVLSLGVAALYKFRVADQRKKAYADFYRNYDVMKDFEEM
+RKAGIFQSV
+>P24311_PF05392_1 <unknown description>
+MFPLVKSALNRLQVRSIQQTMARQSHQKRTPDFHDKYGNAVLASGATFCIVTWTYVATQV
+GIEWNLSPVGRVTPKEWRN
+>P15954_PF02935_7 <unknown description>
+RRFTTSVVRRSHYEEGPGKNLPFSVENKWSLLAKMCLYFGSAFATPFLVVRHQLLK
+>O14548_PF02238_58 <unknown description>
+NKVPELQKFFQKADGVPVYLKRGLPDQMLYRTTMALTVGGTIYCLIALYMAS
+>P10176_PF02285_26 <unknown description>
+IHSLPPEGKLGIMELAVGLTSCFVTFLLPAGWILSHLETYRR
+>Q7Z4L0_PF02285_30 <unknown description>
+HSGPPRQRPLSAAEMAVGLVVFFTTFLTPAAYVLGNLKQFRR
+>Q7Z7K0_PF08583_19 <unknown description>
+IPKIMREKAKERCSEQVQDFTKCCKNSGVLMVVKCRKENSALKECLTAYYNDPAFYEECK
+MEYLKEREE
+>Q9NRP2_PF08583_1 <unknown description>
+MHPDLSPHLHTEECNVLINLLKECHKNHNILKFFGYCNDVDRELRKCLKNEYVENRTKSR
+EHGIAMRKKL
+>Q6P387_PF15032_1 <unknown description>
+MDLCQKNETDLENAENNEIQFTEETEPTYTCPDGKSEKNHVYCLLDVSDITLEQDEKAKE
+FIIGTGWEEAVQGWGRTSPAACIWPRKIPKKARVGEGACSDCLVCVNLSHWSLQTKPPTE
+GGPEKDQSSPSQTQAAPQGPSTASRAISDICFPTYFRAEKKSLQIKEFIWCNKDWAIPGT
+NRGKASGNPSGGAHRGLSIPGPLTSRALLVLPPLKASLSNALDVLGKKSKNSFLQSEEKV
+LDVEKDGCVAYAYGLKTADGKGEKRASELAKHPMVNDTPSSPSPAAQISLLTDPEQRCLH
+WSLLSEKNLACPPDPSNVRYLAALQLLQKRGVQSYKSKFKAKEPRSPVITRKHVLPKAKQ
+ENRPQMLETKVFPRPVLPSLTVSRVIIPVSTHRIL
+>Q6UWD8_PF15755_1 <unknown description>
+MPLTPEPPSGRVEGPPAWEAAPWPSLPCGPCIPIMLVLATLAALFILTTAVLAERLFRRA
+LRPDPSHRAPTLVWRPGGELWIEPMGTARERSEDWYGSAVPLLTDRAPEPPTQVGTLEAR
+ATAPPAPSAPNSAPSNLGPQTVLEVPARSTFWGPQPWEGRPPATGLVSWAEPEQRPEASV
+QFGSPQARRQRPGSPDPEWGLQPRVTLEQISAFWKREGRTSVG
+>Q96GX8_PF15366_13 <unknown description>
+MCVSSSSSSHDEAPVLNDKHLDVPDIIITPPTPTGMMLPRDLGSTVWLDETGSCPDDGEI
+DPE
+>Q8WTQ4_PF15472_1 <unknown description>
+MSEQQMDLKDLMPTKRKYMWKTAEDRRMSDLTCVLEWLERRQGKKKQAPEKQKPKVVTVL
+KRNKKKEEKKGKGLMTARGGNRRDTETSQQALGKRFRKDAASYRSLYGVEQKGKHLSMVP
+GSYIKDGPKKSDTDIKDAVDPESTQRPNPFRRQSIVLDPMLQEGTFNSQRATFIRDWSNK
+MPDMAYERKLKSLMEKSTEPKMETMRMLKPEEVLSCRYLRLSKENIRTLLKLCKDAGMNV
+DIHPHMVEEDIDAKKVFTGIPSMA
+>Q6ZW13_PF15762_1 <unknown description>
+MASAGAERRPGVQEATVVGQGQLTEEPGSAQTSECPVAGDQFLVPAHEARGTQSEDQRPA
+GAASESELQEEGPKLGEERPKPHAGALEERGPRPVVSIVRPRHGPKRKPVKSLSLPGLRA
+HLKAEAELPPKLPLQEEEPEDSQSEPSPSAKQHKKAKKRKSLGAPVLHAVASMVSAPLET
+LRLE
+>Q6PH81_PF10571_14 <unknown description>
+KSCPECDQQVPVACKSCPCGYIFI
+>Q6UX73_PF15882_60 <unknown description>
+DGMVGVRVLEEQLKSVREKWAQEPLLQPLSLRVGMLGEKLEAAIQRSLHYLKLSDPKYLR
+EFQLTLQPGFWKLPHAWIHTDASLVYPTFGPQDSFSEERSDVCLVQLLGTGTDSSEPCGL
+SDLCRSLMTKPGCSGYCLSHQLLFFLWARMRGCTQGPLQQSQDYINLFCANMMDLNRRAE
+AIGYAYPTRDIFMENIMFCGMGGFSDFYKLRWLEAILSWQKQQEGCFGEPDAEDEELSKA
+IQYQQHFSRRVKRREKQFPDGCSSHNTATAVAALGGFLYI
+>A8MZG2_PF15486_16 <unknown description>
+DAVSQAQGRPGHPDAPPNIYEGGLGSPQPQCPSAQGSKPKNFRLRHLRGLGLYLESHPPP
+TGQCESHWLGRLMAGGCLPQPEGTAWALDLPQGTLGPRNSLCSALLEARLPRDSLGSSAS
+SSSMDPDKGALPQPSPSRLRPKRSWGTWEEAMCPLCKR
+>A6NNT2_PF16043_757 <unknown description>
+NQIEMMKDRYITLDKAVENLQIRMDEFKTLQAQIKRLEMNKVNKSTMEEELREKADRSAL
+AGKASRVDLETVALELNEMIQGILFKVTIHEDSWKKAMEELSKDVNTKLVHSDLDPLKKE
+MEEVWKIVRKLLIEGLRLDPDSAAGFRRKLFKRVKCISCDRPVEMMTGPQLITIRKAHLL
+S
+>Q494V2_PF13863_151 <unknown description>
+YIKQKRQMFLLQYALDVKRREIQRLETLATKEEARLERAEKSLEKDAALFDEFVRENDCS
+SVQAMRAAEKETKAKIEKILEIRDLTTQIVNIKSEISRFEDTLKHYKVYKDFLYKLSPK
+>O43303_PF16025_29 <unknown description>
+QSESISLIRFHGVAILSPLLNIEKRKEMQQEKQKALDVEARKQVNRKKALLTRVQEILDN
+VQVRKAPNASDFDQWEM
+>P05108_PF00067_52 <unknown description>
+PSPGDNGWLNLYHFWRETGTHKVHLHHVQNFQKYGPIYREKLGNVESVYVIDPEDVALLF
+KSEGPNPERFLIPPWVAYHQYYQRPIGVLLKKSAAWKKDRVALNQEVMAPEATKNFLPLL
+DAVSRDFVSVLHRRIKKAGSGNYSGDISDDLFRFAFESITNVIFGERQGMLEEVVNPEAQ
+RFIDAIYQMFHTSVPMLNLPPDLFRLFRTKTWKDHVAAWDVIFSKADIYTQNFYWELRQK
+GSVHHDYRGILYRLLGDSKMSFEDIKANVTEMLAGGVDTTSMTLQWHLYEMARNLKVQDM
+LRAEVLAARHQAQGDMATMLQLVPLLKASIKETLRLHPISVTLQRYLVNDLVLRDYMIPA
+KTLVQVAIYALGREPTFFFDPENFDPTRWLSKDKNITYFRNLGFGWGVRQCLGRRIAELE
+MTIFLINMLENFRVEIQHLSDVGTTFNLILMPEKPISFTF
+>Q5VU69_PF15104_19 <unknown description>
+LKKTVDRWRNSHTHCLWQMALGQRRNPYATLRMQDTMVQELALAKKQLLMVRQAALHQLF
+EKEHQQYQQELNQMGKAFYVER
+>P05093_PF00067_28 <unknown description>
+PKSLLSLPLVGSLPFLPRHGHMHNNFFKLQKKYGPIYSVRMGTKTTVIVGHHQLAKEVLI
+KKGKDFSGRPQMATLDIASNNRKGIAFADSGAHWQLHRRLAMATFALFKDGDQKLEKIIC
+QEISTLCDMLATHNGQSIDISFPVFVAVTNVISLICFNTSYKNGDPELNVIQNYNEGIID
+NLSKDSLVDLVPWLKIFPNKTLEKLKSHVKIRNDLLNKILENYKEKFRSDSITNMLDTLM
+QAKMNSDNGNAGPDQDSELLSDNHILTTIGDIFGAGVETTTSVVKWTLAFLLHNPQVKKK
+LYEEIDQNVGFSRTPTISDRNRLLLLEATIREVLRLRPVAPMLIPHKANVDSSIGEFAVD
+KGTEVIINLWALHHNEKEWHQPDQFMPERFLNPAGTQLISPSVSYLPFGAGPRSCIGEIL
+ARQELFLIMAWLLQRFDLEVPDDGQLPSLEGIPKVVFLIDSFKVK
+>P11511_PF00067_50 <unknown description>
+PGYCMGIGPLISHGRFLWMGIGSACNYYNRVYGEFMRVWISGEETLIISKSSSMFHIMKH
+NHYSSRFGSKLGLQCIGMHEKGIIFNNNPELWKTTRPFFMKALSGPGLVRMVTVCAESLK
+THLDRLEEVTNESGYVDVLTLLRRVMLDTSNTLFLRIPLDESAIVVKIQGYFDAWQALLI
+KPDIFFKISWLYKKYEKSVKDLKDAIEVLIAEKRRRISTEEKLEECMDFATELILAEKRG
+DLTRENVNQCILEMLIAAPDTMSVSLFFMLFLIAKHPNVEEAIIKEIQTVIGERDIKIDD
+IQKLKVMENFIYESMRYQPVVDLVMRKALEDDVIDGYPVKKGTNIILNIGRMHRLEFFPK
+PNEFTLENFAKNVPYRYFQPFGFGPRGCAGKYIAMVMMKAILVTLLRRFHVKTLQGQCVE
+SIQKIHDLSLHPDET
+>P04798_PF00067_40 <unknown description>
+PPGPWGWPLIGHMLTLGKNPHLALSRMSQQYGDVLQIRIGSTPVVVLSGLDTIRQALVRQ
+GDDFKGRPDLYTFTLISNGQSMSFSPDSGPVWAARRRLAQNGLKSFSIASDPASSTSCYL
+EEHVSKEAEVLISTLQELMAGPGHFNPYRYVVVSVTNVICAICFGRRYDHNHQELLSLVN
+LNNNFGEVVGSGNPADFIPILRYLPNPSLNAFKDLNEKFYSFMQKMVKEHYKTFEKGHIR
+DITDSLIEHCQEKQLDENANVQLSDEKIINIVLDLFGAGFDTVTTAISWSLMYLVMNPRV
+QRKIQEELDTVIGRSRRPRLSDRSHLPYMEAFILETFRHSSFVPFTIPHSTTRDTSLKGF
+YIPKGRCVFVNQWQINHDQKLWVNPSEFLPERFLTPDGAIDKVLSEKVIIFGMGKRKCIG
+ETIARWEVFLFLAILLQRVEFSVPLGVKVDMT
+>P05177_PF00067_42 <unknown description>
+PPEPWGWPLLGHVLTLGKNPHLALSRMSQRYGDVLQIRIGSTPVLVLSRLDTIRQALVRQ
+GDDFKGRPDLYTSTLITDGQSLTFSTDSGPVWAARRRLAQNALNTFSIASDPASSSSCYL
+EEHVSKEAKALISRLQELMAGPGHFDPYNQVVVSVANVIGAMCFGQHFPESSDEMLSLVK
+NTHEFVETASSGNPLDFFPILRYLPNPALQRFKAFNQRFLWFLQKTVQEHYQDFDKNSVR
+DITGALFKHSKKGPRASGNLIPQEKIVNLVNDIFGAGFDTVTTAISWSLMYLVTKPEIQR
+KIQKELDTVIGRERRPRLSDRPQLPYLEAFILETFRHSSFLPFTIPHSTTRDTTLNGFYI
+PKKCCVFVNQWQVNHDPELWEDPSEFRPERFLTADGTAINKPLSEKMMLFGMGKRRCIGE
+VLAKWEIFLFLAILLQQLEFSVPPGVKVDLT
+>Q16678_PF00067_51 <unknown description>
+PPGPFAWPLIGNAAAVGQAAHLSFARLARRYGDVFQIRLGSCPIVVLNGERAIHQALVQQ
+GSAFADRPAFASFRVVSGGRSMAFGHYSEHWKVQRRAAHSMMRNFFTRQPRSRQVLEGHV
+LSEARELVALLVRGSADGAFLDPRPLTVVAVANVMSAVCFGCRYSHDDPEFRELLSHNEE
+FGRTVGAGSLVDVMPWLQYFPNPVRTVFREFEQLNRNFSNFILDKFLRHCESLRPGAAPR
+DMMDAFILSAEKKAAGDSHGGGARLDLENVPATITDIFGASQDTLSTALQWLLLLFTRYP
+DVQTRVQAELDQVVGRDRLPCMGDQPNLPYVLAFLYEAMRFSSFVPVTIPHATTANTSVL
+GYHIPKDTVVFVNQWSVNHDPLKWPNPENFDPARFLDKDGLINKDLTSRVMIFSVGKRRC
+IGEELSKMQLFLFISILAHQCDFRANPNEPAKMNFSYGLTIKPKSFKVN
+>Q6UW02_PF00067_43 <unknown description>
+GNLPDIVNSGSLHEFLVNLHERYGPVVSFWFGRRLVVSLGTVDVLKQHINPNKTSDPFET
+MLKSLLRYQSGGGSVSENHMRKKLYENGVTDSLKSNFALLLKLSEELLDKWLSYPETQHV
+PLSQHMLGFAMKSVTQMVMGSTFEDDQEVIRFQKNHGTVWSEIGKGFLDGSLDKNMTRKK
+QYEDALMQLESVLRNIIKERKGRNFSQHIFIDSLVQGNLNDQQILEDSMIFSLASCIITA
+KLCTWAICFLTTSEEVQKKLYEEINQVFGNGPVTPEKIEQLRYCQHVLCETVRTAKLTPV
+SAQLQDIEGKIDRFIIPRETLVLYALGVVLQDPNTWPSPHKFDPDRFDDELVMKTFSSLG
+FSGTQECPELRFAYMVTTVLLSVLVKRLHLLSVEGQVIETKYEL
+>Q07973_PF00067_59 <unknown description>
+PGPTSWPLLGSLLQILWKGGLKKQHDTLVEYHKKYGKIFRMKLGSFESVHLGSPCLLEAL
+YRTESAYPQRLEIKPWKAYRDYRKEGYGLLILEGEDWQRVRSAFQKKLMKPGEVMKLDNK
+INEVLADFMGRIDELCDERGHVEDLYSELNKWSFESICLVLYEKRFGLLQKNAGDEAVNF
+IMAIKTMMSTFGRMMVTPVELHKSLNTKVWQDHTLAWDTIFKSVKACIDNRLEKYSQQPS
+ADFLCDIYHQNRLSKKELYAAVTELQLAAVETTANSLMWILYNLSRNPQVQQKLLKEIQS
+VLPENQVPRAEDLRNMPYLKACLKESMRLTPSVPFTTRTLDKATVLGEYALPKGTVLMLN
+TQVLGSSEDNFEDSSQFRPERWLQEKEKINPFAHLPFGVGKRMCIGRRLAELQLHLALCW
+IVRKYDIQATDNEPVEMLHSGTLVP
+>Q9BV73_PF15035_40 <unknown description>
+RKLKNSQEAQQRQATLVRKLQAKVLQYRSWCQELEKRLEATGGPIPQRWENVEEPNLDEL
+LVRLEEEQQRCESLAEVNTQLRLHMEKADVVNKALREDVEKLTVDWSRARDELMRKESQW
+QMEQEFFKGYLKGEHGRLLSLWREVVTFRRHFLEMKSATDRDLMELKAEHVRL
+>O43174_PF00067_45 <unknown description>
+PPGTMGFPFFGETLQMVLQRRKFLQMKRRKYGFIYKTHLFGRPTVRVMGADNVRRILLGE
+HRLVSVHWPASVRTILGSGCLSNLHDSSHKQRKKVIMRAFSREALECYVPVITEEVGSSL
+EQWLSCGERGLLVYPEVKRLMFRIAMRILLGCEPQLAGDGDSEQQLVEAFEEMTRNLFSL
+PIDVPFSGLYRGMKARNLIHARIEQNIRAKICGLRASEAGQGCKDALQLLIEHSWERGER
+LDMQALKQSSTELLFGGHETTASAATSLITYLGLYPHVLQKVREELKSKGLLCKSNQDNK
+LDMEILEQLKYIGCVIKETLRLNPPVPGGFRVALKTFELNGYQIPKGWNVIYSICDTHDV
+AEIFTNKEEFNPDRFMLPHPEDASRFSFIPFGGGLRSCVGKEFAKILLKIFTVELARHCD
+WQLLNG
+>Q9NR63_PF00067_73 <unknown description>
+QSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILMGEHHLVSTEWPRSTRMLLGPNTVSN
+SIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSHPEAINVYQEAQKLTFRM
+AIRVLLGFSIPEEDLGHLFEVYQQFVDNVFSLPVDLPFSGYRRGIQARQILQKGLEKAIR
+EKLQCTQGKDYLDALDLLIESSKEHGKEMTMQELKDGTLELIFAAYATTASASTSLIMQL
+LKHPTVLEKLRDELRAHGILHSGGCPCEGTLRLDTLSGLRYLDCVIKEVMRLFTPISGGY
+RTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQARSEDKDGRFHYL
+PFGGGVRTCLGKHLAKLFLKVLAVELASTSRFEL
+>Q6V0L0_PF00067_50 <unknown description>
+PKGSMGWPFFGETLHWLVQGSRFHSSRRERYGTVFKTHLLGRPVIRVSGAENVRTILLGE
+HRLVRSQWPQSAHILLGSHTLLGAVGEPHRRRRKVLARVFSRAALERYVPRLQGALRHEV
+RSWCAAGGPVSVYDASKALTFRMAARILLGLRLDEAQCATLARTFEQLVENLFSLPLDVP
+FSGLRKGIRARDQLHRHLEGAISEKLHEDKAAEPGDALDLIIHSARELGHEPSMQELKES
+AVELLFAAFFTTASASTSLVLLLLQHPAAIAKIREELVAQGLGRACGCAPGAAGGSEGPP
+PDCGCEPDLSLAALGRLRYVDCVVKEVLRLLPPVSGGYRTALRTFELDGYQIPKGWSVMY
+SIRDTHETAAVYRSPPEGFDPERFGAAREDSRGASSRFHYIPFGGGARSCLGQELAQAVL
+QLLAVELVRTARWEL
+>Q02318_PF00067_67 <unknown description>
+RFFFQLFVQGYALQLHQLQVLYKAKYGPMWMSYLGPQMHVNLASAPLLEQVMRQEGKYPV
+RNDMELWKEHRDQHDLTYGPFTTEGHHWYQLRQALNQRLLKPAEAALYTDAFNEVIDDFM
+TRLDQLRAESASGNQVSDMAQLFYYFALEAICYILFEKRIGCLQRSIPEDTVTFVRSIGL
+MFQNSLYATFLPKWTRPVLPFWKRYLDGWNAIFSFGKKLIDEKLEDMEAQLQAAGPDGIQ
+VSGYLHFLLASGQLSPREAMGSLPELLMAGVDTTSNTLTWALYHLSKDPEIQEALHEEVV
+GVVPAGQVPQHKDFAHMPLLKAVLKETLRLYPVVPTNSRIIEKEIEVDGFLFPKNTQFVF
+CHYVVSRDPTAFSEPESFQPHRWLRNSQPATPRIQHPFGSVPFGYGVRACLGRRIAELEM
+QLLLARLIQKYKVVLAPETGELKSVARIVLVPNKKVGL
+>O15528_PF00067_59 <unknown description>
+SRLHELQVQGAAHFGPVWLASFGTVRTVYVAAPALVEELLRQEGPRPERCSFSPWTEHRR
+CRQRACGLLTAEGEEWQRLRSLLAPLLLRPQAAARYAGTLNNVVCDLVRRLRRQRGRGTG
+PPALVRDVAGEFYKFGLEGIAAVLLGSRLGCLEAQVPPDTETFIRAVGSVFVSTLLTMAM
+PHWLRHLVPGPWGRLCRDWDQMFAFAQRHVERREAEAAMRNGGQPEKDLESGAHLTHFLF
+REELPAQSILGNVTELLLAGVDTVSNTLSWALYELSRHPEVQTALHSEITAALSPGSSAY
+PSATVLSQLPLLKAVVKEVLRLYPVVPGNSRVPDKDIHVGDYIIPKNTLVTLCHYATSRD
+PAQFPEPNSFRPARWLGEGPTPHPFASLPFGFGKRSCMGRRLAELELQMALAQILTHFEV
+QPEPGAAPVRPKTRTVLVPERSINLQ
+>P11509_PF00067_34 <unknown description>
+PPGPTPLPFIGNYLQLNTEQMYNSLMKISERYGPVFTIHLGPRRVVVLCGHDAVREALVD
+QAEEFSGRGEQATFDWVFKGYGVVFSNGERAKQLRRFSIATLRDFGVGKRGIEERIQEEA
+GFLIDALRGTGGANIDPTFFLSRTVSNVISSIVFGDRFDYKDKEFLSLLRMMLGIFQFTS
+TSTGQLYEMFSSVMKHLPGPQQQAFQLLQGLEDFIAKKVEHNQRTLDPNSPRDFIDSFLI
+RMQEEEKNPNTEFYLKNLVMTTLNLFIGGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDR
+VIGKNRQPKFEDRAKMPYMEAVIHEIQRFGDVIPMSLARRVKKDTKFRDFFLPKGTEVYP
+MLGSVLRDPSFFSNPQDFNPQHFLNEKGQFKKSDAFVPFSIGKRNCFGEGLARMELFLFF
+TTVMQNFRLKSSQSPKDIDVSPKHVGFATIPRNYTMS
+>P20853_PF00067_34 <unknown description>
+PPGPTPLPFIGNYLQLNTEHICDSIMKFSECYGPVFTIHLGPRRVVVLCGHDAVREALVD
+QAEEFSGRGEQATFDWVFKGYGVAFSNGERAKQLLRFAIATLRDFGVGKRGIEERIQEES
+GFLIEAIRSTHGANIDPTFFLSRTVSNVISSIVFGDRFDYEDKEFLSLLSMMLGIFQFTS
+TSTGQLYEMFSSVMKHLPGPQQQAFKLLQGLEDFIAKKVEHNQRTLDPNSPQDFIDSFLI
+HMQEEEKNPNTEFYLKNLMMSTLNLFIAGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDR
+VIGKNRQPKFEDRTKMPYMEAVIHEIQRFGDVIPMSLARRVKKDTKFRDFFLPKGTEVFP
+MLGSVLRDPSFFSNPQDFNPQHFLDDKGQFKKSDAFVPFSIGKRNCFGEGLARMELFLFF
+TTVMQNFRLKSSQSPKDIDVSPKHVVFATIPRNYT
+>Q16696_PF00067_34 <unknown description>
+PPGPTPLPFIGNYLQLNTEQMYNSLMKISERYGPVFTIHLGPRRVVVLCGHDAVKEALVD
+QAEEFSGRGEQATFDWLFKGYGVAFSNGERAKQLRRFSIATLRGFGVGKRGIEERIQEEA
+GFLIDALRGTHGANIDPTFFLSRTVSNVISSIVFGDRFDYEDKEFLSLLRMMLGSFQFTA
+TSTGQLYEMFSSVMKHLPGPQQQAFKELQGLEDFIAKKVEHNQRTLDPNSPRDFIDSFLI
+RMQEEEKNPNTEFYLKNLVMTTLNLFFAGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDR
+VIGKNRQPKFEDRAKMPYTEAVIHEIQRFGDMLPMGLAHRVNKDTKFRDFFLPKGTEVFP
+MLGSVLRDPRFFSNPRDFNPQHFLDKKGQFKKSDAFVPFSIGKRYCFGEGLARMELFLFF
+TTIMQNFRFKSPQSPKDIDVSPKHVGFATIPRNYTMS
+>P20813_PF00067_31 <unknown description>
+PPGPRPLPLLGNLLQMDRRGLLKSFLRFREKYGDVFTVHLGPRPVVMLCGVEAIREALVD
+KAEAFSGRGKIAMVDPFFRGYGVIFANGNRWKVLRRFSVTTMRDFGMGKRSVEERIQEEA
+QCLIEELRKSKGALMDPTFLFQSITANIICSIVFGKRFHYQDQEFLKMLNLFYQTFSLIS
+SVFGQLFELFSGFLKYFPGAHRQVYKNLQEINAYIGHSVEKHRETLDPSAPKDLIDTYLL
+HMEKEKSNAHSEFSHQNLNLNTLSLFFAGTETTSTTLRYGFLLMLKYPHVAERVYREIEQ
+VIGPHRPPELHDRAKMPYTEAVIYEIQRFSDLLPMGVPHIVTQHTSFRGYIIPKDTEVFL
+ILSTALHDPHYFEKPDAFNPDHFLDANGALKKTEAFIPFSLGKRICLGEGIARAELFLFF
+TTILQNFSMASPVAPEDIDLTPQECGVGKIPPTYQIR
+>P10632_PF00067_30 <unknown description>
+PPGPTPLPIIGNMLQIDVKDICKSFTNFSKVYGPVFTVYFGMNPIVVFHGYEAVKEALID
+NGEEFSGRGNSPISQRITKGLGIISSNGKRWKEIRRFSLTTLRNFGMGKRSIEDRVQEEA
+HCLVEELRKTKASPCDPTFILGCAPCNVICSVVFQKRFDYKDQNFLTLMKRFNENFRILN
+SPWIQVCNNFPLLIDCFPGTHNKVLKNVALTRSYIREKVKEHQASLDVNNPRDFIDCFLI
+KMEQEKDNQKSEFNIENLVGTVADLFVAGTETTSTTLRYGLLLLLKHPEVTAKVQEEIDH
+VIGRHRSPCMQDRSHMPYTDAVVHEIQRYSDLVPTGVPHAVTTDTKFRNYLIPKGTTIMA
+LLTSVLHDDKEFPNPNIFDPGHFLDKNGNFKKSDYFMPFSAGKRICAGEGLARMELFLFL
+TTILQNFNLKSVDDLKNLNTTAVTKGIVSLPPSYQICF
+>P11712_PF00067_30 <unknown description>
+PPGPTPLPVIGNILQIGIKDISKSLTNLSKVYGPVFTLYFGLKPIVVLHGYEAVKEALID
+LGEEFSGRGIFPLAERANRGFGIVFSNGKKWKEIRRFSLMTLRNFGMGKRSIEDRVQEEA
+RCLVEELRKTKASPCDPTFILGCAPCNVICSIIFHKRFDYKDQQFLNLMEKLNENIKILS
+SPWIQICNNFSPIIDYFPGTHNKLLKNVAFMKSYILEKVKEHQESMDMNNPQDFIDCFLM
+KMEKEKHNQPSEFTIESLENTAVDLFGAGTETTSTTLRYALLLLLKHPEVTAKVQEEIER
+VIGRNRSPCMQDRSHMPYTDAVVHEVQRYIDLLPTSLPHAVTCDIKFRNYLIPKGTTILI
+SLTSVLHDNKEFPNPEMFDPHHFLDEGGNFKKSKYFMPFSAGKRICVGEALAGMELFLFL
+TSILQNFNLKSLVDPKNLDTTPVVNGFASVPPFYQLCF
+>P33260_PF00067_30 <unknown description>
+PSGPTPLPIIGNILQLDVKDMSKSLTNFSKVYGPVFTVYFGLKPIVVLHGYEAVKEALID
+HGEEFSGRGSFPVAEKVNKGLGILFSNGKRWKEIRRFCLMTLRNFGMGKRSIEDRVQEEA
+RCLVEELRKTNASPCDPTFILGCAPCNVICSVIFHDRFDYKDQRFLNLMEKFNENLRILS
+SPWIQVCNNFPALIDYLPGSHNKIAENFAYIKSYVLERIKEHQESLDMNSARDFIDCFLI
+KMEQEKHNQQSEFTVESLIATVTDMFGAGTETTSTTLRYGLLLLLKYPEVTAKVQEEIEC
+VVGRNRSPCMQDRSHMPYTDAVVHEIQRYIDLLPTNLPHAVTCDVKFKNYLIPKGTTIIT
+SLTSVLHNDKEFPNPEMFDPGHFLDKSGNFKKSDYFMPFSAGKRMCMGEGLARMELFLFL
+TTILQNFNLKSQVDPKDIDITPIANAFGRVPPLYQLCF
+>P33261_PF00067_30 <unknown description>
+PPGPTPLPVIGNILQIDIKDVSKSLTNLSKIYGPVFTLYFGLERMVVLHGYEVVKEALID
+LGEEFSGRGHFPLAERANRGFGIVFSNGKRWKEIRRFSLMTLRNFGMGKRSIEDRVQEEA
+RCLVEELRKTKASPCDPTFILGCAPCNVICSIIFQKRFDYKDQQFLNLMEKLNENIRIVS
+TPWIQICNNFPTIIDYFPGTHNKLLKNLAFMESDILEKVKEHQESMDINNPRDFIDCFLI
+KMEKEKQNQQSEFTIENLVITAADLLGAGTETTSTTLRYALLLLLKHPEVTAKVQEEIER
+VIGRNRSPCMQDRGHMPYTDAVVHEVQRYIDLIPTSLPHAVTCDVKFRNYLIPKGTTILT
+SLTSVLHDNKEFPNPEMFDPRHFLDEGGNFKKSNYFMPFSAGKRICVGEGLARMELFLFL
+TFILQNFNLKSLIDPKDLDTTPVVNGFASVPPFYQLCF
+>P10635_PF00067_34 <unknown description>
+PPGPLPLPGLGNLLHVDFQNTPYCFDQLRRRFGDVFSLQLAWTPVVVLNGLAAVREALVT
+HGEDTADRPPVPITQILGFGPRSQGVFLARYGPAWREQRRFSVSTLRNLGLGKKSLEQWV
+TEEAACLCAAFANHSGRPFRPNGLLDKAVSNVIASLTCGRRFEYDDPRFLRLLDLAQEGL
+KEESGFLREVLNAVPVLLHIPALAGKVLRFQKAFLTQLDELLTEHRMTWDPAQPPRDLTE
+AFLAEMEKAKGNPESSFNDENLRIVVADLFSAGMVTTSTTLAWGLLLMILHPDVQRRVQQ
+EIDDVIGQVRRPEMGDQAHMPYTTAVIHEVQRFGDIVPLGVTHMTSRDIEVQGFRIPKGT
+TLITNLSSVLKDEAVWEKPFRFHPEHFLDAQGHFVKPEAFLPFSAGRRACLGEPLARMEL
+FLFFTSLLQHFSFSVPTGQPRPSHHGVFAFLVSPSPYELC
+>P05181_PF00067_33 <unknown description>
+PPGPFPLPIIGNLFQLELKNIPKSFTRLAQRFGPVFTLYVGSQRMVVMHGYKAVKEALLD
+YKDEFSGRGDLPAFHAHRDRGIIFNNGPTWKDIRRFSLTTLRNYGMGKQGNESRIQREAH
+FLLEALRKTQGQPFDPTFLIGCAPCNVIADILFRKHFDYNDEKFLRLMYLFNENFHLLST
+PWLQLYNNFPSFLHYLPGSHRKVIKNVAEVKEYVSERVKEHHQSLDPNCPRDLTDCLLVE
+MEKEKHSAERLYTMDGITVTVADLFFAGTETTSTTLRYGLLILMKYPEIEEKLHEEIDRV
+IGPSRIPAIKDRQEMPYMDAVVHEIQRFITLVPSNLPHEATRDTIFRGYLIPKGTVVVPT
+LDSVLYDNQEFPDPEKFKPEHFLNENGKFKYSDYFKPFSTGKRVCAGEGLARMELFLLLC
+AILQHFNLKPLVDPKDIDLSPIHIGFGCIPPRYKLCV
+>P24903_PF00067_31 <unknown description>
+PPGPRPLSILGNLLLLCSQDMLTSLTKLSKEYGSMYTVHLGPRRVVVLSGYQAVKEALVD
+QGEEFSGRGDYPAFFNFTKGNGIAFSSGDRWKVLRQFSIQILRNFGMGKRSIEERILEEG
+SFLLAELRKTEGEPFDPTFVLSRSVSNIICSVLFGSRFDYDDERLLTIIRLINDNFQIMS
+SPWGELYDIFPSLLDWVPGPHQRIFQNFKCLRDLIAHSVHDHQASLDPRSPRDFIQCFLT
+KMAEEKEDPLSHFHMDTLLMTTHNLLFGGTKTVSTTLHHAFLALMKYPKVQARVQEEIDL
+VVGRARLPALKDRAAMPYTDAVIHEVQRFADIIPMNLPHRVTRDTAFRGFLIPKGTDVIT
+LLNTVHYDPSQFLTPQEFNPEHFLDANQSFKKSPAFMPFSAGRRLCLGESLARMELFLYL
+TAILQSFSLQPLGAPEDIDLTPLSSGLGNLPRPFQLCL
+>P51589_PF00067_44 <unknown description>
+PPGPWRLPFLGNFFLVDFEQSHLEVQLFVKKYGNLFSLELGDISAVLITGLPLIKEALIH
+MDQNFGNRPVTPMREHIFKKNGLIMSSGQAWKEQRRFTLTALRNFGLGKKSLEERIQEEA
+QHLTEAIKEENGQPFDPHFKINNAVSNIICSITFGERFEYQDSWFQQLLKLLDEVTYLEA
+SKTCQLYNVFPWIMKFLPGPHQTLFSNWKKLKLFVSHMIDKHRKDWNPAETRDFIDAYLK
+EMSKHTGNPTSSFHEENLICSTLDLFFAGTETTSTTLRWALLYMALYPEIQEKVQAEIDR
+VIGQGQQPSTAARESMPYTNAVIHEVQRMGNIIPLNVPREVTVDTTLAGYHLPKGTMILT
+NLTALHRDPTEWATPDTFNPDHFLENGQFKKREAFMPFSIGKRACLGEQLARTELFIFFT
+SLMQKFTFRPPNNEKLSLKFRMGITISPVSHRLC
+>Q6VVX0_PF00067_40 <unknown description>
+PPGPPGLPFIGNIYSLAASSELPHVYMRKQSQVYGEIFSLDLGGISTVVLNGYDVVKECL
+VHQSEIFADRPCLPLFMKMTKMGGLLNSRYGRGWVDHRRLAVNSFRYFGYGQKSFESKIL
+EETKFFNDAIETYKGRPFDFKQLITNAVSNITNLIIFGERFTYEDTDFQHMIELFSENVE
+LAASASVFLYNAFPWIGILPFGKHQQLFRNAAVVYDFLSRLIEKASVNRKPQLPQHFVDA
+YLDEMDQGKNDPSSTFSKENLIFSVGELIIAGTETTTNVLRWAILFMALYPNIQGQVQKE
+IDLIMGPNGKPSWDDKCKMPYTEAVLHEVLRFCNIVPLGIFHATSEDAVVRGYSIPKGTT
+VITNLYSVHFDEKYWRDPEVFHPERFLDSSGYFAKKEALVPFSLGRRHCLGEHLARMEMF
+LFFTALLQRFHLHFPHELVPDLKPRLGMTLQPQPYLIC
+>Q96SQ9_PF00067_33 <unknown description>
+PPGPTPLPLLGNLLQLRPGALYSGLMRLSKKYGPVFTIYLGPWRPVVVLVGQEAVREALG
+GQAEEFSGRGTVAMLEGTFDGHGVFFSNGERWRQLRKFTMLALRDLGMGKREGEELIQAE
+ARCLVETFQGTEGRPFDPSLLLAQATSNVVCSLLFGLRFSYEDKEFQAVVRAAGGTLLGV
+SSQGGQTYEMFSWFLRPLPGPHKQLLHHVSTLAAFTVRQVQQHQGNLDASGPARDLVDAF
+LLKMAQEEQNPGTEFTNKNMLMTVIYLLFAGTMTVSTTVGYTLLLLMKYPHVQKWVREEL
+NRELGAGQAPSLGDRTRLPYTDAVLHEAQRLLALVPMGIPRTLMRTTRFRGYTLPQGTEV
+FPLLGSILHDPNIFKHPEEFNPDRFLDADGRFRKHEAFLPFSLGKRVCLGEGLAKAELFL
+FFTTILQAFSLESPCPPDTLSLKPTVSGLFNIPPAFQL
+>Q7Z449_PF00067_104 <unknown description>
+QVLLAHLARVYGSIFSFFIGHYLVVVLSDFHSVREALVQQAEVFSDRPRVPLISIVTKEK
+GVVFAHYGPVWRQQRKFSHSTLRHFGLGKLSLEPKIIEEFKYVKAEMQKHGEDPFCPFSI
+ISNAVSNIICSLCFGQRFDYTNSEFKKMLGFMSRGLEICLNSQVLLVNICPWLYYLPFGP
+FKELRQIEKDITSFLKKIIKDHQESLDRENPQDFIDMYLLHMEEERKNNSNSSFDEEYLF
+YIIGDLFIAGTDTTTNSLLWCLLYMSLNPDVQEKVHEEIERVIGANRAPSLTDKAQMPYT
+EATIMEVQRLTVVVPLAIPHMTSENTVLQGYTIPKGTLILPNLWSVHRDPAIWEKPEDFY
+PNRFLDDQGQLIKKETFIPFGIGKRVCMGEQLAKMELFLMFVSLMQSFAFALPEDSKKPL
+LTGRFGLTLAPHPFNIT
+>Q8TAV3_PF00067_32 <unknown description>
+PPGPRPLPLVGNLHLLRLSQQDRSLMELSERYGPVFTVHLGRQKTVVLTGFEAVKEALAG
+PGQELADRPPIAIFQLIQRGGGIFFSSGARWRAARQFTVRALHSLGVGREPVADKILQEL
+KCLSGQLDGYRGRPFPLALLGWAPSNITFALLFGRRFDYRDPVFVSLLGLIDEVMVLLGS
+PGLQLFNVYPWLGALLQLHRPVLRKIEEVRAILRTLLEARRPHVCPGDPVCSYVDALIQQ
+GQGDDPEGLFAEANAVACTLDMVMAGTETTSATLQWAALLMGRHPDVQGRVQEELDRVLG
+PGRTPRLEDQQALPYTSAVLHEVQRFITLLPHVPRCTAADTQLGGFLLPKGTPVIPLLTS
+VLLDETQWQTPGQFNPGHFLDANGHFVKREAFLPFSAGRRVCVGERLARTELFLLFAGLL
+QRYRLLPPPGVSPASLDTTPARAFTMRPRAQA
+>Q9HB55_PF00067_39 <unknown description>
+PGPTPLPFLGTILFYLRGLWNFDRECNEKYGEMWGLYEGQQPMLVIMDPDMIKTVLVKEC
+YSVFTNQMPLGPMGFLKSALSFAEDEEWKRIRTLLSPAFTSVKFKEMVPIISQCGDMLVR
+SLRQEAENSKSINLKDFFGAYTMDVITGTLFGVNLDSLNNPQDPFLKNMKKLLKLDFLDP
+FLLLISLFPFLTPVFEALNIGLFPKDVTHFLKNSIERMKESRLKDKQKHRVDFFQQMIDS
+QNSKETKSHKALSDLELVAQSIIIIFAAYDTTSTTLPFIMYELATHPDVQQKLQEEIDAV
+LPNKAPVTYDALVQMEYLDMVVNETLRLFPVVSRVTRVCKKDIEINGVFIPKGLAVMVPI
+YALHHDPKYWTEPEKFCPERFSKKNKDSIDLYRYIPFGAGPRNCIGMRFALTNIKLAVIR
+ALQNFSFKPCKETQIPLKLDNLPILQPEKPIVLKV
+>Q9NYL5_PF00067_29 <unknown description>
+PPCIKGWIPWIGVGFEFGKAPLEFIEKARIKYGPIFTVFAMGNRMTFVTEEEGINVFLKS
+KKVDFELAVQNIVYRTASIPKNVFLALHEKLYIMLKGKMGTVNLHQFTGQLTEELHEQLE
+NLGTHGTMDLNNLVRHLLYPVTVNMLFNKSLFSTNKKKIKEFHQYFQVYDEDFEYGSQLP
+ECLLRNWSKSKKWFLELFEKNIPDIKACKSAKDNSMTLLQATLDIVETETSKENSPNYGL
+LLLWASLSNAVPVAFWTLAYVLSHPDIHKAIMEGISSVFGKAGKDKIKVSEDDLENLLLI
+KWCVLETIRLKAPGVITRKVVKPVEILNYIIPSGDLLMLSPFWLHRNPKYFPEPELFKPE
+RWKKANLEKHSFLDCFMAFGSGKFQCPARWFALLEVQMCIILILYKYDCSLLDPLPKQSY
+LHLVGVPQP
+>P08684_PF00067_39 <unknown description>
+PGPTPLPFLGNILSYHKGFCMFDMECHKKYGKVWGFYDGQQPVLAITDPDMIKTVLVKEC
+YSVFTNRRPFGPVGFMKSAISIAEDEEWKRLRSLLSPTFTSGKLKEMVPIIAQYGDVLVR
+NLRREAETGKPVTLKDVFGAYSMDVITSTSFGVNIDSLNNPQDPFVENTKKLLRFDFLDP
+FFLSITVFPFLIPILEVLNICVFPREVTNFLRKSVKRMKESRLEDTQKHRVDFLQLMIDS
+QNSKETESHKALSDLELVAQSIIFIFAGYETTSSVLSFIMYELATHPDVQQKLQEEIDAV
+LPNKAPPTYDTVLQMEYLDMVVNETLRLFPIAMRLERVCKKDVEINGMFIPKGVVVMIPS
+YALHRDPKYWTEPEKFLPERFSKKNKDNIDPYIYTPFGSGPRNCIGMRFALMNMKLALIR
+VLQNFSFKPCKETQIPLKLSLGGLLQPEKPVVLK
+>P20815_PF00067_39 <unknown description>
+PGPTPLPLLGNVLSYRQGLWKFDTECYKKYGKMWGTYEGQLPVLAITDPDVIRTVLVKEC
+YSVFTNRRSLGPVGFMKSAISLAEDEEWKRIRSLLSPTFTSGKLKEMFPIIAQYGDVLVR
+NLRREAEKGKPVTLKDIFGAYSMDVITGTSFGVNIDSLNNPQDPFVESTKKFLKFGFLDP
+LFLSIILFPFLTPVFEALNVSLFPKDTINFLSKSVNRMKKSRLNDKQKHRLDFLQLMIDS
+QNSKETESHKALSDLELAAQSIIFIFAGYETTSSVLSFTLYELATHPDVQQKLQKEIDAV
+LPNKAPPTYDAVVQMEYLDMVVNETLRLFPVAIRLERTCKKDVEINGVFIPKGSMVVIPT
+YALHHDPKYWTEPEEFRPERFSKKKDSIDPYIYTPFGTGPRNCIGMRFALMNMKLALIRV
+LQNFSFKPCKETQIPLKLDTQGLLQPEKPIVLKV
+>P24462_PF00067_39 <unknown description>
+PGPTPLPFLGNALSFRKGYWTFDMECYKKYRKVWGIYDCQQPMLAITDPDMIKTVLVKEC
+YSVFTNRRPFGPVGFMKNAISIAEDEEWKRIRSLLSPTFTSGKLKEMVPIIAQYGDVLVR
+NLRREAETGKPVTLKHVFGAYSMDVITSTSFGVSIDSLNNPQDPFVENTKKLLRFNPLDP
+FVLSIKVFPFLTPILEALNITVFPRKVISFLTKSVKQIKEGRLKETQKHRVDFLQLMIDS
+QNSKDSETHKALSDLELMAQSIIFIFAGYETTSSVLSFIIYELATHPDVQQKVQKEIDTV
+LPNKAPPTYDTVLQLEYLDMVVNETLRLFPVAMRLERVCKKDVEINGMFIPKGVVVMIPS
+YVLHHDPKYWTEPEKFLPERFSKKNKDNIDPYIYTPFGSGPRNCIGMRFALVNMKLALVR
+VLQNFSFKPCKETQIPLKLRFGGLLLTEKPIVLK
+>Q9Y6A2_PF00067_34 <unknown description>
+PGPPRPSFLLGHLPCFWKKDEVGGRVLQDVFLDWAKKYGPVVRVNVFHKTSVIVTSPESV
+KKFLMSTKYNKDSKMYRALQTVFGERLFGQGLVSECNYERWHKQRRVIDLAFSRSSLVSL
+METFNEKAEQLVEILEAKADGQTPVSMQDMLTYTAMDILAKAAFGMETSMLLGAQKPLSQ
+AVKLMLEGITASRNTLAKFLPGKRKQLREVRESIRFLRQVGRDWVQRRREALKRGEEVPA
+DILTQILKAEEGAQDDEGLLDNFVTFFIAGHETSANHLAFTVMELSRQPEIVARLQAEVD
+EVIGSKRYLDFEDLGRLQYLSQVLKESLRLYPPAWGTFRLLEEETLIDGVRVPGNTPLLF
+STYVMGRMDTYFEDPLTFNPDRFGPGAPKPRFTYFPFSLGHRSCIGQQFAQMEVKVVMAK
+LLQRLEFRLVPGQRFGL
+>Q02928_PF00067_52 <unknown description>
+PCPPSHWLFGHIQELQQDQELQRIQKWVETFPSACPHWLWGGKVRVQLYDPDYMKVILGR
+SDPKSHGSYRFLAPWIGYGLLLLNGQTWFQHRRMLTPAFHYDILKPYVGLMADSVRVMLD
+KWEELLGQDSPLEVFQHVSLMTLDTIMKCAFSHQGSIQVDRNSQSYIQAISDLNNLVFSR
+VRNAFHQNDTIYSLTSAGRWTHRACQLAHQHTDQVIQLRKAQLQKEGELEKIKRKRHLDF
+LDILLLAKMENGSILSDKDLRAEVDTFMFEGHDTTASGISWILYALATHPKHQERCREEI
+HSLLGDGASITWNHLDQMPYTTMCIKEALRLYPPVPGIGRELSTPVTFPDGRSLPKGIMV
+LLSIYGLHHNPKVWPNPEVFDPFRFAPGSAQHSHAFLPFSGGSRNCIGKQFAMNELKVAT
+ALTLLRFELLPDPTRIPIPIARLVLKSKNGI
+>Q5TCH4_PF00067_52 <unknown description>
+PCPPSHWLFGHIQEFQHDQELQRIQERVKTFPSACPYWIWGGKVRVQLYDPDYMKVILGR
+SDPKSHGSYKFLAPRIGYGLLLLNGQTWFQHRRMLTPAFHNDILKPYVGLMADSVRVMLD
+KWEELLGQDSPLEVFQHVSLMTLDTIMKSAFSHQGSIQVDRNSQSYIQAISDLNSLVFCC
+MRNAFHENDTIYSLTSAGRWTHRACQLAHQHTDQVIQLRKAQLQKEGELEKIKRKRHLDF
+LDILLLAKMENGSILSDKDLRAEVDTFMFEGHDTTASGISWILYALATHPKHQERCREEI
+HGLLGDGASITWNHLDQMPYTTMCIKEALRLYPPVPGIGRELSTPVTFPDGRSLPKGIMV
+LLSIYGLHHNPKVWPNLEVFDPSRFAPGSAQHSHAFLPFSGGSRNCIGKQFAMNQLKVAR
+ALTLLRFELLPDPTRIPIPMARLVLKSKNGI
+>P13584_PF00067_47 <unknown description>
+PGPPTHWLFGHALEIQETGSLDKVVSWAHQFPYAHPLWFGQFIGFLNIYEPDYAKAVYSR
+GDPKAPDVYDFFLQWIGRGLLVLEGPKWLQHRKLLTPGFHYDVLKPYVAVFTESTRIMLD
+KWEEKAREGKSFDIFCDVGHMALNTLMKCTFGRGDTGLGHSRDSSYYLAVSDLTLLMQQR
+LVSFQYHNDFIYWLTPHGRRFLRACQVAHDHTDQVIRERKAALQDEKVRKKIQNRRHLDF
+LDILLGARDEDDIKLSDADLRAEVDTFMFEGHDTTTSGISWFLYCMALYPEHQHRCREEV
+REILGDQDFFQWDDLGKMTYLTMCIKESFRLYPPVPQVYRQLSKPVTFVDGRSLPAGSLI
+SMHIYALHRNSAVWPDPEVFDSLRFSTENASKRHPFAFMPFSAGPRNCIGQQFAMSEMKV
+VTAMCLLRFEFSLDPSRLPIKMPQLVLRSKNG
+>P78329_PF00067_52 <unknown description>
+PQPPRRNWFWGHQGMVNPTEEGMRVLTQLVATYPQGFKVWMGPISPLLSLCHPDIIRSVI
+NASAAIAPKDKFFYSFLEPWLGDGLLLSAGDKWSRHRRMLTPAFHFNILKPYMKIFNESV
+NIMHAKWQLLASEGSACLDMFEHISLMTLDSLQKCVFSFDSHCQEKPSEYIAAILELSAL
+VSKRHHEILLHIDFLYYLTPDGQRFRRACRLVHDFTDAVIQERRRTLPSQGVDDFLQAKA
+KSKTLDFIDVLLLSKDEDGKKLSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQ
+ERCRQEVQELLKDREPKEIEWDDLAHLPFLTMCMKESLRLHPPVPVISRHVTQDIVLPDG
+RVIPKGIICLISVFGTHHNPAVWPDPEVYDPFRFDPENIKERSPLAFIPFSAGPRNCIGQ
+TFAMAEMKVVLALTLLRFRVLPDHTEPRRKPELVL
+>Q08477_PF00067_52 <unknown description>
+PQPPKRNWFLGHLGLIHSSEEGLLYTQSLACTFGDMCCWWVGPWHAIVRIFHPTYIKPVL
+FAPAAIVPKDKVFYSFLKPWLGDGLLLSAGEKWSRHRRMLTPAFHFNILKPYMKIFNESV
+NIMHAKWQLLASEGSARLDMFEHISLMTLDSLQKCVFSFDSHCQEKPSEYIAAILELSAL
+VTKRHQQILLYIDFLYYLTPDGQRFRRACRLVHDFTDAVIQERRRTLPSQGVDDFLQAKA
+KSKTLDFIDVLLLSKDEDGKKLSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQ
+ERCRQEVQELLKDREPKEIEWDDLAQLPFLTMCIKESLRLHPPVPAVSRCCTQDIVLPDG
+RVIPKGIICLISVFGTHHNPAVWPDPEVYDPFRFDPKNIKERSPLAFIPFSAGPRNCIGQ
+AFAMAEMKVVLGLTLLRFRVLPDHTEPRRKPELVL
+>P98187_PF00067_52 <unknown description>
+PQPRKQNWFLGHLGLVTPTEEGLRVLTQLVATYPQGFVRWLGPITPIINLCHPDIVRSVI
+NTSDAITDKDIVFYKTLKPWLGDGLLLSVGDKWRHHRRLLTPAFHFNILKPYIKIFSKSA
+NIMHAKWQRLAMEGSTCLDVFEHISLMTLDSLQKCIFSFDSNCQEKPSEYITAIMELSAL
+VVKRNNQFFRYKDFLYFLTPCGRRFHRACRLVHDFTDAVIQERRRTLTSQGVDDFLQAKA
+KSKTLDFIDVLLLSEDKNGKELSDEDIRAEADTFMFGGHDTTASGLSWVLYNLARHPEYQ
+ERCRQEVQELLKDREPKEIEWDDLAQLPFLTMCLKESLRLHPPIPTFARGCTQDVVLPDS
+RVIPKGNVCNINIFAIHHNPSVWPDPEVYDPFRFDPENAQKRSPMAFIPFSAGPRNCIGQ
+KFAMAEMKVVLALTLLRFRILPDHREPRRTP
+>Q9HBI6_PF00067_52 <unknown description>
+PQPPKQNWFWGHQGLVTPTEEGMKTLTQLVTTYPQGFKLWLGPTFPLLILCHPDIIRPIT
+SASAAVAPKDMIFYGFLKPWLGDGLLLSGGDKWSRHRRMLTPAFHFNILKPYMKIFNKSV
+NIMHDKWQRLASEGSARLDMFEHISLMTLDSLQKCVFSFESNCQEKPSEYIAAILELSAF
+VEKRNQQILLHTDFLYYLTPDGQRFRRACHLVHDFTDAVIQERRCTLPTQGIDDFLKNKA
+KSKTLDFIDVLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQ
+EQCRQEVQELLKDREPIEIEWDDLAQLPFLTMCIKESLRLHPPVPVISRCCTQDFVLPDG
+RVIPKGIVCLINIIGIHYNPTVWPDPEVYDPFRFDQENIKERSPLAFIPFSAGPRNCIGQ
+AFAMAEMKVVLALTLLHFRILPTHTEPRRKPELI
+>Q9HCS2_PF00067_52 <unknown description>
+PQPPKRNWFWGHLGLITPTEEGLKNSTQMSATYSQGFTVWLGPIIPFIVLCHPDTIRSIT
+NASAAIAPKDNLFIRFLKPWLGEGILLSGGDKWSRHRRMLTPAFHFNILKSYITIFNKSA
+NIMLDKWQHLASEGSSRLDMFEHISLMTLDSLQKCIFSFDSHCQERPSEYIATILELSAL
+VEKRSQHILQHMDFLYYLSHDGRRFHRACRLVHDFTDAVIRERRRTLPTQGIDDFFKDKA
+KSKTLDFIDVLLLSKDEDGKALSDEDIRAEADTFMFGGHDTTASGLSWVLYNLARHPEYQ
+ERCRQEVQELLKDRDPKEIEWDDLAQLPFLTMCVKESLRLHPPAPFISRCCTQDIVLPDG
+RVIPKGITCLIDIIGVHHNPTVWPDPEVYDPFRFDPENSKGRSPLAFIPFSAGPRNCIGQ
+AFAMAEMKVVLALMLLHFRFLPDHTEPRRKLELI
+>Q6NT55_PF00067_60 <unknown description>
+PQPPRRNWLLGHLGMYLPNEAGLQDEKKVLDNMHHVLLVWMGPVLPLLVLVHPDYIKPLL
+GASAAIAPKDDLFYGFLKPWLGDGLLLSKGDKWSRHRRLLTPAFHFDILKPYMKIFNQSA
+DIMHAKWRHLAEGSAVSLDMFEHISLMTLDSLQKCVFSYNSNCQEKMSDYISAIIELSAL
+SVRRQYRLHHYLDFIYYRSADGRRFRQACDMVHHFTTEVIQERRRALRQQGAEAWLKAKQ
+GKTLDFIDVLLLARDEDGKELSDEDIRAEADTFMFEGHDTTSSGISWMLFNLAKYPEYQE
+KCREEIQEVMKGRELEELEWDDLTQLPFTTMCIKESLRQYPPVTLVSRQCTEDIKLPDGR
+IIPKGIICLVSIYGTHHNPTVWPDSKVYNPYRFDPDNPQQRSPLAYVPFSAGPRNCIGQS
+FAMAELRVVVALTLLRFRLSVDRTRKVRRKPELILRTENGL
+>Q6ZWL3_PF00067_52 <unknown description>
+TVARAYPLVGHALLMKPDGREFFQQIIEYTEEYRHMPLLKLWVGPVPMVALYNAENVEVI
+LTSSKQIDKSSMYKFLEPWLGLGLLTSTGNKWRSRRKMLTPTFHFTILEDFLDIMNEQAN
+ILVKKLEKHINQEAFNCFFYITLCALDIICETAMGKNIGAQSNDDSEYVRAVYRMSEMIF
+RRIKMPWLWLDLWYLMFKEGWEHKKSLQILHTFTNSVIAERANEMNANEDCRGDGRGSAP
+SKNKRRAFLDLLLSVTDDEGNRLSHEDIREEVDTFMFEGHDTTAAAINWSLYLLGSNPEV
+QKKVDHELDDVFGKSDRPATVEDLKKLRYLECVIKETLRLFPSVPLFARSVSEDCEVAGY
+RVLKGTEAVIIPYALHRDPRYFPNPEEFQPERFFPENAQGRHPYAYVPFSAGPRNCIGQK
+FAVMEEKTILSCILRHFWIESNQKREELGLEGQLILRPSNGI
+>Q8N118_PF00067_47 <unknown description>
+PAPPTHWFLGHQKFIQDDNMEKLEEIIEKYPRAFPFWIGPFQAFFCIYDPDYAKTLLSRT
+DPKSQYLQKFSPPLLGKGLAALDGPKWFQHRRLLTPGFHFNILKAYIEVMAHSVKMMLDK
+WEKICSTQDTSVEVYEHINSMSLDIIMKCAFSKETNCQTNSTHDPYAKAIFELSKIIFHR
+LYSLLYHSDIIFKLSPQGYRFQKLSRVLNQYTDTIIQERKKSLQAGVKQDNTPKRKYQDF
+LDIVLSAKDESGSSFSDIDVHSEVSTFLLAGHDTLAASISWILYCLALNPEHQERCREEV
+RGILGDGSSITWDQLGEMSYTTMCIKETCRLIPAVPSISRDLSKPLTFPDGCTLPAGITV
+VLSIWGLHHNPAVWKNPKVFDPLRFSQENSDQRHPYAYLPFSAGSRNCIGQEFAMIELKV
+TIALILLHFRVTPDPTRPLTFPNHFILKPKNGMY
+>Q86W10_PF00067_47 <unknown description>
+PAPPAHWFYGHKEFYPVKEFEVYHKLMEKYPCAVPLWVGPFTMFFSVHDPDYAKILLKRQ
+DPKSAVSHKILESWVGRGLVTLDGSKWKKHRQIVKPGFNISILKIFITMMSESVRMMLNK
+WEEHIAQNSRLELFQHVSLMTLDSIMKCAFSHQGSIQLDSTLDSYLKAVFNLSKISNQRM
+NNFLHHNDLVFKFSSQGQIFSKFNQELHQFTEKVIQDRKESLKDKLKQDTTQKRRWDFLD
+ILLSAKSENTKDFSEADLQAEVKTFMFAGHDTTSSAISWILYCLAKYPEHQQRCRDEIRE
+LLGDGSSITWEHLSQMPYTTMCIKECLRLYAPVVNISRLLDKPITFPDGRSLPAGITVFI
+NIWALHHNPYFWEDPQVFNPLRFSRENSEKIHPYAFIPFSAGLRNCIGQHFAIIECKVAV
+ALTLLRFKLAPDHSRPPQPVRQVVLKSKNGI
+>Q16850_PF00067_71 <unknown description>
+FSPIPFLGHAIAFGKSPIEFLENAYEKYGPVFSFTMVGKTFTYLLGSDAAALLFNSKNED
+LNAEDVYSRLTTPVFGKGVAYDVPNPVFLEQKKMLKSGLNIAHFKQHVSIIEKETKEYFE
+SWGESGEKNVFEALSELIILTASHCLHGKEIRSQLNEKVAQLYADLDGGFSHAAWLLPGW
+LPLPSFRRRDRAHREIKDIFYKAIQKRRQSQEKIDDILQTLLDATYKDGRPLTDDEVAGM
+LIGLLLAGQHTSSTTSAWMGFFLARDKTLQKKCYLEQKTVCGENLPPLTYDQLKDLNLLD
+RCIKETLRLRPPIMIMMRMARTPQTVAGYTIPPGHQVCVSPTVNQRLKDSWVERLDFNPD
+RYLQDNPASGEKFAYVPFGAGRHRCIGENFAYVQIKTIWSTMLRLYEFDLIDGYFPTVNY
+TTMIHTPEN
+>P22680_PF00067_32 <unknown description>
+PPLENGLIPYLGCALQFGANPLEFLRANQRKHGHVFTCKLMGKYVHFITNPLSYHKVLCH
+GKYFDWKKFHFATSAKAFGHRSIDPMDGNTTENINDTFIKTLQGHALNSLTESMMENLQR
+IMRPPVSSNSKTAAWVTEGMYSFCYRVMFEAGYLTIFGRDLTRRDTQKAHILNNLDNFKQ
+FDKVFPALVAGLPIHMFRTAHNAREKLAESLRHENLQKRESISELISLRMFLNDTLSTFD
+DLEKAKTHLVVLWASQANTIPATFWSLFQMIRNPEAMKAATEEVKRTLENAGQKVSLEGN
+PICLSQAELNDLPVLDSIIKESLRLSSASLNIRTAKEDFTLHLEDGSYNIRKDDIIALYP
+QLMHLDPEIYPDPLTFKYDRYLDENGKTKTTFYCNGLKLKYYYMPFGSGATICPGRLFAI
+HEIKQFLILMLSYFELELIEGQAKCPPLDQSRAGLGILPPLNDIE
+>O75881_PF00067_47 <unknown description>
+PLIKGWLPYLGVVLNLRKDPLRFMKTLQKQHGDTFTVLLGGKYITFILDPFQYQLVIKNH
+KQLSFRVFSNKLLEKAFSISQLQKNHDMNDELHLCYQFLQGKSLDILLESMMQNLKQVFE
+PQLLKTTSWDTAELYPFCSSIIFEITFTTIYGKVIVCDNNKFISELRDDFLKFDDKFAYL
+VSNIPIELLGNVKSIREKIIKCFSSEKLAKMQGWSEVFQSRQDVLEKYYVHEDLEIGAHH
+LGFLWASVANTIPTMFWAMYYLLRHPEAMAAVRDEIDRLLQSTGQKKGSGFPIHLTREQL
+DSLICLESSIFEALRLSSYSTTIRFVEEDLTLSSETGDYCVRKGDLVAIFPPVLHGDPEI
+FEAPEEFRYDRFIEDGKKKTTFFKRGKKLKCYLMPFGTGTSKCPGRFFALMEIKQLLVIL
+LTYFDLEIIDDKPIGLNYSR
+>Q9UNU6_PF00067_34 <unknown description>
+PLDKGTVPWLGHAMAFRKNMFEFLKRMRTKHGDVFTVQLGGQYFTFVMDPLSFGSILKDT
+QRKLDFGQYAKKLVLKVFGYRSVQGDHEMIHSASTKHLRGDGLKDLNETMLDSLSFVMLT
+SKGWSLDASCWHEDSLFRFCYYILFTAGYLSLFGYTKDKEQDLLQAGELFMEFRKFDLLF
+PRFVYSLLWPREWLEVGRLQRLFHKMLSVSHSQEKEGISNWLGNMLQFLREQGVPSAMQD
+KFNFMMLWASQGNTGPTSFWALLYLLKHPEAIRAVREEATQVLGEARLETKQSFAFKLGA
+LQHTPVLDSVVEETLRLRAAPTLLRLVHEDYTLKMSSGQEYLFRHGDILALFPYLSVHMD
+PDIHPEPTVFKYDRFLNPNGSRKVDFFKTGKKIHHYTMPWGSGVSICPGRFFALSEVKLF
+ILLMVTHFDLELVDPDTPLPHVDPQRWGFGTMQPSHDV
+>Q7Z5Q1_PF16367_776 <unknown description>
+SRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQA
+LIDACIEEDGKLYLCVSSPTIKDKPVQIRP
+>Q7Z5Q1_PF16366_958 <unknown description>
+KRVEVKPYVLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFCWANIHSRAGREFHKP
+LVK
+>Q8NE35_PF16367_440 <unknown description>
+SRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQA
+LIDACLEEDGKLYLCVSSPTIKDKPVQIRP
+>Q8NE35_PF16366_622 <unknown description>
+KRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKP
+LVK
+>Q17RY0_PF16367_471 <unknown description>
+SRKVFVGGLPPDIDEDEITASFRRFGPLIVDWPHKAESKSYFPPKGYAFLLFQDESSVQA
+LIDACIEEDGKLYLCVSSPTIKDKPVQIRP
+>Q17RY0_PF16366_653 <unknown description>
+KRVEVKPYVLDDQLCDECQGARCGGKFAPFFCANVTCLQYYCEYCWAAIHSRAGREFHKP
+LVK
+>A0A1W2PPK0_PF00046_26 <unknown description>
+KHRHKFSEELLQELKEIFGENGYPDFTTRKTLANKFDCPVNVINNWFQNNRAR
+>A0A1W2PPM1_PF00046_30 <unknown description>
+KHRHKFSEELLQELKEIFGENCYPDYTTRKTLAIKFDCPVNVIDNWFQNKRAR
+>Q6FI81_PF08241_25 <unknown description>
+LKGLVDKLQALTGNEGRVSVENIKQLLQSAHKESSFDIILSGLVPGSTTLHSAEILAEIA
+RILRPGGCLF
+>Q6FI81_PF05093_236 <unknown description>
+SCGEGKKRKACKNCTCGLAEELEKEKSREQMS
+>Q6FI81_PF05093_270 <unknown description>
+PKSACGNCYLGDAFRCASCPYLGMPAFKPGEKVL
+>Q9BU20_PF00071_57 <unknown description>
+KIFVSGKSGVGKTALVAKLAGLEVPVVHHETTGIQTTVVFWPAKLQASSRVVMFRFEFWD
+CGESALKKFDHMLLACMENTDAFLFLFSFTDRASFEDLPGQLARIAGEAPGVVRMVIGSK
+FDQYMHTDVPERDLTAFRQAWELP
+>O14810_PF05835_1 <unknown description>
+MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAERE
+AVRQGIRDKYGIKKKEEREAEAQAAMEANSEGSLTRPKKAIPPGCGDEVEEEDESILDTV
+IKYLPGPLQDML
+>Q6PUV4_PF05835_1 <unknown description>
+MDFVMKQALGGATKDMGKMLGGEEEKDPDAQKKEEERQEALRQQEEERKAKHARMEAERE
+KVRQQIRDKYGLKKKEEKEAEEKAALEQPCEGSLTRPKKAIPAGCGDEEEEEEESILDTV
+LKYLPGPLQDM
+>Q8WVH0_PF05835_1 <unknown description>
+MAFMVKTMVGGQLKNLTGSLGGGEDKGDGDKSAAEAQGMSREEYEEYQKQLVEEKMERDA
+QFTQRKAERATLRSHFRDKYRLPKNETDESQIQMAGGDVELPRELAKMIEEDTEEEEEKA
+SVLGQLASLPGLNLGSL
+>Q7Z7G2_PF05835_1 <unknown description>
+MAFLMKSMISNQVKNLGFGGGSEENKEEGGASDPAAAQGMTREEYEEYQKQMIEEKMERD
+AAFTQKKAERACLRVHLREKYRLPKSEMDENQIQMAGDDVDLPEDLRKMVDEDQEEEEDK
+DSILGQIQNLQNMDLDTIKE
+>Q8IZJ3_PF01835_130 <unknown description>
+VFIQTDKPVYRPQHRVLISIFTVSPNLRPVNEKLEAYILDPRGSRMIEWRHLKPFCCGIT
+NMSFPLSDQPVLGEWFIFVEMQGHAYNKSFEV
+>Q8IZJ3_PF17791_223 <unknown description>
+KYVLPKFELLIDPPRYIQDLDACETGTVRARYTFGKPVAGALMINMTVNGVGY
+>Q8IZJ3_PF17789_351 <unknown description>
+DTRKQFKPGLAYVGKVELSYPDGSPAEGVTVQIKAELTPKDNIYTSEVVSQRGLVGFEIP
+>Q8IZJ3_PF07703_455 <unknown description>
+LQLQPPSHPLQVGEEAYFSVKSTCPCNFTLYYEVAARGNIVLSGQQPAHTTQQRSKRAAP
+ALEKPIRLTHLSETEPPPAPEAEVDVCVTSLHLAVTPSMVPLGRLLVFYVRENGEGVADS
+LQFAVETFFENQVSVTYSANETQPGEVVDLRIRAARGSCVCVAAVDKSVYLL
+>Q8IZJ3_PF00207_750 <unknown description>
+TWIWHCLNISDPSGEGTLSVKVPDSITSWVGEAVALSTSQGLGIAEPSLLKTFKPFFVDF
+MLPALIIRGEQVKIPLSVYNYMGTCAEVYMKL
+>Q8IZJ3_PF12248_985 <unknown description>
+VALSSGPQDTAGMIEIVLGGHQNTRSWISTSKMGEPVASAHTAKILSWDEFRTFWISWRG
+GLIQVGHGPEPSNESVIVAWTLPRPPEVQFIGFSTGWGSMGE
+>Q8IZJ3_PF07678_1121 <unknown description>
+SIIGDVMGPTLNHLNNLLRLPFGCGEQNMIHFAPNVFVLKYLQKTQQLSPEVERETTDYL
+VQGYQRQLTYKRQDGSYSAFGERDASGSMWLTAFVLKSFAQARSFIFVDPRELAAAKSWI
+IQQQQADGSFLAVGRVLNKDIQGGIHGTVPLTAYVVVALLETGTASEEERGSTDKARHFL
+ESAAPLAMDPYSCALTTYALTLLRSPAAPEALRKLRSLAIMRDGVTHWSLSNSWDVDKGT
+FLSFSDRVSQSVVSAEVEMTAYALLTYTLLGDVAAALPVVKWLSQQRNALGGFSSTQDTC
+VALQALAEY
+>Q8IZJ3_PF07677_1568 <unknown description>
+SSNMAVLEVPLLSGFRADIESLEQLLLDKHMGMKRYEVAGRRVLFYFDEIPSRCLTCVRF
+RALRECVVGRTSALPVSVYDYYEPAFEATRFYN
+>Q8IZJ3_PF07648_1712 <unknown description>
+CDHDCGAQGNPVCGSDGVVYASACRLREAACRQ
+>P22792_PF13855_97 <unknown description>
+PRLEDLEVTGSSFLNLSTNIFSNLTSLGKLTLNFNMLEALPEGLFQHLAALESLHLQGNQ
+L
+>P22792_PF13855_194 <unknown description>
+SLQTLKLSNNALSGLPQGVFGKLGSLQELFLDSNNISELPPQVFSQLFCLERLWLQRNAI
+>P22792_PF13855_294 <unknown description>
+LSLTHNQLETVAEGTFAHLSNLRSLMLSYNAITHLPAGIFRDLEELVKLYLGSNNL
+>Q99829_PF00168_12 <unknown description>
+ISCDHLIDKDIGSKSDPLCVLLQDVGGGSWAELGRTERVRNCSSPEFSKTLQLEYRFETV
+QKLRFGIYDIDNKTPELRDDDFLGGAECSLGQIVSSQVLT
+>Q99829_PF00168_144 <unknown description>
+VEARNLDKKDFLGKSDPFLEFFRQGDGKWHLVYRSEVIKNNLNPTWKRFSVPVQHFCGGN
+PSTPIQVQCSDYDSDGSHDLIGTFHTSLAQL
+>Q99829_PF07002_304 <unknown description>
+SLHYLSPTGVNEYLMALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNPSN
+PYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAHQGTASQYFMLLLLTDG
+AVTDVEATREAVVRASNLPMSVIIVGVGGADFEAMEQLDADGGPLHTRSGQAAARDIVQF
+VPYRRFQNAPREALAQTVLAEVPTQLVSYFRAQGW
+>Q96FN4_PF00168_30 <unknown description>
+VSGQNLLDRDVTSKSDPFCVLFTENNGRWIEYDRTETAINNLNPAFSKKFVLDYHFEEVQ
+KLKFALFDQDKSSMRLDEHDFLGQFSCSLGTIVSSKKITR
+>Q96FN4_PF00168_163 <unknown description>
+GRRLDKKDLFGKSDPFLEFYKPGDDGKWMLVHRTEVIKYTLDPVWKPFTVPLVSLCDGDM
+EKPIQVMCYDYDNDGGHDFIGEFQTSVSQMCE
+>Q96FN4_PF07002_326 <unknown description>
+SLHYINPMGTNEYLSAIWAVGQIIQDYDSDKMFPALGFGAQLPPDWKVSHEFAINFNPTN
+PFCSGVDGIAQAYSACLPHIRFYGPTNFSPIVNHVARFAAQATQQRTATQYFILLIITDG
+VISDMEETRHAVVQASKLPMSIIIVGVGNADFAAMEFLDGDSRMLRSHTGEEAARDIVQF
+VPFREFRNAAKETLAKAVLAELPQQVVQYFKHKNLPP
+>O75131_PF00168_13 <unknown description>
+VSCANLLDKDIGSKSDPLCVLFLNTSGQQWYEVERTERIKNCLNPQFSKTFIIDYYFEVV
+QKLKFGVYDIDNKTIELSDDDFLGECECTLGQIVSSKKLT
+>O75131_PF00168_145 <unknown description>
+MEARKLDNKDLFGKSDPYLEFHKQTSDGNWLMVHRTEVVKNNLNPVWRPFKISLNSLCYG
+DMDKTIKVECYDYDNDGSHDLIGTFQTTMTKLKEAS
+>O75131_PF07002_310 <unknown description>
+SLHYISPNGVNEYLTALWSVGLVIQDYDADKMFPAFGFGAQIPPQWQVSHEFPMNFNPSN
+PYCNGIQGIVEAYRSCLPQIKLYGPTNFSPIINHVARFAAAATQQQTASQYFVLLIITDG
+VITDLDETRQAIVNASRLPMSIIIVGVGGADFSAMEFLDGDGGSLRSPLGEVAIRDIVQF
+VPFRQFQNAPKEALAQCVLAEIPQQVVGYFNTYKL
+>Q96A23_PF00168_31 <unknown description>
+ACKGISDRDALSKPDPCVILKMQSHGQWFEVDRTEVIRTCINPVYSKLFTVDFYFEEVQR
+LRFEVHDISSNHNGLKEADFLGGMECTLGQIVSQRKLSK
+>Q96A23_PF00168_162 <unknown description>
+NARKLDDKDFFSKSDPFLEIFRMNDDATQQLVHRTEVVMNNLSPAWKSFKVSVNSLCSGD
+PDRRLKCIVWDWDSNGKHDFIGEFTSTFKE
+>Q96A23_PF07002_326 <unknown description>
+SLHYIHPYQPNEYLKALVAVGEICQDYDSDKMFPAFGFGARIPPEYTVSHDFAINFNEDN
+PECAGIQGVVEAYQSCLPKLQLYGPTNIAPIIQKVAKSASEETNTKEASQYFILLILTDG
+VITDMADTREAIVHASHLPMSVIIVGVGNADFSDMQMLDGDDGILRSPKGEPVLRDIVQF
+VPFRNFKHASPAALAKSVLAEVPNQVVDYYNGKGIKPK
+>Q9HCH3_PF00168_29 <unknown description>
+VSCRNLLDKDMFSKSDPLCVMYTQGMENKQWREFGRTEVIDNTLNPDFVRKFIVDYFFEE
+KQNLRFDLYDVDSKSPDLSKHDFLGQAFCTLGEIVG
+>Q9HCH3_PF00168_184 <unknown description>
+CANKLDKKDFFGKSDPFLVFYRSNEDGTFTICHKTEVMKNTLNPVWQTFSIPVRALCNGD
+YDRTIKVEVYDWDRDGSHDFIGEFTTSYRELARGQSQ
+>Q9HCH3_PF07002_347 <unknown description>
+SLHYMSPYQLNAYALALTAVGEIIQHYDSDKMFPALGFGAKLPPDGRVSHEFPLNGNQEN
+PSCCGIDGILEAYHRSLRTVQLYGPTNFAPVVTHVARNAAAVQDGSQYSVLLIITDGVIS
+DMAQTKEAIVNAAKLPMSIIIVGVGQAEFDAMVELDGDDVRISSRGKLAERDIVQFVPFR
+DYVDRTGNHVLSMARLARDVLAEIPDQLVSYMKAQGIRP
+>O95741_PF00168_27 <unknown description>
+SCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERTEVLRSCSSPVFSRVLALEYFFEEKQP
+LQFHVFDAEDGATSPRNDTFLGSTECTLGQIVSQTKVTK
+>O95741_PF00168_159 <unknown description>
+RAYKLDNKDLFSKSDPFMEIYKTNEDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCD
+VHRPLKFLVYDYDSSGKHDFIGEFTSTFQEMQEGTAN
+>O95741_PF07002_325 <unknown description>
+SLHCLSPRQPNHYLQALRAVGGICQDYDSDKRFPAFGFGARIPPNFEVSHDFAINFDPEN
+PECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVAEPAQREQSTGQATKYSVLLVLTDG
+VVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMRLLDGDDGPLRCPRGVPAARDIVQF
+VPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGISP
+>Q9UBL6_PF00168_28 <unknown description>
+LSCRHLLDRDPLTKSDPSVALLQQAQGQWVQVGRTEVVRSSLHPVFSKVFTVDYYFEEVQ
+RLRFEVYDTHGPSGFSCQEDDFLGGMECTLGQIVAQKKVT
+>Q9UBL6_PF00168_162 <unknown description>
+RARKLDDKDLFSKSDPFLELYRVNDDQGLQLVYRTEVVKNNLNPVWEAFKVSLSSLCSCE
+ETRPLKCLVWDYDSRGKHDFIGEFSTTFEEM
+>Q9UBL6_PF07002_326 <unknown description>
+SLHYINPYQPNEYLKALVSVGEICQDYDSDKRFSALGFGARIPPKYEVSHDFAINFNPED
+DECEGIQGVVEAYQNCLPRVQLYGPTNVAPIISKVARVAAAEESTGKASQYYILLILTDG
+VVTDMADTREAIVRASRLPMSIIIVGVGNADFTDMQVLDGDDGVLRSPRGEPALRDIVQF
+VPFRELKNASPAALAKCVLAEVPKQVVEYYSHRGLPP
+>Q86YQ8_PF00168_30 <unknown description>
+VSCRNLLDRDTFSKSDPICVLYVQGVGNKEWREFGRTEVIDNTLNPDFVRKFILDYFFEE
+RENLRFDLYDVDSKSPNLSKHDFLGQVFCTLGEIVGSQG
+>Q86YQ8_PF00168_164 <unknown description>
+FCANKLDKKDFFGKSDPFLVFYRSNEDGSFTICHKTEVVKNTLNPVWQAFKISVRALCNG
+DYDRTIKVEVYDWDRDGSHDFIGEFTTSYRELSRGQSQ
+>Q86YQ8_PF07002_328 <unknown description>
+SLHYMNPYQLNAYGMALKAVGEIVQDYDSDKMFPALGFGAKLPPDGRISHEFALNGNPQN
+PYCDGIEGVMEAYYRSLKSVQLYGPTNFAPVINHVARYASSVKDGSQYFVLLIVTDGVIS
+DMAQTKESIVNASKLPMSIIIVGVGPAEFDAMVELDGDDVRVSSRGKYAERDIVQFVPFR
+DYIDRSGNHILSMARLAKDVLAEIPEQFLSYMRARGIKP
+>Q8IYJ1_PF00168_20 <unknown description>
+VSCRNLLDLDTFSKSDPMVVLYTQSRASQEWREFGRTEVIDNTLNPDFVRKFVLDYFFEE
+KQNLRFDVYNVDSKTNISKPKDFLGQAFLALGEVIGG
+>Q8IYJ1_PF00168_154 <unknown description>
+LCANKLDKKDFFGKSDPFLVFYRSNEDGTFTICHKTEVVKNTLNPVWQPFSIPVRALCNG
+DYDRTVKIDVYDWDRDGSHDFIGEFTTSYRELSKAQ
+>Q8IYJ1_PF07002_318 <unknown description>
+SLHYMSPYQLSAYAMALKAVGEIIQDYDSDKLFPAYGFGAKLPPEGRISHQFPLNNNDED
+PNCAGIEGVLESYFQSLRTVQLYGPTYFAPVINQVARAAAKISDGSQYYVLLIITDGVIS
+DMTQTKEAIVSASSLPMSIIIVGVGPAMFEAMEELDGDDVRVSSRGRYAERDIVQFVPFR
+DYVDRSGNQVLSMARLAKDVLAEIPEQLLSYMRTRDIQPR
+>Q96L46_PF13833_91 <unknown description>
+PDMEVGATDLMNILNKVLSKHKDLKTDGFSLDTCRSIVSVMDSDTTGKLGFEEFKYLW
+>Q9BRF8_PF00149_61 <unknown description>
+WEQEIRLTEQAVQAINKLNPKPKFFVLCGDLIHAMPGKPWRTEQTEDLKRVLRAVDRAIP
+LVLVSGNHDIGNTPTAETVEEFCRTWGDDYFSFWVGGVLFLVLNSQFYENPSKCPSLKQA
+QDQWLDEQLSIARQRHCQHAIVFQHIPLFLESIDEDDDYYFNLSKSTRKKLADKFIHAGV
+KVVFSGHYHR
+>A6NMK7_PF15663_39 <unknown description>
+AVCNFFTKGLCEKGKLCPFRHDRGEKMVVCKHWLRGLCKKGDHCKFLHQYDLTRMPECYF
+YSKFGDCSNKECSFLHVKPA
+>A6NMK7_PF14608_123 <unknown description>
+DCPWYDQGFCKDGPLCKYRH
+>A6NMK7_PF14608_148 <unknown description>
+CLNYLVGFCPEGPKCQFA
+>Q10570_PF10433_92 <unknown description>
+DALLLSFKDAKLSVVEYDPGTHDLKTLSLHYFEEPELRDGFVQNVHTPRVRVDPDGRCAA
+MLVYGTRLVVLPFRRESLAEEHEGLVGEGQRSSFLPSYIIDVRALDEKLLNIIDLQFLHG
+YYEPTLLILFEPNQTWPGRVAVRQDTCSIVAISLNITQKVHPVIWSLTSLPFDCTQALAV
+PKPIGGVVVFAVNSLLYLNQSVPPYGVALNSLTTGTTAFPLRTQEGVRITLDCAQATFIS
+YDKMVISLKGGEIYVLTLITDGMRSVRAFHFDKAAASVLTTSMVTMEPGYLFLGSRLGNS
+LLLKYTEKLQEPPASAVREAADKEEPPSKKKRVDATAGWSAAGKSVPQDEVDEIEVYGSE
+AQSGTQLATYSFEVCDSILNIGPCANAAVGEPAFLSEEFQNSPEPDLEIVVCSGHGKNGA
+LSVLQKSIRPQVVTTFELPGCYDMWTVIAPVRKEEEDNPKGEGTEQEPSTTPEADDDGRR
+HGFLILSREDSTMILQTGQEIMELDTSGFATQGPTVFAGNIGDNRYIVQVSPLGIRLLEG
+VNQLHFIPVDLGAPIVQCAVADPYVVIMSAEGHVTMFLL
+>Q10570_PF03178_1074 <unknown description>
+FSIQLISPVSWEAIPNARIELQEWEHVTCMKTVSLRSEETVSGLKGYVAAGTCLMQGEEV
+TCRGRILIMDVIEVVPEPGQPLTKNKFKVLYEKEQKGPVTALCHCNGHLVSAIGQKIFLW
+SLRASELTGMAFIDTQLYIHQMISVKNFILAADVMKSISLLRYQEESKTLSLVSRDAKPL
+EVYSVDFMVDNAQLGFLVSDRDRNLMVYMYLPEAKESFGGMRLLRRADFHVGAHVNTFWR
+TPCRGATEGLSKKSVVWENKHITWFATLDGGIGLLLPMQEKTYRRLLMLQNALTTMLPHH
+AGLNPRAFRMLHVDRRTLQNAVRNVLDGELLNRYL
+>Q9P2I0_PF16661_22 <unknown description>
+LLQVDEFRFLLDCGWDEHFSMDIIDSLRKHVHQIDAVLLSHPDPLHLGALPYAVGKLGLN
+CAIYATIPVYKMGQMFMYDLYQSRHNTEDFTLFTLDDVDAAFDKIQQLKFSQIVNLKGKG
+HGLSITPLPAGHMIGGTIWKIVKDGEEEIVYAVDFNHKREIHLNGCSLEMLSRPSLL
+>Q9P2I0_PF10996_243 <unknown description>
+VLELAQLLDQIWRTKDAGLGVYSLALLNNVSYNVVEFSKSQVEWMSDKLMRCFEDKRNNP
+FQFRHLSLCHGLSDLARVPSPKVVLASQPDLECGFSRDLFIQWCQDPKNSIILTYRTTPG
+TLARF
+>Q9P2I0_PF07521_529 <unknown description>
+IEIKARVTYIDYEGRSDGDSIKKIINQMKPRQLIIVHGPPEASQDLAECCRAFGGKDIKV
+YMP
+>Q9P2I0_PF13299_608 <unknown description>
+VRLKDSLVSSLQFCKAKDAELAWIDGVLDMRVSKVDTGVILEEGELKDDGEDSEMQVEAP
+SDSSVIAQQKAMKSLFGDDEKETGEESEIIPTLEPLPPHEVPGHQSVFMNEPRLSDFKQV
+LLREGIQAEFVGGVLVCNNQVAVRRTETGRIGLEGCLCQDFYRIRDLLYEQY
+>Q9UKF6_PF00753_23 <unknown description>
+VGRSCIILEFKGRKIMLDCGIHPGLEGMDALPYIDLIDPAEIDLLLISHFHLDHCGALPW
+FLQKTSFKGRTFMTHATKAIYRWLLSDYVKVSNISADDMLYTETDLEESMDKIETINFHE
+VKEVAGIKFWCYHAGHVLGAAMFMIEIAGVKLLYTGDFSRQEDRHLMAA
+>Q9UKF6_PF10996_246 <unknown description>
+AQELLLILDEYWQNHPELHDIPIYYASSLAKKCMAVYQTYVNAMNDKIRKQININNPFVF
+KHISNLKSMDHFDDIGPSVVMASPGMMQSGLSRELFESWCTDKRNGVIIAGYCVEGTLAK
+HI
+>Q9UKF6_PF07521_382 <unknown description>
+LPLKMSVDYISFSAHTDYQQTSEFIRALKPPHVILVHGEQNEMARLKAALIREYEDNDEV
+HIEVH
+>Q9UKF6_PF11718_479 <unknown description>
+QRVSGILVKRNFNYHILSPCDLSNYTDLAMSTVKQTQAIPYTGPFNLLCYQLQKLTGDVE
+ELEIQEKPALKVFKNITVIQEPGMVVLEWLANPSNDMYADTVTTVILEVQSNPKIRKGAV
+QKVSKKLEMHVYSKRLEIMLQDIFGEDCVSVKDDSILSVTVDGKTANLNLETRTVECEEG
+SEDDESLREMVELAAQRLYEALTP
+>O95639_PF15663_40 <unknown description>
+VCEFFLKAACGKGGMCPFRHISGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFY
+SKFGECSNKECPFLHIDPE
+>O95639_PF00642_145 <unknown description>
+RVICVNYLVGFCPEGPSCKFMHPR
+>O95639_PF00098_244 <unknown description>
+TCYKCGEKGHYANRCTK
+>O43809_PF13869_36 <unknown description>
+TINLYPLTNYTFGTKEPLYEKDSSVAARFQRMREEFDKIGMRRTVEGVLIVHEHRLPHVL
+LLQLGTTFFKLPGGELNPGEDEVEGLKRLMTEILGRQDGVLQDWVIDDCIGNWWRPNFEP
+PQYPYIPAHITKPKEHKKLFLVQLQEKALFAVPKNYKLVAAPLFELYDNAPGYGPIISSL
+PQLLSRF
+>Q16630_PF00076_83 <unknown description>
+LYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRANGQSKGFALVGVGSEASSKKLMD
+LLPKRELHGQ
+>Q8N684_PF00076_84 <unknown description>
+VYVGSFSWWTTDQQLIQVIRSIGVYDVVELKFAENRANGQSKGYAEVVVASENSVHKLLE
+LLPGKVLNGEKV
+>P31327_PF00988_48 <unknown description>
+IVLEDGTKMKGYSFGHPSSVAGEVVFNTGLGGYPEAITDPAYKGQILTMANPIIGNGGAP
+DTTALDELGLSKYLESNGIKVSGLLVLDYSKDYNHWLATKSLGQWLQEEKVPAIYGVDTR
+MLTKIIRDKGTMLGKI
+>P31327_PF00117_222 <unknown description>
+AVDCGIKNNVIRLLVKRGAEVHLVPWNHDFTKMEYDGILIAGGPGNPALAEPLIQNVRKI
+LESDRKEPLFGISTGNLITGLAAGAKTYKMSMANRGQNQPVLNITNKQAFITAQNHGYAL
+DNTLPAGWKPLFVNVNDQTNEGIMHESKPFFAVQFHPEVTPGPIDTEYLFDSFF
+>P31327_PF02786_546 <unknown description>
+DRQLFSDKLNEINEKIAPSFAVESIEDALKAADTIGYPVMIRSAYALGGLGSGICPNRET
+LMDLSTKAFAMTNQILVEKSVTGWKEIEYEVVRDADDNCVTVCNMENVDAMGVHTGDSVV
+VAPAQTLSNAEFQMLRRTSINVVRHLGIVGECNIQFALHPTSMEYCIIEVNARLSRSSAL
+ASKATGYPLAFIAAKIALGIPLPE
+>P31327_PF02787_841 <unknown description>
+KELSEPSSTRIYAIAKAIDDNMSLDEIEKLTYIDKWFLYKMRDILNMEKTLKGLNSESMT
+EETLKRAKEIGFSDKQISKC
+>P31327_PF02786_1088 <unknown description>
+DRSIFSAVLDELKVAQAPWKAVNTLNEALEFAKSVDYPCLLRPSYVLSGSAMNVVFSEDE
+MKKFLEEATRVSQEHPVVLTKFVEGAREVEMDAVGKDGRVISHAISEHVEDAGVHSGDAT
+LMLPTQTISQGAIEKVKDATRKIAKAFAISGPFNVQFLVKGNDVLVIECNLRASRSFPFV
+SKTLGVDFIDVATKVMIGENVDE
+>P31327_PF02142_1375 <unknown description>
+LGVAEQLHNEGFKLFATEATSDWLNANNVPATPVAWPSQEGQNPSLSSIRKLIRDGSIDL
+VINLPNNNTKFVHDNYVIRRTAVDSGIPLLT
+>P50416_PF16484_1 <unknown description>
+MAEAHQAVAFQFTVTPDGIDLRLSHEALRQIYLSGLHSWKKKFIRFK
+>P50416_PF00755_174 <unknown description>
+LPVPAVKDTVNRYLQSVRPLMKEEDFKRMTALAQDFAVGLGPRLQWYLKLKSWWATNYVS
+DWWEEYIYLRGRGPLMVNSNYYAMDLLYILPTHIQAARAGNAIHAILLYRRKLDREEIKP
+IRLLGSTIPLCSAQWERMFNTSRIPGEETDTIQHMRDSKHIVVYHRGRYFKVWLYHDGRL
+LKPREMEQQMQRILDNTSEPQPGEARLAALTAGDRVPWARCRQAYFGRGKNKQSLDAVEK
+AAFFVTLDETEEGYRSEDPDTSMDSYAKSLLHGRCYDRWFDKSFTFVVFKNGKMGLNAEH
+SWADAPIVAHLWEYVMSIDSLQLGYAEDGHCKGDINPNIPYPTRLQWDIPGECQEVIETS
+LNTANLLANDVDFHSFPFVAFGKGIIKKCRTSPDAFVQLALQLAHYKDMGKFCLTYEASM
+TRLFREGRTETVRSCTTESCDFVRAMVDPAQTVEQRLKLFKLASEKHQHMYRLAMTGSGI
+DRHLFCLYVVSKYLAVESPFLKEVLSEPWRLSTSQTPQQQVELFDLENNPEYVSSGGGFG
+PVADDGYGVSYILVGENLINFHISSKFSCPETDSHRFGRHLKE
+>Q92523_PF16484_1 <unknown description>
+MAEAHQAVAFQFTVTPDGVDFRLSREALKHVYLSGINSWKKRLIRIK
+>Q92523_PF00755_176 <unknown description>
+LPVPRVSATIQRYLESVRPLLDDEEYYRMELLAKEFQDKTAPRLQKYLVLKSWWASNYVS
+DWWEEYIYLRGRSPLMVNSNYYVMDLVLIKNTDVQAARLGNIIHAMIMYRRKLDREEIKP
+VMALGIVPMCSYQMERMFNTTRIPGKDTDVLQHLSDSRHVAVYHKGRFFKLWLYEGARLL
+KPQDLEMQFQRILDDPSPPQPGEEKLAALTAGGRVEWAQARQAFFSSGKNKAALEAIERA
+AFFVALDEESYSYDPEDEASLSLYGKALLHGNCYNRWFDKSFTLISFKNGQLGLNAEHAW
+ADAPIIGHLWEFVLGTDSFHLGYTETGHCLGKPNPALAPPTRLQWDIPKQCQAVIESSYQ
+VAKALADDVELYCFQFLPFGKGLIKKCRTSPDAFVQIALQLAHFRDRGKFCLTYEASMTR
+MFREGRTETVRSCTSESTAFVQAMMEGSHTKADLRDLFQKAAKKHQNMYRLAMTGAGIDR
+HLFCLYLVSKYLGVSSPFLAEVLSEPWRLSTSQIPQSQIRMFDPEQHPNHLGAGGGFGPV
+ADDGYGVSYMIAGENTIFFHISSKFSSSETNAQRFGNHIR
+>Q8TCG5_PF16484_1 <unknown description>
+MAEAHQAVGFRPSLTSDGAEVELSAPVLQEIYLSGLRSWKRHLSRFW
+>Q8TCG5_PF00755_174 <unknown description>
+QPVPSVQDTVRKYLESVRPILSDEDFDWTAVLAQEFLRLQASLLQWYLRLKSWWASNYVS
+DWWEEFVYLRSRNPLMVNSNYYMMDFLYVTPTPLQAARAGNAVHALLLYRHRLNRQEIPP
+TLLMGMRPLCSAQYEKIFNTTRIPGVQKDYIRHLHDSQHVAVFHRGRFFRMGTHSRNSLL
+SPRALEQQFQRILDDPSPACPHEEHLAALTAAPRGTWAQVRTSLKTQAAEALEAVEGAAF
+FVSLDAEPAGLTREDPAASLDAYAHALLAGRGHDRWFDKSFTLIVFSNGKLGLSVEHSWA
+DCPISGHMWEFTLATECFQLGYSTDGHCKGHPDPTLPQPQRLQWDLPDQIHSSISLALRG
+AKILSENVDCHVVPFSLFGKSFIRRCHLSSDSFIQIALQLAHFRDRGQFCLTYESAMTRL
+FLEGRTETVRSCTREACNFVRAMEDKEKTDPQCLALFRVAVDKHQALLKAAMSGQGVDRH
+LFALYIVSRFLHLQSPFLTQVHSEQWQLSTSQIPVQQMHLFDVHNYPDYVSSGGGFGPAD
+DHGYGVSYIFMGDGMITFHISSKKSSTKTDSHRLGQHIE
+>P23786_PF00755_52 <unknown description>
+LPIPKLEDTIRRYLSAQKPLLNDGQFRKTEQFCKSFENGIGKELHEQLVALDKQNKHTSY
+ISGPWFDMYLSARDSVVLNFNPFMAFNPDPKSEYNDQLTRATNMTVSAIRFLKTLRAGLL
+EPEVFHLNPAKSDTITFKRLIRFVPSSLSWYGAYLVNAYPLDMSQYFRLFNSTRLPKPSR
+DELFTDDKARHLLVLRKGNFYIFDVLDQDGNIVSPSEIQAHLKYILSDSSPAPEFPLAYL
+TSENRDIWAELRQKLMSSGNEESLRKVDSAVFCLCLDDFPIKDLVHLSHNMLHGDGTNRW
+FDKSFNLIIAKDGSTAVHFEHSWGDGVAVLRFFNEVFKDSTQTPAVTPQSQPATTDSTVT
+VQKLNFELTDALKTGITAAKEKFDATMKTLTIDCVQFQRGGKEFLKKQKLSPDAVAQLAF
+QMAFLRQYGQTVATYESCSTAAFKHGRTETIRPASVYTKRCSEAFVREPSRHSAGELQQM
+MVECSKYHGQLTKEAAMGQGFDRHLFALRHLAAAKGIILPELYLDPAYGQINHNVLSTST
+LSSPAVNLGGFAPVVSDGFGVGYAVHDNWIGCNVSSYPGRNAREFLQCVE
+>Q5TA50_PF08718_28 <unknown description>
+LLDPYIASWKGLVRFLNSLGTIFSFISKDVVSKLRIMERLRGGPQSEHYRSLQAMVAHEL
+SNRLVDLERRSHHPESGCRTVLRLHRALHWLQLFLEGLRTSPEDARTSALCADSYNASLA
+AYHPWVVRRAVTVAFCTLPTREVFLEAMN
+>Q9H3G5_PF00450_69 <unknown description>
+LNMKSYAGFLTVNKTYNSNLFFWFFPAQIQPEDAPVVLWLQGGPGGSSMFGLFVEHGPYV
+VTSNMTLRDRDFPWTTTLSMLYIDNPVGTGFSFTDDTHGYAVNEDDVARDLYSALIQFFQ
+IFPEYKNNDFYVTGESYAGKYVPAIAHLIHSLNPVREVKINLNGIAIGDGYSDPESIIGG
+YAEFLYQIGLLDEKQKKYFQKQCHECIEHIRKQNWFEAFEILDKLLDGDLTSDPSYFQNV
+TGCSNYYNFLRCTEPEDQLYYVKFLSLPEVRQAIHVGNQTFNDGTIVEKYLREDTVQSVK
+PWLTEIMNNYKVLIYNGQLDIIVAAALTERSLMGMDWKGSQEYKKAEKKVWKIFKSDSEV
+AGYIRQAGDFHQVIIRGGGHILPYDQPLRAFDMINRFIY
+>Q96SM3_PF00754_132 <unknown description>
+ASSSQSFGLGPHRGRLNIQSGLEDGDLYDGAWCAEEQDADPWFQVDAGHPTRFSGVITQG
+RNSVWRYDWVTSYKVQFSNDSRTWWGSRNHSSGMDAVFPANSDPETPVLNLLPEPQVARF
+IRLLPQTWLQGGAPCLRAEI
+>Q96SM3_PF00246_305 <unknown description>
+MRKLMKQVQEQCPNITRIYSIGKSYQGLKLYVMEMSDKPGEHELGEPEVRYVAGMHGNEA
+LGRELLLLLMQFLCHEFLRGNPRVTRLLSEMRIHLLPSMNPDGYEIAYHRGSELVGWAEG
+RWNNQSIDLNHNFADLNTPLWEAQDDGKVPHIVPNHHLPLPTYYTLPNATVAPETRAVIK
+WMKRIPFVLSANLHGGELVVSYPFDMTRTPWAARELTPTPDDAVFRWLSTVYAGSNLAMQ
+DTSRRPCHSQDFSVHGNIINGADWHTVPGSMNDFSYLHTNCFEVTVELSCDKFPHENELP
+QEWENNK
+>Q96SM3_PF13620_625 <unknown description>
+IAGVVRDKDTELGIADAVIAVDGINHDVTTAWGGDYWRLLTPGDYMVTASAEGYHSVTRN
+CRVT
+>Q8N436_PF00754_178 <unknown description>
+DFYDGAWCAGRNDLQQWIEVDARRLTRFTGVITQGRNSLWLSDWVTSYKVMVSNDSHTWV
+TVKNGSGDMIFEGNSEKEIPVLNELPVPMVARYIRINPQSWFDNGSICMRMEI
+>Q8N436_PF00246_324 <unknown description>
+MRQLMKVVNEMCPNITRIYNIGKSHQGLKLYAVEISDHPGEHEVGEPEFHYIAGAHGNEV
+LGRELLLLLVQFVCQEYLARNARIVHLVEETRIHVLPSLNPDGYEKAYEGGSELGGWSLG
+RWTHDGIDINNNFPDLNTLLWEAEDRQNVPRKVPNHYIAIPEWFLSENATVAAETRAVIA
+WMEKIPFVLGGNLQGGELVVAYPYDLVRSPWKTQEHTPTPDDHVFRWLAYSYASTHRLMT
+DARRRVCHTEDFQKEEGTVNGASWHTVAGSLNDFSYLHTNCFELSIYVGCDKYPHESQLP
+EEWENNRE
+>Q8N436_PF13620_644 <unknown description>
+IKGLVRDSHGKGIPNAIISVEGINHDIRTANDGDYWRLLNPGEYVVTAKAEGFTASTKNC
+MVGYDMGATRCDFTL
+>Q6JBY9_PF15255_77 <unknown description>
+KFKVKSSPLIEKLQANLTFDPAALLPGASPKSPGLKAMVSPFHSPPSTPSSPGVRSRPSE
+AEEVPVSFDQPPEGSHLPCYNKVRTRGSIKRRPPSRRFRRSQSDC
+>Q6JBY9_PF05177_229 <unknown description>
+RTLGPAEKPPLRRSPSRTEKQEEDRATEEAKNGEKARRSSEEVDGQHPAQEEVPESPQTS
+GPEAENRCGSPREEKPAGEEAEMEKATEVKGERVQNEEVGP
+>Q8WW18_PF15470_7 <unknown description>
+KTPLWKKETEELRAEDAEQEEGKEGSEDEDEDNQRPLEDSATEGEEPPRVAEEGEGRERR
+SVSYCPLRQESSTQQVALLRRADSGFWGWLGPLALLGGLTAPTDRKRSLPEEPCVLEIRR
+RPPRRGGCACCELLFCKKCRSLHSHPAYVAHCVLDHPDLGKAGAA
+>Q0P5P2_PF15076_17 <unknown description>
+FSETSPILTEKQAKQLLRSRRQDRPSKPGFPDEPMREYMHHLLALEHRAEEQFLEHWLNP
+HCKPHCDRNRIHPV
+>Q8N4C9_PF15829_1 <unknown description>
+MDTILVFSLIIASYDANKKDLRDSSCRLEQLPGIFPKDVRSIRELQMQETHTETKRTTFI
+QNRTIATLQCLGSDSKVKVNLVYLERRPKVKHILKNLRIIAAPRRNSSASSSCHLIPTSK
+FQTGSLLKGKAFLPGISQCKVLGASSETFPTTAPSITPGNKEGEKTTSTDTDENLEKRQK
+WSIVVKILIAVTLLLSGVAIIVFVIFEVPCP
+>A8MV24_PF15075_12 <unknown description>
+EKGFILDGVAVSTAARAYGRSRPKLWSAIPPYNAQQDYHARSYFQSHVVPPLLRKTDQDH
+GGTGRDGWIVDYIHIFGQGQRYLNRRNWAGTGHSLQQVTGHDHYNADLKPIDGFNGRFGY
+RRNTPALRQSTSVF
+>Q6ZR85_PF17688_1 <unknown description>
+MKGTPSSLDTLMWIYHFHSSTEVALQPPLLSSLELSVAAAHEYLEQRFRELKSLEPPEPK
+MQGMLPAPKPTLGLVLREATASLVSFGTTLLEISALWLQQEARRLDGSAGPAPDGRDPGA
+ALSRVAQAAGQGVRQAGAAVGASARLLVQGAWLCLCGRGLQGSASFLRQSQQQLGLGIPG
+EPVSSGHGV
+>Q96MF6_PF03364_94 <unknown description>
+GYSMQEMYEVVSNVQEYREFVPWCKKSLVVSSRKGHLKAQLEVGFPPVMERYTSAVSMVK
+PHMVKAVCTDGKLFNHLETIWRFSPGIPAYPRTCTVDFSISFEFRSLLHSQLATMFFDEV
+VKQNVAAFE
+>Q9H8M1_PF03364_85 <unknown description>
+GYSMQEMYDVVSGVEDYKHFVPWCKKSDVISKRSGYCKTRLEIGFPPVLERYTSVVTLVK
+PHLVKASCTDGRLFNHLETIWRFSPGLPGYPRTCTLDFSISFEFRSLLHSQLATLFFDEV
+VKQMVAAFE
+>Q32NC0_PF15719_27 <unknown description>
+WAYTSSHDDKSTFEETCPYCFQLLVLDNSRVRLKPKARLTPKIQKLLNREARNYTLSFKE
+AKMVKKFKDSKSVLLITCKTCNRTVKHHGKSRSFVSTLKSNPATPTSKLSLKTPERRTAN
+PNHDMSGSKGKSPASVFRTPTSGQSVSTCSSKNTSKTKKHFSQLKMLLSQNESQKIPKVD
+FRNF
+>Q96B23_PF15303_67 <unknown description>
+SESQLASTESDKPTTGRVYESDSSNHCMLSPSSSGHLADSDTLSSAEENEPSQAETAVEG
+DPSGVSGATVGRKSRRSRSESETSTMAAKKNRQSSDKQNGRVAKVKGHRSQKHKERIRLL
+RQKREAAARKKYNLLQDSSTSDSDLTCDSSTSSSDDDEE
+>Q8TCD1_PF14975_2 <unknown description>
+VCIPCIVIPVLLWIYKKFLEPYIYPLVSPFVSRIWPKKAIQESNDTN
+>Q68DL7_PF15813_7 <unknown description>
+QSLFFITLPDLNKLCAVRIILSNKVADTEIRTIQMKMCRQLLFLHQDILTSPVSGILNQI
+WVVMAIPFYKARKLNAYVEKYGAKMEAPQRVIPVILQNCLSYSFMARLAPAWNRTGHLLI
+QGRDFLSQMGKQSAVVLNINVTETQVCLSIEACTIRLPAPELKEFEISQSIIKDFHANKH
+AVIERHSILSNWCYVLPSMKMGQIINIFHAIPAACPFHSYGDFQRHWDALYGYKLPGDCG
+KIKIYCNIYFKMLGERTFTYPLSCIRSQPMQFFP
+>Q2VPA4_PF00084_35 <unknown description>
+CNVPEWLPFARPTNLTDDFEFPIGTYLNYECRPGYSGRPFSIICLKNSVWTSAKDKC
+>Q2VPA4_PF00084_96 <unknown description>
+CRNPPDPVNGMAHVIKDIQFRSQIKYSCPKGYRLIGSSSATCIISGNTVIWDNKTPVC
+>Q2VPA4_PF00084_158 <unknown description>
+CGLPPTIANGDFTSISREYFHYGSVVTYHCNLGSRGKKVFELVGEPSIYCTSKDDQVGIW
+SGPAPQC
+>Q2VPA4_PF00084_230 <unknown description>
+CTPPNVENGILVSDNRSLFSLNEVVEFRCQPGFGMKGPSHVKCQALNKWEPELPSC
+>Q2VPA4_PF00084_289 <unknown description>
+CQPPPDVLHAERTQRDKDNFSPGQEVFYSCEPGYDLRGSTYLHCTPQGDWSPAAPRC
+>Q2VPA4_PF00084_357 <unknown description>
+LPNGHVLFPLNLQLGAKVDFVCDEGFQLKGSSASYCVLAGMESLWNSSVPVC
+>Q2VPA4_PF00084_413 <unknown description>
+CETPPVPVNGMVHVITDIHVGSRINYSCTTGHRLIGHSSAECILSGNTAHWSMKPPIC
+>Q2VPA4_PF00084_475 <unknown description>
+CPNPPAILNGRHTGTPLGDIPYGKEVSYTCDPHPDRGMTFNLIGESTIRRTSEPHGNGVW
+SSPAPRC
+>P20023_PF00084_23 <unknown description>
+CGSPPPILNGRISYYSTPIAVGTVIRYSCSGTFRLIGEKSLLCITKDKVDGTWDKPAPKC
+>P20023_PF00084_91 <unknown description>
+CPEPIVPGGYKIRGSTPYRHGDSVTFACKTNFSMNGNKSVWCQANNMWGPTRLPTC
+>P20023_PF00084_154 <unknown description>
+CPALPMIHNGHHTSENVGSIAPGLSVTYSCESGYLLVGEKIINCLSSGKWSAVPPTC
+>P20023_PF00084_215 <unknown description>
+CKSLGRFPNGKVKEPPILRVGVTANFFCDEGYRLQGPPSSRCVIAGQGVAWTKMPVC
+>P20023_PF00084_276 <unknown description>
+CPSPPPILNGRHIGNSLANVSYGSIVTYTCDPDPEEGVNFILIGESTLRCTVDSQKTGTW
+SGPAPRC
+>P20023_PF00084_351 <unknown description>
+CPHPQILRGRMVSGQKDRYTYNDTVIFACMFGFTLKGSKQIRCNAQGTWEPSAPVC
+>P20023_PF00084_410 <unknown description>
+CQAPPNILNGQKEDRHMVRFDPGTSIKYSCNPGYVLVGEESIQCTSEGVWTPPVPQC
+>P20023_PF00084_527 <unknown description>
+CPPPPVIYNGAHTGSSLEDFPYGTTVTYTCNPGPERGVEFSLIGESTIRCTSNDQERGTW
+SGPAPLC
+>P20023_PF00084_603 <unknown description>
+SHVHIANGYKISGKEAPYFYNDTVTFKCYSGFTLKGSSQIRCKADNTWDPEIPVC
+>P20023_PF00084_661 <unknown description>
+CQSPPGLHHGRHTGGNTVFFVSGMTVDYTCDPGYLLVGNKSIHCMPSGNWSPSAPRC
+>P20023_PF00084_778 <unknown description>
+CHPPPVIVNGKHTGMMAENFLYGNEVSYECDQGFYLLGEKKLQCRSDSKGHGSWSGPSPQ
+C
+>P20023_PF00084_847 <unknown description>
+CPNPEVKHGYKLNKTHSAYSHNDIVYVDCNPGFIMNGSRVIRCHTDNTWVPGVPTC
+>P20023_PF00084_910 <unknown description>
+CPPPPKTPNGNHTGGNIARFSPGMSILYSCDQGYLLVGEALLLCTHEGTWSQPAPHC
+>P20023_PF00084_985 <unknown description>
+EPRKMYQYGAVVTLECEDGYMLEGSPQSQCQSDHQWNPPLAVC
+>Q96BA8_PF00170_288 <unknown description>
+EKALKRVRRKIKNKISAQESRRKKKEYVECLEKKVETFTSENNELWKKVETLENANRTLL
+QQL
+>Q70SY1_PF00170_292 <unknown description>
+EKALKKIRRKIKNKISAQESRRKKKEYMDSLEKKVESCSTENLELRKKVEVLENTNRTLL
+QQL
+>Q68CJ9_PF00170_241 <unknown description>
+ERVLKKIRRKIRNKQSAQESRKKKKEYIDGLETRMSACTAQNQELQRKVLHLEKQNLSLL
+EQL
+>Q8TEY5_PF00170_215 <unknown description>
+ERVLKKVRRKIRNKQSAQDSRRRKKEYIDGLESRVAACSAQNQELQKKVQELERHNISL
+>Q9NQ79_PF13517_62 <unknown description>
+DVDHDGDFEIVVAGYNGPNLVLKYDRAQKRLVNIAVDERSSPYYALRDRQGNAIGVTACD
+IDGDGREEIY
+>Q9NQ79_PF13517_299 <unknown description>
+DFNRDGKVDIVYGNWNGPHRLYLQMSTHGKVRFRDIASPKFSMPSPVRTVITADFDNDQE
+LEIF
+>Q9NQ79_PF07593_458 <unknown description>
+ARGAKVVLYTKKSGAHLRIIDGGSGYLCEMEPVAHFGLGKDEASSVEVTWPDGKM
+>Q9NQ79_PF07645_559 <unknown description>
+DTNECIQFPFVCPRDKPVCVNTYGSYRCRTNKKCSRGYEPNEDGTAC
+>Q6ZU35_PF15262_45 <unknown description>
+KNIKFGQRSPNAIPMNKANSGEASLEEDLFLTSPMEIVTQQDIVLSDAENKSSDTPSSLS
+PLNLPGAGSEMEEKVAPVKPSRPKRHFSSAGTIESVNLDAIPLAIARLDNSAAKHKLAVK
+PKKQRVSKK
+>P78560_PF00619_7 <unknown description>
+QVLRSLRLELGAEVLVEGLVLQYLYQEGILTENHIQEINAQTTGLRKTMLLLDILPSRGP
+KAFDTFLDSLQEFPWV
+>P78560_PF00531_118 <unknown description>
+RQINQLAQRLGPEWEPMVLSLGLSQTDIYRCKANHPHNVQSQVVEAFIRWRQRFGKQATF
+QSLHNGLRAVE
+>P05813_PF00030_32 <unknown description>
+KITIYDQENFQGKRMEFTSSCPNVSERSFDNVRSLKVESGAWIGYEHTSFCGQQFILERG
+EYPRWDAWSGSNAYHIERLMSFRPI
+>P05813_PF00030_125 <unknown description>
+KMTIFEKENFIGRQWEISDDYPSLQAMGWFNNEVGSMKIQSGAWVCYQYPGYRGYQYILE
+CDHHGGDYKHWREWGSHAQTSQIQSIRRI
+>P53672_PF00030_14 <unknown description>
+LTLWDEEDFQGRRCRLLSDCANVCERGGLPRVRSVKVENGVWVAFEYPDFQGQQFILEKG
+DYPRWSAWSGSSSHNSNQLLSFRPV
+>P53672_PF00030_107 <unknown description>
+RVTLFEGDNFQGCKFDLVDDYPSLPSMGWASKDVGSLKVSSGAWVAYQYPGYRGYQYVLE
+RDRHSGEFCTYGELGTQAHTGQLQSIRRV
+>P53673_PF00030_13 <unknown description>
+KMVVWDEDGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHAGFQGQQYILERG
+EYPSWDAWGGNTAYPAERLTSFRP
+>P53673_PF00030_107 <unknown description>
+LTIFEQENFLGKKGELSDDYPSLQAMGWEGNEVGSFHVHSGAWVCSQFPGYRGFQYVLEC
+DHHSGDYKHFREWGSHAPTFQVQSIRRI
+>P53674_PF00030_61 <unknown description>
+LVVFELENFQGRRAEFSGECSNLADRGFDRVRSIIVSAGPWVAFEQSNFRGEMFILEKGE
+YPRWNTWSSSYRSDRLMSFRPI
+>P53674_PF00030_150 <unknown description>
+KISLFEGANFKGNTIEIQGDDAPSLWVYGFSDRVGSVKVSSGTWVGYQYPGYRGYQYLLE
+PGDFRHWNEWGAFQPQMQSLRR
+>P43320_PF00030_18 <unknown description>
+KIIIFEQENFQGHSHELNGPCPNLKETGVEKAGSVLVQAGPWVGYEQANCKGEQFVFEKG
+EYPRWDSWTSSRRTDSLSSLRPI
+>P43320_PF00030_108 <unknown description>
+KIILYENPNFTGKKMEIIDDDVPSFHAHGYQEKVSSVRVQSGTWVGYQYPGYRGLQYLLE
+KGDYKDSSDFGAPHPQVQSVRRI
+>P26998_PF00030_25 <unknown description>
+KVILYELENFQGKRCELSAECPSLTDSLLEKVGSIQVESGPWLAFESRAFRGEQFVLEKG
+DYPRWDAWSNSRDSDSLLSLRP
+>P26998_PF00030_115 <unknown description>
+KLHLFENPAFSGRKMEIVDDDVPSLWAHGFQDRVASVRAINGTWVGYEFPGYRGRQYVFE
+RGEYRHWNEWDASQPQLQSVRRI
+>Q8N1P7_PF00030_987 <unknown description>
+KVIFFSESGCQGSGREVWGDIVDASGWAPVASIRVVRGCWVLYEEPEFRGQKLVLPEGDM
+ELRTPGTKWSPQGIGSLRRV
+>Q8N1P7_PF00030_1075 <unknown description>
+ISLFSEEGLKGEQVKLTEALKNSQGLEKPLQVASATVSAGLWLLYPKPLFEDTPYILEPG
+EYPTSEAWGTSDPSVGSLKPM
+>Q8N1P7_PF00030_1170 <unknown description>
+AVVYEAPGFQGRSWEVSRDIYNLQQPEDSQSPHLASVGSLRVLGGCWVGYEKEGFRGHQY
+LLEEGEYPDWSHWGGYDELLTSLRVI
+>Q8N1P7_PF00030_1264 <unknown description>
+VVLFEAMDFEGHGVEVSKALPDVELVQHGPSTQAIHVLSGVWVAYQEVGFSGEQYVLEKG
+VYRNCEDWGAGNSTLASLQPV
+>Q8N1P7_PF00030_1357 <unknown description>
+KIQLFSRPDFLGDHFSFEDDQAALPASFRPQSCRVHGGSWILFDETNFEGDQHILSEGEF
+PTLTAMGCLASTVLGSLQKV
+>Q8N1P7_PF00030_1445 <unknown description>
+IFLYGLECFEGKEIELSREVRSLQAEGFNNHVLSVRIKGGIWVLCEHSDFRGRQWLVGSC
+EITNWLTYSGTQRVGSLYPI
+>Q68DQ2_PF00030_2329 <unknown description>
+IKVVRGCWILYEKPHFRGQKCVLEEGEKVLNRDWILQNR
+>Q68DQ2_PF00030_2404 <unknown description>
+VYIQRAVPNLEELNISKSVSFTVKSGVWLAYPDINFKGQATVLEED
+>Q68DQ2_PF00030_2480 <unknown description>
+KVIIYEKPHFHGQAKEFSEHIDSVPNFLKNNGDFHRIGSIRVIGGVWVAYEKEHFKGQQF
+LLEEGDFEDSNACGALSSPILSFRY
+>Q68DQ2_PF00030_2574 <unknown description>
+VTLFESDLESGKFIDITNQEISDLEEIGFGSKTRSIHVKSGVWVAYQQKFFCGEQYILEK
+GKYKCFFDWGGSNNIIMSIRPI
+>Q68DQ2_PF00030_2671 <unknown description>
+AFSKPGFQGECIDFTEETSDLTSLMPCSFKVLRGCWLLYYQEDMFVNHCVLEEGLYADLT
+SCGCPASKVKSLKPI
+>Q68DQ2_PF00030_2754 <unknown description>
+ISLFALEHCEGRELHLEEAVNSVLNKDLHFYTQSVWVKSGLWIAYEGSNFLGRQILLRPN
+EIPNWTAFSRWKTIGSLRPM
+>Q68DQ2_PF00652_2839 <unknown description>
+YIRIKNRAQGEYLTVTGSLADTRATSVCISPYSGKNTQIWYYCRGLFKSKASDTCLDVIG
+GRDTPGAKVALWTEHGQFRQKWRLNKNGTISSYLSDQLVLDVKGGNYCDKTHVIVNQPLE
+GEETQKW
+>O60519_PF07716_27 <unknown description>
+KAKLERSRQSARECRARKKLRYQYLEELVSSRERAICALREELEMYKQW
+>Q96SW2_PF02190_80 <unknown description>
+VIPVLPQVMMILIPGQTLPLQLFHPQEVSMVRNLIQKDRTFAVLAYSNVQEREAQFGTTA
+EIYAYREEQDFGIEIVKVKAIGRQRFKVLELRTQSDGIQQAKVQILPECVLPSTMSAVQL
+ESLNKCQIFPSKPVSREDQCSYKWWQKYQKRKFHCANLTSWPRWLYSLYDAETLMDRIKK
+QLREWDENLKDDSLPSNPIDFSYRVAACLPIDDVLRIQLLKIGSAIQRLRCELDIMNK
+>Q96SW2_PF03226_320 <unknown description>
+SLCCKQCQETEITTKNEIFSLSLCGPMAAYVNPHGYVHETLTVYKACNLNLIGRPSTEHS
+WFPGYAWTVAQCKICASHIGWKFTATKKDMSPQKFWGLTRSALLPTIPDTEDE
+>H7BZ55_PF15035_121 <unknown description>
+CRVVSEQLQARLETTEAQLRRSELEHSVDLEEALGRLEAAEERSTGLCQVNALLREQLEH
+MKKANDALGRELAGMTGSVQRLQGELELRRWAQRQTRSGGLGQPRDLLLLWRQAVVLGTD
+LAELRVATERGLADLQADTART
+>Q6NV74_PF15262_131 <unknown description>
+NVKMGPPPPPGGLPAKRGEDAGMSSEDDGLPRSPPEMSLLHDVGPGTTIKVSVVSPDHVS
+DSTVSARISDNSLAPVADFSYPAESSSCLDNSAAKHKLQVKPRNQRSSKM
+>P23508_PF13499_37 <unknown description>
+EERMRRLFQTCDGDGDGYISRNDLLMVCRQLNMEESVAEIMNQLGADENGKISFQDFT
+>P23508_PF10506_592 <unknown description>
+RLNSRIEHLKSQNDLLTITLEECKSNAERMSMLVGKYESNATALRLALQYSEQCIEAYEL
+LLAL
+>P23508_PF10506_922 <unknown description>
+KLKARVQELVSALERLTKSSEIRHQQSAEFVNDLKRANSNLVAAYEKAKKKHQNKLKKLE
+SQMMAMV
+>Q9UGL9_PF15845_9 <unknown description>
+SAKGFSKGSSQGPAPCPAPAPTPAPASSSSCCGSGRGCCGDSGCCGSSSTSCCCFPRRRR
+RQRSSGCCCCGGGSQRSQRSNNRSSGCCSG
+>Q9BU40_PF00093_37 <unknown description>
+CMFQDKKYRVGERWHPYLEPYGLVYCVNCICSENGNVLCSRVRCPNVHCLSPVHIPHLCC
+PRC
+>Q9BU40_PF00093_116 <unknown description>
+CEYNGTTYQHGELFVAEGLFQNRQPNQCTQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSC
+CRVC
+>Q9BU40_PF00093_261 <unknown description>
+CVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNRYPCKYPQKIDGKCC
+KVC
+>Q9BU40_PF19548_339 <unknown description>
+NKGYFCGEETMPVYESVFMEDGETTRKIALETERPPQVEVHVWTIRKGILQHFHIEKISK
+RMFEELPHFKLVTRTTLSQWKIFTEGEAQISQMCSSRVCRTELEDLVKVLYLERSEKGHC
+>Q6WN34_PF00093_33 <unknown description>
+CLFHGKRYSPGESWHPYLEPQGLMYCLRCTCSEGAHVSCYRLHCPPVHCPQPVTEPQQCC
+PKC
+>Q6WN34_PF00093_111 <unknown description>
+CQHNGTMYQHGEIFSAHELFPSRLPNQCVLCSCTEGQIYCGLTTCPEPGCPAPLPLPDSC
+CQAC
+>Q6WN34_PF00093_252 <unknown description>
+CVHGGKTYSHGEVWHPAFRAFGPLPCILCTCEDGRQDCQRVTCPTEYPCRHPEKVAGKCC
+KIC
+>Q6WN34_PF19548_348 <unknown description>
+PDNLRRFALEHEASDLVEIYLWKLVKGIFHLTQIKKVRKQDFQKEAQHFRLLAGPHEGHW
+NVFLAQTL
+>P16220_PF02173_99 <unknown description>
+ESVDSVTDSQKRREILSRRPSYRKILNDLSSDAPGVPRIEE
+>P16220_PF00170_267 <unknown description>
+EAARKREVRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLY
+>O43889_PF00170_150 <unknown description>
+ILKRVRRKIRNKRSAQESRRKKKVYVGGLESRVLKYTAQNMELQNKVQLLEEQNLSLLDQ
+L
+>Q02930_PF00170_377 <unknown description>
+RRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNEVAQLKQL
+>O75629_PF13883_50 <unknown description>
+PPREDAARVARFVTHVSDWGALATISTLEAVRGRPFADVLSLSDGPPGAGSGVPYFYLSP
+LQLSVSNLQENPYATLTMTLAQTNFCKKHGFDPQSPLCVHIMLSGTVTKVNETEMDIAKH
+SLFIRHPEMKTWPSSHNWFFAKLNITNIWVLDYFGGPKIVTPEEYYN
+>Q8IUH2_PF13883_121 <unknown description>
+RLRAATARSLAHASVWGCLATVSTHKKIQGLPFGNCLPVSDGPFNNSTGIPFFYMTAKDP
+VVADLMKNPMASLMLPESEGEFCRKNIVDPEDPRCVQLTLTGQMIAVSPEEVEFAKQAMF
+SRHPGMRKWPRQYEWFFMKMRIEHIWLQKWYGGASSISREEYFK
+>Q96HD1_PF11938_46 <unknown description>
+CHTCRGLVDSFNKGLERTIRDNFGGGNTAWEEENLSKYKDSETRLVEVLEGVCSKS
+>Q96HD1_PF11938_102 <unknown description>
+DFECHRLLELSEELVESWWFHKQQEAPDLFQWLCSDSLKLC
+>Q96HD1_PF07645_245 <unknown description>
+DIDECGTEGANCGADQFCVNTEGSYECRDCAK
+>Q96HD1_PF07645_305 <unknown description>
+DVDECETEVCPGENKQCENTEGGYRCICAEGYKQ
+>Q6UXH1_PF07645_230 <unknown description>
+DVDECAAEPPPCSAAQFCKNANGSYTCEECDSSCVGCTGEGPGNC
+>Q6UXH1_PF07645_290 <unknown description>
+DVDECSLAEKTCVRKNENCYNTPGSYVCVCPDGFEETEDAC
+>Q03060_PF02173_69 <unknown description>
+SEGVIDSHKRREILSRRPSYRKILNELSSDVPGVPKIEE
+>Q03060_PF00170_239 <unknown description>
+EATRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLY
+>O75177_PF05030_14 <unknown description>
+GEVTQQTIQKMLDENHHLIQCILEYQSKGKTAECTQYQQILHRNLVYLATIADSNQNMQS
+>Q494W8_PF02931_28 <unknown description>
+ADERFDATFHTNVLVNSSGHCQYLPPGIFKSSCYIDVRWFPFDVQHCKLKFGSWSYGGWS
+LDLQMQEADISGYIPNGEWDLVGIPGKRSERFYECCKEPYPDVTFTVTMRRRT
+>Q494W8_PF02932_147 <unknown description>
+LLIPCVLISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQY
+FASTMIIVGLSVVVTVIVLQYHHHDPDGGKMPKWTRVILLNWCAWFLRMKRPGEDKVRPA
+CQHKQRRCSLASVEMSAVAPPPASNGNLLYIGFRGLDGVHCVPTPDSGVVCGRMACSPTH
+DEHLLHGGQPPEGDPDLAKILEEVRYIANRFRCQDESEAVCSEWKFAACVVDRLCLMAFS
+VFTIICTIGIL
+>P34998_PF02793_42 <unknown description>
+LQCNASVDLIGTCWPRSPAGQLVVRPCPAFFYGVRYNTTNNGYRECLANGSWAARVNYSE
+CQE
+>P34998_PF00002_119 <unknown description>
+AVIINYLGHCISLVALLVAFVLFLRLRSIRCLRNIIHWNLISAFILRNATWFVVQLTMSP
+EVHQSNVGWCRLVTAAYNYFHVTNFFWMFGEGCYLHTAIVLTYSTDRLRKWMFICIGWGV
+PFPIIVAWAIGKLYYDNEKCWFGKRPGVYTDYIYQGPMILVLLINFIFLFNIVRILMTKL
+RASTTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDEVSRVVFIYFNSFLESFQGFF
+V
+>Q13324_PF02793_38 <unknown description>
+SYCNTTLDQIGTCWPRSAAGALVERPCPEYFNGVKYNTTRNAYRECLENGTWASKINYSQ
+CE
+>Q13324_PF00002_117 <unknown description>
+LVVNYLGHCVSVAALVAAFLLFLALRSIRCLRNVIHWNLITTFILRNVMWFLLQLVDHEV
+HESNEVWCRCITTIFNYFVVTNFFWMFVEGCYLHTAIVMTYSTERLRKCLFLFIGWCIPF
+PIIVAWAIGKLYYENEQCWFGKEPGDLVDYIYQGPIILVLLINFVFLFNIVRILMTKLRA
+STTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDDLSQIMFIYFNSFLQSFQGFFV
+>P06850_PF00473_157 <unknown description>
+PPISLDLTFHLLREVLEMARAEQLAQQAHSNRKLMEI
+>P11844_PF00030_3 <unknown description>
+KITFYEDRDFQGRCYNCISDCPNLRVYFSRCNSIRVDSGCWMLYERPNYQGHQYFLRRGK
+YPDYQHWMGLSDSVQSCRII
+>P11844_PF00030_89 <unknown description>
+KLRLYERDDYRGLMSELTDDCACVPELFRLPEIYSLHVLEGCWVLYEMPNYRGRQYLLRP
+GDYRRYHDWGGADAKVGSLRRV
+>P07316_PF00030_3 <unknown description>
+KITFYEDRAFQGRSYECTTDCPNLQPYFSRCNSIRVESGCWMIYERPNYQGHQYFLRRGE
+YPDYQQWMGLSDSIRSC
+>P07316_PF00030_90 <unknown description>
+RMKIYDRDELRGQMSELTDDCISVQDRFHLTEIHSLNVLEGSWILYEMPNYRGRQYLLRP
+GEYRRFLDWGAPNAKVGSLRRV
+>P07315_PF00030_3 <unknown description>
+KITFYEDRAFQGRSYETTTDCPNLQPYFSRCNSIRVESGCWMLYERPNYQGQQYLLRRGE
+YPDYQQWMGLSDSIRSC
+>P07315_PF00030_90 <unknown description>
+LRLYEREDHKGLMMELSEDCPSIQDRFHLSEIRSLHVLEGCWVLYELPNYRGRQYLLRPQ
+EYRRCQDWGAMDAKAGSLRRV
+>P07320_PF00030_3 <unknown description>
+KITLYEDRGFQGRHYECSSDHPNLQPYLSRCNSARVDSGCWMLYEQPNYSGLQYFLRRGD
+YADHQQWMGLSDSVRSCRLI
+>P07320_PF00030_89 <unknown description>
+RIRLYEREDYRGQMIEFTEDCSCLQDRFRFNEIHSLNVLEGSWVLYELSNYRGRQYLLMP
+GDYRRYQDWGATNARVGSLRRV
+>Q8WXF5_PF00030_7 <unknown description>
+KITLYEGKHFTGQKLEVFGDCDNFQDRGFMNRVNSIHVESGAWVCFNHPDFRGQQFILEH
+GDYPDFFRWNSHSDHMGSCRPV
+>Q8WXF5_PF00030_97 <unknown description>
+LEIFEGCNFTGQCLEFLEDSPFLQSRGWVKNCVNTIKVYG
+>P24387_PF05428_1 <unknown description>
+MSPNFKLQCHFILIFLTALRGESRYLELREAADYDPFLLFSANLKRELAGEQPYRRALRC
+LDMLSLQGQFTFTADRPQLHCAAFFISEPEEFITIHYDQVSIDCQGGDFLKVFDGWILKG
+EKFPSSQDHPLPSAERYIDFCESGLSRRSIRSSQNVAMIFFRVHEPGNGFTLTIKTDPNL
+FPCNVISQTPNGKFTLVVPHQHRNCSFSIIYPVVIKISDLTLGHVNGLQLKKSSAGCEGI
+GDFVELLGGTGLDPSKMTPLADLCYPFHGPAQMKVGCDNTVVRMVSSGKHVNRVTFEYRQ
+LEPYELE
+>Q9NZV1_PF00219_37 <unknown description>
+CLPCDESKCEEPRNCPGSIVQGVCGCCYTCASQRNESCGGTFGIYGTCDRGLRC
+>Q9NZV1_PF00093_336 <unknown description>
+CVFNNVEYYDGDMFRMDNCRFCRCQGGVAICFTAQCGEINCERYYVPEGECCPVC
+>Q9NZV1_PF00093_403 <unknown description>
+CYANGLILAHGDRWREDDCTFCQCVNGERHCVATVCGQTCTNPVKVPGECCPVC
+>Q9NZV1_PF02822_469 <unknown description>
+CGELSNCTLTGKDCINGFKRDHNGCRTCQC
+>Q9NZV1_PF02822_505 <unknown description>
+CSERKQGCTLNCPFGFLTDAQNCEICEC
+>Q9NZV1_PF02822_539 <unknown description>
+CRPIICDKYCPLGLLKNKHGCDICRC
+>Q9NZV1_PF02822_567 <unknown description>
+CPELSCSKICPLGFQQDSHGCLICKC
+>Q9NZV1_PF00093_611 <unknown description>
+VDGHHHKNEESWHDGCRECYCLNGREMCALITCPVPACGNPTIHPGQCCPSC
+>Q9NZV1_PF00093_684 <unknown description>
+GEYFVEGETWNIDSCTQCTCHSGRVLCETEVCPPLLCQNPSRTQDSCCPQC
+>Q9NZV1_PF00093_757 <unknown description>
+EGDIFLAAESWKPDVCTSCICIDSVISCFSESCPSVSCERPVLRKGQCCPYC
+>Q9NZV1_PF00093_819 <unknown description>
+CHFSGKAYADEERWDLDSCTHCYCLQGQTLCSTVSCPPLPCVEPINVEGSCCPMC
+>Q9NZV1_PF19442_907 <unknown description>
+ENDIVHLPRDMGHLQVDYRDNRLHPSEDSSLDSIASVVVPIIICLSIIIAFLFINQKKQW
+IPLLCWYRTPTKPSSLNNQLVSVDCKKGTRVQVDSSQRMLRIAEPDARFSGFYSMQKQNH
+LQADNFYQTV
+>P50238_PF00412_4 <unknown description>
+CPKCNKEVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYAAM
+>P52943_PF00412_5 <unknown description>
+CPKCDKTVYFAEKVSSLGKDWHKFCLKCERCSKTLTPGGHAEHDGKPFCHKPCYAT
+>P52943_PF00412_126 <unknown description>
+CPRCSKKVYFAEKVTSLGKDWHRPCLRCERCGKTLTPGGHAEHDGQPYCHKPCY
+>Q6Q6R5_PF00412_5 <unknown description>
+CPRCQQPVFFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYG
+>Q6Q6R5_PF00412_124 <unknown description>
+CPGCGEPVYFAEKVMSLGRNWHRPCLRCQRCHKTLTAGSHAEHDGVPYCHVPCY
+>Q9P021_PF10235_12 <unknown description>
+TVITPDTWKDGARNTTESGGRKLNENKALTSKKARFDPYGKNKFSTCRICKSSVHQPGSH
+YCQGCAYKKGICAMCGKKVLDTKNYKQT
+>P54107_PF00188_46 <unknown description>
+NIHNALRRRVVPPASNMLKMSWSEEAAQNARIFSKYCDMTESNPLERRLPNTFCGENMHM
+TSYPVSWSSVIGVWYSESTSFKHGEWTTTDDDITTDHYTQIVWATSYLIGCAIASCRQQG
+SPRYLYVCHY
+>P54107_PF08562_195 <unknown description>
+CEACPSNCEDKLCTNPCIYYDEYFDCDIQVHYLGCNHSTTILFCKATCLCDTEIK
+>P16562_PF00188_41 <unknown description>
+VNKHNELRKAVSPPASNMLKMEWSREVTTNAQRWANKCTLQHSDPEDRKTSTRCGENLYM
+SSDPTSWSSAIQSWYDEILDFVYGVGPKSPNAVVGHYTQLVWYSTYQVGCGIAYCPNQDS
+LKYYYVCQY
+>P16562_PF08562_189 <unknown description>
+CAGCPDDCDKGLCTNSCQYQDLLSNCDSLKNTAGCEHELLKEKCKATCLCENKIY
+>P54108_PF00188_56 <unknown description>
+VNKHNELRRAVSPPARNMLKMEWNKEAAANAQKWANQCNYRHSNPKDRMTSLKCGENLYM
+SSASSSWSQAIQSWFDEYNDFDFGVGPKTPNAVVGHYTQVVWYSSYLVGCGNAYCPNQKV
+LKYYYVCQY
+>P54108_PF08562_204 <unknown description>
+CASCPDNCDDGLCTNGCKYEDLYSNCKSLKLTLTCKHQLVRDSCKASCNCSNSIY
+>P46109_PF00017_14 <unknown description>
+WYMGPVSRQEAQTRLQGQRHGMFLVRDSSTCPGDYVLSVSENSRVSHYIINSLPNRRFKI
+GDQEFDHLPALLEFY
+>P46109_PF00018_130 <unknown description>
+TLYDFPGNDAEDLPFKKGEILVIIEKPEEQWWSARNKDGRVGMIPV
+>P46109_PF07653_239 <unknown description>
+FAKAIQKRVPCAYDKTALALEVGDIVKVTRMNINGQWEGEVNGRKGLFPFTHVKI
+>P46108_PF00017_13 <unknown description>
+WYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHYIINSSGPRPPVP
+PSPAQPPPGVSPSRLRIGDQEFDSLPALLEFY
+>P46108_PF00018_138 <unknown description>
+RALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRGMIPV
+>P46108_PF07653_239 <unknown description>
+YARVIQKRVPNAYDKTALALEVGELVKVTKINVSGQWEGECNGKRGHFPFTHVR
+>Q9H336_PF00188_66 <unknown description>
+LDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAESCLWEHGPASLLPSIGQNLGAHWG
+RYRPPTFHVQSWYDEVKDFSYPYEHECNPYCPFRCSGPVCTHYTQVVWATSNRIGCAINL
+CHNMNIWGQIWPKAVYLVCNY
+>Q9H336_PF03815_293 <unknown description>
+VSCEVRLRDQCKGTTCNRYECPAGCLDSKAKVIGSVHYEMQSSICRAAIHYGIIDNDGGW
+VDITRQGRKHYFIKSNRNGIQTIGKYQSANSF
+>Q9H336_PF03815_394 <unknown description>
+VTCETTVEQLCPFHKPASHCPRVYCPRNCMQANPHYARVIGTRVYSDLSSICRAAVHAGV
+VRNHGGYVDVMPVDKRKTYIASFQNGIFSESLQN
+>Q9H0B8_PF00188_62 <unknown description>
+LHNKLRGQVQPQASNMEYMTWDDELEKSAAAWASQCIWEHGPTSLLVSIGQNLGAHWGRY
+RSPGFHVQSWYDEVKDYTYPYPSECNPWCPERCSGPMCTHYTQIVWATTNKIGCAVNTCR
+KMTVWGEVWENAVYFVCNY
+>Q9H0B8_PF03815_288 <unknown description>
+VRCDTKMKDRCKGSTCNRYQCPAGCLNHKAKIFGTLFYESSSSICRAAIHYGILDDKGGL
+VDITRNGKVPFFVKSERHGVQSLSKYKPSSSF
+>Q9H0B8_PF03815_389 <unknown description>
+LDCYTTVAQLCPFEKPATHCPRIHCPAHCKDEPSYWAPVFGTNIYADTSSICKTAVHAGV
+ISNESGGDVDVMPVDKKKTYVGSLRNGVQSESLGTP
+>O75462_PF09067_133 <unknown description>
+LPPEKPVNISCWSKNMKDLTCRWTPGAHGETFLHTNYSLKYKLRWYGQDNTCEEYHTVGP
+HSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTL
+>O75462_PF00041_236 <unknown description>
+DPPPDVHVSRVGGLEDQLSVRWVSPPALKDFLFQAKYQIRYRVEDSVDWKVVDDVSNQTS
+CRLAGLKPGTVYFVQVR
+>Q9UJA2_PF01066_109 <unknown description>
+IPNMLSMTRIGLAPVLGYLIIEEDFNIALGVFALAGLTDLLDGFIARNWANQRSALGSAL
+DPLAD
+>Q9BZJ0_PF02184_95 <unknown description>
+KEIQRARSIYERALDVDYRNITLWLKYAEME
+>Q9BZJ0_PF02184_196 <unknown description>
+KEVDRARTIYERFVLVHPDVKNWIKYARFEEK
+>Q9UBG3_PF01023_4 <unknown description>
+LLQNINGIIEAFRRYARTEGNCTALTRGELKRLLEQEFADVIVK
+>A5YM72_PF18130_534 <unknown description>
+EAARDYGLQLHLVESDPNHFASQLVQTFIHFDMTEHRRDEENARLLAELVRARGLKLDGC
+FSYWDDCLVLTALLCQELGLP
+>A5YM72_PF15632_721 <unknown description>
+AMLLMEFVEGTEHDVDLVLFGGRLLAAFVSDNGPTRLPGFTETAACMPTGLAPEQEAQMV
+QAAFRCCLGCGLLDGVFNVELKLTGAGPRLIEINPRMGGFY
+>Q5TZA2_PF15035_156 <unknown description>
+RRKLQAYQEGQQRQAQLVQRLQGKILQYKKRCSELEQQLLERSGELEQQRLRDTEHSQDL
+ESALIRLEEEQQRSASLAQVNAMLREQLDQAGSANQALSEDIRKVTNDWTRCRKELEHRE
+AAWRREEESFNAYFSNEHSRLLLLWRQVVGFRRLVSEVKMFTERDLLQLGGELARTS
+>P02741_PF00354_25 <unknown description>
+KAFVFPKESDTSYVSLKAPLTKPLKAFTVCLHFYTELSSTRGYSIFSYATKRQDNEILIF
+WSKDIGYSFTVGGSEILFEVPEVTVAPVHICTSWESASGIVEFWVDGKPRVRKSLKKGYT
+VGAEASIILGQEQDSFGGNFEGSQSLVGDIGNVNMWDFVLSPDEINTIYLGGPFSPNVLN
+WRALKYEVQGEVFTKP
+>Q9H3Y0_PF00188_67 <unknown description>
+LDYHNHIRASVYPPAANMEYMVWDKRLARAAEAWATQCIWAHGPSQLMRYVGQNLSIHSG
+QYRSVVDLMKSWSEEKWHYLFPAPRDCNPHCPWRCDGPTCSHYTQMVWASSNRLGCAIHT
+CSSISVWGNTWHRAAYLVCNY
+>O95727_PF07686_24 <unknown description>
+ETITVEEGQTLTLKCVTSLRKNSSLQWLTPSGFTIFLNEYPALKNSKYQLLHHSANQLSI
+TVPNVTLQDEGVYKCLHYSDSVSTKEVKVIV
+>O95727_PF08205_125 <unknown description>
+ASVIRKQNGEEHVVLMCSTMRSKPPPQITWLLGNSMEVSGGTLHEFETDGKKCNTTSTLI
+IHTYGKNSTVDCIIRHRGLQGR
+>Q6UUV9_PF12884_6 <unknown description>
+NPRKFSEKIALHNQKQAEETAAFEEVMKDLSLTRAARLQLQKSQYLQLGPSRGQYYGGSL
+P
+>Q6UUV9_PF12885_148 <unknown description>
+RTNSDSALHQSTMTPTQPESFSSGSQDVHQKRVLLLTVPGMEETTSEADKNLSKQAWDTK
+KTGSRPKSCEVPGINIFPSADQENTTALIPATHNTGGSLPDLTNIHFPSPLPTPLDPEEP
+TFPALSSSSSTGNLAANLTHLGIGG
+>Q6UUV9_PF12886_559 <unknown description>
+PNIILTVTGESPPSLSKELTSSLAGVGDVSFDSDSQFPLDELKIDPLTLDGLHMLNDPDM
+VLADPATEDTFRMDRL
+>Q53ET0_PF12884_18 <unknown description>
+NPRKFSEKIALQKQRQAEETAAFEEVMMDIGSTRLQAQKL
+>Q53ET0_PF12885_168 <unknown description>
+RTSSDSALHTSVMNPSPQDTYPGPTPPSILPSRRGGILDGEMDPKVPAIEENLLDDKHLL
+KPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPVLPPAMNTGGSLPDLTNLHFPPPL
+PTPLDPEETAYPSLSGGNSTSNLTHTMTHLGIS
+>Q53ET0_PF12886_615 <unknown description>
+PNIILTGDSSPGFSKEIAAALAGVPGFEVSAAGLELGLGLEDELRMEPLGLEGLNMLSDP
+CALLPDPAVEESFRSDRL
+>Q6UUV7_PF12884_11 <unknown description>
+NPRKFSEKIALHTQRQAEETRAFEQLMTDLTLSRVQFQKLQQLRLTQYHGGSLP
+>Q6UUV7_PF12885_159 <unknown description>
+RTNSDSALHTSALSTKPQDPYGGGGQSAWPAPYMGFCDGENNGHGEVASFPGPLKEENLL
+NVPKPLPKQLWETKEIQSLSGRPRSCDVGGGNAFPHNGQNLGLSPFLGTLNTGGSLPDLT
+NLHYSTPLPASLDTTDHHFGSMSVGNSVNNIPAAMTHLGIRSS
+>Q6UUV7_PF12886_545 <unknown description>
+PNTILPEDSSTSLFKDLNSALAGLPEVSLNVDTPFPLEEELQIEPLSLDGLNMLSDSSMG
+LLDPSVEETFRADRL
+>A8MQ03_PF10631_1 <unknown description>
+MDPQEMVVKNPYAHISIPRAHLRPDLGQQLEVASTCSSSSEMQPLPVGPCAPEPTHLLQP
+TEVPGPKGAKGNQGAAPIQNQQAWQQPGNPYSSSQRQAGLTYAGPPPAGRGDDIAHHCCC
+CPCCHCCHCPPFCRCHSCCCC
+>P82279_PF00008_74 <unknown description>
+CFSNPCQGSATCVNTPGERSFLCKCPPGYSG
+>P82279_PF12661_119 <unknown description>
+CQHGGICHQDPIYPVCICPAGY
+>P82279_PF00008_152 <unknown description>
+CASSPCQNGAVCQDGIDGYSCFCVPGYQGRH
+>P82279_PF00008_190 <unknown description>
+CASDPCKNEATCLNEIGRYTCICPHNYSGV
+>P82279_PF12661_233 <unknown description>
+CLNGATCQDALGAYFCDCAPGF
+>P82279_PF00008_266 <unknown description>
+CASQPCLHGGLCVDGENRYSCNCTGSGFTGT
+>P82279_PF00008_307 <unknown description>
+SKPCHNNATCEDSVDNYTCHCWPGYTGA
+>P82279_PF00008_343 <unknown description>
+CNSNPCQSNGECVELSSEKQYGRITGLPSSFSYHEASGYVCICQPGFTGIH
+>P82279_PF00008_401 <unknown description>
+CSSNPCQNGGTCENLPGNYTCHCP
+>P82279_PF00008_445 <unknown description>
+CTHQQCLNNGTCIPHFQDGQHGFSCLCPSGYTGS
+>P82279_PF02210_514 <unknown description>
+FQTVQPMALLLFRSNRDVFVKLELLSGYIHLSIQVNNQSKVLLFISHNTSDGEWHFVEVI
+FAEAVTLTLIDDSCKEKCIAKAPTPLESDQSICAFQNSFLGGLPVGMTSNGVALLNFYNM
+PSTPSFVGCLQDIKID
+>P82279_PF00008_676 <unknown description>
+CESQPCQSRGRCINLWLSYQCDCHRPYEGPN
+>P82279_PF02210_744 <unknown description>
+RTLQPSGLLLALENSTYQYIRVWLERGRLAMLTPNSPKLVVKFVLNDGNVHLISLKIKPY
+KIELYQSSQNLGFISASTWKIEKGDVIYIGGLPDKQETELNGGFFKGCIQDVRLNNQ
+>P82279_PF00008_891 <unknown description>
+CKSNPCHNGGVCHSRWDDFSCSCPAL
+>P82279_PF02210_980 <unknown description>
+FRTRDANVIILHAEKEPEFLNISIQDSRLFFQLQSGNSFYMLSLTSLQSVNDGTWHEVTL
+SMTDPLSQTSRWQMEVDNETPFVTSTIATGSLNFLKDNTDIYVGDRAIDNIKGLQGCLST
+IEIGG
+>P82279_PF00008_1143 <unknown description>
+CNSNPCLHGGNCEDIYSSYHCSCPLGWSGKH
+>P82279_PF00008_1181 <unknown description>
+CFSNPCIHGNCSDRVAAYHCTCEPGYTGV
+>P82279_PF12661_1223 <unknown description>
+CANGATCISHTNGYSCLC
+>P82279_PF00008_1301 <unknown description>
+CASDPCVNGGLCQDLLNKFQCLCDVAFAGER
+>Q5IJ48_PF00008_112 <unknown description>
+CASRPCHHGATCRNLADRYECHCPLGYAG
+>Q5IJ48_PF00008_150 <unknown description>
+CASAPCLHGGSCLDGVGSFRCVCAPGYGGT
+>Q5IJ48_PF00008_188 <unknown description>
+CQSQPCAHGGTCHDLVNGFRCDCAGTGYEGT
+>Q5IJ48_PF00008_227 <unknown description>
+CASAPCEHNASCLEGLGSFRCLCWPGYSGE
+>Q5IJ48_PF00008_324 <unknown description>
+CASRPCLNGGHCQDLPNGFQCHCPDGYAGPT
+>Q5IJ48_PF00008_362 <unknown description>
+CLSDPCLHGGTCSDTVAGYICRCPETWGG
+>Q5IJ48_PF02210_465 <unknown description>
+FRTTLPAGTLATRNDTKESLELALVAATLQATLWSYSTTVLVLRLPDLALNDGHWHQVEV
+VLHLATLELRLWHEGCPARLCVASGPVALASTASATPLPAGISSAQLGDATFAGCLQDVR
+VDGH
+>Q5IJ48_PF00008_609 <unknown description>
+CRPLPCVHGGSCVDLWTHFRCDCARPHRGP
+>Q5IJ48_PF00008_811 <unknown description>
+CSPDPCFNGGTCLVTWNDFHCTCPANFTGPT
+>Q5IJ48_PF00008_1060 <unknown description>
+CAPSPCLHDGACRDLFDAFACACGPGWEGPR
+>Q5IJ48_PF12661_1103 <unknown description>
+CARGRCHTHPDGRFECRCPPGF
+>O43186_PF00046_40 <unknown description>
+RRERTTFTRSQLEELEALFAKTQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQ
+>O43186_PF03529_164 <unknown description>
+SPASESPLPEAQRAGLVASGPSLTSAPYAMTYAPASAFCSSPSAYGSPSSYFSGLDPYLS
+PMVPQLGGPALSPLSGPSVGPSLAQS
+>Q16526_PF00875_5 <unknown description>
+AVHWFRKGLRLHDNPALKECIQGADTIRCVYILDPWFAGSSNVGINRWRFLLQCLEDLDA
+NLRKLNSRLFVIRGQPADVFPRLFKEWNITKLSIEYDSEPFGKERDAAIKKLATEAGVEV
+IVRISHTLYDLDKIIELNGGQPPLTYKRFQTLISK
+>Q16526_PF03441_288 <unknown description>
+GQLLWREFFYTAATNNPRFDKMEGNPICVQIPWDKNPEALAKWAEGRTGFPWIDAIMTQL
+RQEGWIHHLARHAVACFLTRGDLWISWEEGMKVFEELLLDADWSINAGSWMWLSCSSFFQ
+QFFHCYCPVGFGRRTDPNGDYIRRYLPVLRGFPAKYIYDPWNAPEGIQKVAKCLIGVNYP
+KPMVNHAEASRLNIERMKQ
+>Q49AN0_PF00875_24 <unknown description>
+SVHWFRKGLRLHDNPALLAAVRGARCVRCVYILDPWFAASSSVGINRWRFLLQSLEDLDT
+SLRKLNSRLFVVRGQPADVFPRLFKEWGVTRLTFEYDSEPFGKERDAAIMKMAKEAGVEV
+VTENSHTLYDLDRIIELNGQKPPLTYKRFQAII
+>Q49AN0_PF03441_307 <unknown description>
+GQLLWREFFYTAATNNPRFDRMEGNPICIQIPWDRNPEALAKWAEGKTGFPWIDAIMTQL
+RQEGWIHHLARHAVACFLTRGDLWVSWESGVRVFDELLLDADFSVNAGSWMWLSCSAFFQ
+QFFHCYCPVGFGRRTDPSGDYIRRYLPKLKAFPSRYIYEPWNAPESIQKAAKCIIGVDYP
+RPIVNHAETSRLNIERMKQ
+>P02489_PF00525_1 <unknown description>
+MDVTIQHPWFKRTLGPFYPSRLFDQFFGEGLFEYDLLPFLSSTISPYYRQSLFR
+>P02489_PF00011_64 <unknown description>
+VRSDRDKFVIFLDVKHFSPEDLTVKVQDDFVEIHGKHNERQDDHGYISREFHRRYRLPSN
+VDQSALSCSLSADGMLTFCGPKIQTGLDATHAERAIPV
+>P02511_PF00525_1 <unknown description>
+MDIAIHHPWIRRPFFPFHSPSRLFDQFFGEHLLESDLFPTSTSLSPFYLRPPSFLR
+>P02511_PF00011_68 <unknown description>
+MRLEKDRFSVNLDVKHFSPEELKVKVLGDVIEVHGKHEERQDEHGFISREFHRKYRIPAD
+VDPLTITSSLSSDGVLTVNGPRKQVSGPERTIPI
+>P22914_PF00030_7 <unknown description>
+KITFYEDKNFQGRRYDCDCDCADFHTYLSRCNSIKVEGGTWAVYERPNFAGYMYILPQGE
+YPEYQRWMGLNDRLSSCRAV
+>P22914_PF00030_95 <unknown description>
+KIQIFEKGDFSGQMYETTEDCPSIMEQFHMREIHSCKVLEGVWIFYELPNYRGRQYLLDK
+KEYRKPIDWGAASPAVQSFRRI
+>Q9Y2S2_PF02737_9 <unknown description>
+VVIVGSGVIGRSWAMLFASGGFQVKLYDIEQQQIRNALENIRKEMKLLEQAGSLKGSLSV
+EEQLSLISGCPNIQEAVEGAMHIQECVPEDLELKKKIFAQLDSIIDDRVILSSSTSCLMP
+SKLFAGLVHVKQCIVAHPVNPPYYIPLVELVPHPETAPTTVDRTHALMKKIGQCPMRVQK
+>Q9Y2S2_PF00725_192 <unknown description>
+GFVLNRLQYAIISEAWRLVEEGIVSPSDLDLVMSEGLGMRYAFIGPLETMHLNAEGMLSY
+CDRYSEGIKHVLQTFGPIPEFSRATAEKV
+>Q14894_PF02423_4 <unknown description>
+VPAFLSAAEVEEHLRSSSLLIPPLETALANFSSGPEGGVMQPVRTVVPVTKHRGYLGVMP
+AYSAAEDALTTKLVTFYEDRGITSVVPSHQATVLLFEPSNGTLLAVMDGNVITAKRTAAV
+SAIATKFLKPPSSEVLCILGAGVQAYSHYEIFTEQFSFKEVRIWNRTKENAEKFADTVQG
+EVRVCSSVQEAVAGADVIITVTLATEPILFGEWVKPGAHINAVGASRPDWRELDDELMKE
+AVLYVDSQEAALKESGDVLLSGAEIFAELGEVIKGVKPAHCEKTTVFKSLGMAVEDTVAA
+KLIYDSWSSG
+>Q8NEA5_PF17686_24 <unknown description>
+LPYADGLHPTGNITGLPGSKRSQPPRNITKEPKVFFHKTQLPGIQGAASRSTAASPTNPM
+KFLRNKAIIRHRPALVKVILISSVAFSIALICGMAISYMIYRLAQAEERQQLESLYKNLR
+IPLLGDEEEGSEDEGESTHLLPENENELEKFIHSVIISKRSKNIKKKLKEEQNSVTENKT
+>Q9UFG5_PF15136_6 <unknown description>
+KKRVLLPTRPAPPTVEQILEDVRGAPAEDPVFTILAPEDPPVPFRMMEDAEAPGEQLYQQ
+SRAYVAANQRLQQAGNVLRQRCELLQRAGEDLEREVAQ
+>Q9H6X5_PF15391_466 <unknown description>
+SAYSEDFENSPSLTASEPTAHSKESLDRTLDALSESSSSVKTDLPQTAESRKKSGRHVTR
+VLVKDTAVQTPDPAFTYEWTKVASMAAMGPALGGAYVDPTPIANHVISADAIEALTAYSP
+AVLALHDVLKQQLSLTQQFIQASRHLHASLLRSLDADSFHYHTLEEAKEYIRCHRP
+>A6NJJ6_PF11771_179 <unknown description>
+YASFGFVDLEEMNPLSISCFFCGRFSISLSHEVSIFRYCAPTAYTASRFPRYLYKKMRWH
+LEATPEAPGRGQDSLVDYYFLCYRDTWEDTGQSPANSCPQIQKLWSIGRWVPLGPAEDDL
+YSWILCPQPLGDYQQLLTIGFEEPTPTLATDLLVQIL
+>Q9NVV2_PF17692_1 <unknown description>
+MRLKVGFQGGGCFRKDALCLEGGVSARWARAPHSAPLRPPRELHAAPPPATPTQTVVRPA
+GFPRRTRLMVRSAPPTQRPPTGSGCVSGLWRKGLGLRPQTLLRVGSVVLSSAPALRPRLG
+PCLRPPP
+>C9J6K1_PF15876_23 <unknown description>
+GALLMDLETPEEMQARSLGRPIKSSKQYLRQVIAEYEALDRELPCIRKFPTPPASQPLCL
+CMETLPEEDFTHLEVLQALEAQLPGAMESGRVSSIRFENMNVICGTAGRRNRWLIAVTDF
+QTRSRLLRSGLSPRGLAHQIVRHDDLLLGDYRLHLRRSL
+>I3L1E1_PF17703_1 <unknown description>
+MEQPKDGAGPEGNNLSLPSSGTEPWPPAPLPAPPPLLLNSTDPTHLGLPESVASVTVPIR
+LDTLSCLLHSALLGAYTFQQALPSCPCCSQAGHSQPGAVRRPPRGRGGWEVRHRPGWGRG
+LHRRGLGRAEQPERGRAGGPGAGPRTPPMTLPSPPTLPAQDGKKEARGPEPPLETPLAAE
+DWETEY
+>Q9Y600_PF00282_49 <unknown description>
+PEELKQLLDLELRSQGESQKQILERCRAVIRYSVKTGHPRFFNQLFSGLDPHALAGRIIT
+ESLNTSQYTYEIAPVFVLMEEEVLRKLRALVGWSSGDGIFCPGGSISNMYAVNLARYQRY
+PDCKQRGLRTLPPLALFTSKECHYSIQKGAAFLGLGTDSVRVVKADERGKMVPEDLERQI
+GMAEAEGAVPFLVSATSGTTVLGAFDPLEAIADVCQRHGLWLHVDAAWGGSVLLSQTHRH
+LLDGIQRADSVAWNPHKLLAAGLQCSALLLQDTSNLLKRCHGSQASYLFQQDKFYDVALD
+TGDKVVQCGRRVDCLKLWLMWKAQGDQGLERRIDQAFVLARYLVEEMKKREGFELVMEPE
+FVNVCFWF
+>Q9P1W3_PF13967_42 <unknown description>
+LCLNIALWVLVLVVYSFLRKAAWDYGRLALLIHNDSLTSLIYGEQSEKTSPSETSLEMER
+RDKGFCSWFFNSITMKDEDLINKCGDDARIYIVFQYHLIIFVLIICIPSLGIILPINYTG
+SVLDWSSHFARTTIVNVSTESKLLWLHSLLSFFYFITNFMFM
+>Q9P1W3_PF14703_220 <unknown description>
+RTLMITYVPKDIEDPELIIKHFHEAYPGSVVTRVHFCYDVRNLIDLDDQRRHAMRGRLFY
+TAKAKKTGKVMIRIHPCARLCFCKCWTCFKEVDAEQYYSELEEQLTDEFNAELNRVPLKR
+LDLIFVTFQDSRMAKRVRKDYKYVQCGVQPQQSSVTTIVKSYYWRVTMAPHPKDIIWKH
+>Q9P1W3_PF02714_409 <unknown description>
+RFIAINTFLFFLFFFLTTPAIIMNTIDMYNVTRPIEKLQNPIVTQFFPSVMLWGFTVILP
+LIVYFSAFLEAHWTRSSQNLVMVHKCYIFLVFMVVILPSMGLTSLDVFLRWLFDIYYLEQ
+ASIRFQCVFLPDNGAFFVNYVITAALLGTGMELLRLGSLFCYSTRLFFSRSEPERVNIRK
+NQAIDFQFGREYAWMMNVFSVVMAYSITCPIIVPFGLLYLCMKHLTDRYNMYYSFAPTKL
+NEQIHMAAVSQAIFAPLLGLFWMLFFSILRLG
+>O94886_PF13967_53 <unknown description>
+VLLIDVSCFLFLILVFSIIRRRFWDYGRIALVSEADSESRFQRLSSTSSSGQQDFENELG
+CCPWLTAIFRLHDDQILEWCGEDAIHYLSFQRHIIFLLVVVSFLSLCVILPVNLSGDLLD
+KDPYSFGRTTIANLQTDNDLLWLHTIFAVIYLFLTVGF
+>O94886_PF14703_228 <unknown description>
+RTLFITGLPRDARKETVESHFRDAYPTCEVVDVQLCYNVAKLIYLCKEKKKTEKSLTYYT
+NLQVKTGQRTLINPKPCGQFCCCEVLGCEWEDAISYYTRMKDRLLERITEEERHVQDQPL
+GMAFVTFQEKSMATYILKDFNACKCQSLQCKGEPQPSSHSRELYTSKWTVTFAADPEDIC
+WKN
+>O94886_PF02714_422 <unknown description>
+WLGINFTLFLGLFFLTTPSIILSTMDKFNVTKPIHALNNPIISQFFPTLLLWSFSALLPS
+IVYYSTLLESHWTKSGENQIMMTKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSEAS
+IRLECVFLPDQGAFFVNYVIASAFIGNGMELLRLPGLILYTFRMIMAKTAADRRNVKQNQ
+AFQYEFGAMYAWMLCVFTVIVAYSITCPIIAPFGLIYILLKHMVDRHNLYFVYLPAKLEK
+GIHFAAVNQALAAPILCLFWLYFFSFLRLGM
+>Q5T3F8_PF13967_120 <unknown description>
+QRDNGFCSWLTAIFRIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFS
+GDLLENNAYSFGRTTIANLKSGNNLLWLHTSFAFLYLLLTVYSM
+>Q5T3F8_PF14703_240 <unknown description>
+RTLFINGISKYAESEKIKKHFEEAYPNCTVLEARPCYNVARLMFLDAERKKAERGKLYFT
+NLQSKENVPTMINPKPCGHLCCCVVRGCEQVEAIEYYTKLEQKLKEDYKREKEKVNEKPL
+GMAFVTFHNETITAIILKDFNVCKCQGCTCRGEPRPSSCSESLHISNWTVSYAPDPQNIY
+WEH
+>Q5T3F8_PF02714_433 <unknown description>
+RCLVINVVLFILLFFLTTPAIIITTMDKFNVTKPVEYLNNPIITQFFPTLLLWCFSALLP
+TIVYYSAFFEAHWTRSGENRTTMHKCYTFLIFMVLLLPSLGLSSLDLFFRWLFDKKFLAE
+AAIRFECVFLPDNGAFFVNYVIASAFIGNAMDLLRIPGLLMYMIRLCLARSAAERRNVKR
+HQAYEFQFGAAYAWMMCVFTVVMTYSITCPIIVPFGLMYMLLKHLVDRYNLYYAYLPAKL
+DKKIHSGAVNQVVAAPILCLFWLLFFSTMRTGF
+>Q9Y534_PF00313_70 <unknown description>
+KGVCKQFSRSQGHGFITPENGSEDIFVHVSDIEGEYVPVEGDEVTYKMCPIPPKNQKFQA
+VEVV
+>O75534_PF00313_27 <unknown description>
+TGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNLQDLKVGDDVEFEVSSDRRTGKPIAVK
+LVK
+>O75534_PF00313_186 <unknown description>
+CQGVVCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDV
+R
+>O75534_PF00313_351 <unknown description>
+GVIAAMRDGFGFIKCVDRDVRMFFHFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRI
+K
+>O75534_PF00313_521 <unknown description>
+GYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKGNKVSAEKVN
+K
+>O75534_PF00313_675 <unknown description>
+RATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGIELQAGDEVEFSVILNQRTGKCSACN
+VWR
+>O75534_PF12901_756 <unknown description>
+RLKNITLDDASAPRLMVLRQPRGPDNSMGFGA
+>Q9Y2W7_PF13833_105 <unknown description>
+PTGLVDEDTFKLIYAQFFPQGDATTYAHFLFNAFDADGNGAIHFEDFVVG
+>Q9Y2W7_PF13499_165 <unknown description>
+EKLKWAFNLYDINKDGYITKEEMLAIMKSIYDMMGRHTYPILREDAPAEHVERFFEKMDR
+NQDGVVTIEEFLEACQ
+>P07333_PF00047_209 <unknown description>
+PAELVRIRGEAAQIVCSASSVDVNFDVFLQHNNTKLAIPQQSDFHNNRYQKVLTLNLDQV
+DFQHAGNYSCVASNVQGKHSTSMF
+>P07333_PF13927_406 <unknown description>
+VIWTFINGSGTLLCAASGYPQPNVTWLQCSGHTDRCDEAQVLQVWDDPYPEVLSQEPFHK
+VTVQSLLTVETLEHNQTYECRAHN
+>P07333_PF07714_582 <unknown description>
+LQFGKTLGAGAFGKVVEATAFGLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLG
+QHENIVNLLGACTHGGPVLVITEYCCYGDLLNFLRRKAEAMLGPSLSPGQDPEGGVDYKN
+IHLEKKYVRRDSGFSSQGVDTYVEMRPVSTSSNDSFSEQDLDKEDGRPLELRDLLHFSSQ
+VAQGMAFLASKNCIHRDVAARNVLLTNGHVAKIGDFGLARDIMNDSNYIVKGNARLPVKW
+MAPESIFDCVYTVQSDVWSYGILLWEIFSLGLNPYPGILVNSKFYKLVKDGYQMAQPAFA
+PKNIYSIMQACWALEPTHRPTFQQICSFL
+>P09603_PF05337_41 <unknown description>
+HMIGSGHLQSLQRLIDSQMETSCQITFEFVDQEQLKDPVCYLKKAFLLVQDIMEDTMRFR
+DNTPNAIAIVQLQELSLRLKSCFTKDYEEHDKACVRTFYETPLQLLEKVKNVFNETKNLL
+DKDWNIFSKNCNNSFAECSS
+>P15509_PF18611_35 <unknown description>
+SLNVRFDSRTMNLSWDCQENTTFSKCFLTDKKNRVVEPRLSNNECSCTFREICLHEGVTF
+EVHVNTSQRGFQQKLLYP
+>P15509_PF09240_123 <unknown description>
+NFSCFIYNADLMNCTWARGPTAPRDVQYFLYIRNSKRRREIRCPYYIQDSGTHVGCHLDN
+LSGLTSRNYFLVNGTSREIGIQFFDSLLDTKKI
+>P04141_PF01109_18 <unknown description>
+APARSPSPSTQPWEHVNAIQEARRLLNLSRDTAAEMNETVEVISEMFDLQEPTCLQTRLE
+LYKQGLRGSLTKLKGPLTMMASHYKQHCPPTPETSCATQIITFESFKENLKDFLLVIPFD
+CW
+>Q99062_PF06328_24 <unknown description>
+EECGHISVSAPIVHLGDPITASCIIKQNCSHLDPEPQILWRLGAELQPGGRQQRLSDGTQ
+ESIITLPHLNHTQAFLSCCLNWGNSLQ
+>Q99062_PF00041_532 <unknown description>
+ELHLKHIGKTWAQLEWVPEPPELGKSPLTHYTIFWTNAQNQSFSAILNASSRGFVLHGLE
+PASLYHIHLMAASQAG
+>P09919_PF16647_51 <unknown description>
+VRKIQGDGAALQEKLCATYKLCHPEELVLLGHSLGIPWAPLSSCPSQALQLAGCLSQLHS
+GLFLYQGLLQALEGISPELGPTLDTLQLDVADFATTIWQQMEELGMAPALQPTQGAMPAF
+ASAFQRRAGGVLVASHLQSFLEVSYRVLR
+>P0DML2_PF00103_9 <unknown description>
+LLLAFALLCLPWLQEAGAVQTVPLSRLFDHAMLQAHRAHQLAIDTYQEFEETYIPKDQKY
+SFLHDSQTSFCFSDSIPTPSNMEETQQKSNLELLRISLLLIESWLEPVRFLRSMFANNLV
+YDTSDSDDYHLLKDLEEGIQTLMGRLEDGSRRTGQILKQTYSKFDTNSHNHDALLKNYGL
+LYCFRKDMDKVETFLRMVQCRSVEGSC
+>P0DML3_PF00103_9 <unknown description>
+LLLAFALLCLPWLQEAGAVQTVPLSRLFDHAMLQAHRAHQLAIDTYQEFEETYIPKDQKY
+SFLHDSQTSFCFSDSIPTPSNMEETQQKSNLELLRISLLLIESWLEPVRFLRSMFANNLV
+YDTSDSDDYHLLKDLEEGIQTLMGRLEDGSRRTGQILKQTYSKFDTNSHNHDALLKNYGL
+LYCFRKDMDKVETFLRMVQCRSVEGSC
+>Q14406_PF00103_9 <unknown description>
+LLLAFALLCLPWLQEAGAVQTVPLSRLFKEAMLQAHRAHQLAIDTYQEFISSWGMEAYIT
+KEQKYSFLHDSQTSFCFSDSIPTSSNMEETQQKSNLELLHISLLLIESRLEPVRFLRSTF
+TNNLVYDTSDSDDYHLLKDLEEGIQMLMGRLEDGSHLTGQTLKQTYSKFDTNSHNHDALL
+KNYGLLHCFRKDMDKVETFLRMVQCRSVEGSC
+>P68400_PF00069_39 <unknown description>
+YQLVRKLGRGKYSEVFEAINITNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLA
+DIVKDPVSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVK
+PHNVMIDHEHRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSL
+GCMLASMIFRKEPFFHGHDNYDQLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSR
+KRWERFVHSENQHLVSPEALDFLDKLLRYDHQSRLTAREAMEHPYF
+>P19784_PF00069_40 <unknown description>
+YQLVRKLGRGKYSEVFEAINITNNERVVVKILKPVKKKKIKREVKILENLRGGTNIIKLI
+DTVKDPVSKTPALVFEYINNTDFKQLYQILTDFDIRFYMYELLKALDYCHSKGIMHRDVK
+PHNVMIDHQQKKLRLIDWGLAEFYHPAQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSL
+GCMLASMIFRREPFFHGQDNYDQLVRIAKVLGTEELYGYLKKYHIDLDPHFNDILGQHSR
+KRWENFIHSENRHLVSPEALDLLDKLLRYDHQQRLTAKEAMEHPYF
+>Q8NEV1_PF00069_39 <unknown description>
+YQLVRKLGRGKYSEVFEAINITNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLA
+DIVKDPVSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVK
+PHNVMIDHEHRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWRL
+GCMLASMIFRKEPFFHGRDNYDQLVRIAKFLGTEDLYGYIDKYNIELDPRFNDILGRHSR
+KRWERFVHSENQHLVSPEALDFLDKLLRYDHQSRLTAREAMEHPYF
+>P67870_PF01214_8 <unknown description>
+SWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDEELEDNPN
+QSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPMLPIGLSDI
+PGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVHPEYRPKRPANQFVPRL
+YGFK
+>Q8WXD9_PF12796_32 <unknown description>
+KLLGSTKKINVNFQDPDGFSALHHAALNGNTELISLLLEAQAAVDIKDNKGMRPLHYAAW
+QGRKEPMKLVLKAGSAVNI
+>Q8WXD9_PF13637_118 <unknown description>
+PLHLAAQHGHYDVSEMLLQHQSNPCMVDNSGKTPLDLACEFGRVGVVQLLL
+>Q8WXD9_PF12796_172 <unknown description>
+MCAALLEPRPGDATDPNGTSPLHLAAKNGHIDIIRLLLQAGIDINRQTKSGTALHEAALC
+GKTEVVRLLLDSGINAHVR
+>Q8WXD9_PF07653_286 <unknown description>
+VRATKDYCNNYDLTSLNVKAGDIITVLEQHPDGRWKGCIHDNRTGNDRVGYFPSSL
+>Q8WXD9_PF16600_373 <unknown description>
+EEIWVLRKPFAGGDRSGSISGMAGGRGSGGHALHAGSEGVKLLATVLSQ
+>Q8WXD9_PF00536_472 <unknown description>
+KSSEAVSQWLTAFQLQLYAPNFISAGYDLPTISRMTPEDLTAIGVTKPGHRKKIAAEISG
+L
+>Q8WXD9_PF00536_547 <unknown description>
+AVWLSMIGLAQYYKVLVDNGYENIDFITDITWEDLQEIGITKLGHQKKLMLAVRKL
+>Q8WXD9_PF16907_876 <unknown description>
+PKKRAHSLNRYAASDSEPERDELLVPAAAGPYATVQRRVGRSHSVRAPAGADKNVNRSQS
+FAVRPRKKGPPPPPPKRSSSALASAN
+>Q8WXD9_PF16632_1370 <unknown description>
+RQKLEETSACLAAALQAVEEKIRQEDAQGPRDSAAEKSTGSILDDIGSMFDDLADQLDAM
+LE
+>Q8WXE0_PF12796_7 <unknown description>
+LILAVKNGDVTGVQKLVAKVKATKTKLLGSTKRLNVNYQDADGFSALHHAALGGSLELIA
+LLLEAQATVDIKDSNGMRPLHYAAWQGRLEPVRLLLRASAAVN
+>Q8WXE0_PF13637_118 <unknown description>
+PLHLAAQYGHYEVSEMLLQHQSNPCLVNKAKKTPLDLACEFGRLKVAQLLL
+>Q8WXE0_PF12796_171 <unknown description>
+HLCVALLEGEAKDPCDPNYTTPLHLAAKNGHREVIRQLLRAGIEINRQTKTGTALHEAAL
+YGKTEVVRLLLEGGVDVNIRN
+>Q8WXE0_PF07653_286 <unknown description>
+VRALKDFWNLHDPTALNVRAGDVITVLEQHPDGRWKGHIHESQRGTDRIGYFPPGIVEV
+>Q8WXE0_PF16600_398 <unknown description>
+AGDRNSVGSEGSVGSIRSAGSGQSSEGTNGH
+>Q8WXE0_PF00536_490 <unknown description>
+DAQAIHNWLSEFQLEGYTAHFLQAGYDVPTISRMTPEDLTAIGVTKPGHRKKIASEIAQL
+>Q8WXE0_PF00536_560 <unknown description>
+PTDLLEWLCALGLPQYHKQLVSSGYDSMGLVADLTWEELQEIGVNKLGHQKKLMLGVKRL
+>Q8WXE0_PF16907_794 <unknown description>
+PKRRSHSLSRPGPTEGDAEGEAEGPVGSTLGSYATLTRRPGRSALVRTSPSVTPTPARGT
+PRSQSFALRARRKGPPPPPPKRLSSVSG
+>Q8WXE0_PF16632_1145 <unknown description>
+QQRLEQTSSSLAAALRAAEKSIGTKEQEGTPSASTKHILDDISTMFDALADQLDAMLD
+>A8MUP2_PF13649_79 <unknown description>
+VLDVGCGTSSLCTGLYTKSPHPVDVLGVDFSPVAVAHMNSLLEGGPGQTPLCPGHPASSL
+HFMHADAQNLGAVASSGSFQLLLDKGTWDAVARGGLPRAYQLLSECLRVLNPQG
+>O14936_PF00069_12 <unknown description>
+YELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLKREASICHMLKH
+PHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASHYMRQILEALRY
+CHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRVGTPHFMAPEVV
+KREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPRQWSHISESAKD
+LVRRMLMLDPAERITVYEALNHPWL
+>O14936_PF02828_347 <unknown description>
+VSQVLDSLEEIHALTDCSEKDLDFLHSVFQDQHLHTLLDLYDKINTKSS
+>O14936_PF02828_406 <unknown description>
+VQRAKEVLEEISCYPENNDAKELKRILTQPHFMALLQTHDVVAHEVYSDEA
+>O14936_PF00595_491 <unknown description>
+VQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVANQTVE
+QLQKMLREMRGSITFKI
+>O14936_PF00018_638 <unknown description>
+IRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPS
+>O14936_PF00625_738 <unknown description>
+KRKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMM
+QDISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFI
+AAPTITPGLNEDESLQRLQKESDILQRTYAHYFDLTIINNEIDETIRHLEEAVE
+>P41240_PF00018_15 <unknown description>
+IAKYNFHGTAEQDLPFCKGDVLTIVAVTKDPNWYKAKNKVGREGIIPA
+>P41240_PF00017_82 <unknown description>
+WFHGKITREQAERLLYPPETGLFLVRESTNYPGDYTLCVSCDGKVEHYRIMYHASKLSID
+EEVYFENLMQLVEHY
+>P41240_PF07714_195 <unknown description>
+LKLLQTIGKGEFGDVMLGDYRGNKVAVKCIKNDATAQAFLAEASVMTQLRHSNLVQLLGV
+IVEEKGGLYIVTEYMAKGSLVDYLRSRGRSVLGGDCLLKFSLDVCEAMEYLEGNNFVHRD
+LAARNVLVSEDNVAKVSDFGLTKEASSTQDTGKLPVKWTAPEALREKKFSTKSDVWSFGI
+LLWEIYSFGRVPYPRIPLKDVVPRVEKGYKMDAPDGCPPAVYEVMKNCWHLDAAMRPSFL
+QLREQL
+>Q96PZ7_PF00431_32 <unknown description>
+CGGLVQGPNGTIESPGFPHGYPNYANCTWIIITGERNRIQLSFHTFALEEDFDILSVYDG
+QPQQGNLKVRLSGFQLPSSIVSTGSILTLWFTTDFAVSAQGFKALY
+>Q96PZ7_PF00084_145 <unknown description>
+CGNPGEILKGVLHGTRFNIGDKIRYSCLPGYILEGHAILTCIVSPGNGASWDFPAPFC
+>Q96PZ7_PF00431_208 <unknown description>
+CGGTLRGTSSSISSPHFPSEYENNADCTWTILAEPGDTIALVFTDFQLEEGYDFLEISGT
+EAPSIWLTGMNLPSPVISSKNWLRLHFTSDSNHRRKGFNAQF
+>Q96PZ7_PF00084_349 <unknown description>
+CPDPGIPENGRRAGSDFRVGANVQFSCEDNYVLQGSKSITCQRVTETLAAWSDHRPIC
+>Q96PZ7_PF00431_411 <unknown description>
+CGSNLRGPSGVITSPNYPVQYEDNAHCVWVITTTDPDKVIKLAFEEFELERGYDTLTVGD
+AGKVGDTRSVLYVLTGSSVPDLIVSMSNQMWLHLQSDDSIGSPGFKAVY
+>Q96PZ7_PF00084_527 <unknown description>
+CGDPGIPAYGKRTGSSFLHGDTLTFECPAAFELVGERVITCQQNNQWSGNKPSC
+>Q96PZ7_PF00431_584 <unknown description>
+CFFNFTASSGIILSPNYPEEYGNNMNCVWLIISEPGSRIHLIFNDFDVEPQFDFLAVKDD
+GISDITVLGTFSGNEVPSQLASSGHIVRLEFQSDHSTTGRGFNITY
+>Q96PZ7_PF00084_704 <unknown description>
+INGRRFGDRFLLGSSVSFHCDDGFVKTQGSESITCILQDGNVVWSSTVPRC
+>Q96PZ7_PF00431_758 <unknown description>
+CGGHLTASSGVILPPGWPGYYKDSLHCEWIIEAKPGHSIKITFDRFQTEVNYDTLEVRDG
+PASSSPLIGEYHGTQAPQFLISTGNFMYLLFTTDNSRSSIGFLIHY
+>Q96PZ7_PF00084_873 <unknown description>
+CLDPGIPVNGHRHGGDFGIRSTVTFSCDPGYTLSDDEPLVCERNHQWNHALPSC
+>Q96PZ7_PF00431_930 <unknown description>
+CGGYIQGKSGTVLSPGFPDFYPNSLNCTWTIEVSHGKGVQMIFHTFHLESSHDYLLITED
+GSFSEPVARLTGSVLPHTIKAGLFGNFTAQLRFISDFSISYEGFNITF
+>Q96PZ7_PF00084_1053 <unknown description>
+FSRRIGFHFGVGDSLTFSCFLGYRLEGATKLTCLGGGRRVWSAPLPRC
+>Q96PZ7_PF00431_1104 <unknown description>
+CGASVKGNEGTLLSPNFPSNYDNNHECIYKIETEAGKGIHLRTRSFQLFEGDTLKVYDGK
+DSSSRPLGTFTKNELLGLILNSTSNHLWLEFNTNGSDTDQGFQLTY
+>Q96PZ7_PF00084_1217 <unknown description>
+CEDPGIPNYGYRIRDEGHFTDTVVLYSCNPGYAMHGSNTLTCLSGDRRVWDKPLPSC
+>Q96PZ7_PF00431_1277 <unknown description>
+CGGQIHAATSGRILSPGYPAPYDNNLHCTWIIEADPGKTISLHFIVFDTEMAHDILKVWD
+GPVDSDILLKEWSGSALPEDIHSTFNSLTLQFDSDFFISKSGFSIQF
+>Q96PZ7_PF00084_1391 <unknown description>
+CNDPGMPQNGTRYGDSREAGDTVTFQCDPGYQLQGQAKITCVQLNNRFFWQPDPPTC
+>Q96PZ7_PF00431_1451 <unknown description>
+CGGNLTGPAGVILSPNYPQPYPPGKECDWRVKVNPDFVIALIFKSFNMEPSYDFLHIYEG
+EDSNSPLIGSYQGSQAPERIESSGNSLFLAFRSDASVGLSGFAIEF
+>Q96PZ7_PF00084_1564 <unknown description>
+CFDPGNIMNGTRVGTDFKLGSTITYQCDSGYKILDPSSITCVIGADGKPSWDQVLPSC
+>Q96PZ7_PF00431_1625 <unknown description>
+CGGQYTGSEGVVLSPNYPHNYTAGQICLYSITVPKEFVVFGQFAYFQTALNDLAELFDGT
+HAQARLLSSLSGSHSGETLPLATSNQILLRFSAKSGASARGFHFVY
+>Q96PZ7_PF00084_1741 <unknown description>
+CSSVPEPRYGRRIGSEFSAGSIVRFECNPGYLLQGSTALHCQSVPNALAQWNDTIPSC
+>Q96PZ7_PF00431_1802 <unknown description>
+CSGNFTQRRGTILSPGYPEPYGNNLNCIWKIIVTEGSGIQIQVISFATEQNWDSLEIHDG
+GDVTAPRLGSFSGTTVPALLNSTSNQLYLHFQSDISVAAAGFHLEY
+>Q96PZ7_PF00084_1915 <unknown description>
+CQEPALPSNSIKIGDRYMVNDVLSFQCEPGYTLQGRSHISCMPGTVRRWNYPSPLC
+>Q96PZ7_PF00431_1974 <unknown description>
+CGGTLSTLGGVILSPGFPGSYPNNLDCTWRISLPIGYGAHIQFLNFSTEANHDFLEIQNG
+PYHTSPMIGQFSGTDLPAALLSTTHETLIHFYSDHSQNRQGFKLAY
+>Q96PZ7_PF00084_2087 <unknown description>
+CPDPPPFQNGYMINSDYSVGQSVSFECYPGYILIGHPVLTCQHGINRNWNYPFPRC
+>Q96PZ7_PF00431_2146 <unknown description>
+CGYNVTSQNGTIYSPGFPDEYPILKDCIWLITVPPGHGVYINFTLLQTEAVNDYIAVWDG
+PDQNSPQLGVFSGNTALETAYSSTNQVLLKFHSDFS
+>Q96PZ7_PF00084_2258 <unknown description>
+CQPPPAVPQAEMLTEDDDFEIGDFVKYQCHPGYTLVGTDILTCKLSSQLQFEGSLPTC
+>Q96PZ7_PF00431_2325 <unknown description>
+RTGSSGVILSPGYPGNYFNSQTCSWSIKVEPNYNITIFVDTFQSEKQFDALEVFDGSSGQ
+SPLLVVLSGNHTEQSNFTSRSNQLYLRWSTDHATSKKGFKIRY
+>Q96PZ7_PF00084_2448 <unknown description>
+AGAVGSKVHYFCKPGYRMVGHSNATCRRNPLGMYQWDSLTPLC
+>Q96PZ7_PF00084_2495 <unknown description>
+CGIPESPGNGSFTGNEFTLDSKVVYECHEGFKLESSQQATAVCQEDGLWSNKGKPPTC
+>Q96PZ7_PF00084_2579 <unknown description>
+EYGAQVLLSCSPGYYLEGWRLLRCQANGTWNIG
+>Q96PZ7_PF00084_2636 <unknown description>
+TLTVYGATAIFTCNTGYTLVGSHVRECLANGLWSGSETRC
+>Q96PZ7_PF00084_2680 <unknown description>
+CGSPDPIVNGHISGDGFSYRDTVVYQCNPGFRLVGTSVRICLQDHKWSGQTPVC
+>Q96PZ7_PF00084_2738 <unknown description>
+CGHPGNPAHGFTNGSEFNLNDVVNFTCNTGYLLQGVSRAQCRSNGQWSSPLPTC
+>Q96PZ7_PF00084_2796 <unknown description>
+CSDPGFVENAIRHGQQNFPESFEYGMSILYHCKKGFYLLGSSALTCMANGLWDRSLPKC
+>Q96PZ7_PF00084_2859 <unknown description>
+CGHPGVPANAVLTGELFTYGAVVHYSCRGSESLIGNDTRVCQEDSHWSGALPHC
+>Q96PZ7_PF00084_2920 <unknown description>
+CGDPGTPAHGSRLGDDFKTKSLLRFSCEMGHQLRGSPERTCLLNGSWSGLQPVC
+>Q96PZ7_PF00084_2978 <unknown description>
+CGNPGTPTNGMIVSSDGILFSSSVIYACWEGYKTSGLMTRHCTANGTWTGTAPDC
+>Q96PZ7_PF00084_3037 <unknown description>
+CGDPGTLANGIQFGTDFTFNKTVSYQCNPGYVMEAVTSATIRCTKDGRWNPSKPVC
+>Q96PZ7_PF00084_3097 <unknown description>
+CPQPPPVQNGTVEGSDFRWGSSISYSCMDGYQLSHSAILSCEGRGVWKGEIPQC
+>Q96PZ7_PF00084_3155 <unknown description>
+CGDPGIPAEGRLSGKSFTYKSEVFFQCKSPFILVGSSRRVCQADGTWSGIQPTC
+>Q96PZ7_PF00084_3216 <unknown description>
+CPDPGTPHFGIQNSSRGYEVGSTVFFRCRKGYHIQGSTTRTCLANLTWSGIQTEC
+>Q96PZ7_PF00084_3275 <unknown description>
+CRQPETPAHADVRAIDLPTFGYTLVYTCHPGFFLAGGSEHRTCKADMKWTGKSPVC
+>Q7Z408_PF00431_66 <unknown description>
+CTFQLHGPNGTVESPGFPYGYPNYANCTWTITAEEQHRIQLVFQSFALEEDFDVLSVFDG
+PPQPENLRTRLTGFQLPATIVSAATTLSLRLISDYAVSAQGFHATY
+>Q7Z408_PF00084_179 <unknown description>
+CGNPGRLPNGIQQGSTFNLGDKVRYSCNLGFFLEGHAVLTCHAGSENSATWDFPLPSC
+>Q7Z408_PF00431_242 <unknown description>
+CGGTLRGQSGIISSPHFPSEYHNNADCTWTILAELGDTIALVFIDFQLEDGYDFLEVTGT
+EGSSLWFTGASLPAPVISSKNWLRLHFTSDGNHRQRGFSAQY
+>Q7Z408_PF00084_383 <unknown description>
+CPDPGIPERGKRLGSDFRLGSSVQFTCNEGYDLQGSKRITCMKVSDMFAAWSDHRPVC
+>Q7Z408_PF00431_445 <unknown description>
+CDAHLRGPSGIITSPNFPIQYDNNAHCVWIITALNPSKVIKLAFEEFDLERGYDTLTVGD
+GGQDGDQKTVLYILTGTSVPDLIVSTNHQMWLLFQTDGSGSSLGFKASY
+>Q7Z408_PF00084_561 <unknown description>
+CGDPGIPAYGRREGSRFHHGDTLKFECQPAFELVGQKAITCQKNNQWSAKKPGC
+>Q7Z408_PF00431_618 <unknown description>
+CFFNFTSPSGVVLSPNYPEDYGNHLHCVWLILARPESRIHLAFNDIDVEPQFDFLVIKDG
+ATAEAPVLGTFSGNQLPSSITSSGHVARLEFQTDHSTGKRGFNITF
+>Q7Z408_PF00084_731 <unknown description>
+CPDPGVPVNGKRFGDSLQLGSSISFLCDEGFLGTQGSETITCVLKEGSVVWNSAVLRC
+>Q7Z408_PF00431_792 <unknown description>
+CGGHLTSPSGTILSPGWPGFYKDALSCAWVIEAQPGYPIKITFDRFKTEVNYDTLEVRDG
+RTYSAPLIGVYHGTQVPQFLISTSNYLYLLFSTDKSHSDIGFQLRY
+>Q7Z408_PF00084_907 <unknown description>
+CLDPGIPVNGQRHGNDFYVGALVTFSCDSGYTLSDGEPLECEPNFQWSRALPSC
+>Q7Z408_PF00431_964 <unknown description>
+CGGFIQGSSGTILSPGFPDFYPNNLNCTWIIETSHGKGVFFTFHTFHLESGHDYLLITEN
+GSFTQPLRQLTGSRLPAPISAGLYGNFTAQVRFISDFSMSYEGFNITF
+>Q7Z408_PF00084_1079 <unknown description>
+CEEPEVPAYSIRKGLQFGVGDTLTFSCFPGYRLEGTARITCLGGRRRLWSSPLPRC
+>Q7Z408_PF00431_1138 <unknown description>
+CGNSVTGTQGTLLSPNFPVNYNNNHECIYSIQTQPGKGIQLKARAFELSEGDVLKVYDGN
+NNSARLLGVFSHSEMMGVTLNSTSSSLWLDFITDAENTSKGFELHF
+>Q7Z408_PF00084_1251 <unknown description>
+CEDPGTPKFGYKVHDEGHFAGSSVSFSCDPGYSLRGSEELLCLSGERRTWDRPLPTC
+>Q7Z408_PF00431_1311 <unknown description>
+CGGTVRGEVSGQVLSPGYPAPYEHNLNCIWTIEAEAGCTIGLHFLVFDTEEVHDVLRIWD
+GPVESGVLLKELSGPALPKDLHSTFNSVVLQFSTDFFTSKQGFAIQF
+>Q7Z408_PF00084_1425 <unknown description>
+CNDPGIPQNGSRSGDSWEAGDSTVFQCDPGYALQGSAEISCVKIENRFFWQPSPPTC
+>Q7Z408_PF00431_1485 <unknown description>
+CGGDLTGPSGVILSPNYPEPYPPGKECDWKVTVSPDYVIALVFNIFNLEPGYDFLHIYDG
+RDSLSPLIGSFYGSQLPGRIESSSNSLFLAFRSDASVSNAGFVIDY
+>Q7Z408_PF00084_1598 <unknown description>
+CFDPGSIKNGTRVGSDLKLGSSVTYYCHGGYEVEGTSTLSCILGPDGKPVWNNPRPVC
+>Q7Z408_PF00431_1659 <unknown description>
+CGGQYVGSDGVVLSPNYPQNYTSGQICLYFVTVPKDYVVFGQFAFFHTALNDVVEVHDGH
+SQHSRLLSSLSGSHTGESLPLATSNQVLIKFSAKGLAPARGFHFVY
+>Q7Z408_PF00084_1775 <unknown description>
+CSSVPEPRYGKRLGSDFSVGAIVRFECNSGYALQGSPEIECLPVPGALAQWNVSAPTC
+>Q7Z408_PF00431_1836 <unknown description>
+CGGNLTERRGTILSPGFPEPYLNSLNCVWKIVVPEGAGIQIQVVSFVTEQNWDSLEVFDG
+ADNTVTMLGSFSGTTVPALLNSTSNQLYLHFYSDISVSAAGFHLEY
+>Q7Z408_PF00084_1949 <unknown description>
+CPEPAVPSNGVKTGERYLVNDVVSFQCEPGYALQGHAHISCMPGTVRRWNYPPPLC
+>Q7Z408_PF00431_2008 <unknown description>
+CGGTVEEMEGVILSPGFPGNYPSNMDCSWKIALPVGFGAHIQFLNFSTEPNHDYIEIRNG
+PYETSRMMGRFSGSELPSSLLSTSHETTVYFHSDHSQNRPGFKLEY
+>Q7Z408_PF00084_2121 <unknown description>
+CPDPEPFANGIVRGAGYNVGQSVTFECLPGYQLTGHPVLTCQHGTNRNWDHPLPKC
+>Q7Z408_PF00431_2180 <unknown description>
+CGGNITSSNGTVYSPGFPSPYSSSQDCVWLITVPIGHGVRLNLSLLQTEPSGDFITIWDG
+PQQTAPRLGVFTRSMAKKTVQSSSNQVLLKFHRDAA
+>Q7Z408_PF00084_2292 <unknown description>
+CPPPTILPNAEVVTENEEFNIGDIVRYRCLPGFTLVGNEILTCKLGTYLQFEGPPPIC
+>Q7Z408_PF00431_2356 <unknown description>
+NELLTDSTGVILSQSYPGSYPQFQTCSWLVRVEPDYNISLTVEYFLSEKQYDEFEIFDGP
+SGQSPLLKALSGNYSAPLIVTSSSNSVYLRWSSDHAYNRKGFKIRY
+>Q7Z408_PF00084_2529 <unknown description>
+CGLPEAPKNGMVFGKEYTVGTKAMYSCSEGYHLQAGAEATAECLDTGLWSNRNVPPQC
+>Q7Z408_PF00084_2591 <unknown description>
+CPDVSSISVEHGRWRLIFETQYQFQAQLMLICDPGYYYTGQRVIRCQANGKWSLGDSTPT
+C
+>Q7Z408_PF00084_2656 <unknown description>
+CGELPIPPNGHRIGTLSVYGATAIFSCNSGYTLVGSRVRECMANGLWSGSEVRC
+>Q7Z408_PF00084_2739 <unknown description>
+CGTPEPIVNGHINGENYSYRGSVVYQCNAGFRLIGMSVRICQQDHHWSGKTPFC
+>Q7Z408_PF00084_2797 <unknown description>
+CGHPGNPVNGLTQGNQFNLNDVVKFVCNPGYMAEGAARSQCLASGQWSDMLPTC
+>Q7Z408_PF00084_2855 <unknown description>
+CTDPGHQENSVRQVHASGPHRFSFGTTVSYRCNHGFYLLGTPVLSCQGDGTWDRPRPQC
+>Q7Z408_PF00084_2918 <unknown description>
+CGHPGSPPHSQMSGDSYTVGAVVRYSCIGKRTLVGNSTRMCGLDGHWTGSLPHC
+>Q7Z408_PF00084_2979 <unknown description>
+CGDPGIPAHGIRLGDSFDPGTVMRFSCEAGHVLRGSSERTCQANGSWSGSQPEC
+>Q7Z408_PF00084_3037 <unknown description>
+CGNPGTPSNARVVFSDGLVFSSSIVYECREGYYATGLLSRHCSVNGTWTGSDPEC
+>Q7Z408_PF00084_3096 <unknown description>
+CGDPGIPANGLRLGNDFRYNKTVTYQCVPGYMMESHRVSVLSCTKDRTWNGTKPVC
+>Q7Z408_PF00084_3156 <unknown description>
+CKPPPLIPNGKVVGSDFMWGSSVTYACLEGYQLSLPAVFTCEGNGSWTGELPQC
+>Q7Z408_PF00084_3214 <unknown description>
+CGDPGVPSRGRREDRGFSYRSSVSFSCHPPLVLVGSPRRFCQSDGTWSGTQPSC
+>Q7Z408_PF00084_3275 <unknown description>
+CADPGVPQFGIQNNSQGYQVGSTVLFRCQKGYLLQGSTTRTCLPNLTWSGTPPDC
+>Q7Z408_PF00084_3334 <unknown description>
+CRQPETPTHANVGALDLPSMGYTLIYSCQEGFSLKGGSEHRTCKADGSWTGKPPIC
+>Q7Z407_PF00431_65 <unknown description>
+CGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQSFALEEEYDYLSLYDG
+HPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVYY
+>Q7Z407_PF00084_178 <unknown description>
+CGNPGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWDFPVPIC
+>Q7Z407_PF00431_241 <unknown description>
+CGGTMRGSSGIISSPSFPNEYHNNADCTWTIVAEPGDTISLIFTDFQMEEKYDYLEIEGS
+EPPTIWLSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPY
+>Q7Z407_PF00084_486 <unknown description>
+CPDPGEPENGKRIGSDFSLGSTVQFSCDEDYVLQGAKSITCQRIAEVFAAWSDHRPVC
+>Q7Z407_PF00431_548 <unknown description>
+CGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTNKVIQINFEEFDLEIGYDTLTIGD
+GGEVGDPRTVLQVLTGSFVPDLIVSMSSQMWLHLQTDESVGSVGFKVNY
+>Q7Z407_PF00084_664 <unknown description>
+CGDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVCQENNQWSANIPIC
+>Q7Z407_PF00431_721 <unknown description>
+CLSNFTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFNDFDLESQFDFLAVKDG
+DSPESPILGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNITY
+>Q7Z407_PF00084_834 <unknown description>
+CPDPGIPINARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGLIPKC
+>Q7Z407_PF00431_895 <unknown description>
+CGGHFSAPSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDG
+PNLLSPLLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHY
+>Q7Z407_PF00084_1010 <unknown description>
+CLDPGIPVHGRRYGHDFSIGSTVSFSCDSGYRLSHEEPLLCEKNHWWSHPLPTC
+>Q7Z407_PF00431_1067 <unknown description>
+CGGDVRGPSGTILSPGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITEN
+GSFTQPLARLTGSDLPPTINAGLYGNFRAQLRFISDFSISYEGFNITF
+>Q7Z407_PF00084_1182 <unknown description>
+CEDPGIPQYGSRIGFNFGIGDTLTFSCSSGYRLEGTSEIICLGGGRRVWSAPLPRC
+>Q7Z407_PF00431_1241 <unknown description>
+CGASATNNEGILLSPNYPLNYENNHECIYSIQVQAGKGINISARTFHLAQGDVLKIYDGK
+DKTTHLLGAFTGASMRGLTLSSTSNQLWLEFNSDTEGTDEGFQLVY
+>Q7Z407_PF00084_1354 <unknown description>
+CEDPGIPQFGYKISDQGHFAGSTIIYGCNPGYTLHGSSLLKCMTGERRAWDYPLPSC
+>Q7Z407_PF00431_1414 <unknown description>
+CGGRFKGESSGRILSPGYPFPYDNNLRCMWMIEVDPGNIVSLQFLAFDTEASHDILRVWD
+GPPENDMLLKEISGSLIPEGIHSTLNIVTIQFDTDFYISKSGFAIQF
+>Q7Z407_PF00084_1528 <unknown description>
+CRDPGVPMNGTRNGDGREPGDTVVFQCDPGYELQGEERITCIQVENRYFWQPSPPVC
+>Q7Z407_PF00431_1588 <unknown description>
+CGGNLTGSSGFILSPNFPHPYPHSRDCDWTITVNADYVISLAFISFSIEPNYDFLYIYDG
+PDSNSPLIGSFQDSKLPERIESSSNTMHLAFRSDGSVSYTGFHLEY
+>Q7Z407_PF00084_1701 <unknown description>
+CFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYVLQGYSTLTCIMGDDGRPGWNRALPSC
+>Q7Z407_PF00431_1762 <unknown description>
+CGSRSTGSEGTVLSPNYPKNYSVGHNCVYSIAVPKEFVVFGQFVFFQTSLHDVVEVYDGP
+TQQSSLLSSLSGSHSGESLPLSSGNQITIRFTSVGPITAKGFHFVY
+>Q7Z407_PF00084_1878 <unknown description>
+CSSVPEPRFGRRIGNEFAVGSSVLFDCNPGYILHGSIAIRCETVPNSLAQWNDSLPTC
+>Q7Z407_PF00431_1939 <unknown description>
+CGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQVVSFATEHNWDSLDFYDG
+GDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGFHLEY
+>Q7Z407_PF00084_2052 <unknown description>
+CPEPQTPSSGIKIGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRWNYPIPIC
+>Q7Z407_PF00431_2111 <unknown description>
+CGGAMSDFSGVILSPGFPGNYPSSLDCTWTINLPIGFGVHLQFVNFSTETIHDYLEVRSG
+SSETSTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVY
+>Q7Z407_PF00084_2224 <unknown description>
+CPDPRPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRC
+>Q7Z407_PF00431_2283 <unknown description>
+CGGNITAMNGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYINFTVLQTEPIYDFITVWDG
+PDQNSPQIGQFSGNTALESVYSTSNQILIKFHSDFTT
+>Q7Z407_PF00084_2395 <unknown description>
+CQPPPPVPNAEILTEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVC
+>Q7Z407_PF00431_2464 <unknown description>
+DSTGVILSPGYPDSYPNLQMCAWSISVEKGYNITMFVEFFQTEKEFDVLQVYDGPNIQSP
+VLISLSGDYSSAFNITSNGHEVFLQWSADHGNNKKGFRIRY
+>Q7Z407_PF00084_2569 <unknown description>
+CSTPESPPHGYIISQTGGQLNSVVRWACDRGFRLVGKSSAVCRKSSYGYHAWDAPVPAC
+>Q7Z407_PF00084_2632 <unknown description>
+CGIPKAPTNGGILTTDYLVGTRVTYFCNDGYRLSSKELTTAVCQSDGTWSNHNKTPRC
+>Q7Z407_PF00084_2701 <unknown description>
+ILEHGRWRIVNGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWR
+>Q7Z407_PF00084_2759 <unknown description>
+CGELPTPPNGNKIGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSESETRC
+>Q7Z407_PF00084_2817 <unknown description>
+CGIPELIVNGQVIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPSC
+>Q7Z407_PF00084_2876 <unknown description>
+GHPGSPIYGRTSGNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPMC
+>Q7Z407_PF00084_2933 <unknown description>
+CSDPGIPANSKRESKIEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPEC
+>Q7Z407_PF00084_2995 <unknown description>
+CGHPGVPPNAVLSGEKYTFGSTVHYSCTGKRSLLGQSSRTCQLNGHWSGSQPHC
+>Q7Z407_PF00084_3056 <unknown description>
+CGDPGTPGHGSRQESNFRTKSTVRYACDTGYILHGSEERTCLANGSWTGRQPEC
+>Q7Z407_PF00084_3114 <unknown description>
+CGNPGTTANGKVFRIDGTTFSSSVIYSCMEGYILSGPSVRQCTANGTWSGTLPNC
+>Q7Z407_PF00084_3173 <unknown description>
+CGDPGIPANGLRYGDDYVVGQNVSYMCQPGYTMELNGSRIRTCTINGTWSGVMPTC
+>Q7Z407_PF00084_3233 <unknown description>
+CPTPPQISNGRLEGTNFDWGFSISYICSPGYELSFPAVLTCVGNGTWSGEVPQC
+>Q7Z407_PF00084_3291 <unknown description>
+CGDPGIPAQGKREGKSFIYQSEVSFSCNFPFILVGSSTRICQADGTWSGSSPHC
+>Q7Z407_PF00084_3352 <unknown description>
+CENPGVPRHGSQNNTFGFQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPEC
+>Q7Z407_PF00084_3411 <unknown description>
+CKQPETPAHANVVGMDLPSHGYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPIC
+>Q4G0I0_PF15013_47 <unknown description>
+DRPIEFSSSKANPHRWSVGHTMGKGHQRPWWKVLPLSCFLVALIIWCYLREESEADQWLR
+QVWGEVPEPSD
+>Q13098_PF10602_123 <unknown description>
+DTAWVEATRKKALLKLEKLDTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKCYS
+RARDYCTSAKHVINMCLNVIKVSVYLQNWSHVLSYVSKAESTPEIAEQRGERDSQTQAIL
+TKLKCAAGLAELAARKYKQAAKCLLLASFDHCDFPELLSPSNVAIYGGLCALATFDRQEL
+QR
+>Q13098_PF01399_320 <unknown description>
+PQVRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRTLYTQIRNRALIQYFSPYVS
+ADMHRMAAAFNTTVAALEDELTQLILEGLISARVDSHSKILYA
+>P61201_PF01399_310 <unknown description>
+AMTNLVSAYQNNDITEFEKILKTNHSNIMDDPFIREHIEELLRNIRTQVLIKLIKPYTRI
+HIPFISKELNIDVADVESLLVQCILDNTIHGRIDQVNQLLEL
+>Q9UNS2_PF01399_259 <unknown description>
+AYHELAQVYSTNNPSELRNLVNKHSETFTRDNNMGLVKQCLSSLYKKNIQRLTKTFLTLS
+LQDMASRVQLSGPQEAEKYVLHMIEDGEIFASINQKDGMVSFH
+>Q9BT78_PF01399_268 <unknown description>
+YLDRIIRGNQLQEFAAMLMPHQKATTADGSSILDRAVIEHNLLSASKLYNNITFEELGAL
+LEIPAAKAEKIASQMITEGRMNGFIDQIDGIVHFE
+>Q9BT78_PF18420_365 <unknown description>
+EALPTWDKQIQSLCFQVNNLLEKISQTAPEWTAQAMEAQMAQ
+>Q92905_PF01398_53 <unknown description>
+KYCKISALALLKMVMHARSGGNLEVMGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAA
+AYEYMAAYIENAKQVGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQFQE
+>Q92905_PF18323_251 <unknown description>
+SSSSLLTNADYTTGQVFDLSEKLEQSEAQLGRGSFMLGLETHDRKSEDKLAKATRDSCKT
+TIEAIHGLMSQVIKDKLFN
+>Q7L5N1_PF01398_40 <unknown description>
+SVALHPLVILNISDHWIRMRSQEGRPVQVIGALIGKQEGRNIEVMNSFELLSHTVEEKII
+IDKEYYYTKEEQFKQVFKELEFLGWYTTGGPPDPSDIHVHKQVCEIIE
+>Q7L5N1_PF13012_194 <unknown description>
+EAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVKLILEYVKASEAGEVPFN
+HEILREAYALCHCLPVLSTDKFKTDFYDQCNDVGLMAYLGTITKTCNTMNQFVN
+>Q9UBW8_PF01399_79 <unknown description>
+LAEARNLPPLTEAQKNKLRHLSVVTLAAKVKCIPYAVLLEALALRNVRQLEDLVIEAVYA
+DVLRGSLDQRNQRLEV
+>Q9UBW8_PF18392_166 <unknown description>
+DLSAIARTLQEWCVGCEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVAN
+>Q9H9Q2_PF01399_63 <unknown description>
+YLQLLNLFAYGTYPDYIANKESLPELSTAQQNKLKHLTIVSLASRMKCIPYSVLLKDLEM
+RNLRELEDLIIEAVYTDIIQGKLDQRNQLLEV
+>Q9H9Q2_PF18392_166 <unknown description>
+DINNIVKTLHEWCDGCEAVLLGIEQQVLRANQYKENHNRTQQQVEAEVTN
+>Q99627_PF10075_34 <unknown description>
+PPVYGQLLALYLLHNDMNNARYLWKRIPPAIKSANSELGGIWSVGQRIWQRDFPGIYTTI
+NAHQWSETVQPIMEALRDATRRRAFALVSQAYTSIIADDFAAFVGLPVEEAVKGILEQGW
+QADSTTRMVLPR
+>Q8WXC6_PF15004_1 <unknown description>
+MKPAVDEMFPEGAGPYVDLDEAGGSTGLLMDLAANEKAVHADFFNDFEDLFDDDD
+>P13611_PF07686_29 <unknown description>
+SPPVRGSLSGKVSLPCHFSTMPTLPPSYNTSEFLRIKWSKIEVDKNGKDLKETTVLVAQN
+GNIKIGQDYKGRVSVPTHPEAVGDASLTVVKLLASDAGLYRCDVMYGIEDTQDTVSLTV
+>P13611_PF00193_150 <unknown description>
+VVFHYRAATSRYTLNFEAAQKACLDVGAVIATPEQLFAAYEDGFEQCDAGWLADQTVRYP
+IRAPRVGCYGDKMGKAGVRTYGFRSPQETYDVYCY
+>P13611_PF00193_252 <unknown description>
+VFHLTVPSKFTFEEAAKECENQDARLATVGELQAAWRNGFDQCDYGWLSDASVRHPVTVA
+RAQCGGGLLGVRTLYRFENQTGFPPPDSRFDAYCF
+>P13611_PF00008_3093 <unknown description>
+CKMNPCLNGGTCYPTETSYVCTCVPGYSGD
+>P13611_PF00008_3131 <unknown description>
+CHSNPCRNGATCVDGFNTFRCLCLPSYVG
+>P13611_PF00059_3188 <unknown description>
+RTWDAAERECRLQGAHLTSILSHEEQMFVNRVGHDYQWIGLNDKMFEHDFRWTDGSTLQY
+ENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPCNYHLTYTCKK
+>P13611_PF00084_3296 <unknown description>
+CGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGNGRWAIPKITC
+>Q6UVK1_PF00054_55 <unknown description>
+FSTSQPEALLLLAAGPADHLLLQLYSGRLQVRLVLGQEELRLQTPAETLLSDSIPHTVVL
+TVVEGWATLSVDGFLNASSAVPGAPLEVPYGLFVGGTGTLGLPYLRGTSRPLRGCLHAAT
+LNGRSL
+>Q6UVK1_PF02210_231 <unknown description>
+TTQSRQAPLAFQAGGRRGDFIYVDIFEGHLRAVVEKGQGTVLLHNSVPVADGQPHEVSVH
+INAHRLEISVDQYPTHTSNRGVLSYLEPRGSLLLGGLDAEASRHLQEHRLGLTPEATNAS
+LLGCMEDLSVNGQ
+>Q6UVK1_PF16184_435 <unknown description>
+SPLVVAEGGTAWLEWRHVQPTLDLMEAELRKSQVLFSVTRGARHGELELDIPGAQARKMF
+TLLDVVNRKARFIHDGSEDTSDQLVLEVS
+>Q6UVK1_PF16184_538 <unknown description>
+QTYLLPIQVNPVNDPPHIIFPHGSLMVILEHTQKPLGPEVFQAYDPDSACEGLTFQVLGT
+SSGLPVERRDQPGEPATEFSCRELEAGSLVYVHRGGPAQDLTFRVSDG
+>Q6UVK1_PF16184_664 <unknown description>
+QIHRSTGLRLAQGSAMPILPANLSVETNAVGQDVSVLFRVTGALQFGELQKQGAGGVEGA
+EWWATQAFHQRDVEQGRVRYLSTD
+>Q6UVK1_PF16184_786 <unknown description>
+MLRLEPLHTQNTQQETLTTAHLEATLEEAGPSPPTFHYEVVQAPRKGNLQLQGTRLSDGQ
+GFTQDDIQAGRVTYGATARASEAVEDTFRFRVT
+>Q6UVK1_PF16184_887 <unknown description>
+YTFPIHIGGDPDAPVLTNVLLVVPEGGEGVLSADHLFVKSLNSASYLYEVMERPRHGRLA
+WRGTQDKTTMVTSFTNEDLLRGRLVYQHDDSETTEDDIPFVA
+>Q6UVK1_PF16184_1003 <unknown description>
+VRGVFRVAIQPVNDHAPVQTISRIFHVARGGRRLLTTDDVAFSDADSGFADAQLVLTRKD
+LLFGSIVAVDEPTRPIYRFTQEDLRKRRVLFVHSGADRGWIQLQVSDG
+>Q6UVK1_PF16184_1120 <unknown description>
+VQASEPYLRVANGSSLVVPQGGQGTIDTAVLHLDTNLDIRSGDEVHYHVTAGPRWGQLVR
+AGQPATAFSQQDLLDGAVLYSHNGSLSPRDTMAFSVE
+>Q6UVK1_PF16184_1240 <unknown description>
+KLVRHKKIYVFQGEAAEIRRDQLEAAQEAVPPADIVFSVKSPPSAGYLVMVSRGALADEP
+PSLDPVQSFSQEAVDTGRVLYLHSRPEAWSDAFSLDVAS
+>Q6UVK1_PF16184_1369 <unknown description>
+EGGSLTLAPPLLRVSGPYFPTLLGLSLQVLEPPQHGALQKEDGPQARTLSAFSWRMVEEQ
+LIRYVHDGSETLTDSFVLM
+>Q6UVK1_PF16184_1456 <unknown description>
+QSHPVAFTVTVLPVNDQPPILTTNTGLQMWEGATAPIPAEALRSTDGDSGSEDLVYTIEQ
+PSNGRVVLRGAPGTEVRSFTQAQLDGGLVLFSHRGTLDGGFRFRLSDG
+>Q6UVK1_PF16184_1587 <unknown description>
+SQTLTVCPGSVQPLSSQTLRASSSAGTDPQLLLYRVVRGPQLGRLFHAQQDSTGEALVNF
+TQAEVYAGNILYEHEM
+>Q6UVK1_PF16184_1704 <unknown description>
+PSHLWKNKGLWVPEGQRARITVAALDASNLLASVPSPQRSEHDVLFQVTQFPSRGQLLVS
+EEPLHAGQPHFLQSQLAAGQLVYAHGGGGTQQDGFHFRA
+>Q6UVK1_PF16184_1817 <unknown description>
+TSEAFAITVRDVNERPPQPQASVPLRLTRGSRAPISRAQLSVVDPDSAPGEIEYEVQRAP
+HNGFLSLVGGGLGPVTRFTQADVDSGRLAFVANGSSVAGIFQLSMSDG
+>O95196_PF06566_33 <unknown description>
+AREAGSAVEAEELVKGSPAWEPPANDTREEAGPPAAGEDEASWTAPGGELAGPEEVLQES
+AAVTGTAWLEADSPGLGGVTAEAGSGDAQALPATLQAPHEVLGQSIMPPAIPEATEASGP
+PSPTPGDKLSPASELPKESPLEVWLNLGGSTPDPQGPELTYPFQGTLEPQPASDIIDIDY
+FEGLDGEGRGADLGSFPGSPGTSENHPDTEGETPSWSLLDLYDDFTPFDESDFYPTTSFY
+DDLDE
+>O95196_PF06567_447 <unknown description>
+LYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIAEGSHPN
+>O95196_PF06567_487 <unknown description>
+DDPSAPHKIQEVLKSCLKEEESFNIQNSMSPKLEGGKGDQADLDVNCLQNNL
+>Q96S65_PF16019_82 <unknown description>
+GRVAFDGITVFYFPRCQGFTSVPSRGGCTLGMALRHSACRRFSLAEFAQEQARARHEKLR
+QRLKEEKLEMLQWKLSAAGVPQAEAGLPPVVDAIDDASVEEDLAVAVAGGRLEEVSFLQP
+YPARRRRALLRASGVRRIDREEKRELQALRQSREDCGCHCDRICDPETCSCSLAGIKCQM
+DHTAFPCGCCREGCENPMGRVEFNQARVQTHFIHTLTRLQLEQ
+>Q9H175_PF16019_62 <unknown description>
+RRKNVRFDQVTVYYFARRQGFTSVPSQGGSSLGMAQRHNSVRSYTLCEFAQEQEVNHREI
+LREHLKEEKLHAKKMKLTKNGTVESVEADGLTLDDVSDEDIDVENVEVDDYFFLQPLPTK
+RRRALLRASGVHRIDAEEKQELRAIRLSREECGCDCRLYCDPEACACSQAGIKCQVDRMS
+FPCGCSRDGCGNMAGRIEFNPIRVRTHYLHTIMKLELE
+>Q8WYN3_PF16019_62 <unknown description>
+TKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREML
+REHLREEKLNSLKLKMTKNGTVESEEASTLTLDDISDDDIDLDNTEVDEYFFLQPLPTKK
+RRALLRASGVKKIDVEEKHELRAIRLSREDCGCDCRVFCDPDTCTCSLAGIKCQVDRMSF
+PCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEK
+>P21291_PF00412_10 <unknown description>
+CGVCQKTVYFAEEVQCEGNSFHKSCFLCMVCKKNLDSTTVAVHGEEIYCKSCYGKK
+>P21291_PF00412_119 <unknown description>
+CPRCSQAVYAAEKVIGAGKSWHKACFRCAKCGKGLESTTLADKDGEIYCKGCYAKNF
+>Q16527_PF00412_10 <unknown description>
+CGACGRTVYHAEEVQCDGRSFHRCCFLCMVCRKNLDSTTVAIHDEEIYCKSCYGKK
+>Q16527_PF00412_119 <unknown description>
+CSRCGDSVYAAEKIIGAGKPWHKNCFRCAKCGKSLESTTLTEKEGEIYCKGCYAKNF
+>P50461_PF00412_10 <unknown description>
+CGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKVCYGRR
+>P50461_PF00412_120 <unknown description>
+CPRCGKSVYAAEKVMGGGKPWHKTCFRCAICGKSLESTNVTDKDGELYCKVCYAKNF
+>Q9H112_PF00031_52 <unknown description>
+TDQYNKESDDKYHFRIFRVLKVQRQVTDHLEYHLNVEMQWTTCQKPETTNCVPQERELHK
+QVNCFFSVFAVPW
+>O60676_PF00031_35 <unknown description>
+LKPVNASNANVKQCLWFAMQEYNKESEDKYVFLVVKTLQAQLQVTNLLEYLIDVEIARSD
+CRKPLSTNEICAIQENSKLKRKLSCSFLVGALPW
+>Q9H4G1_PF00031_49 <unknown description>
+ATVEFAVHTFNQQSKDYYAYRLGHILNSWKEQVESKTVFSMELLLGRTRCGKFEDDIDNC
+HFQESTELNNTFTCFFTISTRPW
+>Q5W186_PF00666_49 <unknown description>
+ATVEFALNTFNVQSKEEHAYRLLRVLSSWREDSMDRKWRGKMVFSMNLQLRQTVCRKFED
+DIDNCPFQESLELN
+>Q05048_PF16699_8 <unknown description>
+LKDRQQLYKLIISQLLYDGYISIANGLINEIKPQSVCAPSEQLLHLIKLGMEN
+>Q05048_PF00400_105 <unknown description>
+TSHKGPCRVATYSRDGQLIATGSADASIKI
+>Q05048_PF00400_166 <unknown description>
+IRTLYDHVDEVTCLAFHPTEQILASGSRDYTLKLFD
+>Q05048_PF00400_260 <unknown description>
+QHTDAICSVNYNSSANMYVTGSKDGCIKLWD
+>Q05048_PF00400_295 <unknown description>
+RCITTFEKAHDGAEVCSAIFSKNSKYILSSGKDSVAKLW
+>Q05048_PF00400_390 <unknown description>
+NLLSLGHNNIVRCIVHSPTNPGFMTCSDDFRARFW
+>P33240_PF00076_18 <unknown description>
+VFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNL
+NGREFSGRALR
+>P33240_PF14327_113 <unknown description>
+SPYGETISPEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYAL
+LQAQVVMRIVDPEIALKIL
+>P33240_PF14304_533 <unknown description>
+QDHEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKS
+>Q12996_PF05843_375 <unknown description>
+TLVYIQYMKFARRAEGIKSGRMIFKKAREDTRTRHHVYVTAALMEYYCSKDKSVAFKIFE
+LGLKKYGDIPEYVLAYIDYLSHLNEDNNTRVLFERVLTSGSLPPEKSGEIWARFLAFESN
+IGDLASILKVEKRRFTAFKEEYEGKETALLVDRYKFMDLYPCSASELKALGYKDVSRAKL
+AAIIPDPVVAPSIVPVLKDEVDRKPEYPKPDTQQMIPFQPRHLAPPGLHPVPGGVFPVPP
+AAVVLMKLLPPPICFQGPFVQVDELMEIFRRCKIP
+>Q9H0L4_PF00076_18 <unknown description>
+VFVGNIPYEATEEQLKDIFSEVGSVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNL
+NGREFSGRALR
+>Q9H0L4_PF14327_113 <unknown description>
+SPYGDPIDPEDAPESITRAVASLPPEQMFELMKQMKLCVQNSHQEARNMLLQNPQLAYAL
+LQAQVVMRIMDPEIALKIL
+>Q9H0L4_PF14304_572 <unknown description>
+QDQEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKS
+>Q9H114_PF00031_37 <unknown description>
+SKKNMNSTLNFFIQSYNNASNDTYLYRVQRLIRSQMQLTTGVEYIVTVKIGWTKCKRNDT
+SNSSCPLQSKKLRKSLICE
+>O94985_PF00028_169 <unknown description>
+YKATVIEGKQYDSILRVEAVDADCSPQFSQICSYEIITPDVPFTVDKDGYIKNTEKLNYG
+KEHQYKLTVTAYDCGKKRATEDVLVKISI
+>O94985_PF13385_366 <unknown description>
+NGTQAVRIPDGVVSVSPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSLYVHGC
+RLIFLFRQDPSEEKKYRPAEFHWKLNQVCDEEWHHYVLNVEFPSVTLYVDGTSHEPFSVT
+ED
+>O94985_PF19699_566 <unknown description>
+DLQVLEDSGRGVQIQAHPSQLVLTLEGEDLGELDKAMQHISYLNSRQFPTPGIRRLKITS
+TIKCFNEATCISVPPVDGYVMVLQPEEPKISLSGVHHFARAASEFESSEGVFLFPELRII
+STITREVEPEGDGAEDPTVQESLVSEEIVHDLDTCEVTVEGEELNHEQESLEVDMARLQQ
+KGIEVSSSELGMTFTGVDTMASYEEVLHLLRYRNWHARSLLDRKFKLICSELNGRYISNE
+FKVEVNVIHTANPMEHANHMAAQPQFVHPEHRSFVDLSGHNLANPHPFAVVPSTATVVIV
+VCVSFLVFMIILGVFRIRAAHRRTMRDQDTGKENEMDWDDSALTITVNPMETYE
+>Q9H4D0_PF00028_165 <unknown description>
+YKAVVTEGKIYDSILQVEAIDEDCSPQYSQICNYEIVTTDVPFAIDRNGNIRNTEKLSYD
+KQHQYEILVTAYDCGQKPAAQDTLVQVDV
+>Q9H4D0_PF19699_546 <unknown description>
+DINSLESLGQGIKYHFNPSQSILVMEGDDIGNINRALQKVSYINSRQFPTAGVRRLKVSS
+KVQCFGEDVCISIPEVDAYVMVLQAIEPRITLRGTDHFWRPAAQFESARGVTLFPDIKIV
+STFAKTEAPGDVKTTDPKSEVLEEMLHNLDFCDILVIGGDLDPRQECLELNHSELHQRHL
+DATNSTAGYSIYGVGSMSRYEQVLHHIRYRNWRPASLEARRFRIKCSELNGRYTSNEFNL
+EVSILHEDQVSDKEHVNHLIVQPPFLQSVHHPESRSSIQHSSVVPSIATVVIIISVCMLV
+FVVAMGVYRVRIAHQHFIQETEAAKESEMDWDDSALTITVNPMEKH
+>Q9BQT9_PF00028_150 <unknown description>
+YRAAVTEGKLYDRILRVEAIDGDCSPQYSQICYYEILTPNTPFLIDNDGNIENTEKLQYS
+GERLYKFTVTAYDCGKKRAADDAEVEIQV
+>Q9BQT9_PF19699_554 <unknown description>
+DYRDFESLGKGMKVHVNPSQSLLTLEGDDVETFNHALQHVAYMNTLRFATPGVRPLRLTT
+AVKCFSEESCVSIPEVEGYVVVLQPDAPQILLSGTAHFARPAVDFEGTNGVPLFPDLQIT
+CSISHQVEAKKDESWQGTVTDTRMSDEIVHNLDGCEISLVGDDLDPERESLLLDTTSLQQ
+RGLELTNTSAYLTIAGVESITVYEEILRQARYRLRHGAALYTRKFRLSCSEMNGRYSSNE
+FIVEVNVLHSMNRVAHPSHVLSSQQFLHRGHQPPPEMAGHSLASSHRNSMIPSAATLIIV
+VCVGFLVLMVVLGLVRIHSLHRRVSGAGGPPGASSDPKDPDLFWDDSALTIIVNPMESYQ
+>Q9GZN8_PF15006_28 <unknown description>
+SHVHFDEKLHDSVVMVTQESDSSFLVKVGFLKILHRYEITFTLPPVHRLSKDVREAPVPS
+LHLKLLSVVPVPEGYSVKCEYSAHKEGVLKEEILLACEGGTGTCVRVTVQARVMDRHHGT
+PMLLDGVKCVGAELEYDSEHSDWHGF
+>Q9H1P6_PF14945_16 <unknown description>
+LVGQDEIWKYRLKAESEARQNWPQNWGFLTTPFEELIKCEEDLPTPKPKIELPERFRIRP
+VTPVEKYIKVFPSPPVPQTTQGFIGWRSAVPGLNKCLELDDAIRSCKGAFARELCWPKQG
+>Q9NUD7_PF15397_105 <unknown description>
+LRSGRAALRELRSRENFLSKLNRELIETIQEMENSTTLHVRALLQQQDTLATIIDILEYS
+NKKRLQQLKSELQEWEEKKKCKMSYLEQQAEQLNAKIEKTQEEVNFLSTYMDHEYSIKSV
+QISTLMRQLQQVKDSQQDELDDLGEMRRKVLESLSDKIQKKKKKILSSVVAETQRPYEEA
+LLQKMWESQDFLKCMQRFREIIDQFEENMPVLRAEVEELQAQTREPREVIFEDVLLRRPK
+CTPDMDVILNIPVEEPLP
+>Q9NUB4_PF17717_1 <unknown description>
+MTRLCLPRPEAREDPIPVPPRGLGAGEGSGSPVRPPVSTWGPSWAQLLDSVLWLGALGLT
+IQAVFSTTGPALLLLLVSFLTFDLLHRPAGHTLPQRKLLTRGQSQGAGEGPGQQEALLLQ
+MGTVSGQLSLQDALLLLLMGLGPLLRACGMPLTLLGLAFCLHPW
+>Q9BQM9_PF15318_1 <unknown description>
+MGNYSSHKRTKAPKQARKERPADMDKAWWKSFLNHLTRKKPATRIVLILPLDKRQPLANA
+GQRIDYASGAGLGSPAAPRLRGAGEGSEREPRMPVLLLLRRQEARRPEEGGARAALSWPR
+LLSRFRSPGKAPREAGPAEEQPRKRCRCPR
+>Q8NBC4_PF17714_1 <unknown description>
+MFPRPVLNSRAQAILLPQPPNMLDHRQWPPRLASFPFTKTGMLSRATSVLAGLTAHLWDL
+GGGAGRRTSKAQRVHPQPSHQRQPPPPQHPGPYQERIWVGGEGWGEVGGLRLSKVGRRDR
+EVGRGLRAPAGRGRAMGGMPRMGTVGDFGQALSSLAWTSTCFQDFCLPSLPGKLPAPLIS
+KQQFLSNSSRSLFN
+>Q9P2B4_PF09727_5 <unknown description>
+KLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRDFETL
+KEKNDGEKQPVCTNPLSILKVVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQRHAQ
+DTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERSRHKQLSSMLVL
+ECKK
+>Q5HYN5_PF15300_121 <unknown description>
+EINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>Q5DJT8_PF15300_121 <unknown description>
+EINADIKRQLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>Q8NHU0_PF15300_121 <unknown description>
+EINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMU8_PF15300_121 <unknown description>
+EINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMU7_PF15300_121 <unknown description>
+EINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMV0_PF15300_121 <unknown description>
+EINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMV1_PF15300_121 <unknown description>
+EINADIKRQLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMV2_PF15300_121 <unknown description>
+EINADIKRQLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>P0DMU9_PF15300_121 <unknown description>
+EINADIKCQVVKEIRCLGRKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKH
+LKK
+>Q5JQC4_PF15623_1 <unknown description>
+MSATGDRHPTQGDQEAPVSQEGAQAEAAGAGNQEGGDSGPDSSDVVPAAEVVGVAGPVEG
+LGEEEGEQAAGLAAVPRGGSAEEDSDIGPATEEEEEEEGNEAANFDLAVVARRYPASGIH
+FVLLDMVHSLLHRLSHNDHILIENRQLSRLMVGPHAAARNLWGNLPPLLLPQRLGAGAAA
+RAGEGLGLIQEAASVPEPAVPADLAEMAREPAEEAAEEKLSEEATEEPDAEEPATEEPTA
+QEATAPEEVTKSQPEKWDEEAQDAAGEEEKEQEKEKDA
+>P0C2W7_PF15623_1 <unknown description>
+MSATGDRHPTQGDQEAPVSQEGAQAEAAGAGNQEGGDSGPDSSDMVPAAEVVGVAGPVEG
+LGEEEGEQAAGLAAVPQGGSAEEDSDIGPATEEEEEEEEGNEAANFDLAVATRRYPAAGI
+GFVFLYLVHSLLRRLYHNDHIQIANRHLSRLMVGPHAAVPNLWDNPPLLLLSQRLGAGAA
+APEGEGLGLIQEAASVQEAASVPEPAVPADLAEMAREPAEEAADEKPPEEAAEEKLTEEA
+TEEPAAEEPTSEEAVAPEEVTKSQPEKWDEEAQDAAGEEEKEQEKEKD
+>Q8WUE5_PF14444_40 <unknown description>
+QGVVTSFCGDYGMIDESIYFSSDVVTGNVPLKVGQKVNVV
+>Q8WUE5_PF14444_182 <unknown description>
+ITSVHGRNGVIDYTIFFTLDSVKLPDGYVPQVDDIVNVVMVESIQFCFIWRA
+>O75638_PF09341_90 <unknown description>
+LHITMPFSSPMEAELVRRILSRDAAPLPRPGAVLKDFTVSGNLLFIRLTAADHRQLQLSI
+SSCLQQLSLLM
+>Q8WYA6_PF08216_58 <unknown description>
+RLLQIIDRDGEEEEEEEEPLDESSVKKMILTFEKRSYKNQELRIKFPDNPEKFMESELDL
+NDIIQEMHVVATMPDLYHLLVELNAVQSLLGLLGHDNTDVSIAVV
+>Q13363_PF00389_27 <unknown description>
+CTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAVGALMYHTITLTREDLEKFKALRIIVR
+IGSGFDNIDIKSAGDLGIAVCNVPAASVEETADSTLCHILNLYRRATWLHQALREGTRVQ
+SVEQIREVASGAARIRGETLGIIGLGRVGQAVALRAKAFGFNVLFYDPYLSDGVERALGL
+QRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFTVKQMRQGAFLVNTARGGLVDEKALAQ
+ALKEGRIRGAALDVHESEPFSFSQGPLKDAPNLICTPHAAWYSEQASIEMREEAAREIRR
+AITGRIPDSLKNCVN
+>Q13363_PF02826_123 <unknown description>
+CHILNLYRRATWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVALRA
+KAFGFNVLFYDPYLSDGVERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFTVK
+QMRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFSQGPLKDAPNLICT
+PHAA
+>P56545_PF00389_584 <unknown description>
+CTVEMPILKDLATVAFCDAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVR
+IGSGYDNVDIKAAGELGIAVCNIPSAAVEETADSTICHILNLYRRNTWLYQALREGTRVQ
+SVEQIREVASGAARIRGETLGLIGFGRTGQAVAVRAKAFGFSVIFYDPYLQDGIERSLGV
+QRVYTLQDLLYQSDCVSLHCNLNEHNHHLINDFTIKQMRQGAFLVNAARGGLVDEKALAQ
+ALKEGRIRGAALDVHESEPFSFAQGPLKDAPNLICTPHTAWYSEQASLEMREAAATEIRR
+AITGRIPESLRNCVN
+>P56545_PF02826_680 <unknown description>
+CHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAARIRGETLGLIGFGRTGQAVAVRA
+KAFGFSVIFYDPYLQDGIERSLGVQRVYTLQDLLYQSDCVSLHCNLNEHNHHLINDFTIK
+QMRQGAFLVNAARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFAQGPLKDAPNLICT
+PHTA
+>Q2NKJ3_PF15489_61 <unknown description>
+LPLSYSFVSVQDLKTHQRLPCCSHLSWSSSAYQAWAQEAGPNGNPLPREQLLLLGTLTDL
+SADLEQECRNGSLYVRDNTGVLSCELIDLDLSWLGHLFLFPRWSYLPPARWNSSGEGHLE
+LWDAPVPVFPLTISPGPVTPIPVLYPESASCLLRLRNKLRGVQRNLAGSLVRLSALVKSK
+QKAYFILSLGRSHPAVTHVSIIVQVPAQLVWHRALRPGTAYVLTELRVSKIRGQRQHVWM
+TSQSSRLLLLKPECVQELELELEGPLLEADPKPLPMPSNSEDKKDPESLVRYSRLLSYSG
+AVTGVLNEPAGLYELDGQLGLCLAYQQFRGLRRVMRPGVCLQLQDVHLLQSVGGGTRRPV
+LAPCLRGAVLLQSFSRQKPGAHSSRQAYGASLYEQLVWERQLGLPLYLWATKALEELACK
+LCPHVLRHHQFLQHSSPGSPSLGLQLLAPTLDLLAPPGSPVRNAHNEILEEPHHCPLQKY
+TRLQTPSSFPTLATLKEEGQRKAWASFDPKALLPLPEASYLPSCQLNRRLAWSWLCLLPS
+AFCPAQVLLGVLVASSHKGCLQLRDQSGSLPCLLLAKHSQPLSDPRLIGCLVRAERFQLI
+VERDVRSSFPSWKELSMPGFIQKQQARVYVQFFLADALILPVPRPCLHSATPSTPQTDPT
+GPEGPHLGQSRLFLLCHKEALMKRNFCVPPGASPEVPKPALSFYVLGSWLGGTQRKEGTG
+WGLPEPQGNDDNDQKVHLIFFGSSVRWFEFLHPGQVYRLIAPGPATPMLFEKDGSSCISR
+RPLELAGCASCLTVQDNWTLELESSQDIQDVLDANKSLPESSLTDLLSDNFTDSLVSFSA
+EILSRTLCEPLVASLWMKLGNTGAMRRCVKLTVALETAECEFPPHLDVYIEDPHLPPSLG
+LLPGARVHFSQLEKRVSRSHNVYCCFRSSTYVQVLSFPPETTISIPLPHIYLAELLQGGQ
+SPFQATASCHIVSVFSLQLFWVCAYCTSICRQGKCTRLGSTCPTQTAISQAIIRLLVEDG
+TAEAVVTCRNHHVAAALGLCPREWASLLDFVQVPGRVVLQFAGPGAQLESSARVDEPMTM
+FLWTLCTSPSVLRPIVLSFELERKPSKIVPLEPPRLQRFQCGELPFLTHVNPRLRLSCLS
+I
+>Q8NI51_PF00096_313 <unknown description>
+YKCNDCNMAFVTSGELVRHRRYKH
+>Q8NI51_PF00096_428 <unknown description>
+YQCPHCATIIARKSDLRVHMRNLH
+>Q8NI51_PF00096_546 <unknown description>
+YKCSKCGKGFSRWINLHRH
+>P49711_PF00096_266 <unknown description>
+FQCELCSYTCPRRSNLDRHMKSH
+>P49711_PF00096_294 <unknown description>
+HKCHLCGRAFRTVTLLRNHLNTH
+>P49711_PF00096_322 <unknown description>
+HKCPDCDMAFVTSGELVRHRRYKH
+>P49711_PF13909_351 <unknown description>
+FKCSMCDYASVEVSKLKRHIRSHTG
+>P49711_PF00096_379 <unknown description>
+FQCSLCSYASRDTYKLKRHMRTH
+>P49711_PF00096_437 <unknown description>
+FHCPHCDTVIARKSDLGVHLRKQH
+>P49711_PF00096_555 <unknown description>
+FVCSKCGKTFTRRNTMARH
+>Q9Y5B0_PF03031_184 <unknown description>
+VLMVDLDQTLIHTTEQHCQQMSNKGIFHFQLGRGEPMLHTRLRPHCKDFLEKIAKLYELH
+VFTFGSRLYAHTIAGFLDPEKKLFSHRILSRDECIDPFSKTGNLRNLFPCGDSMVCIIDD
+REDVWKFAPNLITVKKYVY
+>Q9Y5B0_PF09309_716 <unknown description>
+ERWDKVEEQLFPLRDDHTKAQRENSPAAFPDREGVPPTALFHPMPVLPKAQPGPEVRIYD
+SNTGKLIRTGARGPPAPSSSLPIRQEPSSFRAVPPPQPQMFGEELPDAQDGEQPGPSRRK
+RQPSMSETMPLYTLCKEDLESMDKEVDDILGEGSDDSDSEKRRPEEQEEEPQPRKPGTRR
+ERTLGAPASSERSAAGGRGPRGHKRKLNEEDAASESSRESSNEDEGSSSEADEMAKALEA
+ELNDLM
+>Q9GZU7_PF03031_92 <unknown description>
+CVVIDLDETLVHSSFKPVNNADFIIPVEIDGVVHQVYVLKRPHVDEFLQRMGELFECVLF
+TASLAKYADPVADLLDKWGAFRARLFRESCVFHRGNYVKDLSRLGRDLRRVLILDNSPAS
+YVFHPDNAVPVASWFDNMSDTELHDLLPFFEQLSRVDDV
+>O14595_PF03031_103 <unknown description>
+CVVIDLDETLVHSSFKPINNADFIVPIEIEGTTHQVYVLKRPYVDEFLRRMGELFECVLF
+TASLAKYADPVTDLLDRCGVFRARLFRESCVFHQGCYVKDLSRLGRDLRKTLILDNSPAS
+YIFHPENAVPVQSWFDDMADTELLNLIPIFEELSGAEDV
+>O15194_PF03031_107 <unknown description>
+KCVVIDLDETLVHSSFKPISNADFIVPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVL
+FTASLAKYADPVADLLDRWGVFRARLFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPA
+SYIFHPENAVPVQSWFDDMTDTELLDLIPFFEGLSREDDV
+>Q8WVB6_PF00004_371 <unknown description>
+LLCGPPGLGKTTLAHVIARHAGYSVVEMNASDDRSPEVFRTRIEAATQMESVLGAGGKPN
+CLVIDEIDGAPVAAINVL
+>P0CG13_PF09696_51 <unknown description>
+VLIVGHHILYGKIIHLEKPFAVLVKHTPGDQDCDELGRETGTRYLVTALIKDKILFKTRP
+KPI
+>P78358_PF09341_92 <unknown description>
+LAMPFATPMEAELARRSLAQDAPPLPVPGVLLKEFTVSGNILTIRLTAADHRQLQLSISS
+CLQQLSLLM
+>O43310_PF02854_379 <unknown description>
+LNSMRNNSSDVDTKLTTFMEEAQNSTNSEEMLGEIVRTIYQKAVSDRSFAFTAAKLCDKM
+ALFMVEGTKFRSLLLNMLQKDFTVREELQQQDVERWLGFITFLCEVFGTMRSSTGEPFRV
+LVCPIYTCLRELLQSQDVKEDAVLCCSMELQSTGRLLEEQLPEMMTELLASARDKMLCPS
+ESMLTRSLLLEVIELHAN
+>Q99708_PF10482_20 <unknown description>
+FKDLWTKLKECHDREVQGLQVKVTKLKQERILDAQRLEEFFTKNQQLREQQKVLHETIKV
+LEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITELMNERNTLQEENKKLSEQLQ
+>Q99708_PF08573_828 <unknown description>
+REKKLASCSRHRFRYIPPNTPENFWEVGFPS
+>Q8WWI5_PF04515_295 <unknown description>
+SATVFTVILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWVYWIM
+TLLFLGTTGSPVQNEQGFVEFKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTY
+YFTRDKRNLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMILMYIHSQLKGKENAC
+ARCVLKSCICCLWCLEKCLNYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVATINT
+VGDFMLFLGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVV
+DVLFLCFAIDT
+>Q8IWA5_PF04515_320 <unknown description>
+IILSILEVIIILLLIFLRKRILIAIALIKEASRAVGYVMCSLLYPLVTFFLLCLCIAYWA
+STAVFLSTSNEAVYKIFDDSPCPFTAKTCNPETFPSSNESRQCPNARCQFAFYGGESGYH
+RALLGLQIFNAFMFFWLANFVLALGQVTLAGAFASYYWALRKPDDLPAFPLFSAFGRALR
+YHTGSLAFGALILAIVQIIRVILEYLDQRLKAAENKFAKCLMTCLKCCFWCLEKFIKFLN
+RNAYIMIAIYGTNFCTSARNAFFLLMRNIIRVAVLDKVTDFLFLLGKLLIVGSVGILAFF
+FFTHRIRIVQDTAPPLNYYWVPILTVIVGSYLIAHGFFSVYGMCVDTLFLCFLEDLE
+>Q8N4M1_PF04515_289 <unknown description>
+IVSTGITAVLLVLIFVLRKRIKLTVELFQITNKAISSAPFLLFQPLWTFAILIFFWVLWV
+AVLLSLGTAGAAQVMEGGQVEYKPLSGIRYMWSYHLIGLIWTSEFILACQQMTIAGAVVT
+CYFNRSKNDPPDHPILSSLSILFFYHQGTVVKGSFLISVVRIPRIIVMYMQNALKEQQHG
+ALSRYLFRCCYCCFWCLDKYLLHLNQNAYTTTAINGTDFCTSAKDAFKILSKNSSHFTSI
+NCFGDFIIFLGKVLVVCFTVFGGLMAFNYNRAFQVWAVPLLLVAFFAYLVAHSFLSVFET
+VLDALFLCFAVDLE
+>Q53GD3_PF04515_314 <unknown description>
+IVLAVLEAILLLMLIFLRQRIRIAIALLKEASKAVGQMMSTMFYPLVTFVLLLICIAYWA
+MTALYLATSGQPQYVLWASNISSPGCEKVPINTSCNPTAHLVNSSCPGLMCVFQGYSSKG
+LIQRSVFNLQIYGVLGLFWTLNWVLALGQCVLAGAFASFYWAFHKPQDIPTFPLISAFIR
+TLRYHTGSLAFGALILTLVQIARVILEYIDHKLRGVQNPVARCIMCCFKCCLWCLEKFIK
+FLNRNAYIMIAIYGKNFCVSAKNAFMLLMRNIVRVVVLDKVTDLLLFFGKLLVVGGVGVL
+SFFFFSGRIPGLGKDFKSPHLNYYWLPIMTSILGAYVIASGFFSVFGMCVDTLFLCFLED
+LE
+>Q8NCS7_PF04515_330 <unknown description>
+IILCIIEVIVILMLIFLRNRIRVAIILLKEGSKAIGYVPSTLVYPALTFILLSICICYWV
+VTAVFLATSGVPVYKVIAPGGHCIHENQTCDPEIFNTTEIAKACPGALCNFAFYGGKSLY
+HQYIPTFHVYNLFVFLWLINFVIALGQCALAGAFATYYWAMKKPDDIPRYPLFTAFGRAI
+RYHTGSLAFGSLIIALIQMFKIVLEYLDHRLKRTQNTLSKFLQCCLRCCFWCLENAIKFL
+NRNAYIMIAIYGRNFCRSAKDAFNLLMRNVLKVAVTDEVTYFVLFLGKLLVAGSIGVLAF
+LFFTQRLPVIAQGPASLNYYWVPLLTVIFGSYLIAHGFFSVYAMCVETIFICFLEDLE
+>P16410_PF07686_43 <unknown description>
+PAVVLASSRGIASFVCEYASPGKATEVRVTVLRQADSQVTEVCAATYMMGNELTFLDDSI
+CTGTSSGNQVNLTIQGLRAMDTGLYICKVELMYPPPYYLGIGNGTQIYV
+>P35221_PF01044_19 <unknown description>
+EIRTLAVERLLEPLVTQVTTLVNTNSKGPSNKKRGRSKKAHVLAASVEQATENFLEKGDK
+IAKESQFLKEELVAAVEDVRKQGDLMKAAAGEFADDPCSSVKRGNMVRAARALLSAVTRL
+LILADMADVYKLLVQLKVVEDGILKLRNAGNEQDLGIQYKALKPEVDKLNIMAAKRQQEL
+KDVGHRDQMAAARGILQKNVPILYTASQACLQHPDVAAYKANRDLIYKQLQQAVTGISNA
+AQATASDDASQHQGGGGGELAYALNNFDKQIIVDPLSFSEERFRPSLEERLESIISGAAL
+MADSSCTRDDRRERIV
+>P35221_PF01044_338 <unknown description>
+NAVRQALQDLLSEYMGNAGRKERSDALNSAIDKMTKKTRDLRRQLRKAVMDHVSDSFLET
+NVPLLVLIEAAKNGNEKEVKEYAQVFREHANKLIEVANLACSISNNEEGVKLVRMSASQL
+EALCPQVINAALALAAKPQSKLAQENMDLFKEQWEKQVRVLTDAVDDITSIDDFLAVSEN
+HILEDVNKCVIALQEKDVDGLDRTAGAIRGRAARVIHVVTSEMDNYEPGVYTEKVLEATK
+LLSNTVMPRFTEQVEAAVEALSSDPAQPMDENEFIDASRLVYDGIRDIRKAVLMIRTPEE
+LDDSDFETEDFDVRSRTSVQTEDDQLIAGQSARAIMAQLPQEQKAKIAEQVASFQEEKSK
+LDAEVSKWDDSGNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVISAAKKIAEAGSRMD
+KLGRTIADHCPDSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELVVSGVDSAMS
+LIQAAKNLMNAVVQTVKASYVASTKYQKSQGMASLNLPAVSWKMKAPEKK
+>P26232_PF01044_18 <unknown description>
+EIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVLAASVEQATQNFLEKGEQ
+IAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKRGTMVRAARALLSAVTRL
+LILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFGKEMVKLNYVAARRQQEL
+KDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANRDYVFKQVQEAIAGISNA
+AQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFRPSLEERLESIISGAALM
+ADSSCTRDDRRERIV
+>P26232_PF01044_336 <unknown description>
+NAVRQALQDLLSEYMNNTGRKEKGDPLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLET
+NVPLLVLIEAAKSGNEKEVKEYAQVFREHANKLVEVANLACSISNNEEGVKLVRMAATQI
+DSLCPQVINAALTLAARPQSKVAQDNMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSEN
+HILEDVNKCVIALQEGDVDTLDRTAGAIRGRAARVIHIINAEMENYEAGVYTEKVLEATK
+LLSETVMPRFAEQVEVAIEALSANVPQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEE
+LEDDSDFEQEDYDVRSRTSVQTEDDQLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKS
+KLDAEVAKWDDSGNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRM
+DKLARAVADQCPDSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELIVSGTGVQS
+TFTTFYEVDCDVIDGGRASQLSTHLPTCAEGAPIGSGSSDSSMLDSATSLIQAAKNLMNA
+VVLTVKASYVASTKYQKVYGTAAVNSPVVSWKMKAPEKK
+>Q9UI47_PF01044_18 <unknown description>
+VQTFTVEKLLEPLIIQVTTLVNCPQNPSSRKKGRSKRASVLLASVEEATWNLLDKGEKIA
+QEATVLKDELTASLEEVRKESEALKVSAERFTDDPCFLPKREAVVQAARALLAAVTRLLI
+LADMIDVMCLLQHVSAFQRTFESLKNVANKSDLQKTYQKLGKELENLDYLAFKRQQDLKS
+PNQRDEIAGARASLKENSPLLHSICSACLEHSDVASLKASKDTVCEEIQNALNVISNASQ
+GIQNMTTPPEPQAATLGSALDELENLIVLNPLTVTEEEIRPSLEKRLEAIISGAALLADS
+SCTRDLHRE
+>Q9UI47_PF01044_334 <unknown description>
+AIRQALQDLLSEYMNNAGKKERSNTLNIALDNMCKKTRDLRRQLRKAIIDHVSDSFLDTT
+VPLLVLIEAAKNGREKEIKEYAAIFHEHTSRLVEVANLACSMSTNEDGIKIVKIAANHLE
+TLCPQIINAALALAARPKSQAVKNTMEMYKRTWENHIHVLTEAVDDITSIDDFLAVSESH
+ILEDVNKCIIALRDQDADNLDRAAGAIRGRAARVAHIVTGEMDSYEPGAYTEGVMRNVNF
+LTSTVIPEFVTQVNVALEALSKSSLNVLDDNQFVDISKKIYDTIHDIRCSVMMIRTPEEL
+EDVSDLEEEHEVRSHTSIQTEGKTDRAKMTQLPEAEKEKIAEQVADFKKVKSKLDAEIEI
+WDDTSNDIIVLAKNMCMIMMEMTDFTRGKGPLKHTTDVIYAAKMISESGSRMDVLARQIA
+NQCPDPSCKQDLLAYLEQIKFYSHQLKICSQVKAEIQNLGGELIMSALDSVTSLIQAAKN
+LMNAVVQTVKMSYIASTKIIRIQSPAGPRHPVVMWRMKAPAKK
+>P35222_PF00514_230 <unknown description>
+AIFKSGGIPALVKMLGSPVDSVLFYAITTLHNL
+>P35222_PF00514_351 <unknown description>
+SSNKPAIVEAGGMQALGLHLTDPSQRLVQNCLWTLRNLSD
+>P35222_PF00514_432 <unknown description>
+YKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTS
+>P35222_PF00514_584 <unknown description>
+VHNRIVIRGLNTIPLFVQLLYSPIENIQRVAAGVLCELA
+>O60716_PF00514_397 <unknown description>
+NDKVKTDVRKLKGIPVLVGLLDHPKKEVHLGACGALKNIS
+>O60716_PF00514_440 <unknown description>
+DQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLSS
+>O60716_PF00514_703 <unknown description>
+RSALRQEKALSAIADLLTNEHERVVKAASGALRNLA
+>Q9UQB3_PF00514_582 <unknown description>
+NKIKAEIRRQGGIQLLVDLLDHRMTEVHRSACGALRNLV
+>Q9UQB3_PF00514_625 <unknown description>
+NDDNKIALKNCGGIPALVRLLRKTTDLEIRELVTGVLWNLSS
+>Q9UQB3_PF00514_835 <unknown description>
+PKGIQMLWHPSIVKPYLTLLSECSNPDTLEGAAGALQNLAA
+>Q9UQB3_PF00514_885 <unknown description>
+RAAVRKEKGLPILVELLRIDNDRVVCAVATALRNMA
+>Q9UBT7_PF01044_31 <unknown description>
+EIKTRSVEQTLLPLVSQITTLINHKDNTKKSDKTLQAIQRVGQAVNLAVGRFVKVGEAIA
+NENWDLKEEINIACIEAKQAGETIAALTDITNLNHLESDGQITIFTDKTGVIKAARLLLS
+SVTKVLLLADRVVIKQIITSRNKVLATMERLEKVNSFQEFVQIFSQFGNEMVEFAHLSGD
+RQNDLKDEKKKAKMAAARAVLEKCTMMLLTASKTCLRHPNCESAHKNKEGVFDRMKVALD
+KVIEIVT
+>O60931_PF04193_126 <unknown description>
+INQVIGWIYFVAWSISFYPQVIMNWRRKSVIGLSFDFVALNLTGFVAYSVFNIGLLWV
+>O60931_PF04193_267 <unknown description>
+FCFSYIKLAVTLVKYFPQAYMNFYYKSTEGWSIGNVLLDFTGGSFSLLQMFLQSYNND
+>P30825_PF13520_32 <unknown description>
+LNTFDLVALGVGSTLGAGVYVLAGAVARENAGPAIVISFLIAALASVLAGLCYGEFGARV
+PKTGSAYLYSYVTVGELWAFITGWNLILSYIIGTSSVARAWSATFDELIGRPIGEFSRTH
+MTLNAPGVLAENPDIFAVIIILILTGLLTLGVKESAMVNKIFTCINVLVLGFIMVSGFVK
+GSVKNWQLTEEDFGNTSGRLCLNNDTKEGKPGVGGFMPFGFSGVLSGAATCFYAFVGFDC
+IATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAALTLMMPYFCLDNNSPLPDAFKHVG
+WEGAKYAVAVGSLCALSASLLGSMFPMPRVIYAMAEDGLLFKFLANVNDRTKTPIIATLA
+SGAVAAVMAFLFDLKDLVDLMSIGTLLAYSLVAACVLVLRYQPEQ
+>P30825_PF13906_558 <unknown description>
+FKVPFLPVLPILSIFVNVYLMMQLDQGTWVRFAVWMLIGFIIYFGYGLWHS
+>P52569_PF13520_34 <unknown description>
+LSTMDLIALGVGSTLGAGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARV
+PKTGSAYLYTYVTVGELWAFITGWNLILSYVIGTSSVARAWSGTFDELLSKQIGQFLRTY
+FRMNYTGLAEYPDFFAVCLILLLAGLLSFGVKESAWVNKVFTAVNILVLLFVMVAGFVKG
+NVANWKISEEFLKNISASAREPPSENGTSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDC
+IATTGEEVRNPQKAIPIGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVG
+WGPAKYVVAAGSLCALSTSLLGSIFPMPRVIYAMAEDGLLFKCLAQINSKTKTPIIATLS
+SGAVAALMAFLFDLKALVDMMSIGTLMAYSLVAACVLILRYQP
+>P52569_PF13906_556 <unknown description>
+FMVPFLPFLPAFSILVNIYLMVQLSADTWVRFSIWMAIGFLIYFSYGIRHS
+>Q8WY07_PF13520_32 <unknown description>
+LSTLDLVALGVGSTLGAGVYVLAGEVAKDKAGPSIVICFLVAALSSVLAGLCYAEFGARV
+PRSGSAYLYSYVTVGELWAFTTGWNLILSYVIGTASVARAWSSAFDNLIGNHISKTLQGS
+IALHVPHVLAEYPDFFALGLVLLLTGLLALGASESALVTKVFTGVNLLVLGFVMISGFVK
+GDVHNWKLTEEDYELAMAELNDTYSLGPLGSGGFVPFGFEGILRGAATCFYAFVGFDCIA
+TTGEEAQNPQRSIPMGIVISLSVCFLAYFAVSSALTLMMPYYQLQPESPLPEAFLYIGWA
+PARYVVAVGSLCALSTSLLGSMFPMPRVIYAMAEDGLLFRVLARIHTGTRTPIIATVVSG
+IIAAFMAFLFKLTDLVDLMSIGTLLAYSLVSICVLILRYQPDQETK
+>Q8WY07_PF13906_539 <unknown description>
+FKVPALPLLPLMSIFVNIYLMMQMTAGTWARFGVWMLIGFAIYFGYGIQHS
+>O43246_PF13520_37 <unknown description>
+LSTLDLTLLGVGGMVGSGLYVLTGAVAKEVAGPAVLLSFGVAAVASLLAALCYAEFGARV
+PRTGSAYLFTYVSMGELWAFLIGWNVLLEYIIGGAAVARAWSGYLDSMFSHSIRNFTETH
+VGSWQVPLLGHYPDFLAAGIILLASAFVSCGARVSSWLNHTFSAISLLVILFIVILGFIL
+AQPHNWSADEGGFAPFGFSGVMAGTASCFYAFVGFDVIAASSEEAQNPRRSVPLAIAISL
+AIAAGAYILVSTVLTLMVPWHSLDPDSALADAFYQRGYRWAGFIVAAGSICAMNTVLLSL
+LFSLPRIVYAMAADGLFFQVFAHVHPRTQVPVAGTLAFGLLTAFLALLLDLESLVQFLSL
+GTLLAYTFVATSIIVLRFQKS
+>O43246_PF13906_540 <unknown description>
+FQIPMVPLIPALSIVLNICLMLKLSYLTWVRFSIWLLMGLAVYFGYGIRHS
+>Q6PD62_PF14559_177 <unknown description>
+KDYRGALAYYKKALRTNPGCPAEVRLGMGHCFVKLNKLEKARLAFSRALELN
+>Q6PD62_PF13181_345 <unknown description>
+FGLGQMYIYRGDKENASQCFEKVLKAYPNN
+>Q6PD62_PF13181_452 <unknown description>
+EILNNVGALHFRLGNLGEAKKYFLASLDRAK
+>Q6PD62_PF13432_501 <unknown description>
+YNLARLYEAMCEFHEAEKLYKNILREHPNYVDCYLRLGAMARDKGNFYEASDWFKEALQI
+NQDHP
+>Q6PD62_PF13181_682 <unknown description>
+DVWLNLAHIYVEQKQYISAVQMYENCL
+>P17538_PF00089_34 <unknown description>
+IVNGEDAVPGSWPWQVSLQDKTGFHFCGGSLISEDWVVTAAHCGVRTSDVVVAGEFDQGS
+DEENIQVLKIAKVFKNPKFSILTVNNDITLLKLATPARFSQTVSAVCLPSADDDFPAGTL
+CATTGWGKTKYNANKTPDKLQQAALPLLSNAECKKSWGRRITDVMICAGASGVSSCMGDS
+GGPLVCQKDGAWTLVGIVSWGSDTCSTSSPGVYARVTKLIPWV
+>Q6GPI1_PF00089_34 <unknown description>
+IVNGEDAVPGSWPWQVSLQDKTGFHFCGGSLISEDWVVTAAHCGVRTSDVVVAGEFDQGS
+DEENIQVLKIAKVFKNPKFSILTVNNDITLLKLATPARFSQTVSAVCLPSADDDFPAGTL
+CATTGWGKTKYNANKTPDKLQQAALPLLSNAECKKSWGRRITDVMICAGASGVSSCMGDS
+GGPLVCQKDGAWTLVGIVSWGSRTCSTTTPAVYARVAKLIPWV
+>Q99895_PF00089_30 <unknown description>
+VVGGEDARPHSWPWQISLQYLKNDTWRHTCGGTLIASNFVLTAAHCISNTRTYRVAVGKN
+NLEVEDEEGSLFVGVDTIHVHKRWNALLLRNDIALIKLAEHVELSDTIQVACLPEKDSLL
+PKDYPCYVTGWGRLWTNGPIADKLQQGLQPVVDHATCSRIDWWGFRVKKTMVCAGGDGVI
+SACNGDSGGPLNCQLENGSWEVFGIVSFGSRRGCNTRKKPVVYTRVSAYIDWI
+>P40313_PF00089_34 <unknown description>
+IVNGENAVLGSWPWQVSLQDSSGFHFCGGSLISQSWVVTAAHCNVSPGRHFVVLGEYDRS
+SNAEPLQVLSVSRAITHPSWNSTTMNNDVTLLKLASPAQYTTRISPVCLASSNEALTEGL
+TCVTTGWGRLSGVGNVTPAHLQQVALPLVTVNQCRQYWGSSITDSMICAGGAGASSCQGD
+SGGPLVCQKGNTWVLIGIVSWGTKNCNVRAPAVYTRVSKFSTWI
+>O14578_PF00069_98 <unknown description>
+EVRSLVGCGHFAEVQVVREKATGDIYAMKVMKKKALLAQEQVSFFEEERNILSRSTSPWI
+PQLQYAFQDKNHLYLVMEYQPGGDLLSLLNRYEDQLDENLIQFYLAELILAVHSVHLMGY
+VHRDIKPENILVDRTGHIKLVDFGSAAKMNSNKMVNAKLPIGTPDYMAPEVLTVMNGDGK
+GTYGLDCDWWSVGVIAYEMIYGRSPFAEGTSARTFNNIMNFQRFLKFPDDPKVSSDFLDL
+IQSLLCGQKERLKFEGLCCHPFF
+>O14578_PF00169_1487 <unknown description>
+HLEGWMKVPRNNKRGQQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGDVSI
+HGAVGASELANTAKADVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESVV
+>O14578_PF00780_1644 <unknown description>
+DQVVLVGTEEGLYALNVLKNSLTHVPGIGAVFQIYIIKDLEKLLMIAGEERALCLVDVKK
+VKQSLAQSHLPAQPDISPNIFEAVKGCHLFGAGKIENGLCICAAMPSKVVILRYNENLSK
+YCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDMKQYTLEEFLDKNDHSLAPAVFAASS
+NSFPVSIVQVNSAGQREEYLLCFHEFGVFVDSYGRRSRTDDLKWSRLPLAFAYREPYLFV
+THFNSLEVIEIQAR
+>Q05D32_PF03031_289 <unknown description>
+SLVLDLDETLVHCSLNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILF
+TASKKVYADKLLNILDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQ
+AFAYQLSNGIPIESWFMDKNDNELLKLIPFLEKLVELNEDVR
+>Q8NEC5_PF00520_448 <unknown description>
+AFETFIFFVVCLNTVMLVAQTFAEVEIRGEWYFMALDSIFFCIYVVEALLKIIALGLSYF
+FDFWNNLDFFIMAMAVLDFLLMQTHSFAIYHQSLFRILKVFKSLRALRAIRVLRRLSFLT
+SVQEVTGTLGQSLPSIAAILILMFTCLFLFSAVLRALFRKSDPKRFQNIFTTIFTLFTLL
+TLDDWSLIYMDSRAQGAWYIIPILVIYIIIQYFIFLNLVITVLVDSFQT
+>Q96P56_PF00520_108 <unknown description>
+PLFKNFIIFLVFLNTIILMVEIELLESTNTKLWPLKLTLEVAAWFILLIFILEILLKWLS
+NFSVFWKSAWNVFDFVVTMLSLLPEVVVLVGVTGQSVWLQLLRICRVLRSLKLLAQFRQI
+QIIILVLVRALKSMTFLLMLLLIFFYIFAVTGVYVFSEYTRSPRQDLEYHVFFSDLPNSL
+VTVFILFTLDHWYALLQDVWKVPEVSRIFSSIYFILWLLLGSIIFRSIIVAMMVTNFQNI
+RKE
+>Q86XQ3_PF00520_50 <unknown description>
+RFFKIIMISTVTSNAFFMALWTSYDIRYRLFRLLEFSEIFFVSICTSELSMKVYVDPINY
+WKNGYNLLDVIIIIVMFLPYALRQLMGKQFTYLYIADGMQSLRILKLIGYSQGIRTLITA
+VGQTVYTVASVLLLLFLLMYIFAILGFCLFGSPDNGDHDNWGNLAAAFFTLFSLATVDGW
+TDLQKQLDNREFALSRAFTIIFILLASFIFLNMFVGVMIMH
+>Q7RTX7_PF00520_91 <unknown description>
+HPAFQLLLALLLVINAITIALRTNSYLDQKHYELFSTIDDIVLTILLCEVLLGWLNGFWI
+FWKDGWNILNFIIVFILLLRFFINEINIPSINYTLRALRLVHVCMAVEPLARIIRVILQS
+VPDMANIMVLILFFMLVFSVFGVTLFGAFVPKHFQNIQVALYTLFICITQDGWVDIYSDF
+QTEKREYAMEIGGAIYFTIFITIGAFIGINLFVIVVTTNLEQMMK
+>Q9H7T0_PF15149_569 <unknown description>
+FGNIHYGKVIHSGKTGRAYIRKVLQHTTPKGFLSSVIAEMKEPFGLEEVNESSCLSSSLL
+INKAGNVYKLTLDSQVVQALFEDTDIEKTVVLPGYSSFLITSILDNKNALAIATMPESAP
+NNMTFLKSTWFLYNFGQRNGRTWKIYSKPCNYWFQHDDSPSLNIVKYIDLGNSYVLKAKV
+IRNAKGFRMLEIPLLTVFVGNPNLLEVTAEVTFDDTDSYVITISAASKVLHQGSTSLAFI
+MWSASTECFVTTMVPTLKSSCSYLRSMHHIPSKFIPFEDWISGVHKDSQGFNLIKTLPIN
+YRPPSNMGIAIPLTDNFYHADPSKPIPRNMFHMSKKTGKFKQCANVSTREECNCTKDQKF
+SHAVAFSDCREKVPRFKFPITQYPVSLEIINEDGRVPLQSPYLVTVTEVNMRHNWKLKHT
+VPENIKRMKQLVEPILGAAVYNPSGLNLSIKGSELFHFRVTVISGVTFCNLIEEFQIYVD
+EAPLPFPGHTLIAVATAVVLGGLIFIAFMFQLQGIHPW
+>Q86XM0_PF15020_41 <unknown description>
+QGDRLYFHPTTTRLIKHPCEKNIALYLGKQVFFTMDNFETSLLPFTIPTSMQVGVPEVTS
+AHFAGSLLLLVVDQKVYIYDYENNSWSMSLGIKHPVTHVSGDNCCYTGSLFCVHVSNLVF
+AYFRGDQISQTYIYYSNTGGFSFWKYHYDRQAEIIGSLGGIFHFFSLSQVAMLVVNQGKG
+MFKYSDHPLNRSFGLSFDYNGTLDILIAPGQRGILLLWFENSLLFSHNAGQLVDTVRVKK
+GDQTLFSSIFEAKITIHNIAVTENELAVITREDNLYYGNLGIVPSSIIKFADQYIWSEDV
+ALMFRSPGTLEILTPLRDTAFPAFDFQKCLVNIQALLMDPELHVGKCKIEFLTGEFIYRM
+YTIDMHSQLELTASLIPQPGTSLIPLVMVSNPHSLGFQATFYENGYTSDGNTKYKLDIFL
+KQQQHWGRTDSNFTSSLKKATMSTLTVDIANKEISCVDIKPLSTLISVGCDLDKKIVIQN
+KVSACSMGILDPLTLQDNYSFIIEKEFYDPGFQGQQSSEDLHVFYSYQQLGCPLLVYYDT
+LWKPVVELWRKDSFQEVIDAEYVLLEVNGQFSYSYSLTAQSAMCTSQPQNWTTMIKEFGG
+PFFWNRENYVSCHDPNNNAPLRWPDVQYQILGGRTANQIIFGHNGFYVFYISIVDPYYSY
+CQLETIFSIYVYGAFPVQLVSAGVVILLIISSILGSVWLAYKTPKLLRTARGRRIKKCAT
+QLCRRC
+>Q5SY80_PF15020_458 <unknown description>
+LLLWNKHSIYYCYHNFTFTGILQTPAGHGNLSMLSNDSIIHEVFIDYYGDILVKMENNVI
+FYSKINTRDAVKLHLWTNYTTRAFIFLSTSGQTYFLYALDDGTIQIQDYPLHLEAQSIAF
+TTKDKCPYMAFHNNVAHVFYFLDKGEALTVWTQIVYPENTGLYVIVESYGPKILQESHEI
+SFEAAFGYCTKTLTLTFYQNVDYERISDYFETQDKHTGLVLVQFRPSEYSKACPIAQKVF
+QIAVGCDDKKFIAIKGFSKKGCHHHDFSYVIEKSYLRHQPSKNLRVRYIWGEYGCPLRLD
+FTEKFQPVVQLFDDNGYVKDVEANFIVWEIHGRDDYSFNNTMAQSGCLHEAQTWKSMIEL
+NKHLPLEEVWGPENYKHCFSYAIGKPGDLNQPYEIINSSNGNHIFWPMGHSGMYVFRVKI
+LDPNYSFCNLTAMFAIETFGLIPSPSVYLVASFLFVLMLLFFTILVLSYFRY
+>Q6ZRH7_PF15064_6 <unknown description>
+MFPAGPPWPRVRVVQVLWALLAVLLASWRLWAIKDFQECTWQVVLNEFKRVGESGVSDSF
+FEQEPVDTVSSLFHMLVDSPIDPSEKYLGFPYYLKINYSCEEKPSEDLVRMGHLTGLKPL
+VLVTFQSPVNFYRWKIEQLQIQMEAAPFRSKEPCMAEEVCSMSWYTPMPIKKGSVVMRVD
+ISSNGLGTFIPDKRFQMNINGFLKRDRDNNIQFTVGEELFNLMPQYFVGVSSRPLWHTVD
+QSPVLILGGIPNEKYVLMTDTSFKDFSLVELSIDSCWVGSFYCPHSGFTATIYDTIATES
+TLFIRQNQLVYYFTGTYTTLYERNRGSGSWIRVLASECIKKLCPVYFHSNGSEYIMALTT
+GKHEGYVHFGTIRDGQVSFEMLPRQWSVCEQIGVTTCSIIWSEYIAGEYTLLLLVESGYG
+NASKRFQVVSYNTASDDLELLYHIPEFIPEARGLEFLMILGTESYTSTAMAPKGIFCNPY
+NNLIFIWGNFLLQSSNKENFIYLADFPKELSIKYMARSFRGAVAIVTETEEIWYLLEGSY
+RVYQLFPSKGWQVHISLKLMQQSSLYASNETMLTLFYEDSKLYQLVYLMNNQKGQLVKRL
+VPVEQLLMYQQHTSHYDLERKGGYLMLSFIDFCPFSVMRLRSLPSPQRYTRQERYRARPP
+RVLERSGFHNENSLAIYQGLVYYLLWLHSVYDKPYADPVHDPTWRWWANNKQDQDYYFFL
+ASNWRSAGGVSIEMDSYEKIYNLESAYELPERIFLDKGTEYSFAIFLSAQGHSFRTQSEL
+GTAFQLHSQVDVGVVLADPGCIEASVKQEVLINRNSVLFSITLKDKKLCYDQGISGHHLM
+ETSMTVNVVGSSGLCFQETHLGPHMQGNLMVPVFIGCPPGKRLAFDITYTLEYSRLKNKH
+YFDCVNVNPEMPCFLFRDIFYPFFLIQDLVTGDSGSFQGSYVLLVVGGGPTLDSLKDYSE
+DEIYRFNSPLDK
+>Q53TS8_PF15729_84 <unknown description>
+KESDSEELEITQETPNLVPFGDVVGCLGIHIKNCRHFMPKISLQHYANLFIRISINKAVK
+CTKMCSLLSKNDEKNTVIKFDEVKYFSVQVPRRYDDKRNNILLELIQYDNREKRAFLLGS
+VQIHLYEVIQKGCFIEEVQVLHGNIFVCRLEVEFMFSYGNFGYGFSHQLKPLQKITEPSM
+FMNLAPPPERTDPVTKVITPQTVEYPAFLSPDLNVTVGTPAVQSSNQPSVVRLEKLQQQP
+RERLEKMKKEYRNLNTWIDKANYLESILMPKLEHKDSEETNIDEASENTKSNHPEEELEN
+IVGVDIPLVNEEAETTANELLDNDSEKGLTIPTLNQSDQDNSTADASKNDESTPSPTEVH
+SLCTISNQETIKAGRIPPLGERQSESMPDRKMKNVFFPLEVKLKDNYPSILKADSSLSE
+>Q8WZ74_PF09727_36 <unknown description>
+LSKSELRMLLSVMEGELEARDLVIEALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGA
+GDKEKKPVCTNPLSILEAVMAHCKKMQERMSAQLAAAESRQKK
+>Q8WZ74_PF09727_137 <unknown description>
+KKLEMEKLQLQALEQEHKKLAARLEEERGKNKQVVLMLVKECKQ
+>Q8WZ74_PF12796_714 <unknown description>
+LQQAAAQGNVTLLSMLLNEEGLDINYSCEDGHSALYSAAKNGHTDCVRLLLSAEAQVNAA
+DKNGFTPLCAAAAQGHFECVELLISYDANINH
+>Q8WZ74_PF12796_809 <unknown description>
+GGQTPLYLACKNGNKECIKLLLEAGTNRSVKTTDGWTPVHAAVDTGNVDSLKLLMYH
+>Q7Z7A3_PF01171_54 <unknown description>
+VAVGASGGKDSTVLAHVLRALAPRLGISLQLVAVDEGIGGYRDAALAAVRRQAARWELPL
+TVVAYEDLFGGWTMDAVARSTAGSGRSRSCCTFCGVLRRRALEEGARRVGATHIVTGHNA
+DDMAETVLMNFLRGDAGRLARGGGLGSPGEGGALPRCRPLQFASQKEVVLYAHFRRLDYF
+SEEC
+>Q7Z7A3_PF16503_285 <unknown description>
+GACSRCGALASRALCQACALLDGLNRGRPRL
+>Q2VPK5_PF10288_341 <unknown description>
+SIHRLMEAFILRLQTQFPSTVSTVYRTSEKLVKGPRDGPAAGDSGPRCLLCMCALDVDAA
+DSATAFGAQTSSRLSQMQSPIPLTETRTPPGPCCSPGVGWAQRCGQGACRREDPQACIEE
+QLCYSCRV
+>A0A1B0GTU2_PF11057_8 <unknown description>
+PVYVDVDKGLTLACFVFLCLFLVVMIIRCAKVIMDPYSAIPTSTWEEQ
+>A0A1B0GV90_PF11057_7 <unknown description>
+SSGVDVDKGFAIAFVVLLFLFLIVMIFRCAKLVKNPYKASST
+>P60606_PF11057_9 <unknown description>
+PEPLPPSTGPPVGAGLDAEQRTVFAFVLCLLVVLVLLMVRCVRILLDPYSRMPASSWTDH
+KEALERGQFDYALV
+>P0C2S0_PF11057_7 <unknown description>
+GNSSAKMSVNEVSAFSLTLEQKTGFAFVGILCIFLGLLIIRCFKILLDPYSSMPSSTWED
+EVEEFDKGTFEYALA
+>Q4LDR2_PF11057_8 <unknown description>
+PSSLVPLGNESADSSMSLEQKMTFVFVILLFIFLGILIVRCFRILLDPYRSMPTSTWADG
+LEGLEKGQFDHALA
+>P58505_PF15248_234 <unknown description>
+RSGSIKEDMVELLLLQNAQVHQLVLQNWMLKALPPALQDPPHVPPRVPRAARPRLPAVHH
+HHH
+>Q9NYP8_PF15137_3 <unknown description>
+PPSRHCLLLISTLGVFALNCFTKGQKNSTLIFTRENTIRNCSCSADIRDCDYSLANLMCN
+CKTVLPLAVERTSYNGHLTIWFTDTSALGHLLNFTLVQDLKLSLCSTNTLPTEYLAICGL
+KRLRINMEAKHPFPEQSLLIHSGGDSDSREKPMWLHKGWQPCMYISFLDMALFNRDSALK
+SYSIENVTSIANNFPDFSYFRTFPMPSNKSYVVTFIY
+>O60494_PF00008_136 <unknown description>
+CSSNPCQNGGTCLNLHDSFFCICPPQWKGP
+>O60494_PF07645_170 <unknown description>
+DVNECEIYSGTPLSCQNGGTCVNTMGSYSCHCPPE
+>O60494_PF07645_263 <unknown description>
+DRDECSFQPGPCSTLVQCFNTQGSFYCGACPTGWQ
+>O60494_PF07645_305 <unknown description>
+DINECEINNGGCSVAPPVECVNTPGSSHCQACPPGYQGDGRVC
+>O60494_PF12947_353 <unknown description>
+CSVSNGGCHPDASCSSTLGSLPLCTCLPGYTGNGY
+>O60494_PF00008_399 <unknown description>
+CLSHPCLNGQCIDTVSGYFCKCDSGWTGV
+>O60494_PF00008_436 <unknown description>
+CLSNPCLNGGTCVDGVDSFSCECTRLWTGA
+>O60494_PF00431_474 <unknown description>
+CGESLSGINGSFSYRSPDVGYVHDVNCFWVIKTEMGKVLRITFTFFRLESMDNCPHEFLQ
+VYDGDSSSAFQLGRFCGSSLPHELLSSDNALYFHLYSEHLRNGRGFTVR
+>O60494_PF00431_590 <unknown description>
+CGGILTGPYGSIKSPGYPGNYPPGRDCVWIVVTSPDLLVTFTFGTLSLEHHDDCNKDYLE
+IRDGPLYQDPLLGKFCTTFSVPPLQTTGPFARIHFHSDSQISDQGFHITY
+>O60494_PF00431_708 <unknown description>
+CGGNYTDPEGELFLPELSGPFTHTRQCVYMMKQPQGEQIQINFTHVELQCQSDSSQNYIE
+VRDGETLLGKVCGNGTISHIKSITNSVWIRFKIDASVEKASFRAVY
+>O60494_PF00431_817 <unknown description>
+CGDELTGEGVIRSPFFPNVYPGERTCRWTIHQPQSQVILLNFTVFEIGSSAHCETDYVEI
+GSSSILGSPENKKYCGTDIPSFITSVYNFLYVTFVKSSSTENHGFMAKF
+>O60494_PF00431_932 <unknown description>
+CGEILTESTGTIQSPGHPNVYPHGINCTWHILVQPNHLIHLMFETFHLEFHYNCTNDYLE
+VYDTDSETSLGRYCGKSIPPSLTSSGNSLMLVFVTDSDLAYEGFLINY
+>O60494_PF00431_1048 <unknown description>
+CLQDYTDDLGTFTSPNFPNNYPNNWECIYRITVRTGQLIAVHFTNFSLEEAIGNYYTDFL
+EIRDGGYEKSPLLGIFYGSNLPPTIISHSNKLWLKFKSDQIDTRSGFSAY
+>O60494_PF00431_1165 <unknown description>
+CGGNLTTSSGTFISPNYPMPYYHSSECYWWLKSSHGSAFELEFKDFHLEHHPNCTLDYLA
+VYDGPSSNSHLLTQLCGDEKPPLIRSSGDSMFIKLRTDEGQQGRGFKAEY
+>O60494_PF00431_1278 <unknown description>
+CENVVIVNQTYGILESIGYPNPYSENQHCNWTIRATTGNTVNYTFLAFDLEHHINCSTDY
+LELYDGPRQMGRYCGVDLPPPGSTTSSKLQVLLLTDGVGRREKGFQMQ
+>O60494_PF00431_1391 <unknown description>
+CGGELSGATGSFSSPGFPNRYPPNKECIWYIRTDPGSSIQLTIHDFDVEYHSRCNFDVLE
+IYGGPDFHSPRIAQLCTQRSPENPMQVSSTGNELAIRFKTDLSINGRGFNAS
+>O60494_PF00431_1510 <unknown description>
+CGGIFQAPSGEIHSPNYPSPYRSNTDCSWVIRVDRNHRVLLNFTDFDLEPQDSCIMAYDG
+LSSTMSRLARTCGREQLANPIVSSGNSLFLRFQSGPSRQNRGFRAQF
+>O60494_PF00431_1620 <unknown description>
+CGGHILTSSFDTVSSPRFPANYPNNQNCSWIIQAQPPLNHITLSFTHFELERSTTCARDF
+VEILDGGHEDAPLRGRYCGTDMPHPITSFSSALTLRFVSDSSISAGGFHT
+>O60494_PF00431_1738 <unknown description>
+CGGTFYMAEGIFNSPGYPDIYPPNVECVWNIVSSPGNRLQLSFISFQLEDSQDCSRDFVE
+IREGNATGHLVGRYCGNSFPLNYSSIVGHTLWVRFISDGSGSGTGFQATF
+>O60494_PF00431_1856 <unknown description>
+IVGTHGKVASPFWPENYPHNSNYQWTVNVNASHVVHGRILEMDIEEIQNCYYDKLRIYDG
+PSIHARLIGAYCGTQTESFSSTGNSLTFHFYSDSSISGKGFLLE
+>O60494_PF00431_1986 <unknown description>
+DAPVFLFSPGWPDSYSNRVDCTWLIQAPDSTVELNILSLDIESHRTCAYDSLVIRDGDNN
+LAQQLAVLCGREIPGPIRSTGEYMFIRFTSDSSVTRAGFNASF
+>O60494_PF00431_2092 <unknown description>
+CGGYLHADRGIITSPKYPETYPSNLNCSWHVLVQSGLTIAVHFEQPFQIPNGDSSCNQGD
+YLVLRNGPDICSPPLGPPGGNGHFCGSHASSTLFTSDNQMFVQFISDHSNEGQGFKIKY
+>O60494_PF00431_2217 <unknown description>
+CGGNVYIHDADSAGYVTSPNHPHNYPPHADCIWILAAPPETRIQLQFEDRFDIEVTPNCT
+SNYLELRDGVDSDAPILSKFCGTSLPSSQWSSGEVMYLRFRSDNSPTHVGFKAKY
+>O60494_PF00431_2336 <unknown description>
+CGGRVPGQSGVVESIGHPTLPYRDNLFCEWHLQGLSGHYLTISFEDFNLQNSSGCEKDFV
+EIWDNHTSGNILGRYCGNTIPDSIDTSSNTAVVRFVTDGSVTASGFRLRF
+>O60494_PF00431_2452 <unknown description>
+CGGDLQGSIGTFTSPNYPNPNPHGRICEWRITAPEGRRITLMFNNLRLATHPSCNNEHVI
+VFNGIRSNSPQLEKLCSSVNVSNEIKSSGNTMKVIFFTDGSRPYGGFTASY
+>O60494_PF00431_2570 <unknown description>
+CGGSLPNTPEGNFTSPGYDGVRNYSRNLNCEWTLSNPNQGNSSISIHFEDFYLESHQDCQ
+FDVLEFRVGDADGPLMWRLCGPSKPTLPLVIPYSQVWIHFVTNERVEHIGFHAKY
+>O60494_PF00431_2689 <unknown description>
+CGGIQIGDSGVITSPNYPNAYDSLTHCSSLLEAPQGHTITLTFSDFDIEPHTTCAWDSVT
+VRNGGSPESPIIGQYCGNSNPRTIQSGSNQLVVTFNSDHSLQGGGFYAT
+>O60494_PF00431_2805 <unknown description>
+CGGIFHSDNGTIRSPHWPQNFPENSRCSWTAITHKSKHLEISFDNNFLIPSGDGQCQNSF
+VKVWAGTEEVDKALLATGCGNVAPGPVITPSNTFTAVFQSQEAPAQGFSASF
+>O60494_PF00431_2920 <unknown description>
+CGSNFTGPSGYIISPNYPKQYDNNMNCTYVIEANPLSVVLLTFVSFHLEARSAVTGSCVN
+DGVHIIRGYSVMSTPFATVCGDEMPAPLTIAGPVLLNFYSNEQITDFGFKFSY
+>O60494_PF00431_3037 <unknown description>
+CGGVFNFSSGIITSPAYSYADYPNDMHCLYTITVSDDKVIELKFSDFDVVPSTSCSHDYL
+AIYDGANTSDPLLGKFCGSKRPPNVKSSNNSMLLVFKTDSFQTAKGWKMSF
+>O60494_PF00431_3157 <unknown description>
+CGGYLTGSNNTFASPDSDSNGMYDKNLNCVWIIIAPVNKVIHLTFNTFALEAASTRQRCL
+YDYVKLYDGDSENANLAGTFCGSTVPAPFISSGNFLTVQFISDLTLEREGFNATY
+>O60494_PF00431_3278 <unknown description>
+CGGTYNATWTPQNISSPNSSDPDVPFSICTWVIDSPPHQQVKITVWALQLTSQDCTQNYL
+QLQDSPQGHGNSRFQFCGRNASAVPVFYSSMSTAMVIFKSGVVN
+>O60494_PF00431_3395 <unknown description>
+CNRDYHKAFGNLRSPGWPDNYDNDKDCTVTLTAPQNHTISLFFHSLGIENSVECRNDFLE
+VRNGSNSNSPLLGKYCGTLLPNPVFSQNNELYLRFKSDSVTSDRGYEI
+>O60494_PF00431_3511 <unknown description>
+CGGTLYGDRGSFTSPGYPGTYPNNTYCEWVLVAPAGRLVTINFYFISIDDPGDCVQNYLT
+LYDGPNASSPSSGPYCGGDTSIAPFVASSNQVFIKFHADYARRPSAFRLT
+>Q9NWM3_PF02845_48 <unknown description>
+NQAMDDFKTMFPNMDYDIIECVLRANSGAVDATIDQLLQMN
+>Q13616_PF00888_21 <unknown description>
+WDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSNQARGAGVPPSKSKKGQTPGG
+AQFVGLELYKRLKEFLKNYLTNLLKDGEDLMDESVLKFYTQQWEDYRFSSKVLNGICAYL
+NRHWVRRECDEGRKGIYEIYSLALVTWRDCLFRPLNKQVTNAVLKLIEKERNGETINTRL
+ISGVVQSYVELGLNEDDAFAKGPTLTVYKESFESQFLADTERFYTRESTEFLQQNPVTEY
+MKKAEARLLEEQRRVQVYLHESTQDELARKCEQVLIEKHLEIFHTEFQNLLDADKNEDLG
+RMYNLVSRIQDGLGELKKLLETHIHNQGLAAIEKCGEAALNDPKMYVQTVLDVHKKYNAL
+VMSAFNNDAGFVAALDKACGRFINNNAVTKMAQSSSKSPELLARYCDSLLKKSSKNPEEA
+ELEDTLNQVMVVFKYIEDKDVFQKFYAKMLAKRLVHQNSASDDAEASMISKLKQACGFEY
+TSKLQRMFQDIGVSKDLNEQFKKHLTNSEPLDLDFSIQVLSSGSWPFQQSCTFALPSELE
+RSYQRFTAFYASRHSGRKLTWLYQLSKGELVTNCFKNRYTLQASTFQMAILLQYNTEDAY
+TVQQLTDSTQIKMDILAQVLQILLKSKLLVLEDENANV
+>Q13616_PF10557_706 <unknown description>
+DRKLLIQAAIVRIMKMRKVLKHQQLLGEVLTQLSSRFKPRVPVIKKCIDILIEKEYLERV
+DG
+>Q13617_PF00888_14 <unknown description>
+WNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYTETKIFLENHVRHLH
+KRVLESEEQVLVMYHRYWEEYSKGADYMDCLYRYLNTQFIKKNKLTEADLQYGYGGVDMN
+EPLMEIGELALDMWRKLMVEPLQAILIRMLLREIKNDRGGEDPNQKVIHGVINSFVHVEQ
+YKKKFPLKFYQEIFESPFLTETGEYYKQEASNLLQESNCSQYMEKVLGRLKDEEIRCRKY
+LHPSSYTKVIHECQQRMVADHLQFLHAECHNIIRQEKKNDMANMYVLLRAVSTGLPHMIQ
+ELQNHIHDEGLRATSNLTQENMPTLFVESVLEVHGKFVQLINTVLNGDQHFMSALDKALT
+SVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDRLTSFITVFKYIDDKDVFQK
+FYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDMSVSADLNNKFNNF
+IKNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMFELFYSQHFSGRKL
+TWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQDSTQMNEKELTKT
+IKSLLDVKMINHDSEKEDIDAESSFSLNMNF
+>Q13617_PF10557_675 <unknown description>
+DRKMYLQAAIVRIMKARKVLRHNALIQEVISQSRARFNPSISMIKKCIEVLIDKQYIERS
+Q
+>Q13618_PF00888_34 <unknown description>
+WDLLKNAIQEIQRKNNSGLSFEELYRNAYTMVLHKHGEKLYTGLREVVTEHLINKVREDV
+LNSLNNNFLQTLNQAWNDHQTAMVMIRDILMYMDRVYVQQNNVENVYNLGLIIFRDQVVR
+YGCIRDHLRQTLLDMIARERKGEVVDRGAIRNACQMLMILGLEGRSVYEEDFEAPFLEMS
+AEFFQMESQKFLAENSASVYIKKVEARINEEIERVMHCLDKSTEEPIVKVVERELISKHM
+KTIVEMENSGLVHMLKNGKTEDLGCMYKLFSRVPNGLKTMCECMSSYLREQGKALVSEEG
+EGKNPVDYIQGLLDLKSRFDRFLLESFNNDRLFKQTIAGDFEYFLNLNSRSPEYLSLFID
+DKLKKGVKGLTEQEVETILDKAMVLFRFMQEKDVFERYYKQHLARRLLTNKSVSDDSEKN
+MISKLKTECGCQFTSKLEGMFRDMSISNTTMDEFRQHLQATGVSLGGVDLTVRVLTTGYW
+PTQSATPKCNIPPAPRHAFEIFRRFYLAKHSGRQLTLQHHMGSADLNATFYGPVKKEDGS
+EVGVGGAQVTGSNTRKHILQVSTFQMTILMLFNNREKYTFEEIQQETDIPERELVRALQS
+LACGKPTQRVLTKEPKSKEIENGHIFTVNDQF
+>Q13618_PF10557_698 <unknown description>
+DRKHEIEAAIVRIMKSRKKMQHNVLVAEVTQQLKARFLPSPVVIKKRIEGLIEREYLART
+PED
+>Q13619_PF00888_63 <unknown description>
+WRKLHEAVRAVQSSTSIRYNLEELYQAVENLCSHKVSPMLYKQLRQACEDHVQAQILPFR
+EDSLDSVLFLKKINTCWQDHCRQMIMIRSIFLFLDRTYVLQNSTLPSIWDMGLELFRTHI
+ISDKMVQSKTIDGILLLIERERSGEAVDRSLLRSLLGMLSDLQVYKDSFELKFLEETNCL
+YAAEGQRLMQEREVPEYLNHVSKRLEEEGDRVITYLDHSTQKPLIACVEKQLLGEHLTAI
+LQKGLDHLLDENRVPDLAQMYQLFSRVRGGQQALLQHWSEYIKTFGTAIVINPEKDKDMV
+QDLLDFKDKVDHVIEVCFQKNERFVNLMKESFETFINKRPNKPAELIAKHVDSKLRAGNK
+EATDEELERTLDKIMILFRFIHGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHE
+CGAAFTSKLEGMFKDMELSKDIMVHFKQHMQNQSDSGPIDLTVNILTMGYWPTYTPMEVH
+LTPEMIKLQEVFKAFYLGKHSGRKLQWQTTLGHAVLKAEFKEGKKEFQVSLFQTLVLLMF
+NEGDGFSFEEIKMATGIEDSELRRTLQSLACGKARVLIKSPKGKEVEDGDKFIFNGEF
+>Q13619_PF10557_691 <unknown description>
+DRQYQIDAAIVRIMKMRKTLGHNLLVSELYNQLKFPVKPGDLKKRIESLIDRDYMERDKD
+N
+>Q13620_PF00888_199 <unknown description>
+WQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSYKISANLYKQLRQICEDHIKAQIHQFR
+EDSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDRTYVLQNSMLPSIWDMGLELFRAHI
+ISDQKVQNKTIDGILLLIERERNGEAIDRSLLRSLLSMLSDLQIYQDSFEQRFLEETNRL
+YAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYLDQTTQKSLIATVEKQLLGEHLTAI
+LQKGLNNLLDENRIQDLSLLYQLFSRVRGGVQVLLQQWIEYIKAFGSTIVINPEKDKTMV
+QELLDFKDKVDHIIDICFLKNEKFINAMKEAFETFINKRPNKPAELIAKYVDSKLRAGNK
+EATDEELEKMLDKIMIIFRFIYGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHE
+CGAAFTSKLEGMFKDMELSKDIMIQFKQYMQNQNVPGNIELTVNILTMGYWPTYVPMEVH
+LPPEMVKLQEIFKTFYLGKHSGRKLQWQSTLGHCVLKAEFKEGKKELQVSLFQTLVLLMF
+NEGEEFSLEEIKQATGIEDGELRRTLQSLACGKARVLAKNPKGKDIEDGDKFICNDDF
+>Q13620_PF10557_827 <unknown description>
+DRQYQIDAAIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDKE
+N
+>Q93034_PF00888_19 <unknown description>
+WDFMRPIVLKLLRQESVTKQQWFDLFSDVHAVCLWDDKGPAKIHQALKEDILEFIKQAQA
+RVLSHQDDTALLKAYIVEWRKFFTQCDILPKPFCQLEITLMGKQGSNKKSNVEDSIVRKL
+MLDTWNESIFSNIKNRLQDSAMKLVHAERLGEAFDSQLVIGVRESYVNLCSNPEDKLQIY
+RDNFEKAYLDSTERFYRTQAPSYLQQNGVQNYMKYADAKLKEEEKRALRYLETRRECNSV
+EALMECCVNALVTSFKETILAECQGMIKRNETEKLHLMFSLMDKVPNGIEPMLKDLEEHI
+ISAGLADMVAAAETITTDSEKYVEQLLTLFNRFSKLVKEAFQDDPRFLTARDKAYKAVVN
+DATIFKLELPLKQKGVGLKTQPESKCPELLANYCDMLLRKTPLSKKLTSEEIEAKLKEVL
+LVLKYVQNKDVFMRYHKAHLTRRLILDISADSEIEENMVEWLREVGMPADYVNKLARMFQ
+DIKVSEDLNQAFKEMHKNNKLALPADSVNIKILNAGAWSRSSEKVFVSLPTELEDLIPEV
+EEFYKKNHSGRKLHWHHLMSNGIITFKNEVGQYDLEVTTFQLAVLFAWNQRPREKISFEN
+LKLATELPDAELRRTLWSLVAFPKLKRQVLLYEPQVNSPKDFTEGTLFSVNQEF
+>Q93034_PF10557_711 <unknown description>
+RILRTQEAIIQIMKMRKKISNAQLQTELVEILKNMFLPQKKMIKEQIEWLIEHKYIRRDE
+SD
+>Q14999_PF11515_360 <unknown description>
+RSEFASGNTYALYVRDTLQPGMRVRMLDDYEEISAGDEGEFRQSNNGVPPVQVFWESTGR
+TYWVHWHMLEILGF
+>Q14999_PF03256_854 <unknown description>
+SKLTDHNPKTYWESNGSAGSHYITLHMRRGILIRQLTLLVASEDSSYMPARVVVCGGDST
+SSLHTELNSVNVMPSASRVILLENLTRFWPIIQIRIKRCQQGGIDTRIRGLEILGPK
+>Q14999_PF00888_975 <unknown description>
+PVFREQLCRHTRLFYMVRAQAWSQDMAEDRRSLLHLSSRLNGALRQEQNFADRFLPDDEA
+AQALGKTCWEALVSPVVQNITSPDEDGISPLGWLLDQYLECQEAVFNPQSRGPAFFSRVR
+RLTHLLVHVEPCEAPPPVVATPRPKGRNRSHDWSSLATRGLPSSIMRNLTRCWRAVVEKQ
+VNNFLTSSWRDDDFVPRYCEHFNILQNSSSELFGPRAAFLLALQNGCAGALLKLPFLKAA
+HVSEQFARHIDQQIQGSRIGGAQEMERLAQLQQCLQAVLIFSGLEIATTFEHYYQHYMAD
+RLLGVVSSWLEGAVLEQIGPCFPNRLPQQMLQSLSTSKELQRQFHVYQLQQLDQELLKLE
+DTEKKIQVGLGASGKEHKSEKEEEAGAAAVVDVAEGEEEEEENEDLYYEGAMPEVSVLVL
+SRHSWPVASICHTLNPRTCLPSYLRGTLNRYSNFYNKSQSHPALERGSQRRLQWTWLGWA
+ELQFGNQTLHVSTVQMWLLLYLNDLKAVSVESLLAFSGLSADMLNQAIGPLTSSRGPLDL
+HEQKDI
+>Q8IWT3_PF11515_366 <unknown description>
+RSEFSSRSGYGEYVQQTLQPGMRVRMLDDYEEISAGDEGEFRQSNNGIPPVQVFWQSTGR
+TYWVHWHMLEILGP
+>Q8IWT3_PF03256_1183 <unknown description>
+SKLTDHNPKTYWESNGSTGSHYITLHMHRGVLVRQLTLLVASEDSSYMPARVVVFGGDST
+SCIGTELNTVNVMPSASRVILLENLNRFWPIIQIRIKRCQQGGIDTRVRGVEVLGPK
+>Q8IWT3_PF00888_1305 <unknown description>
+LFREQLCRRTCLFYTIRAQAWSRDIAEDHRRLLQLCPRLNRVLRHEQNFADRFLPDDEAA
+QALGKTCWEALVSPLVQNITSPDAEGVSALGWLLDQYLEQRETSRNPLSRAASFASRVRR
+LCHLLVHVEPPPGPSPEPSTRPFSKNSKGRDRSPAPSPVLPSSSLRNITQCWLSVVQEQV
+SRFLAAAWRAPDFVPRYCKLYEHLQRAGSELFGPRAAFMLALRSGFSGALLQQSFLTAAH
+MSEQFARYIDQQIQGGLIGGAPGVEMLGQLQRHLEPIMVLSGLELATTFEHFYQHYMADR
+LLSFGSSWLEGAVLEQIGLCFPNRLPQLMLQSLSTSEELQRQFHLFQLQRLDKLFLEQED
+EEEKRLEEEEEEEEEEEAEKELFIEDPSPAISILVLSPRCWPVSPLCYLYHPRKCLPTEF
+CDALDRFSSFYSQSQNHPVLDMGPHRRLQWTWLGRAELQFGKQILHVSTVQMWLLLKFNQ
+TEEVSVETLLKDSDLSPELLLQALVPLTSGNGPLTLH
+>Q8IWT3_PF01485_2141 <unknown description>
+KYEKALLRGYVESCSNLTWCTNPQGCDRILCRQGLGCGTTCSKCGWASCFNCSFPEAHYP
+ASC
+>Q8IWT3_PF01485_2233 <unknown description>
+SKRCPSCQAPIEKNEGCLHMTCAKCNHGFCWRCLKSWKPNHKD
+>O60888_PF03091_71 <unknown description>
+AFVTCPNEKVAKEIARAVVEKRLAACVNLIPQITSIYEWKGKIEEDSEVLMMIKTQSSLV
+PALTDFVRSVHPYEVAEVIALPVEQGNFPYLQWVRQ
+>Q9NTM9_PF03932_27 <unknown description>
+LMEVCVDSVESAVNAERGGADRIELCSGLSEGGTTPSMGVLQVVKQSVQIPVFVMIRPRG
+GDFLYSDREIEVMKADIRLAKLYGADGLVFGALTEDGHIDKELCMSLMAICRPLPVTFHR
+AFDMVHDPMAALETLLTLGFERVLTSGCDSSALEGLPLIKRLIEQAKGRIVVMPGGGITD
+RNLQRILEGSGATEFHCSAR
+>P39880_PF02376_548 <unknown description>
+GEEMDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPF
+HKMKQFLSDEQNI
+>P39880_PF02376_940 <unknown description>
+YQEVDTIELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPF
+IRMQLWLNG
+>P39880_PF02376_1124 <unknown description>
+PELDTYGITKRVKEVLTDNNLGQRLFGETILGLTQGSVSDLLARPKPWHKLSLKGREPFV
+RMQLWLNDPNNVEKL
+>P39880_PF00046_1245 <unknown description>
+KKPRVVLAPEEKEALKRAYQQKPYPSPKTIEDLATQLNLKTSTVINWFHNYRSRIRR
+>O14529_PF02376_550 <unknown description>
+EEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKPWRKLTVKGKEPF
+IKMKQFLSDEQNV
+>O14529_PF02376_894 <unknown description>
+REVDTLELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFI
+RMQLWLSDQL
+>O14529_PF02376_1045 <unknown description>
+PELDTYSITKRVKEVLTDNNLGQRLFGESILGLTQGSVSDLLSRPKPWHKLSLKGREPFV
+RMQLWLNDPHNVEK
+>O14529_PF00046_1169 <unknown description>
+KKPRVVLAPEEKEALRKAYQLEPYPSQQTIELLSFQLNLKTNTVINWFHNYRSRMRR
+>Q86UP6_PF00431_53 <unknown description>
+NPSENCTWTIERPENKSIRIIFSYVQLDPDGSCESENIKVFDGTSSNGPLLGQVCSKNDY
+VPVFESSSSTLTFQIVTDSARIQRTVF
+>Q86UP6_PF00431_154 <unknown description>
+CGGYLDTLEGSFTSPNYPKPHPELAYCVWHIQVEKDYKIKLNFKEIFLEIDKQCKFDFLA
+IYDGPSTNSGLIGQVCGRVTPTFESSSNSLTVVLSTDYANSYRGFSASY
+>Q86UP6_PF00100_277 <unknown description>
+CSSDRMRVIISKSYLEAFNSNGNNLQLKDPTCRPKLSNVVEFSVPLNGCGTIRKVEDQSI
+TYTNIITFSASSTSEVITRQKQLQIIVKCEMGHNSTVEIIYITEDDVIQSQNALGKYNTS
+MALFESNSFEKTILESPYYVDLNQTLFVQVSLHTSDPNLVVFLDTCRASPTSDFASPTYD
+LIKSGCSRDETCKVYPLFGHYGRFQFNAFKFLRSMSSVYLQCKVLICDSSDHQSRCNQGC
+V
+>Q8WYQ4_PF15874_7 <unknown description>
+FGAGCSVLVNTSCRLVNLTAHLRQKAGLPPDATIALLAEDGNLVSLEEDLKEGASRAQTM
+GNSLLKERAIYVLVRIIKGEDMASTRYESLLENLD
+>O95567_PF15578_2 <unknown description>
+HPINVRRDPSIPIYGLRQSILLNTRLQDCYVDSPALTNIWMARTCAKQNINAPAPATTSS
+WEVVRNPLIASSFSLVKLVLRRQLKNKCCPPPCKFGEGKLSKRLKHKDDSVMKATQQARK
+RNFISSKSKQPAGHRRPAGGIRESKESSKEKKLTVRQDLEDRYAEHVAATQALPQDSGTA
+AWKGRVLLPETQKRQQLSEDTLTIHGLPTEGYQALYHAVVEPMLWNPSGTPKRYSLELGK
+AIKQKLWEALCSQGAISEGAQR
+>Q6P5X5_PF11326_12 <unknown description>
+PCEAYRAEWKLCRSARHFLHHYYVHGERPACEQWQRDLASCRDWEERRNAEAQQSLCESE
+RARVRAARKHILVWAPR
+>Q9P013_PF04889_1 <unknown description>
+MTTAARPTFEPARGGRGKGEGDLSQLSKQYSSRDLPSHTKIKYRQTTQDAPEEVRNRDFR
+RELEERERAAAREKNRDRPTREHTTSSSVSKKPRLDQIPAANLDADDPLTDEEDEDFEEE
+SDDDDTAALLAELEKIKKERAEEQARKEQEQKAEEERIRMENILSGNPLLNLTGPSQPQA
+NFKVKRRWDDDVVFKNCAKGVDDQKKDKRFVNDTLRSEFHKKFMEKYIK
+>Q9HCG8_PF02847_455 <unknown description>
+FRRTIYLAIQSSLDFEECAHKLLKMEFPESQTKELCNMILDCCAQQRTYEKFFGLLAGRF
+CMLKKEYMESFEGIFKEQYDTIHRLETNKLRNVAKMFAHLLYTDSLP
+>Q9NXE8_PF10197_11 <unknown description>
+SWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREE
+>Q9NXE8_PF12542_66 <unknown description>
+KEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEKEAGCSSETGLLPGSIFAPSGAN
+SLLDMASKIREDPLFIIRKKEEEKKREVLNNP
+>Q6UX04_PF00160_15 <unknown description>
+VLLKTTAGDIDIELWSKEAPKACRNFIQLCLEAYYDNTIFHRVVPGFIVQGGDPTGTGSG
+GESIYGAPFKDEFHSRLRFNRRGLVAMANAGSHDNGSQFFFTLGRADELNNKHTIFGKVT
+GDTVYNMLRLSEVDIDDDERPHNPHKIKSCEV
+>Q8TB03_PF15112_9 <unknown description>
+RLNCAEYKNWVKAGHCLLLLRSCLQGFVGREVLSFHRGLLAAAPGLGPRAVCRGGSRCSP
+RARQFQPQCQVCAEWKREILRHHVNRNGDVHWGNCRPGRWPVDAWEVAKAFMPRGLADKQ
+GPEECDAVALLSLINSCDHFVVDRKKVTEVIKCRNEIMHSSEMKVSSTWLRDFQMKIQNF
+LNEFKNIPEIVAVYSRIEQLLTSDWAVHIPEEDQRDGCECEMGTYLSESQVNEIEMQLLK
+EKLQEIYLQAEEQEVLPEELSNRLEVVKEFLRNNEDLRNGLTEDMQKLDSLCLHQKLDSQ
+EP
+>A8MYA2_PF15483_81 <unknown description>
+EGRPGTPVDDQGDVVDYSFYLADEPAAIVPPPSVQGHPFPEGAAAEGSAENWADAEVGPS
+GRDVLGHSPGKWQQASAGRLHLCGPGPVRAWKNPERGSKSRWSLRVDPQQPSAKGPTRLP
+THDSDSADESSDLPLMKVGICRNEGSQAKPGSPKKRADTSRQASFHCKESYLPVPGRFLT
+SAPRGLTPVAERPAVGELEDSPQKKMQSRAWGKVEVRPSCSGAAAAGALPQGLSRRKMAG
+GKKSLGGASQLALGRGFPACGERLSAAPPEPATFPPFSGVRPQGMSKKPQKPKHSSPGKK
+PAGRKTRESQAAAREDNDPNRDEVPRAQLPTHRPGLPRLSVRRGEFSSSDPNIRAPQLPG
+TSEPSAYSPGGLVPRRHAPSGNQQPPVHPPRPERQQQPPGAQGCPRCIWLQREIEDLTQQ
+LAAMQ
+>A6NEN9_PF15874_7 <unknown description>
+HGDNQQFLVNTNCAVVVLLYYIRSKVKLPKTNTIDLCEQTGKMKMLFLMKPNHAEYASKY
+LTARSTYYVCKVERGPPGTRLENAYRAFVPLLKNPE
+>P49238_PF00001_48 <unknown description>
+GNLLVVFALTNSKKPKSVTDIYLLNLALSDLLFVATLPFWTHYLINEKGLHNAMCKFTTA
+FFFIGFFGSIFFITVISIDRYLAIVLAANSMNNRTVQHGVTISLGVWAAAILVAAPQFMF
+TKQKENECLGDYPEVLQEIWPVLRNVETNFLGFLLPLLIMSYCYFRIIQTLFSCKNHKKA
+KAIKLILLVVIVFFLFWTPYNVMIFLETLKLYDFFPSCDMRKDLRLALSVTETVAFSHCC
+LNPLIY
+>P12074_PF02046_29 <unknown description>
+HGEEGSARMWKTLTFFVALPGVAVSMLNVYLKSHHGEHERPEFIAYPHLRIRTKPFPWGD
+GNHTLFHNPHVN
+>Q02221_PF02046_16 <unknown description>
+AKGGHGGAGARTWRLLTFVLALPSVALCTFNSYLHSGHRPRPEFRPYQHLRIRTKPYPWG
+DGNHTLFHNSHVN
+>P14854_PF02297_20 <unknown description>
+RFPNQNQTRNCWQNYLDFHRCQKAMTAKGGDISVCEWYQRVYQSLCPTSWVTDWDEQRAE
+GT
+>Q6YFQ2_PF02297_21 <unknown description>
+PRFPSQNQIRNCYQNFLDYHRCLKTRTRRGKSTQPCEYYFRVYHSLCPISWVESWNEQI
+>P24310_PF02238_24 <unknown description>
+NRVREKQKLFQEDNDIPLYLKGGIVDNILYRVTMTLCLGGTVYSLYSLG
+>P14406_PF02238_26 <unknown description>
+NKVPEKQKLFQEDDEIPLYLKGGVADALLYRATMILTVGGTAYAIYEL
+>Q8TF08_PF05392_2 <unknown description>
+MFPLARNALSSLKIQSILQSMARHSHVKHSPDFHDKYGNAVLASGTAFCVATWVFTATQI
+GIEWNLSPVGRVTPKEWKH
+>Q969M2_PF00029_3 <unknown description>
+DWNLLGGILEEVHSHSTIVGKIWLTILFIFRMLVLRVAAEDVWDDEQSAFACNTRQPGCN
+NICYDDAFPISLIRFWVLQIIFVSSPSLVYMGHALYRLRAFEKDRQRKKSHLRAQMENPD
+LDLEEQQRIDRELRRLEEQKRIHKVPLKGCLLRTYVLHILTRSVLEVGFMIGQYILYGFQ
+MHPLYKCTQPPCPNAVDCFVSRPTEKTIFMLFMHSIAAISLLLNILEIFHLGI
+>P17302_PF00029_3 <unknown description>
+DWSALGKLLDKVQAYSTAGGKVWLSVLFIFRILLLGTAVESAWGDEQSAFRCNTQQPGCE
+NVCYDKSFPISHVRFWVLQIIFVSVPTLLYLAHVFYVMRKEEKLNKKEEELKVAQTDGVN
+VDMHLKQIEIKKFKYGIEEHGKVKMRGGLLRTYIISILFKSIFEVAFLLIQWYIYGFSLS
+AVYTCKRDPCPHQVDCFLSRPTEKTIFIIFMLVVSLVSLALNIIELFYVF
+>P17302_PF03508_283 <unknown description>
+PPGYKLVTGDRNNSSCRNYNKQASEQNWANYSAEQNRMGQAGSTISNSHAQPFDFPDDNQ
+NSKKLAAGHELQPLAIVDQ
+>Q9Y6H8_PF00029_3 <unknown description>
+DWSFLGRLLENAQEHSTVIGKVWLTVLFIFRILVLGAAAEDVWGDEQSDFTCNTQQPGCE
+NVCYDRAFPISHIRFWALQIIFVSTPTLIYLGHVLHIVRMEEKKKEREEEEQLKRESPSP
+KEPPQDNPSSRDDRGRVRMAGALLRTYVFNIIFKTLFEVGFIAGQYFLYGFELKPLYRCD
+RWPCPNTVDCFISRPTEKTIFIIFMLAVACASLLLNMLEIYHLGW
+>P35212_PF00029_3 <unknown description>
+DWGFLEKLLDQVQEHSTVVGKIWLTVLFIFRILILGLAGESVWGDEQSDFECNTAQPGCT
+NVCYDQAFPISHIRYWVLQFLFVSTPTLVYLGHVIYLSRREERLRQKEGELRALPAKDPQ
+VERALAAVERQMAKISVAEDGRLRIRGALMGTYVASVLCKSVLEAGFLYGQWRLYGWTME
+PVFVCQRAPCPYLVDCFVSRPTEKTIFIIFMLVVGLISLVLNLLELVHLLC
+>P36382_PF00029_3 <unknown description>
+DWSFLGNFLEEVHKHSTVVGKVWLTVLFIFRMLVLGTAAESSWGDEQADFRCDTIQPGCQ
+NVCYDQAFPISHIRYWVLQIIFVSTPSLVYMGHAMHTVRMQEKRKLREAERAKEVRGSGS
+YEYPVAEKAELSCWEEGNGRIALQGTLLNTYVCSILIRTTMEVGFIVGQYFIYGIFLTTL
+HVCRRSPCPHPVNCYVSRPTEKNVFIVFMLAVAALSLLLSLAELYHLGW
+>P36382_PF16791_258 <unknown description>
+VQSCTPPPDFNQCLENGPGGKFFNPFSNNMASQQNTDNLVTEQVRGQEQTPGEGFIQVRY
+GQKPEVPNGVSPGHRLPHGYHSDKRRLSKASSKARSDDLSV
+>P48165_PF00029_3 <unknown description>
+DWSFLGNILEEVNEHSTVIGRVWLTVLFIFRILILGTAAEFVWGDEQSDFVCNTQQPGCE
+NVCYDEAFPISHIRLWVLQIIFVSTPSLMYVGHAVHYVRMEEKRKSREAEELGQQAGTNG
+GPDQGSVKKSSGSKGTKKFRLEGTLLRTYICHIIFKTLFEVGFIVGHYFLYGFRILPLYR
+CSRWPCPNVVDCFVSRPTEKTIFILFMLSVASVSLFLNVMELGHLG
+>P48165_PF03509_260 <unknown description>
+IQKAKGYQLLEEEKIVSHYFPLTEVGMVETSPLPAKPFNQFEEKISTGPLGDLSRGYQET
+LPSYAQV
+>P57773_PF00029_3 <unknown description>
+DWNLLGDTLEEVHIHSTMIGKIWLTILFIFRMLVLGVAAEDVWNDEQSGFICNTEQPGCR
+NVCYDQAFPISLIRYWVLQVIFVSSPSLVYMGHALYRLRVLEEERQRMKAQLRVELEEVE
+FEMPRDRRRLEQELCQLEKRKLNKAPLRGTLLCTYVIHIFTRSVVEVGFMIGQYLLYGFH
+LEPLFKCHGHPCPNIIDCFVSRPTEKTIFLLFMQSIATISLFLNILEIFHLG
+>P78310_PF07686_24 <unknown description>
+TPEEMIEKAKGETAYLPCKFTLSPEDQGPLDIEWLISPADNQKVDQVIILYSGDKIYDDY
+YPDLKGRVHFTSNDLKSGDASINVTNLQLSDIGTYQCKVKKAPGVANKKIHLVVL
+>P78310_PF00047_154 <unknown description>
+IGSDFKIKCEPKEGSLPLQYEWQKLSDSQKMPTSWLAEMTSSVISVKNASSEYSGTYSCT
+VRNRVGSDQ
+>P08034_PF00029_2 <unknown description>
+NWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGCN
+SVCYDQFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEVK
+RHKVHISGTLWWTYVISVVFRLLFEAVFMYVFYLLYPGYAMVRLVKCDVYPCPNTVDCFV
+SRPTEKTVFTVFMLAASGICIILNVAEVVYLII
+>P29033_PF00029_2 <unknown description>
+DWGTLQTILGGVNKHSTSIGKIWLTVLFIFRIMILVVAAKEVWGDEQADFVCNTLQPGCK
+NVCYDHYFPISHIRLWALQLIFVSTPALLVAMHVAYRRHEKKRKFIKGEIKSEFKDIEEI
+KTQKVRIEGSLWWTYTSSIFFRVIFEAAFMYVFYVMYDGFSMQRLVKCNAWPCPNTVDCF
+VSRPTEKTVFTVFMIAVSGICILLNVTELCYLL
+>O75712_PF00029_2 <unknown description>
+DWKTLQALLSGVNKYSTAFGRIWLSVVFVFRVLVYVVAAERVWGDEQKDFDCNTKQPGCT
+NVCYDNYFPISNIRLWALQLIFVTCPSLLVILHVAYREERERRHRQKHGDQCAKLYDNAG
+KKHGGLWWTYLFSLIFKLIIEFLFLYLLHTLWHGFNMPRLVQCANVAPCPNIVDCYIARP
+TEKKIFTYFMVGASAVCIVLTICELCYLIC
+>Q9NTQ9_PF00029_2 <unknown description>
+NWAFLQGLLSGVNKYSTVLSRIWLSVVFIFRVLVYVVAAEEVWDDEQKDFVCNTKQPGCP
+NVCYDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREERERKHHLKHGPNAPSLYDNLS
+KKRGGLWWTYLLSLIFKAAVDAGFLYIFHRLYKDYDMPRVVACSVEPCPHTVDCYISRPT
+EKKVFTYFMVTTAAICILLNLSEVFYLV
+>O95377_PF00029_2 <unknown description>
+NWSIFEGLLSGVNKYSTAFGRIWLSLVFIFRVLVYLVTAERVWSDDHKDFDCNTRQPGCS
+NVCFDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREVQEKRHREAHGENSGRLYLNPG
+KKRGGLWWTYVCSLVFKASVDIAFLYVFHSFYPKYILPPVVKCHADPCPNIVDCFISKPS
+EKNIFTLFMVATAAICILLNLVELIYLV
+>O95452_PF00029_2 <unknown description>
+DWGTLHTFIGGVNKHSTSIGKVWITVIFIFRVMILVVAAQEVWGDEQEDFVCNTLQPGCK
+NVCYDHFFPVSHIRLWALQLIFVSTPALLVAMHVAYYRHETTRKFRRGEKRNDFKDIEDI
+KKQKVRIEGSLWWTYTSSIFFRIIFEAAFMYVFYFLYNGYHLPWVLKCGIDPCPNLVDCF
+ISRPTEKTVFTIFMISASVICMLLNVAELCYLL
+>Q6PEY0_PF00029_2 <unknown description>
+SWMFLRDLLSGVNKYSTGTGWIWLAVVFVFRLLVYMVAAEHVWKDEQKEFECNSRQPGCK
+NVCFDDFFPISQVRLWALQLIMVSTPSLLVVLHVAYHEGREKRHRK
+>Q6PEY0_PF00029_108 <unknown description>
+KLYVSPGTMDGGLWYAYLISLIVKTGFEIGFLVLFYKLYDGFSVPYLIKCDLKPCPNTVD
+CFISKPTEKTIFILFLVITSCLCIVLNFIELSFLV
+>P19875_PF00048_42 <unknown description>
+RCQCLQTLQGIHLKNIQSVKVKSPGPHCAQTEVIATLKNGQKACLNPASPMVKKIIEKM
+>P19876_PF00048_42 <unknown description>
+RCQCLQTLQGIHLKNIQSVNVRSPGPHCAQTEVIATLKNGKKACLNPASPMVQKIIEK
+>P42830_PF00048_48 <unknown description>
+RCVCLQTTQGVHPKMISNLQVFAIGPQCSKVEVVASLKNGKEICLDPEAPFLKKVIQKI
+>P80162_PF00048_48 <unknown description>
+RCTCLRVTLRVNPKTIGKLQVFPAGPQCSKVEVVASLKNGKQVCLDPEAPFLKKVIQKI
+>P02775_PF00048_62 <unknown description>
+RCMCIKTTSGIHPKNIQSLEVIGKGTHCNQVEVIATLKDGRKICLDPDAPRIKKIVQK
+>Q07325_PF00048_30 <unknown description>
+RCSCISTNQGTIHLQSLKDLKQFAPSPSCEKIEIIATLKNGVQTCLNPDSADVKELIKKW
+>P25024_PF00001_56 <unknown description>
+GNSLVMLVILYSRVGRSVTDVYLLNLALADLLFALTLPIWAASKVNGWIFGTFLCKVVSL
+LKEVNFYSGILLLACISVDRYLAIVHATRTLTQKRHLVKFVCLGCWGLSMNLSLPFFLFR
+QAYHPNNSSPVCYEVLGNDTAKWRMVLRILPHTFGFIVPLFVMLFCYGFTLRTLFKAHMG
+QKHRAMRVIFAVVLIFLLCWLPYNLVLLADTLMRTQVIQESCERRNNIGRALDATEILGF
+LHSCLNPIIY
+>P25025_PF00001_65 <unknown description>
+GNSLVMLVILYSRVGRSVTDVYLLNLALADLLFALTLPIWAASKVNGWIFGTFLCKVVSL
+LKEVNFYSGILLLACISVDRYLAIVHATRTLTQKRYLVKFICLSIWGLSLLLALPVLLFR
+RTVYSSNVSPACYEDMGNNTANWRMLLRILPQSFGFIVPLLIMLFCYGFTLRTLFKAHMG
+QKHRAMRVIFAVVLIFLLCWLPYNLVLLADTLMRTQVIQETCERRNHIDRALDATEILGI
+LHSCLNPLIY
+>P49682_PF00001_70 <unknown description>
+GNGAVAAVLLSRRTALSSTDTFLLHLAVADTLLVLTLPLWAVDAAVQWVFGSGLCKVAGA
+LFNINFYAGALLLACISFDRYLNIVHATQLYRRGPPARVTLTCLAVWGLCLLFALPDFIF
+LSAHHDERLNATHCQYNFPQVGRTALRVLQLVAGFLLPLLVMAYCYAHILAVLLVSRGQR
+RLRAMRLVVVVVVAFALCWTPYHLVVLVDILMDLGALARNCGRESRVDVAKSVTSGLGYM
+HCCLNPLLY
+>P61073_PF12109_6 <unknown description>
+IYTSDNYTEEMGSGDYDSMKEPCFREENANFN
+>P61073_PF00001_55 <unknown description>
+GNGLVILVMGYQKKLRSMTDKYRLHLSVADLLFVITLPFWAVDAVANWYFGNFLCKAVHV
+IYTVNLYSSVLILAFISLDRYLAIVHATNSQRPRKLLAEKVVYVGVWIPALLLTIPDFIF
+ANVSEADDRYICDRFYPNDLWVVVFQFQHIMVGLILPGIVILSCYCIIISKLSHSKGHQK
+RKALKTTVILILAFFACWLPYYIGISIDSFILLEIIKQGCEFENTVHKWISITEALAFFH
+CCLNPILY
+>P32302_PF00001_68 <unknown description>
+GNVLVLVILERHRQTRSSTETFLFHLAVADLLLVFILPFAVAEGSVGWVLGTFLCKTVIA
+LHKVNFYCSSLLLACIAVDRYLAIVHAVHAYRHRRLLSIHITCGTIWLVGFLLALPEILF
+AKVSQGHHNNSLPRCTFSQENQAETHAWFTSRFLYHVAGFLLPMLVMGWCYVGVVHRLRQ
+AQRRPQRQKAVRVAILVTSIFFLCWSPYHIVIFLDTLARLKAVDNTCKLNGSLPVAITMC
+EFLGLAHCCLNPMLY
+>O00574_PF00001_48 <unknown description>
+GNSLVLVISIFYHKLQSLTDVFLVNLPLADLVFVCTLPFWAYAGIHEWVFGQVMCKSLLG
+IYTINFYTSMLILTCITVDRFIVVVKATKAYNQQAKRMTWGKVTSLLIWVISLLVSLPQI
+IYGNVFNLDKLICGYHDEAISTVVLATQMTLGFFLPLLTMIVCYSVIIKTLLHAGGFQKH
+RSLKIIFLVMAVFLLTQMPFNLMKFIRSTHWEYYAMTSFHYTIMVTEAIAYLRACLNPVL
+Y
+>Q9UKL4_PF00029_3 <unknown description>
+EWTILERLLEAAVQQHSTMIGRILLTVVVIFRILIVAIVGETVYDDEQTMFVCNTLQPGC
+NQACYDRAFPISHIRYWVFQIIMVCTPSLCFITYSVHQSAKQRERRYSTVFLALDRDPPE
+SIGGPGGTGGGGSGGGKREDKKLQNAIVNGVLQNTENTSKETEPDCLEVKELTPHPSGLR
+TASKSKLRRQEGISRFYIIQVVFRNALEIGFLVGQYFLYGFSVPGLYECNRYPCIKEVEC
+YVSRPTEKTVFLVFMFAVSGICVVLNLAELNHLGW
+>Q8N144_PF00029_3 <unknown description>
+EWAFLGSLLDAVQLQSPLVGRLWLVVMLIFRILVLATVGGAVFEDEQEEFVCNTLQPGCR
+QTCYDRAFPVSHYRFWLFHILLLSAPPVLFVVYSMHRAGKEAGGAEAAAQCAPGLPEAQC
+APCALRARRARRCYLLSVALRLLAELTFLGGQALLYGFRVAPHFACAGPPCPHTVDCFVS
+RPTEKTVFVLFYFAVGLLSALLSVAELGHLLW
+>Q96KN9_PF00029_6 <unknown description>
+LLGFLIITLNCNVTMVGKLWFVLTMLLRMLVIVLAGRPVYQDEQERFVCNTLQPGCANVC
+YDVFSPVSHLRFWLIQGVCVLLPSAVFSVYVLHRGATLAALGPRRCPDPREPASGQRRCP
+RPFGERGGLQVPDFSAGYIIHLLLRTLLEAAFGALHYFLFGFLAPKKFPCTRPPCTGVVD
+CYVSRPTEKSLLMLFLWAVSALSFLLGLADLVC
+>A6NN92_PF00029_5 <unknown description>
+YIKNFYEGCVKPPTVIGQFHTLFFGSIRIFFLGVLGFAVYGNEALHFICDPDKREVNLFC
+YNQFRPITPQVSFSALQLVIVLVPGALFHLYAACKSINQE
+>A6NN92_PF00029_112 <unknown description>
+YTIIYILSVLLRISLAAIAFWLQIYLFGFQVKSLYLCDARSLGENMIIRCMVPEHFEKTI
+FLIAINTFTTITILLFVAEIFEII
+>P36383_PF00029_2 <unknown description>
+SWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGCE
+NVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGEADKKAARSKPYAMRW
+KQHRALEETEEDNEEDPMMYPEMELESDKENKEQSQPKPKHDGRRRIREDGLMKIYVLQL
+LARTVFEVGFLIGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTGL
+CLLLNIWEMLHLG
+>Q5T442_PF00029_5 <unknown description>
+SWSFLTRLLEEIHNHSTFVGKVWLTVLVVFRIVLTAVGGEAIYSDEQAKFTCNTRQPGCD
+NVCYDAFAPLSHVRFWVFQIVVISTPSVMYLGYAVHRLARASEQERRRALRRRPGPRRAP
+RAHLPPPHAGWPEPADLGEEEPMLGLGEEEEEEETGAAEGAGEEAEEAGAEEACTKAVGA
+DGKAAGTPGPTGQHDGRRRIQREGLMRVYVAQLVARAAFEVAFLVGQYLLYGFEVRPFFP
+CSRQPCPHVVDCFVSRPTEKTVFLLVMYVVSCLCLLLNLCEMAHLG
+>Q8NFK1_PF00029_4 <unknown description>
+RFLRRLLAEESRRSTPVGRLLLPVLLGFRLVLLAASGPGVYGDEQSEFVCHTQQPGCKAA
+CFDAFHPLSPLRFWVFQVILVAVPSALYMGFTLYHVIWHWELSGKGKEEETLIQGREGNT
+DVPGAGSLRLLWAYVAQLGARLVLEGAALGLQYHLYGFQMPSSFACRREPCLGSITCNLS
+RPSEKTIFLKTMFGVSGFCLLFTFLELVLLG
+>P02778_PF00048_29 <unknown description>
+RCTCISISNQPVNPRSLEKLEIIPASQFCPRVEIIATMKKKGEKRCLNPESKAIKNLLKA
+>O14625_PF00048_29 <unknown description>
+RCLCIGPGVKAVKVADIEKASIMYPSNNCDKIEVIITLKENKGQRCLNPKSKQARLIIKK
+>O43927_PF00048_32 <unknown description>
+RCRCVQESSVFIPRRFIDRIQILPRGNGCPRKEIIVWKKNKSIVCVDPQAEWIQRMME
+>Q6UXB2_PF15211_23 <unknown description>
+SLNPGVARGHRDRGQASRRWLQEGGQECECKDWFLRAPRRKFMTVSGLPKKQCPCDHFKG
+NVKKTRHQRHHRKPNKHSRACQQFLKQCQ
+>Q9P0U4_PF00628_28 <unknown description>
+YCICRKPDINCFMIGCDNCNEWFHGDCIRITEKMAKAIREWYCRECREK
+>Q9P0U4_PF02008_163 <unknown description>
+KRSARMCGECEACRRTEDCGHCDFCRDMKKFGGPNKIRQKCRLRQC
+>Q9P0U4_PF12269_400 <unknown description>
+AANRIYEILPQRIQQWQQSPCIAEEHGKKLLERIRREQQSARTRLQEMERRFHELEAIIL
+RAKQQAVREDEESNEGDSDDTDLQIFCVSCGHPINPRVALRHMERCYAKYESQTSFGSMY
+PTRIEGATRLFCDVYNPQSKTYCKRLQVLCPEHSRDPKVPADEVCGCPLVRDVFELTGDF
+CRLPKRQCNRHYCWEKLRRAEVDLERVRVWYKLDELFEQERNVRTAMTNRAGLLALM
+>Q7LFL8_PF02008_257 <unknown description>
+KKKRKRCGMCAPCRRRINCEQCSSCRNRKTGHQICKFRKC
+>P08574_PF02167_96 <unknown description>
+WSHRGLLSSLDHTSIRRGFQVYKQVCASCHSMDFVAYRHLVGVCYTEDEAKELAAEVEVQ
+DGPNEDGEMFMRPGKLFDYFPKPYPNSEAARAANNGALPPDLSYIVRARHGGEDYVFSLL
+TGYCEPPTGVSLREGLYFNPYFPGQAIAMAPPIYTDVLEFDDGTPATMSQIAKDVCTFLR
+WASEPEHDHRKRMGLKMLMMMALLVPLVYTIKRHKWS
+>P13498_PF05038_2 <unknown description>
+GQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIVAGVFVCLLEYPRGKRKK
+GSTMERWGQKYMTAVVKLFGPFTRNYYVRAVLHLLLSVPAGFLLATILGTACLAIASGIY
+LLAAVRGEQWTPIEPKPRERPQIGGTIKQPPSNPPPRPPAEARKKPSEEEAAVAAGGPPG
+GPQVNPIPVTDE
+>P04839_PF01794_55 <unknown description>
+APAACLNFNCMLILLPVCRNLLSFLRGSSACCSTRVRRQLDRNLTFHKMVAWMIALHSAI
+HTIAHLFNVEWCVNARVNNSDPYSVALSELGDRQNESYLNFARKRIKNPEGGLYLAVTLL
+AGITGVVITLCLILIITSSTKTIRRSYFEVFWYTHHLFVIFFIGL
+>P04839_PF08022_295 <unknown description>
+VVITKVVTHPFKTIELQMKKKGFKMEVGQYIFVKCPKVSKLEWHPFTLTSAPEEDFFSIH
+IRIVGDWTEGLFNACGCDKQEFQDAWKLPKIAVDGPFGTA
+>P04839_PF08030_401 <unknown description>
+YEVVMLVGAGIGVTPFASILKSVWYKYCNNATNLKLKKIYFYWLCRDTHAFEWFADLLQL
+LESQMQERNNAGFLSYNIYLTGWDESQANHFAVHHDEEKDVITGLKQKTLYGRPNWDNEF
+KTIASQHPNTRIGVFLCGPEALAETLSKQS
+>P49447_PF03188_53 <unknown description>
+HPLCMVIGLIFLQGNALLVYRVFRNEAKRTTKVLHGLLHIFALVIALVGLVAVFDYHRKK
+GYADLYSLHSWCGILVFVLYFVQWLVGFSFFLFPGASFSLRSRYRPQHIFFGATIFLLSV
+GTALLGLKEALLF
+>Q8NBI2_PF03188_46 <unknown description>
+WHPVLMVAGMVVFYGGASLVYRLPQSWVGPKLPWKLLHAALHLMAFVLTVVGLVAVFTFH
+NHGRTANLYSLHSWLGITTVFLFACQWFLGFAVFLLPWASMWLRSLLKPIHVFFGAAILS
+LSIASVISGINEKLFF
+>O43169_PF00173_29 <unknown description>
+LEEVAKRNSLKELWLVIHGRVYDVTRFLNEHPGGEEVLLEQAGVDASESFEDVGHSSDAR
+EMLKQYYIGDI
+>P00167_PF00173_13 <unknown description>
+TLEEIQKHNHSKSTWLILHHKVYDLTKFLEEHPGGEEVLREQAGGDATENFEDVGHSTDA
+REMSKTFIIGEL
+>Q9BQA9_PF15169_2 <unknown description>
+YLQVETRTSSRLHLKRAPGIRSWSLLVGILSIGLAAAYYSGDSLGWKLFYVTGCLFVAVQ
+NLEDWEEAIFDKSTGKVVLKTFSLYKKLLTLFRAGHDQVVVLLHDVRDVSVEEEKVRYFG
+KGYMVVLRLATGFSHPLTQSAVMGHRSDVEAIAKLITSFLELHCLESPTELSQSSDSEAG
+DPA
+>Q9HB71_PF09032_4 <unknown description>
+EELQKDLEEVKVLLEKATRKRVRDALTAEKSKIETEIKNKMQQKSQKKAELLDNEKPAAV
+VAPITTGYTVKI
+>Q9HB71_PF04969_77 <unknown description>
+NYGWDQSDKFVKIYITLTGVHQVPTENVQVHFTERSFDLLVKNLNGKSYSMIVNNLLKPI
+SVEGSSKKVKTDTVLILCRK
+>Q9HB71_PF05002_163 <unknown description>
+WDYLTQVEKECKEKEKPSYDTETDPSEGLMNVLKKIYEDGDDDMKRTINKAWVESR
+>Q53TN4_PF03188_49 <unknown description>
+WHPVLMVTGFVFIQGIAIIVYRLPWTWKCSKLLMKSIHAGLNAVAAILAIISVVAVFENH
+NVNNIANMYSLHSWVGLIAVICYLLQLLSGFSVFLLPWAPLSLRAFLMPIHVYSGIVIFG
+TVIATALMGLTEKLIFS
+>P99999_PF00034_4 <unknown description>
+VEKGKKIFIMKCSQCHTVEKGGKHKTGPNLHGLFGRKTGQAPGYSYTAANKNKGIIWGED
+TLMEYLENPKKYIPGTKMIFVGIKKKEERADLIAYLKK
+>Q7L576_PF07159_64 <unknown description>
+TVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVTKLM
+NFMYFQRNAIERFCGEVRRLCHAERRKDFVSEAYLITLGKFINMFAVLDELKNMKCSVKN
+DHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNKITQSLQQQLEVISGYEELLADIVN
+LCVDYYENRMYLTPSEKHMLLKVMGFGLYL
+>Q7L576_PF05994_389 <unknown description>
+KLFDLALQGLQLLSQWSAHVMEVYSWKLVHPTDKYSNKDCPDSAEEYERATRYNYTSEEK
+FALVEVIAMIKGLQVLMGRMESVFNHAIRHTVYAALQDFSQVTLREPLRQAIKKKKNVIQ
+SVLQAIRKTVCDWETGHEPFNDPALRGEKDPKSGFDIKVPRRAVGPSSTQLYMVRTMLES
+LIADKSGSKKTLRSSLEGPTILDIEKFHRESFFYTHLINFSETLQQCCDLSQLWFREFFL
+ELTMGRRIQFPIEMSMPWILTDHILETKEASMMEYVLYSLDLYNDSAHYALTRFNKQFLY
+DEIEAEVNLCFDQFVYKLADQIFAYYKVMAGSLLLDKRLRSECKNQGATIHLPPSNRYET
+LLKQRHVQLLGRSIDLNRLITQRVSAAMYKSLELAIGRFESEDLTSIVELDGLLEINRMT
+HKLLSRYLTLDGFDAMFREANHNVSAPYGRITLHVFWELNYDFLPNYCYNGSTNRFVRTV
+LPFSQEFQRDKQPNAQPQYLHGSKALNLAYSSIYGSYRNFVGPPHFQVICRLLGYQGIAV
+VMEELLKVVKSLLQGTILQYVKTLMEVMPKICRLPRHEYGSPGILEFFHHQLKDIVEYAE
+LKTVCFQNLREVGNAILFCLLIEQSLSLEEVCDLLHAAPFQNILPRVHVKEGERLDAKMK
+RLESKYAPLHLVPLIERLGTPQQIAIAREGDLLTKERLCCGLSMFEVILTRIRSFLDDPI
+WRGPLPSNGVMHVDECVEFHRLWSAMQFVYCIPVGTHEFTVEQCFGDGLHWAGCMIIVLL
+GQQRRFAVLDFCYHLLKVQKHDGKDEIIKNVPLKKMVERIRKFQILNDEIITIL
+>Q96F07_PF07159_64 <unknown description>
+TVHSSMNEMLEEGHEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVTKLM
+KFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCSVKN
+DHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLADIVN
+ICVDYYENKMYLTPSEKHMLLKVMGFGLYL
+>Q96F07_PF05994_388 <unknown description>
+ELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTDKFCNKDCPGTAEEYERATRYNYTSEEK
+FAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIYAALQDFAQVTLREPLRQAVRKKKNVLI
+SVLQAIRKTICDWEGGREPPNDPCLRGEKDPKGGFDIKVPRRAVGPSSTQLYMVRTMLES
+LIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFFFTHLLNISEALQQCCDLSQLWFREFFL
+ELTMGRRIQFPIEMSMPWILTDHILETKEPSMMEYVLYPLDLYNDSAYYALTKFKKQFLY
+DEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSVLLDKRFRAECKNYGVIIPYPPSNRYET
+LLKQRHVQLLGRSIDLNRLITQRISAAMYKSLDQAISRFESEDLTSIVELEWLLEINRLT
+HRLLCKHMTLDSFDAMFREANHNVSAPYGRITLHVFWELNFDFLPNYCYNGSTNRFVRTA
+IPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHIYSSYRNFVGPPHFKTICRLLGYQGIAV
+VMEELLKIVKSLLQGTILQYVKTLIEVMPKICRLPRHEYGSPGILEFFHHQLKDIIEYAE
+LKTDVFQSLREVGNAILFCLLIEQALSQEEVCDLLHAAPFQNILPRVYIKEGERLEVRMK
+RLEAKYAPLHLVPLIERLGTPQQIAIAREGDLLTKERLCCGLSMFEVILTRIRSYLQDPI
+WRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIPVGTNEFTAEQCFGDGLNWAGCSIIVLL
+GQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPLKKMADRIRKYQILNNEVFAIL
+>Q8WWM9_PF00042_23 <unknown description>
+ERKAVQAMWARLYANCEDVGVAILVRFFVNFPSAKQYFSQFKHMEDPLEMERSPQLRKHA
+CRVMGALNTVVENLHDPDKVSSVLALVGKAHALKHKVEPVYFKILSGVI
+>Q15438_PF01369_63 <unknown description>
+NKQVAMGRKKFNMDPKKGIQFLIENDLLKNTCEDIAQFLYKGEGLNKTAIGDYLGERDEF
+NIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCQCNNGVFQS
+TDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGDLPEELLRNLYESIKNE
+PF
+>Q15438_PF00169_262 <unknown description>
+DREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVEDSKKP
+NCFELYIPDNKDQVIKACKTEADGRVVEGNHTVYRISAPTPEEKEEWIKCIKAAI
+>Q99418_PF01369_62 <unknown description>
+NRKMAMGRKKFNMDPKKGIQFLVENELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREEL
+NLAVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQS
+TDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEELLRNLYDSIRNE
+PF
+>Q99418_PF00169_261 <unknown description>
+DREGWLLKLGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVDDPRKPN
+CFELYIPNNKGQLIKACKTEADGRVVEGNHMVYRISAPTQEEKDEWIKSIQAAV
+>O43739_PF01369_67 <unknown description>
+NKQIAMGRKKFNMDPKKGIQFLIENDLLQSSPEDVAQFLYKGEGLNKTVIGDYLGERDEF
+NIKVLQAFVELHEFADLNLVQALRQFLWSFRLPGEAQKIDRMMEAFASRYCLCNPGVFQS
+TDTCYVLSFAIIMLNTSLHNHNVRDKPTAERFIAMNRGINEGGDLPEELLRNLYESIKNE
+PF
+>O43739_PF00169_266 <unknown description>
+DREGWLLKLGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVEDPRKPN
+CFELYNPSHKGQVIKACKTEADGRVVEGNHVVYRISAPSPEEKEEWMKSIKASI
+>Q9UIA0_PF01369_63 <unknown description>
+KELCIGRKKFNMDPAKGIQYFIEHKLLTPDVQDIARFLYKGEGLNKTAIGTYLGERDPIN
+LQVLQAFVDCHEFANLNLVQALRQFLWSFRLPGEAQKIDRMMEAFATRYCLCNPGVFQST
+DTCYVLSFSIIMLNTSLHNPNVRDRPPFERFVSMNRGINNGSDLPEDQLRNLFDSIKSEP
+F
+>Q9UIA0_PF00169_261 <unknown description>
+DREGWLLKLGGRVKTWKRRWFILTDNCLYYFEFTTDKEPRGIIPLENLSVQKVDDPKKPF
+CLELYNPSCRGQKIKACKTDGDGRVVEGKHESYRISATSAEERDQWIESIRASI
+>P35663_PF15241_8 <unknown description>
+KVNIRTYDNSIPISESSRKSWNQKHFALTFPKPLQRGTNDKSRPLKSQITVTRHDKRKLE
+EGQKPAHKWIRHSFRKILQWPPIYTAAREQTPFRHLYTSKTHLKKAE
+>Q14093_PF15241_9 <unknown description>
+VNFGPYDNYIPVSELSKKSWNQQHFALLFPKPQRPGTKRRSKPSQIRDNTVSIIDEEQLR
+GDRRQPLWMYRSLMRISERPSVYLAARRQPLKPTRTVEVDSKAA
+>Q9NQC7_PF01302_137 <unknown description>
+SGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGVYQGKQLFQCDEDCGVF
+VALDK
+>Q9NQC7_PF16607_307 <unknown description>
+SESVTQERRPPKLAFMSRGVGDKGSSSHNKPKATGSTSDPGNRNRSELFYTLNGSSVDSQ
+PQSKSKNTWYIDEVAEDPAKSLTEISTDFDRSSPPLQPPPVNSLTTENRFHSLPFSLTKM
+PNTNGSIGHSPLSLSAQSVMEELNTAPVQESPPLAMPPGNSHGLE
+>Q9NQC7_PF01302_479 <unknown description>
+KENPPFYGVIRWIGQPPGLNEVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKS
+>Q9NQC7_PF00443_593 <unknown description>
+GIQGHYNSCYLDSTLFCLFAFSSVLDTVLLRPKEKNDVEYYSETQELLRTEIVNPLRIYG
+YVCATKIMKLRKILEKVEAASGFTSEEKDPEEFLNILFHHILRVEPLLKIRSAGQKVQDC
+YFYQIFMEKNEKVGVPTIQQLLEWSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPS
+LELNITDLLEDTPRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCNTQVHLHPKRLN
+HKYNPVSLPKDLPDWDWRHGCIPCQNMELFAVLCIETSHYVAFVKYGKDDSAWLFFD
+>Q9BWK5_PF15325_56 <unknown description>
+MNEAEIVDVALGILIESRKQEKACEQPALAGADNPEHSPPCSVSPHTSSGSSSEEEDSGK
+QALAPGLSPSQRPGGSSSACSRSPEEEEEEDVLKYVREIFFS
+>Q9H0Q0_PF07159_18 <unknown description>
+FFLDFENAQPTEGEREIWNQISAVLQDSESILADLQAYKGAGPEIRDAIQNPNDIQLQEK
+AWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQHLEREQALAKEFAEILHF
+TLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNNEMANRMSLFYAEATPML
+KTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYRSRFTSEETLMFCMRVMV
+GVIILYDHVHPVGAFCKTSKIDMKGCIKVLKEQAPDSVEGLLNALRFTTKHLNDESTSKQ
+IR
+>Q9NUQ9_PF07159_19 <unknown description>
+FFLDFENAQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAGHEIREAIQHPADEKLQEK
+AWGAVVPLVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQHLEREQALAKQFAEILHF
+TLRFDELKMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVNNELANRMSLFYAEATPML
+KTLSDATTKFVSENKNLPIENTTDCLSTMASVCRVMLETPEYRSRFTNEETVSFCLRVMV
+GVIILYDHVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLLNALRYTTKHLNDETTSKQ
+IK
+>P01040_PF00031_4 <unknown description>
+GGLSEAKPATPEIQEIVDKVKPQLEEKTNETYGKLEAVQYKTQVVAGTNYYIKVRAGDNK
+YMHLKVFKSLPGQNEDLVLTGYQVDKNK
+>P04080_PF00031_4 <unknown description>
+GAPSATQPATAETQHIADQVRSQLEEKENKKFPVFKAVSFKSQVVAGTNYFIKVHVGDED
+FVHLRVFQSLPHENKPLTLSNYQTNKAK
+>P01034_PF00031_37 <unknown description>
+GGPMDASVEEEGVRRALDFAVGEYNKASNDMYHSRALQVVRARKQIVAGVNYFLDVELGR
+TTCTKTQPNLDNCPFHDQPHLKRKAFCSFQIYAVPW
+>P28325_PF00031_32 <unknown description>
+GGIHATDLNDKSVQCALDFAISEYNKVINKDEYYSRPLQVMAAYQQIVGGVNYYFNVKFG
+RTTCTKSQPNLDNCPFNDQPKLKEEEFCSFQINEVPW
+>O76096_PF00031_38 <unknown description>
+FPKTIKTNDPGVLQAARYSVEKFNNCTNDMFLFKESRITRALVQIVKGLKYMLEVEIGRT
+TCKKNQHLRLDDCDFQTNHTLKQTLSCYSEVWVVPW
+>O60759_PF00595_78 <unknown description>
+VTVEKQDNETFGFEIQSYRPQNQNACSSEMFTLICKIQEDSPAHCAGLQAGDVLANINGV
+STEGFTYKQVVDLIRSSGNLLTIE
+>Q9NRR1_PF15153_9 <unknown description>
+VLLLLLAGAPAARPTPPTCYSRMRALSQEITRDFNLLQVSEPSEPCVRYLPRLYLDIHNY
+CVLDKLRDFVASPPCWKVAQVDSLKDKARKLYTIMNSFCRRDLVFLLDDCNALEYPIPVT
+TVLPD
+>Q9H1C7_PF12734_36 <unknown description>
+PPPQGYPYQGYPQYGWQGGPQEPPKTTVYVVEDQRRDELGPSTCLTACWTALCCCCLWDM
+L
+>Q15828_PF00031_36 <unknown description>
+GELRDLSPDDPQVQKAAQAAVASYNMGSNSIYYFRDTHIIKAQSQLVAGIKYFLTMEMGS
+TDCRKTRVTGDHVDLTTCPLAAGAQQEKLRCDFEVLVVPW
+>P01037_PF00031_32 <unknown description>
+GGIYNADLNDEWVQRALHFAISEYNKATKDDYYRRPLRVLRARQQTVGGVNYFFDVEVGR
+TICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPW
+>Q69YQ0_PF00307_1013 <unknown description>
+KRNALLKWCQKKTEGYQNIDITNFSSSWNDGLAFCALLHTYLPAHIPYQELNSQDKRRNF
+MLAFQAAESVGIKSTLDINEMVRTERPDWQNVMLYVTAIYKYFE
+>Q5M775_PF00307_964 <unknown description>
+KRNALLKWCQKKTQGYANIDITNFSSSWSDGLAFCALLHTYLPAHIPYQELNSQEKKRNL
+LLAFEAAESVGIKPSLELSEMLYTDRPDWQSVMQYVAQIYKYFE
+>P01036_PF00031_32 <unknown description>
+GGIYDADLNDEWVQRALHFAISEYNKATEDEYYRRPLQVLRAREQTFGGVNYFFDVEVGR
+TICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPW
+>P09228_PF00031_32 <unknown description>
+GGIYDADLNDERVQRALHFVISEYNKATEDEYYRRLLRVLRAREQIVGGVNYFFDIEVGR
+TICTKSQPNLDTCAFHEQPELQKKQLCSFQIYEVPW
+>Q96J86_PF10873_8 <unknown description>
+VRPGVLLPKLVLLFVYADDCLAQCGKDCKSYCCDGTTPYCCSYYAYIGNILSGTAIAGIV
+FGIVFIMGVIAGIAICICMCMKNHRATRVGILRTTHINTVSSYPAGPPPYGHDHEMEYCA
+DLPPPYSPTPQGPAQRSPPPPYPGNARK
+>Q9NWV4_PF05907_5 <unknown description>
+ALQLKATLENITNLRPVGEDFRWYLKMKCGNCGEISDKWQYIRLMDSVALKGGRGSASMV
+QKCKLCARENSIEILSSTIKPYNAEDNENFKTIVEFECRGLEPVDFQPQAGFAAEGVESG
+TAFSDINLQEKDWTDYDEKAQESVGIYEVTHQF
+>P81534_PF00711_30 <unknown description>
+KYYCRVRGGRCAVLSCLPKEEQIGKCSTRGRKCCR
+>Q8WTQ1_PF13841_30 <unknown description>
+CGYGTARCRKKCRSQEYRIGRCPNTYACC
+>Q8NG35_PF13841_45 <unknown description>
+SCKLGRGKCRKECLENEKPDGNCRLNFLCC
+>Q8N104_PF13841_25 <unknown description>
+KCNKLKGTCKNNCGKNEELIALCQKSLKCC
+>Q8IZN7_PF13841_35 <unknown description>
+KRMEGHCEAECLTFEVKIGGCRAELAPFCC
+>Q8NET1_PF13841_28 <unknown description>
+CERPNGSCRDFCLETEIHVGRCLNSQPCC
+>P0DP74_PF00711_30 <unknown description>
+QCIALKGVCRDKLCSTLDDTIGICNEGKKCCR
+>P0DP73_PF00711_30 <unknown description>
+QCIALKGVCRDKLCSTLDDTIGICNEGKKCCR
+>P59861_PF13841_29 <unknown description>
+CPSEYYHCRLKCNADEHAIRYCADFSICC
+>A0A096LNP1_PF13841_29 <unknown description>
+CPSEYYHCRLKCNADEHAIRYCADFSICC
+>Q6NUT2_PF10034_114 <unknown description>
+VFVAILHWLHLVTLFENDRHFSHLSSLEREMTFRTEMGLYYSYFKTIIEAPSFLEGLWMI
+MNDRLTEYPLIINAIKRFHLYPEVIIASWYCTFMGIMNLFGLETKTCWNVTRIEPLNEVQ
+SCEGLGDPACFYVGVIFILNGLMMGLFFMYGAYLSGTQLGGLITVLCFFFNHGEATRVMW
+TPPLRESFSYPFLVLQMCILTLILRTSSNDRRPFIALCLSNVAFMLPWQFAQFILFTQIA
+SLFPMYVVGYIEPSKFQKIIYMNMISVTLSFILMFGNSMYLSSYYSSSLLMTWAIILKRN
+EIQKLGVSKLNFWLIQGSAWWCGTIILKFLTSKILGVSDHIRLSDLIAARILRYTDFDTL
+IYTCAPEFDFMEKATPLRYTKTLLLPVVMVITCFIFKKTVRDISYVLATNIYLRKQLLEH
+SELAFHTLQLLVFTALAILIMRLKMFLTPHMCVMASLICSRQLFGWLFRRVRFEKVIFGI
+LTVMSIQGYANLRNQWSIIGEFNNLPQEELLQWIKYSTTSDAVFAGAMPTMASIKLSTLH
+PIVNHPHYEDADLRARTKIVYSTYSRKSAKEVRDKLLELHVNYYVLEEAWCVVRTKPGCS
+MLEIWDVEDPSNAANPPLCSVLLEDARPYFTTVFQNSVYRVLKV
+>Q6ZPD9_PF10034_56 <unknown description>
+CIGLLTSVYLATLHENDLWFSNIKEVEREISFRTECGLYYSYYKQMLQAPTLVQGFHGLI
+YDNKTESMKTINLLQRMNIYQEVFLSILYRVLPIQKYLEPVYFYIYTLFGLQAIYVTALY
+ITSWLLSGTWLSGLLAAFWYVTNRIDTTRVEFTIPLRENWALPFFAIQIAAITYFLRPNL
+QPLSERLTLLAIFISTFLFSLTWQFNQFMMLMQALVLFTLDSLDMLPAVKATWLYGIQIT
+SLLLVCILQFFNSMILGSLLISFNLSVFIARKLQKNLKTGSFLNRLGKLLLHLFMVLCLT
+LFLNNIIKKILNLKSDEHIFKFLKAKFGLGATRDFDANLYLCEEAFGLLPFNTFGRLSDT
+LLFYAYIFVLSITVIVAFVVAFHNLSDSTNQQSVGKMEKGTVDLKPETAYNLIHTILFGF
+LALSTMRMKYLWTSHMCVFASFGLCSPEIWELLLKSVHLYNPKRICIMRYSVPILILLYL
+CYKFWPGMMDELSELREFYDPDTVELMNWINSNTPRKAVFAGSMQLLAGVKLCTGRTLTN
+HPHYEDSSLRERTRAVYQIYAKRAPEEVHALLRSFGTDYVILEDSICYERRHRRGCRLRD
+LLDIANGHMMDGPGENDPDLKPADHPRFCEEIKRNLPPYVAYFTRVFQNKTFHVYKL
+>Q7Z388_PF10034_61 <unknown description>
+TSGMMYALYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELT
+HNNKTVSLKTINAVQQMSLYPELIASILYQATGSNEIIEPVYFYIGIVFGLQGIYVTALF
+VTSWLMSGTWLAGMLTVAWFVINRVDTTRIEYSIPLRENWALPYFACQIAALTGYLKSNL
+NTYGERFCYLLMSASTYTFMMMWEYSHYLLFLQAISLFLLDTFSVEQSDKVYEVYKIYIF
+SLFLGYLLQFENPALLVSPLLSLVAALMLAKCLQLNVKKGSFVAKIIKVINFYLVCTLTI
+TLNIIMKMFVPHKENGHMLKFLEVKFGLNMTKNFTMNWLLCQESLQAPSQDFFLRLTQSS
+LLPFYILVLIICFLSMLQVIFRRINGKSLKETVTLEDGRIGERPEIIYHVIHTILLGSLA
+MVIEGLKYIWIPYVCMLAAFGVCSPELWMTLFKWLRLRTVHPILLALILSMAVPTIIGLS
+LWKEFFPRLMTELMELQEFYDPDTVELMTWIKRQAPVAAVFAGSPQLMGAIKLCTGWMVT
+SLPLYNDDDLLKRNENIYQIYSKRSAEDIYKILTSYKANYLIVEDAICNEVGPMRGCRVK
+DLLDIANGHMVCEEGDKLTYSKYGRFCHEVKINYSPYVNYFTRVYWNRSYFVYKI
+>Q8N465_PF01565_102 <unknown description>
+VLLRPRTSEEVSHILRHCHERNLAVNPQGGNTGMVGGSVPVFDEIILSTARMNRVLSFHS
+VSGILVCQAGCVLEELSRYVEERDFIMPLDLGAKGSCHIGGNVATNAGGLRFLRYGSLHG
+TVLGLEVVLADGTVLDC
+>Q8N465_PF02913_275 <unknown description>
+KPRAVNVAFLGCPGFAEVLQTFSTCKGMLGEILSAFEFMDAVCMQLVGRHLHLASPVQES
+PFYVLIETSGSNAGHDAEKLGHFLEHALGSGLVTDGTMATDQRKVKMLWALRERITEALS
+RDGYVYKYDLSLPVERLYDIVTDLRARLGPHAKHVVGYGHLGDGNLHLNVTAEAFSPSLL
+AALEPHVYEWTAGQQGSVSAEHGVGFRKRDVLGYSKPPGALQLMQQLKALLDPKGILNPY
+K
+>Q9NRG7_PF01370_3 <unknown description>
+VLVGGGTGFIGTALTQLLNARGHEVTLVSRKPGPGRITWDELAASGLPSCDAAVNLAGEN
+ILNPLRRWNETFQKEVIGSRLETTQLLAKAITKAPQPPKAWVLVTGVAYYQPSLTAEYDE
+DSPGGDFDFFSNLVTKWEAAARLPGDSTRQVVVRSGVVLGRGGGAMGHMLLPFRLGLGGP
+IGSGHQFFPWIHIGDLAGILTHALEA
+>Q9NRG7_PF08338_245 <unknown description>
+PSAVVQAVFGRQRAIMLLEGQKVIPQRTLATGYQYSFPELGAALKEI
+>Q8WUS8_PF01073_12 <unknown description>
+LITGGSGYFGFRLGCALNQNGVHVILFDISSPAQTIPEGIKFIQGDIRHLSDVEKAFQDA
+DVTCVFHIASYGMSGREQLNRNLIKEVNVRGTDNILQVCQRRRVPRLVYTSTFNVIFGGQ
+VIRNGDESLPYLPLHLHPDHYSRTKSIAEQKVLEANATPLDRGDGVLRTCALRPAGIYGP
+GEQRHLPRIVSYIEKGLFKFVYGDPRSLVEFVHVDNLVQAHILASEALRADKGHIASGQP
+YFISDGRPVNNFEFFRPLVEGLGYTFPSTRLPL
+>A6NKP2_PF01073_37 <unknown description>
+LVTGGGGYLGFSLGSHLAKSGTSVILLDRRRPQWELSPETKFIQADVRDEEALYRAFEGV
+DCVFHVASYGMSGAEKLQKEQIESINVGGTKLVIDVCVRRRVPRLIYTSTVNVAFGGKPI
+EQGDEDSVPYFPLDEHVDHYSRTKAIADQLTLMANGMPLPGGGTLRTCVLRPPGIYGPEE
+QRHLPRVAGHIKKRLFMFRFGDHKARMNWVHVHNLVQAHVLAAEALTTAKGYVASGQAYY
+INDGESVNLFEWMAPLFEKLGYSQPW
+>Q96MX6_PF00400_103 <unknown description>
+PVYSVKGHKEIINAIDGIGGLGIGEGAPEIVTGSRDGTVKVWD
+>Q96MX6_PF00400_319 <unknown description>
+LSTQPISSLDWSPDKRGLCVCSSFDQTVRV
+>Q86X45_PF14580_5 <unknown description>
+TEDLIRRNAEHNDCVIFSLEELSLHQQEIERLEHIDKWCRDLKILYLQNNLIGKIENVSK
+LKKLEYLNLALNNIEKIENLEGCEELAKLDLTVNFIGELSSIKNLQHNIHLKELFLMGNP
+CASFDHYREFVVATLPQLKWLDGKEIEPSERIKALQD
+>Q8NEP3_PF14580_173 <unknown description>
+QKLDALNLSNNYIKTIENLSCLPVLNTLQMAHNHLETVEDIQHLQECLRLCVLDLSHNKL
+SDPEILSILESMPDLRVLNLMGNPVIRQIPNYRRTVTVRLKHLTYLDDRPVFPKDRACAE
+AWARGGY
+>Q8N9W5_PF14737_16 <unknown description>
+WWGLSPALDLQAESPPVDPDSQADTVHSNPELDVLLLGSVDGRHLLRTLSRAKFWPRRRF
+NFFVLENNLEAVARHMLIFSLALEEPEKMGLQERSETFLEVWGNALL
+>Q8N9W5_PF14740_154 <unknown description>
+LSLRALKFRERDALEAVFRFWAGGEKGPQAFPMSRLWDSRLRHYLGSRYDARRGVSDWDL
+RMKLHDRGAQVIHPQEFRRWRDTGVAFELRDSSAYHVPNRTLASGRLLSYRGERVAARGY
+WGDIATGPFVAFGIEADDESLLRTSNGQPVKTAGEITQHNVTELLRDVAAWGRARATGGD
+LEEQQHAEGSPEPGTPAAPTPESFTVHFLPLNSAQTLHHKSCYNGRFQLLYVACGMVHLL
+IPELGACVAPGGNLIVELARYLVDVRQEQLQGFNTRVRELAQAAGFAPQ
+>Q8WXU2_PF04969_7 <unknown description>
+DYSWQQTKTAVFLSLPLKGVCVRDTDVFCTENYLKVNFPPFLFEAFLYAPIDDESSKAKI
+GNDTIVFTLYK
+>Q86Y56_PF13646_175 <unknown description>
+LLDPFAAVRRESCSCAAALAQATPDHFHMQSESLIGPLMQTISHQHWKVRVAAIEATGAV
+IHFGNGKSVDDVLSHFAQRLFDDVPQVRRAVA
+>Q9NQM4_PF18201_110 <unknown description>
+PEYEIIFRQQVGTEDIFLGLSKKDSSTGCCSELVAKIKLPNTNPSDIQIDIQETILDLRT
+PQKKLLITLPELVECTSAKAFYIPETETLEITMT
+>Q8IYS4_PF15773_21 <unknown description>
+GPWDAILKAVKDQLPSLDSDSPLSDYGEEELFIFQRNQTSLIPDLSEELAEDPADGDKSR
+AWVAAAEESLPEPVLVPAELATEPGCRQNTRTKDASSQEGRDPGRPFESSGEVSALLGMA
+EEPPRWLEGDLGSLSFNTKGSQGPPWDPQAEATLSCHEGDPKAEPLSTASQESVNRRALR
+QERRKMIETDILQKVTRDACGPTSSDKGGVKEAPCHAAESAPRSKMPLVEPPEGPPVLSL
+QQLEAWDLDDILQSLAGQEDNQGNRAPGTVWWAADHRQVQDRMVPSAHNRLMEQLALLCT
+TQSKASACARKVPADTPQDTKEADSGSRCASRKQGSQAGPGPQLAQGMRLNAESPTIFID
+LRQMELPDHLSPESSSHSSSDSEEEEEEEMAALGDAEGASPSSLGLRTCTGKSQLLQQLR
+AFQKGTAQPELPASKGPAGGRAQAPEDTAGSRTGRKQHMKLCAKGQSAQARLPRGRPRAL
+GDVPEPGAAREALMPPLEQL
+>Q9Y4D1_PF06371_46 <unknown description>
+PMPPVEELDVMFSELVDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEF
+YIDQLNSMAARKSLLALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFL
+KTMDYETSESRIHTSLIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLE
+ILGAVCL
+>Q9Y4D1_PF06367_235 <unknown description>
+GGHKKVLQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAG
+VESLDFRLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVH
+IDTKSATQMFELTRKRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVI
+QNDKGQDPDSTPLENFNIKNVVRML
+>Q9Y4D1_PF02181_601 <unknown description>
+KSIPQPTNALKSFNWSKLPENKLEGTVWTEIDDTKVFKILDLEDLERTFSAYQRQQKEAD
+AIDDTLSSKLKVKELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQEDLPKDMLEQ
+LLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKKKFAERVAEV
+KPKVEAIRSGSEEVFRSGALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLNKIADTKSSI
+DKNITLLHYLITIVENKYPSVLNLNEELRDIPQAAKVNMTELDKEISTLRSGLKAVETEL
+EYQKSQPPQPGDKFVSVVSQFITVASFSFSDVEDLLAEAKDLFTKAVKHFGEEAGKIQPD
+EFFGIFDQFLQAV
+>Q86T65_PF06371_43 <unknown description>
+PNAEELNIRFAELVDELDLTDKNREAMFALPPEKKWQIYCSKKKEQEDPNKLATSWPDYY
+IDRINSMAAMQSLYAFDEEETEMRNQVVEDLKTALRTQPMRFVTRFIELEGLTCLLNFLR
+SMDHATCESRIHTSLIGCIKALMNNSQGRAHVLAQPEAISTIAQSLRTENSKTKVAVLEI
+LGAVCL
+>Q86T65_PF06367_231 <unknown description>
+GGHKKVLQAMLHYQVYAAERTRFQTLLNELDRSLGRYRDEVNLKTAIMSFINAVLNAGAG
+EDNLEFRLHLRYEFLMLGIQPVIDKLRQHENAILDKHLDFFEMVRNEDDLELARRFDMVH
+IDTKSASQMFELIHKKLKYTEAYPCLLSVLHHCLQMPYKRNGGYFQQWQLLDRILQQIVL
+QDERGVDPDLAPLENFNVKNIVNML
+>Q86T65_PF02181_596 <unknown description>
+KRVPQPSHPLKSFNWVKLNEERVPGTVWNEIDDMQVFRILDLEDFEKMFSAYQRHQKELG
+STEDIYLASRKVKELSVIDGRRAQNCIILLSKLKLSNEEIRQAILKMDEQEDLAKDMLEQ
+LLKFIPEKSDIDLLEEHKHEIERMARADRFLYEMSRIDHYQQRLQALFFKKKFQERLAEA
+KPKVEAILLASRELVRSKRLRQMLEVILAIGNFMNKGQRGGAYGFRVASLNKIADTKSSI
+DRNISLLHYLIMILEKHFPDILNMPSELQHLPEAAKVNLAELEKEVGNLRRGLRAVEVEL
+EYQRRQVREPSDKFVPVMSDFITVSSFSFSELEDQLNEARDKFAKALMHFGEHDSKMQPD
+EFFGIFDTFLQAFS
+>O75553_PF00640_61 <unknown description>
+CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI
+TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQR
+>Q5VWQ8_PF00168_214 <unknown description>
+ILKLWVIEAKDLPAKKKYLCELCLDDVLYARTTGKLKTDNVFWGEHFEFHNLPPLRTVTV
+HLYRETDKKKKKERNSYLGLVSLPAASVAGRQFVEKWYPV
+>Q5VWQ8_PF00616_392 <unknown description>
+FLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEYLKLVGQKYLQDALGEFIKALYESDEN
+CEVDPSKCSA
+>Q5VWQ8_PF00616_467 <unknown description>
+HQGNLKMCCELAFCKIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFL
+CPAIMSPSLFNLLQEYPDDRTARTLTLIAKVTQNLAN
+>Q5VWQ8_PF12004_646 <unknown description>
+LRDVHTALSTPGSGQLPGTNDLASTPGSGSSSISAGLQKMVIENDLSGLIDFTRLPSPTP
+ENKDLFFVTRSSGVQPSPARSSSYSEANEPDLQMANGGKSLSMVDLQDARTLDGEAGSPA
+GPDVLPTDGQAAAAQLVAGWPARATPVNLAGLATVRRAGQTPTTPGTSEGAPGRPQLLAP
+LSFQNPVYQMAAGLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARR
+PGELARRQMSLTEKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPNLLSTLQY
+PRPSSGTLASASPDWVGPSTRLRQQSSSSKGDSPELKPRAVHKQGPSPVSPNALDRTAAW
+LLTMNAQLLEDEGLGPDPPHRDRLRSKDELSQAEKDLAVLQDKLRISTKKLEEYETLFKC
+QEETTQKLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVD
+SKQKIIDAQEKRIASLDAANARLMSALTQLKERY
+>P98082_PF00640_70 <unknown description>
+QDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNKISFIARDVT
+DNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKK
+>Q9UI36_PF02437_177 <unknown description>
+SPVENTPQNNECKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRL
+EITPVVCNVEQVRILRGLGAIQPGVNRCKLISRKDFETLYNDCTN
+>Q96NX9_PF02437_63 <unknown description>
+TNTNECRMVDMHGMKVASFLMDGQELICLPQVFDLFLKHLVGGLHTVYTKLKRLDISPVV
+CTVEQVRILRGLGAIQPGVNRCKLITRKDFETLFTDCTN
+>Q9NYF0_PF15268_46 <unknown description>
+ERQEATLAGLAELEYLRQRQELLVRGALRGAGGAGAAAPRAGELLGEAAQRSRLEEKFLE
+ENILLLRKQLNCLRRRDAGLLNQLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELS
+DGASGSLSNSSNSVFSECLSSCHSSTCFCSPLEATLSLSDGCPKSAD
+>Q9NYF0_PF15268_212 <unknown description>
+DVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFLLCLTGNPLREEDRLGNHASDICGG
+SELDAVKTDSSLPSPSSLWSASHPSSSKKMDGYILSLVQKKTHPVRTNKPRTSVNADPTK
+GLLRNGSVCVRAPGGVSQGNSVNLKNSKQACLPSGGIPSLNNGTFSPPKQWSKESKAEQA
+ESKRVPLPEGCPSGAASDLQSKHLPKTAKPASQEHARCSAIGTGESPKESAQLSGASPKE
+SPSRGPAPPQENKVVQPLKKMSQKNSLQGVPPATPPLLSTAFPVEERPALDFKSEGSSQS
+LEEAHLVKAQFIPGQQPSVRLHRGHRNMGVVKNSSLKHRGPALQGLENGLPTVREKTRAG
+SKKCRFPDDLDTNKKLKKASSKGRKSGGGPEAGVPGRPAGGGHRAGSRAHGHGREAVVAK
+PKHKRTDYRRWKSSAEISYEEALRRARRGRRENVGLYPAPVPLPYASPYAYVASDSEYSA
+ECESLFHSTVVDTSEDEQSNYTTNCFGDSESSVSEGEFVGESTTTSDSEESGGLIWSQFV
+QTLPIQTVTAPDLHNHPAKTFVKIKASHNLKKKILRFRSGSLKLMTTV
+>Q5SW24_PF15268_20 <unknown description>
+ARLRAAFAGLQELQGLRATQQERVRGALALQPPPAPAAPCGPHGLHGPEQQLEAALAALQ
+EQLSRLRQQDIGLKTHLDQLDLQISKLQLDVGTASGEALDSDSRPSSGFYEMSDGGSCSL
+STSCASVCSDHISPSLGSLLPVAQAHKARPSMGDWRPRSVDETTVPAWRPQATEEGARPP
+GSVEDAGQPWGTFWPRPVSTGDLDRALPADTGLQKASADAELLGLLCQGVDIPLHVPDPK
+YRQDLVSQGGREVYPYPSPLHAVALQSPLFVLTKETPQRGGPSFPRESPRGPAGLNTIQT
+GPVLEAGPARARAYIDRLLHLWGRETPAKGSEGEQGPLRHAASPSPQRQGGWSTDGGGRL
+LVFAPGREDEGGPAQSRGAGRGGPQQQGYMPLEGPQQSGSLPEEGSKPSNSCVLRETMVQ
+ASPSSKAQQTPSAQDYGRGNIISPSRMLDKSPSPASGHFAHPSFAASLKMGPPKSKAEKI
+KRSPMDKVLRFARQPLLLLDRPEGAHAAPQPSLEWDPAHWPTGRGGLQRRPALAWEAPGR
+SCSESTLYPMPVLVPLAVAPQESHRTSAQALFPFEASLLTSVARRKHRRWQSTVEISARA
+RLASCPESNLGPPRPVARRAGGPLARGRPSLVRQDAYTRSDSEPSKHSAECDPRFPSVIP
+ETSEGESSDHTTNRFGDRESSSSDEEGGAQSRDCDLALGYVAAGHAELAWTQEAPVSSGP
+LLSPVPKLCRIKASKALKKKIRRFQPTALKVMTMV
+>Q96B18_PF15268_20 <unknown description>
+LEGSLAGLCELHWLRERQEYRVQQALRLAQPGMGGAEAEDEEDADEDEDAAAARRAAAAL
+EEQLEALPGLVWDLGQQLGDLSLESGGLEQESGRSSGFYE
+>Q96B18_PF15268_545 <unknown description>
+YGESESSASEGESPAFSSASSDSDGSGGLVWPQQLVAATAASGGGAGAGAPAGPAKVFVK
+IKASHALKKKILRFRSGSLKVMTTV
+>P61803_PF02109_7 <unknown description>
+SVISRFLEEYLSSTPQRLKLLDAYLLYILLTGALQFGYCLLVGTFPFNSFLSGFISCVGS
+FILAVCLRIQINPQNKADFQGISPERAFADFLFASTILHLVVMNFVG
+>P08174_PF00084_36 <unknown description>
+CGLPPDVPNAQPALEGRTSFPEDTVITYKCEESFVKIPGEKDSVICLKGSQWSDIEEFC
+>P08174_PF00084_98 <unknown description>
+CEVPTRLNSASLKQPYITQNYFPVGTVVEYECRPGYRREPSLSPKLTCLQNLKWSTAVEF
+C
+>P08174_PF00084_163 <unknown description>
+CPNPGEIRNGQIDVPGGILFGATISFSCNTGYKLFGSTSSFCLISGSSVQWSDPLPEC
+>P08174_PF00084_225 <unknown description>
+CPAPPQIDNGIIQGERDHYGYRQSVTYACNKGFTMIGEHSIYCTVNNDEGEWSGPPPEC
+>Q14118_PF05345_62 <unknown description>
+PTVVGIPDGTAVVGRSFRVTIPTDLIASSGDIIKVSAAGKEALPSWLHWDSQSHTLEGLP
+LDTDKGVHYISVSATRLGA
+>Q14118_PF18424_182 <unknown description>
+CAADEPVTVLTVILDADLTKMTPKQRIDLLHRMRSFSEVELHNMKLVPVVNNRLFDMSAF
+MAGPGNAKKVVENGALLSWKLGCSLNQNSVPDIHGVEAPAREGAMSAQLGYPVVGWHIAN
+KKP
+>Q14118_PF05454_607 <unknown description>
+RFKAKFVGDPALVLNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPL
+EPCPKEQIAGLSRRIAEDDGKPRPAFSNALEPDFKATSITVTGSGSCRHLQFIPVVPPRR
+VPSEAPPTEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLE
+DQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSVPETTPLNQDT
+MGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP
+>Q5D0E6_PF05746_403 <unknown description>
+VMYNCARLATLFESYKCSMEQGLYPTFPPVSSLDFSLLHDEGEWLLLFNSILPFPDLLSR
+TAVLDCTAPGLHIAVRTEMICKFLVQLSMDFSSYYNRVHILGEPRPHLFGQMFVRLQLLR
+AVREVLHTGLAMLGLPPLSH
+>Q8N907_PF03045_87 <unknown description>
+VTLPLNPQEVIQGMCKAVPFVQVFSRPGCSAIRLRNHLCFGHCSSLYIPGSDPTPLVLCN
+SCMPARKRWAPVVLWCLTGSSASRRRVKISTMLIEGCHCS
+>P59103_PF15199_72 <unknown description>
+MAQRHLQRSLCPWVSYLPQPYAELEEVSSHVGKVFMARNYEFLAYEASKDRRQPLERMWT
+CNYNQQKDQSCNHKEITSTKAE
+>P51397_PF15228_12 <unknown description>
+KAGHPPAVKAGGMRIVQKHPHTGDTKEEKDKDDQEWESPSPPKPTVFISGVIARGDKDFP
+PAAAQVAHQKPHASMDKHPSPRTQHIQQPR
+>P53355_PF00069_13 <unknown description>
+YDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSREDIEREVSILKEIQ
+HPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFLKQILNGVYYLHS
+LQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGTPEFVAPEIVNYE
+PLGLEADMWSIGVITYILLSGASPFLGDTKQETLANVSAVNYEFEDEYFSNTSALAKDFI
+RRLLVKDPKKRMTIQDSLQHPWI
+>P53355_PF13637_390 <unknown description>
+GNIQILQLLIKRGSRIDVQDKGGSNAVYWAARHGHVDTLKFL
+>P53355_PF12796_440 <unknown description>
+VKDKSGEMALHVAARYGHADVAQLLCSFGSNPNIQDKEEETPLHCAAWHGYYSVAKALCE
+AGCNVNIKN
+>P53355_PF00023_511 <unknown description>
+GETPLLTASARGYHDIVECLAEHGADLNACDK
+>P53355_PF12796_559 <unknown description>
+VIKTLLSQGCFVDYQDRHGNTPLHVACKDGNMPIVVALCEANCNLDISNKYGRTPLHLAA
+NNGILDVVRYLCLMGASVE
+>P53355_PF00531_1310 <unknown description>
+RRKLSRLLDPPDPLGKDWCLLAMNLGLPDLVAKYNTSNGAPKDFLPSPLHALLREWTTYP
+ESTVGTLMSKLRELGRRDAADFLLKA
+>Q9UIK4_PF00069_23 <unknown description>
+YDIGEELGSGQFAIVKKCREKSTGLEYAAKFIKKRQSRASRRGVSREEIEREVSILRQVL
+HHNVITLHDVYENRTDVVLILELVSGGELFDFLAQKESLSEEEATSFIKQILDGVNYLHT
+KKIAHFDLKPENIMLLDKNIPIPHIKLIDFGLAHEIEDGVEFKNIFGTPEFVAPEIVNYE
+PLGLEADMWSIGVITYILLSGASPFLGDTKQETLANITAVSYDFDEEFFSQTSELAKDFI
+RKLLVKETRKRLTIQEALRHPWI
+>O43293_PF00069_13 <unknown description>
+YEMGEELGSGQFAIVRKCRQKGTGKEYAAKFIKKRRLSSSRRGVSREEIEREVNILREIR
+HPNIITLHDIFENKTDVVLILELVSGGELFDFLAEKESLTEDEATQFLKQILDGVHYLHS
+KRIAHFDLKPENIMLLDKNVPNPRIKLIDFGIAHKIEAGNEFKNIFGTPEFVAPEIVNYE
+PLGLEADMWSIGVITYILLSGASPFLGETKQETLTNISAVNYDFDEEYFSNTSELAKDFI
+RRLLVKDPKRRMTIAQSLEHSWI
+>A0PJW8_PF15228_13 <unknown description>
+KGGHPPAVKAGGMRISKKQEIGTLERHTKKTGFEKTSAIANVAKIQTLDALNDALEKLNY
+KFPATVHMAHQKPTPALEKVVPLKRIYIIQQPR
+>Q9P219_PF19047_17 <unknown description>
+LVTWVKTFGPFGSGSQDNLTMYMDLVDGIFLNQIMLQIDPRPTNQRINKHVNNDVNLRIQ
+NLTILVRNIKTYYQEVLQQLIVMNLPNVLMIGRDPLSGKSMEEIKKVLLLVLGCAVQCER
+KEEFIERIKQLDIETQAGIVAHIQEVTH
+>Q9UN19_PF00017_35 <unknown description>
+WYHGNLTRHAAEALLLSNGCDGSYLLRDSNETTGLYSLSVRAKDSVKHFHVEYTGYSFKF
+GFNEFSSLKDFVKHF
+>Q9UN19_PF00169_167 <unknown description>
+KEGYLTKQGGLVKTWKTRWFTLHRNELKYFKDQMSPEPIRILDLTECSAVQFDYSQERVN
+CFCLVFPFRTFYLCAKTGVEADEWIKILR
+>Q8N136_PF00400_85 <unknown description>
+FKVLKAHILPLTNVALNKSGSCFITGSYDRTCKLWD
+>Q8N136_PF00400_125 <unknown description>
+EELNTLEGHRNVVYAIAFNNPYGDKIATGSFDKTCKLWS
+>Q8N136_PF00400_167 <unknown description>
+GKCYHTFRGHTAEIVCLSFNPQSTLVATGSMDTTAKLWD
+>Q8N136_PF00400_210 <unknown description>
+EEVYTLRGHSAEIISLSFNTSGDRIITGSFDHTVVVWD
+>Q8N136_PF00400_252 <unknown description>
+RKVNILIGHCAEISSASFNWDCSLILTGSMDKTCKLWD
+>Q8N136_PF00400_293 <unknown description>
+GKCVATLTGHDDEILDSCFDYTGKLIATASADGTARIF
+>Q8N136_PF00400_336 <unknown description>
+KCIAKLEGHEGEISKISFNPQGNHLLTGSSDKTARIWD
+>Q8N136_PF00400_377 <unknown description>
+GQCLQVLEGHTDEIFSCAFNYKGNIVITGSKDNTCRIW
+>Q9UER7_PF03344_50 <unknown description>
+SSSSGGKKCYKLENEKLFEEFLELCKMQTADHPEVVPFLYNRQQRAHSLFLASAEFCNIL
+SRVLSRARSRPAKLYVYINELCTVLKAHSAKKKLNL
+>Q9NQZ3_PF00076_42 <unknown description>
+VFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVG
+>Q9NQZ3_PF00076_207 <unknown description>
+VFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVG
+>Q9NQZ3_PF00076_372 <unknown description>
+VFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVG
+>Q9NQZ3_PF18872_500 <unknown description>
+AYPHSPGQVITGCQLLVYNYQ
+>Q9NQZ3_PF18872_525 <unknown description>
+YPDSAFQVTTGYQLPVYNYQ
+>Q9NQZ3_PF18872_548 <unknown description>
+AYPRSPFQVTAGYQLPVYNYQ
+>Q9NQZ3_PF18872_572 <unknown description>
+AYPNSPFQVATGYQFPVYNYQ
+>Q9NQZ3_PF18872_596 <unknown description>
+AYPSSPFQVTAGYQLPVYNYQ
+>Q9NQZ3_PF18872_620 <unknown description>
+AYPNSPFQVATGYQFPVYNYQ
+>Q9NQZ3_PF18872_644 <unknown description>
+AYPNSPVQVTTGYQLPVYNYQ
+>Q9NQZ3_PF18872_668 <unknown description>
+AYPSSPFQVTTGYQLPVYNYQ
+>Q9NQZ3_PF18872_692 <unknown description>
+AYPNSAVQVTTGYQFHVYNYQ
+>Q9NR90_PF00076_42 <unknown description>
+VFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVG
+>Q9NR90_PF18872_170 <unknown description>
+AYPHSPGQVITGCQLLVYNYQ
+>Q9NR90_PF18872_195 <unknown description>
+YPDSAFQVTTGYQLPVYNYQ
+>Q9NR90_PF18872_218 <unknown description>
+AYPRSPFQVTAGYQLPVYNYQ
+>Q9NR90_PF18872_242 <unknown description>
+AYPNSPFQVATGYQFPVYNYQ
+>Q9NR90_PF18872_266 <unknown description>
+AYPNSPVQVTTGYQLPVYNYQ
+>Q9NR90_PF18872_290 <unknown description>
+AYPNSPFQVATGYQFPVYNYQ
+>Q9NR90_PF18872_314 <unknown description>
+AYPNSPVQVTTGYQLPVYNYQ
+>Q9NR90_PF18872_338 <unknown description>
+AYPNSPFQVATGYQFPVYNYQ
+>Q9NR90_PF18872_362 <unknown description>
+AYPNSPVQVTTGYQLPVYNYQ
+>Q9NR90_PF18872_386 <unknown description>
+AYPNSAVQVTTGYQFHVYNYQ
+>Q92904_PF00076_42 <unknown description>
+VFVGGIDVRMDETEIRSFFARYGSVKEVKIITDRTGVSKGYGFVSFFNDVDVQKIVESQI
+NFHGKKL
+>Q92904_PF18872_171 <unknown description>
+YPNSPVQVITGYQLPVYNYQ
+>Q96EP5_PF00076_12 <unknown description>
+LFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKDPNCVGTVLA
+>Q96EP5_PF00076_115 <unknown description>
+IFVGGIPHNCGETELREYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMH
+FHDIMGKKV
+>Q15038_PF11029_35 <unknown description>
+YTDAPPAYSELYRPSFVHPGAATVPTMSAAFPGASLYLPMAQSVAVGPLGSTIPMAYYPV
+GPIYPPGSTVLVEGGYDAGARFGAGATAGNIPPPPPGCPPNAAQLAVMQGANVLVTQRKG
+NFFMGGSDGGYTIW
+>Q30KQ9_PF13841_34 <unknown description>
+ECRIGNGQCKNQCHENEIRIAYCIRPGTHCC
+>Q30KQ7_PF13841_34 <unknown description>
+ECQLVRGACKPECNSWEYVYYYCNVNPCC
+>Q30KQ6_PF13841_28 <unknown description>
+RCTKRYGRCKRDCLESEKQIDICSLPRKICC
+>Q30KQ4_PF13841_40 <unknown description>
+CELYQGMCRNACREYEIQYLTCPNDQKCC
+>Q96PH6_PF13841_26 <unknown description>
+KCWNRSGHCRKQCKDGEAVKDTCKNLRACC
+>Q8N690_PF13841_27 <unknown description>
+RCMGNSGICRASCKKNEQPYLYCRNCQSCC
+>Q5J5C9_PF13841_22 <unknown description>
+KCWGKSGRCRTTCKESEVYYILCKTEAKCC
+>Q8N688_PF13841_24 <unknown description>
+RCWNLYGKCRYRCSKKERVYVYCINNKMCC
+>Q8NES8_PF13841_26 <unknown description>
+RCWKGQGACQTYCTRQETYMHLCPDASLCC
+>Q8N687_PF13841_26 <unknown description>
+KCWKNNVGHCRRRCLDTERYILLCRNKLSCC
+>Q9BYW3_PF13841_26 <unknown description>
+KCLNDVGICKKKCKPEEMHVKNGWAMCGKQRDCC
+>Q9H1M4_PF13841_23 <unknown description>
+KCWNNYVQGHCRKICRVNEVPEALCENGRYCC
+>Q7Z7B8_PF13841_23 <unknown description>
+KCFNKVTGYCRKKCKVGERYEIGCLSGKLCC
+>Q7Z7B7_PF13841_26 <unknown description>
+KCVSNTPGYCRTCCHWGETALFMCNASRKCC
+>Q4QY38_PF13841_31 <unknown description>
+KCYKNGICRLECYESEMLVAYCMFQLECC
+>Q30KP9_PF13841_36 <unknown description>
+SCWRLQGTCRPKCLKNEQYRILCDTIHLCC
+>Q30KP8_PF17333_25 <unknown description>
+NDGVKVRTCTSQKAVCFFGCPPGYRWIAFCHNILSCCKNMTRFQPPQAKDP
+>Q9UBU7_PF07535_291 <unknown description>
+KKKGYCECCLQKYEDLETHLLSEQHRNFAQSNQYQVVDDIVSKL
+>Q8NFT6_PF07535_296 <unknown description>
+RKKGYCECCQEAFEELHVHLQSAQHRSFALEAHLYAEVDRIIAQL
+>Q9NR28_PF09057_10 <unknown description>
+RSVTSFFRYRQCLCVPVVANFKKRCFSELIRPWHKTVTIGFGVTLCAVPIAQKSEPHSLS
+SEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLTSLYRQYTSLLGKMNSEEE
+DEVWQVIIGARAEMTSKHQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASITARNHIQL
+VKLQVEEVHQLSRKAETKLAEAQIEELRQKTQEEGEERAESEQEAYLRED
+>Q9H9R9_PF04440_19 <unknown description>
+PQAALGVPAQGTGDNGHTPVEEEVGGIPVPAPGLLQVTERRQPLSSVSSLEVHFDLLDLT
+ELTDMSDQELAEVFADSDDENLNTESPAGLHPLPRAGYLRSPSWTRTRAEQSHEKQPLGD
+PERQATV
+>Q9BQY9_PF04440_10 <unknown description>
+ERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVDTLEQVELID
+LGDPDAADVFLPCEDPPPTPQSSGMDNHLEELSLPVPTSDRTTSRTSSSSSSDSSTNLHS
+PNPSDDG
+>Q9UJU6_PF00241_13 <unknown description>
+QEAYVRVVTEKSPTDWALFTYEGNSNDIRVAGTGEGGLEEMVEELNSGKVMYAFCRVKDP
+NSGLPKFVLINWTGEGVNDVRKGACASHVSTMASFLKGAHVTINARAEEDVEPECIMEK
+>Q9UJU6_PF14604_378 <unknown description>
+ALYDYQAADDTEISFDPENLITGIEVIDEGWWRGYGPDGHFGMFPANYVE
+>Q10586_PF07716_254 <unknown description>
+KDEKYWSRRYKNNEAAKRSRDARRLKENQISVRAAFLEKENALLRQEVVAVRQE
+>Q9UK59_PF00149_1 <unknown description>
+MRVAVAGCCHGELDKIYETLALAERRGPGPVDLLLCCGDFQAVRNEADLRCMAVPPKYRH
+MQTFYRYYSGEKKAPVLTLFIGGNHEASNHLQELPYGGWVAPNIYYLGLAGVVKYRGVRI
+GGISGIFKSHDYRKGHFECPPYNSSTIRSIYHVRNIEVYKLKQLKQPIDIFLSHDWPRSI
+YHYGNKKQLLKTKSFFRQEVENNTLGSPAASELLEHLKPTYWFSAHLHV
+>Q9UK59_PF05011_247 <unknown description>
+RATKFLALDKCLPHRDFLQILEIEHDPSAPDYLEYDIEWLTILRATDDLINVTGRLWNMP
+ENNGLHARWDYSATEEGMKEVLEKLNHDLKVPCNFSVTAACYDPSKPQTQMQLIHRINPQ
+TTEFCAQLGII
+>A6NMT0_PF00046_184 <unknown description>
+RRAVFSDVQRKALEKMFQKQKYISKPDRKKLAAKLGLKDSQVKIWFQNRRMKWRN
+>Q6ZNG2_PF00046_189 <unknown description>
+RRAVFSEDQRKALEKMFQKQKYISKTDRKKLAINLGLKESQVKIWFQNRRMKWRN
+>Q5VW00_PF00400_189 <unknown description>
+DRHGHKDWIFAVAWLSDTVAVSGSRDGTVALW
+>O14576_PF11540_126 <unknown description>
+RRLHKLGVSKVTQVDFLPREVVSYSKETQTP
+>O14576_PF00400_462 <unknown description>
+VFEGHQGPVTGINCHMAVGPIDFSHLFVTSSFDWTVKLW
+>Q13409_PF11540_133 <unknown description>
+RGPIKLGMAKITQVDFPPREIVTYTKETQTP
+>Q13409_PF00400_472 <unknown description>
+FEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTVKLW
+>Q9Y6G9_PF05783_43 <unknown description>
+EDGQNLWSCILSEVSTRSRSKLPAGKNVLLLGEDGAGKTSLIRKIQGIEEYKKGRGLEYL
+YLNVHDEDRDDQTRCNVWILDGDLYHKGLLKFSLDAVSLKDTLVMLVVDMSKPWTALDSL
+QKWASVVREHVDKLKIPPEEMKQMEQKLIRDFQEYVEPGEDFPASPQRRNTASQEDKDDS
+VVLPLGADTLTHNLGIPVLVVCTKCDAISVLEKEHDYRDEHFDFIQSHIRKFCLQYGAAL
+IYTSVKENKNIDLVYKYIVQKLYGFPYKIPAVVVEKDAVFIPAGWDNDKKIGILHENFQT
+LKAEDNFEDIITKPPVRKFVHEKEIMAEDDQVFLMKLQSLLAKQPPTAAGRPVDASPRVP
+GGSPRTPNRSVSSNVASVSPIPAGSKKIDPNMKAGATSEGVLANFFNSLLSKKTGSPGGP
+GVSGGSPAGGAGGGSSGLPPSTKKSGQKPVLDVHAELDRITRKPVTVSP
+>O43237_PF05783_30 <unknown description>
+EEGQSLWSSILSEVSTRARSKLPSGKNILVFGEDGSGKTTLMTKLQGAEHGKKGRGLEYL
+YLSVHDEDRDDHTRCNVWILDGDLYHKGLLKFAVSAESLPETLVIFVADMSRPWTVMESL
+QKWASVLREHIDKMKIPPEKMRELERKFVKDFQDYMEPEEGCQGSPQRRGPLTSGSDEEN
+VALPLGDNVLTHNLGIPVLVVCTKCDAVSVLEKEHDYRDEHLDFIQSHLRRFCLQYGAAL
+IYTSVKEEKNLDLLYKYIVHKTYGFHFTTPALVVEKDAVFIPAGWDNEKKIAILHENFTT
+VKPEDAYEDFIVKPPVRKLVHDKELAAEDEQVFLMKQQSLLAKQPATPTRASESPARGPS
+GSPRTQGRGGPASVPSSSPGTSVKKPDPNIKNNAASEGVLASFFNSLLSKKTGSPGSPGA
+GGVQSTAKKSGQKTVLSNVQEELDRMTRKPDSMVTNSST
+>Q96EX3_PF00400_386 <unknown description>
+FTFSPHGGPIYSVSCSPFHRNLFLSAGTDGHVHLY
+>Q96EX3_PF00400_483 <unknown description>
+SPVYCLEFNSQQTQLLAAGDAQGTVKVW
+>Q8TCX1_PF05783_30 <unknown description>
+AEKFVFFIGSKNGGKTTIILRCLDRDEPPKPTLALEYTYGRRAKGHNTPKDIAHFWELGG
+GTSLLDLISIPITGDTLRTFSLVLVLDLSKPNDLWPTMENLLQATKSHVDKVIMKLGKTN
+AKAVSEMRQKIWNN
+>Q8NA75_PF00400_268 <unknown description>
+SHDSAVTSLQILQDGQFLVSSDMTGTIKLWD
+>A6NGE4_PF00400_189 <unknown description>
+QYLLGSHAGSVSTIHFNQRGTRLASSGDDLRVIVWD
+>A6NGE4_PF00400_426 <unknown description>
+QYVKRYKGHRNNDTIKCVNFYGPRSEFVVSGSDCGHVFFW
+>A6NGE4_PF00400_478 <unknown description>
+GDRGDIVNCLEPHPYLPVLATSGLDQHVRIW
+>P0C7V8_PF00400_221 <unknown description>
+QYRLADHVGCVNTVHFNQRGTRLASSGDDLKVIVWD
+>P0C7V8_PF00400_460 <unknown description>
+SKRFKGHRNNTTVKGVNFYGPRSEFVVSGSDCGHIFFW
+>P0C7V8_PF00400_505 <unknown description>
+IQFLKGSREGTINCLEPHPYLPVLACSGLDHDVKIW
+>Q5QP82_PF00400_202 <unknown description>
+HIKTLSEAHEDCVNNIRFLDNRLFATCSDDTTIALWD
+>Q5QP82_PF00400_246 <unknown description>
+VCTLHGHTSWVKNIEYDTNTRLLVTSGFDGNVIIWD
+>Q8TEB1_PF00400_298 <unknown description>
+RRTLQIESHEDDVNAVAFADISSQILFSGGDDAICKVWD
+>Q8TEB1_PF00400_346 <unknown description>
+KPVGALAGHQDGITFIDSKGDARYLISNSKDQTIKLWD
+>Q8TEB1_PF00400_475 <unknown description>
+IVKKLTNHKACVRDVSWHPFEEKIVSSSWDGNLRLW
+>Q9NV06_PF00400_59 <unknown description>
+LASLDGHRDGVNCLAKHPEKLATVLSGACDGEVRIWN
+>Q9NV06_PF00400_100 <unknown description>
+NCIRTIQAHEGFVRGICTRFCGTSFFTVGDDKTVKQWK
+>Q9NV06_PF08662_199 <unknown description>
+ISSVKFNPIETFLLGSCASDRNIVLYDMRQATPLKKVILDMRTNTICWNPMEAFIFTAAN
+EDYNLYTFDMRALDTPVMVHMDHVSAVLDVDYSPTGKEFVSASFDKSIR
+>Q9NV06_PF00400_327 <unknown description>
+HVICVKWTSDSKYIMCGSDEMNIRLWK
+>Q9NV06_PF04158_354 <unknown description>
+ANASEKLGVLTSREKAAKDYNQKLKEKFQHYPHIKRIARHRHLPKSIYSQIQEQRIMKEA
+RRRKEVNRIKHSKPGSVPLVSEKKKHV
+>Q66K64_PF14939_50 <unknown description>
+SPRLFRKLPPRVCVSLKNIVDEDFLYAGHIFLGFSKCGRYVLSYTSSSGDDDFSFYIYHL
+YWWEFNVHSKLKLVRQVRLFQDEEIYSDLYLTVCEWPSDASKVIVFGFNTRSANGMLMNM
+MMMSDENHRDIYVSTVAVPPPGRCAACQDASRAHPGDPNAQCLRHGFMLHTKYQVVYPFP
+TFQPAFQLKKDQVVLLNTSYSLVACAVSVH
+>Q9NXF7_PF15349_1 <unknown description>
+MGPRNPSPDHLSESESEEEENISYLNESSGEEWDSSEEEDSMVPNLSPLESLAWQVKCLL
+KYSTTWKPLNPNSWLYHAKLLDPSTPVHILREIGLRLSHCSHCVPKLEPIPEWPPLASCG
+VPPFQKPLTSPSRLSRDHATLNGALQFATKQLSRTLSRATPIPEYLKQIPNSCVSGCCCG
+WLTKTVKETTRTEPINTTYSYTDFQKAVNKLLTASL
+>Q5H9S7_PF15802_32 <unknown description>
+NLGILRALVCQESTKFKNVWTTHSRSPIAYERGRIYFDNYRRCVSSVASEPRKLYEMPKC
+SKSEKIEDALLWECPVGDILPNSSDYKSSLIALTAHNWLLRISATTGKILEKIYLAPYCK
+FRYLSWDTPQEVIAVKSAQNRGSAVARQAGIQQHVLLYLAVFRVLPFSLVGILEINKKIF
+GNVTDATLSHGILIVMYSSGLVRLYSFQTIAEQFMQQKLDLGCACRWGGTTGTVGEAPFG
+IPCNIKITDMPPLLFEVSSLENAFQIGGHPWHYIVTPNKKKQKGVFHICALKDNSLAKNG
+IQEMDCCSLESDWIYFHPDASGRIIHVGPNQVKVLKLTEIENNSSQHQISEDFVILANRE
+NHKNENVLTVTASGRVVKKSFNLLDDDPEQETFKIVDYEDELDLLSVVAVTQIDAEGKAH
+LDFHCNEYGTLLKSIPLVESWDVTYSHEVYFDRDLVLHIEQKPNRVFSCYVYQM
+>Q96JK2_PF00400_49 <unknown description>
+LLGHFGCVNAIEFSNNGGQWLVSGGDDRRVLLW
+>Q96JK2_PF00400_139 <unknown description>
+FAHEDAVYGLSVSPVNDNIFASSSDDGRVLIWD
+>Q96JK2_PF00400_328 <unknown description>
+VLKGHRSIVNQVRFNPHTYMICSSGVEKIIKIWS
+>Q58WW2_PF00400_45 <unknown description>
+ATLNVHDGCVNTICWNDTGEYILSGSDDTKLV
+>Q58WW2_PF00400_852 <unknown description>
+NHVVNCLQPHPFDPILASSGIDYDIKIWS
+>P61962_PF00400_259 <unknown description>
+VARLNNHRACVNGIAWAPHSSCHICTAADDHQALIWD
+>Q5TAQ9_PF00400_184 <unknown description>
+RLQHGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWD
+>Q5TAQ9_PF00400_423 <unknown description>
+QYVKRYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLW
+>Q5TAQ9_PF00400_475 <unknown description>
+GDKGGVVNCLEPHPHLPVLATSGLDHDVKIW
+>Q8WVC6_PF01121_3 <unknown description>
+LVGLTGGIASGKSSVIQVFQQLGCAVIDVDVMARHVVQPGYPAHRRIVEVFGTEVLLENG
+DINRKVLGDLIFNQPDRRQLLNAITHPEIRKEMMKETFKYFLRGYRYVILDIPLLFETKK
+LLKYMKHTVVVYCDRDTQLARLMRRNSLNRKDAEARINAQLPLTDKARMARHVLDNSGE
+>P17707_PF01536_5 <unknown description>
+HFFEGTEKLLEVWFSRQQPDANQGSGDLRTIPRSEWDILLKDVQCSIISVTKTDKQEAYV
+LSESSMFVSKRRFILKTCGTTLLLKALVPLLKLARDYSGFDSIQSFFYSRKNFMKPSHQG
+YPHRNFQEEIEFLNAIFPNGAAYCMGRMNSDCWYLYTLDFPESRVISQPDQTLEILMSEL
+DPAVMDQFYMKDGVTAKDVTRESGIRDLIPGSVIDATMFNPCGYSMNGMKSDGTYWTIHI
+TPEPEFSYVSFETNLSQTSYDDLIRKVVEVFKPGKFVTTLFVNQSSKCRTVLASPQKIEG
+FKRLDCQSAMFNDYNFVFTSF
+>Q8N8Z6_PF00431_41 <unknown description>
+CGHLVTYQDSGTMTSKNYPGTYPNHTVCEKTITVPKGKRLILRLGDLDIESQTCASDYLL
+FTSSSDQYGPYCGSMTVPKELLLNTSEVTVRFESGSHISGRGFLLTY
+>Q8N8Z6_PF03815_168 <unknown description>
+TEYSKFCPAGCRDVAGDISGNMVDGYRDTSLLCKAAIHAGIIADELGGQISVLQRKGISR
+YEGILANGVLSRDG
+>Q8N8Z6_PF00754_267 <unknown description>
+IRASSSWQSVNESGDQVHWSPGQARLQDQGPSWASGDSSNNHKPREWLEIDLGEKKKITG
+IRTTGSTQSNFNFYVKSFVMNFKNNNSKWKTYKGIVNNEEKVFQGNSNFRDPVQNNFIPP
+IVARYVRVVPQTWHQRIALKVEL
+>Q96PD2_PF00431_72 <unknown description>
+CGHTVLGPESGTLTSINYPQTYPNSTVCEWEIRVKMGERVRIKFGDFDIEDSDSCHFNYL
+RIYNGIGVSRTEIGKYCGLGLQMNHSIESKGNEITLLFMSGIHVSGRGFLASY
+>Q96PD2_PF03815_200 <unknown description>
+SNFLEPEFSKYCPAGCLLPFAEISGTIPHGYRDSSPLCMAGVHAGVVSNTLGGQISVVIS
+KGIPYYESSLANNVTSV
+>Q96PD2_PF00754_307 <unknown description>
+QITASSVLEWTDHTGQENSWKPKKARLKKPGPPWAAFATDEYQWLQIDLNKEKKITGIIT
+TGSTMVEHNYYVSAYRILYSDDGQKWTVYREPGVEQDKIFQGNKDYHQDVRNNFLPPIIA
+RFIRVNPTQWQQKIAMKMEL
+>Q9BVC3_PF09724_43 <unknown description>
+FCLLELEPTLCQQLEDGHSLVIRGDKDEQAVLCSKDKTYDLKIADTSNMLLFIPGCKTPD
+QLKKEDSHCNIIHTEIFGFSNNYWELRRRRPKLKKLKKLLMENPYEGPDSQKEKDSNSSK
+YTTEDLLDQIQASEEEIMTQLQVLNACKIGGYWRILEFDYEMKLLNHVTQLVDSESWSFG
+KVPLNTCLQELGPLEPEEMIEHCLKCYGKKYVDEGEVYFELDADKICRAAARMLLQNAVK
+FNLAEFQEVWQQSVPEGMVTSLDQLKGLALVDRHSRPEIIFLLKVDDLPEDNQERFNSLF
+SLREKWTEEDIAPYI
+>P43146_PF07679_141 <unknown description>
+RFLSQTESVTAFMGDTVLLKCEVIGEPMPTIHWQKNQQDLTPIPGDSRVVVLPSGALQIS
+RLQPGDIGIYRCSARNPASSRT
+>P43146_PF07679_241 <unknown description>
+YFLQRPSNVVAIEGKDAVLECCVSGYPPPSFTWLRGEEVIQLRSKKYSLLGGSNLLISNV
+TDDDSGMYTCVVTYKNENISASAELTV
+>P43146_PF07679_333 <unknown description>
+FLNHPSNLYAYESMDIEFECTVSGKPVPTVNWMKNGDVVIPSDYFQIVGGSNLRILGVVK
+SDEGFYQCVAENEAGNAQTSAQLIV
+>P43146_PF00041_430 <unknown description>
+SAPRDVVPVLVSSRFVRLSWRPPAEAKGNIQTFTVFFSREGDNRERALNTTQPGSLQLTV
+GNLKPEAMYTFRVVAYNEWGPGESS
+>P43146_PF00041_530 <unknown description>
+PVENLQAVSTSPTSILITWEPPAYANGPVQGYRLFCTEVSTGKEQNIEVDGLSYKLEGLK
+KFTEYSLRFLAYNRYGPG
+>P43146_PF00041_625 <unknown description>
+PPQNVSLEVVNSRSIKVSWLPPPSGTQNGFITGYKIRHRKTTRRGEMETLEPNNLWYLFT
+GLEKGSQYSFQVSAMTVNGTGPPS
+>P43146_PF00041_727 <unknown description>
+DQPSSLHVRPQTNCIIMSWTPPLNPNIVVRGYIIGYGVGSPYAETVRVDSKQRYYSIERL
+ESSSHYVISLKAFNNAGEGV
+>P43146_PF00041_846 <unknown description>
+PPVGVQAVALTHDAVRVSWADNSVPKNQKTSEVRLYTVRWRTSFSASAKYKSEDTTSLSY
+TATGLKPNTMYEFSVMVTKNRRSS
+>P43146_PF00041_946 <unknown description>
+SAPKDLTVITREGKPRAVIVSWQPPLEANGKITAYILFYTLDKNIPIDDWIMETISGDRL
+THQIMDLNLDTMYYFRIQARNSKGVGPLS
+>P43146_PF06583_1148 <unknown description>
+LRPPDLWIHHEEMEMKNIEKPSGTDPAGRDSPIQSCQDLTPVSHSQSETQLGSKSTSHSG
+QDTEEAGSSMSTLERSLAARRAPRAKLMIPMDAQSNNPAVVSAIPVPTLESAQYPGILPS
+PTCGYPHPQFTLRPVPFPTLSVDRGFGAGRSQSVSEGPTTQQPPMLPPSQPEHSSSEEAP
+SRTIPTACVRPTHPLRSFANPLLPPPMSAIEPKVPYTPLLSQPGPTLPKTHVKTASLGLA
+GKARSPLLPVSVPTAPEVSEESHKPTEDSANVYEQDDLSEQMASLEGLMKQLNAITGS
+>A2VCK2_PF03607_27 <unknown description>
+VVTQRRFPTMEAFLCEVTSAVQAPLAVRALYTPCHGHPVTNLADLKNRGQYVAAGFERF
+>A2VCK2_PF03607_148 <unknown description>
+LSQAASQDWETVLKLLTEKVKLQSGAVCKLCTLEGLPLSAGKELVTGHYYVAVGEDEF
+>A8MYV0_PF03607_34 <unknown description>
+VLSRRRAATFEALLEQLTEQVDVPFGVRRLFTPTRGHRVLGLDALQAGGKYVAAGRERF
+>A8MYV0_PF03607_155 <unknown description>
+IPKFSLSDWDIVLATIGEKVFPLGGVRKLFTMNGHLLGDSKDLQDNHFYVAVGLETF
+>Q9UHG0_PF03607_35 <unknown description>
+VIHEKKVSSFEVFLKEVTGGVQAPFGAVRNIYTPRTGHRIRKLDQIQSGGNYVAGGQEAF
+>Q9UHG0_PF03607_157 <unknown description>
+LIPRKTLNQWDHVLQMVTEKITLRSGAVHRLYTLEGKLVESGAELENGQFYVAVGRDKF
+>P81605_PF15291_19 <unknown description>
+AYDPEAASAPGSGNPCHEASAAQKENAGEDPGLARQAPKPRKQRSSLLEKGLDGAKKAVG
+GLGKLGKDAVEDLESVGKGAVH
+>Q99259_PF00282_151 <unknown description>
+MEGFNLELSDHPESLEQILVDCRDTLKYGVRTGHPRFFNQLSTGLDIIGLAGEWLTSTAN
+TNMFTYEIAPVFVLMEQITLKKMREIVGWSSKDGDGIFSPGGAISNMYSIMAARYKYFPE
+VKTKGMAAVPKLVLFTSEQSHYSIKKAGAALGFGTDNVILIKCNERGKIIPADFEAKILE
+AKQKGYVPFYVNATAGTTVYGAFDPIQEIADICEKYNLWLHVDAAWGGGLLMSRKHRHKL
+NGIERANSVTWNPHKMMGVLLQCSAILVKEKGILQGCNQMCAGYLFQPDKQYDVSYDTGD
+KAIQCGRHVDIFKFWLMWKAKGTVGFENQINKCLELAEYLYAKIKNREEFEMVFNGEPEH
+TNVCFWY
+>Q05329_PF00282_138 <unknown description>
+PNELLQEYNWELADQPQNLEEILMHCQTTLKYAIKTGHPRYFNQLSTGLDMVGLAADWLT
+STANTNMFTYEIAPVFVLLEYVTLKKMREIIGWPGGSGDGIFSPGGAISNMYAMMIARFK
+MFPEVKEKGMAALPRLIAFTSEHSHFSLKKGAAALGIGTDSVILIKCDERGKMIPSDLER
+RILEAKQKGFVPFLVSATAGTTVYGAFDPLLAVADICKKYKIWMHVDAAWGGGLLMSRKH
+KWKLSGVERANSVTWNPHKMMGVPLQCSALLVREEGLMQNCNQMHASYLFQQDKHYDLSY
+DTGDKALQCGRHVDVFKLWLMWRAKGTTGFEAHVDKCLELAEYLYNIIKNREGYEMVFDG
+KPQHTNVCFWY
+>P19113_PF00282_36 <unknown description>
+PGYLRAQLPESAPEDPDSWDSIFGDIERIIMPGVVHWQSPHMHAYYPALTSWPSLLGDML
+ADAINCLGFTWASSPACTELEMNVMDWLAKMLGLPEHFLHHHPSSQGGGVLQSTVSESTL
+IALLAARKNKILEMKTSEPDADESCLNARLVAYASDQAHSSVEKAGLISLVKMKFLPVDD
+NFSLRGEALQKAIEEDKQRGLVPVFVCATLGTTGVCAFDCLSELGPICAREGLWLHIDAA
+YAGTAFLCPEFRGFLKGIEYADSFTFNPSKWMMVHFDCTGFWVKDKYKLQQTFSVNPIYL
+RHANSGVATDFMHWQIPLSRRFRSVKLWFVIRSFGVKNLQAHVRHGTEMAKYFESLVRND
+PSFEIPAKRHLGLVVFRLK
+>P27707_PF01712_24 <unknown description>
+ISIEGNIAAGKSTFVNILKQLCEDWEVVPEPVARWCNVQSTQDEFEELTMSQKNGGNVLQ
+MMYEKPERWSFTFQTYACLSRIRAQLASLNGKLKDAEKPVLFFERSVYSDRYIFASNLYE
+SECMNETEWTIYQDWHDWMNNQFGQSLELDGIIYLQATPETCLHRIYLRGRNEEQGIPLE
+YLEKLHYKHESWLLHRTLKTNFDYLQEVPILTLDVNEDFKDKYESLVEKVKEFL
+>O15075_PF03607_75 <unknown description>
+AISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTIDGLKKISSLDQLVEGESYVCGSI
+EPF
+>O15075_PF03607_204 <unknown description>
+LLNKKTAHSFEQVLTDITDAIKLDSGVVKRLYTLDGKQVMCLQDFFGDDDIFIACGPEKF
+>O15075_PF00069_390 <unknown description>
+YKVGRTIGDGNFAVVKECVERSTAREYALKIIKKSKCRGKEHMIQNEVSILRRVKHPNIV
+LLIEEMDVPTELYLVMELVKGGDLFDAITSTNKYTERDASGMLYNLASAIKYLHSLNIVH
+RDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTVCGTPTYVAPEIIAETGYGLKVD
+IWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVDFPSPYWDNVSDSAKELITMMLL
+VDVDQRFSAVQVLEHPWV
+>Q8N568_PF03607_90 <unknown description>
+AISSDRFRSFDALLIELTRSLSDNVNLPQGVRTIYTIDGSRKVTSLDELLEGESYVCASN
+EPF
+>Q8N568_PF03607_215 <unknown description>
+LLNKKTAHSFEQVLTDITEAIKLDSGVVKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKF
+>Q8N568_PF00069_394 <unknown description>
+YKIGKVIGDGNFAVVKECIDRSTGKEFALKIIDKAKCCGKEHLIENEVSILRRVKHPNII
+MLVEEMETATELFLVMELVKGGDLFDAITSSTKYTERDGSAMVYNLANALRYLHGLSIVH
+RDIKPENLLVCEYPDGTKSLKLGDFGLATVVEGPLYTVCGTPTYVAPEIIAETGYGLKVD
+IWAAGVITYILLCGFPPFRSENNLQEDLFDQILAGKLEFPAPYWDNITDSAKELISQMLQ
+VNVEARCTAGQILSHPWV
+>O95822_PF17408_95 <unknown description>
+FGVDHGQVAEQSAGVLHLRQQQREAAVLLQAEDRLRYALVPRYRGLFHHISKLDGGVRFL
+VQLRADLLEAQALKLVEGPDVREMNGVLKGMLSEWF
+>O95822_PF05292_193 <unknown description>
+GFLNLERVTWHSPCEVLQKISEAEAVHPVKNWMDMKRRVGPYRRCYFFSHCSTPGEPLVV
+LHVALTGDISSNIQAIVKEHPPSETEEKNKITAAIFYSISLTQQGLQGVELGTFLIKRVV
+KELQREFPHLGVFSSLSPIPGFTKWLLGLLNSQTKEHGRNELFTDSECKEISEITGGPIN
+ETLKLLLSSSEWVQSEKLVRALQTPLMRLCAWYLYGEKHRGYALNPVANFHLQNGAVLWR
+INWMADVSLRGITGSCGLMANYRY
+>Q96GG9_PF14555_9 <unknown description>
+KDKVRQFMIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPE
+>Q96GG9_PF03556_136 <unknown description>
+KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL
+WNKFLLEHHKRSIPKDTWNLLLDFSTMIADDMSNYDEEGAWPVLIDDFVEF
+>Q6PH85_PF14555_9 <unknown description>
+KDKVRQFMACTQAGERTAIYCLTQNEWRLDEATDSFFQNPD
+>Q6PH85_PF03556_136 <unknown description>
+KLKALLPRLEQELKDTAKFKDFYQFTFTFAKNPGQKGLDLEMAVAYWKLVLSGRFKFLDL
+WNTFLMEHHKRSIPRDTWNLLLDFGNMIADDMSNYDEEGAWPVLIDDFVEY
+>Q8IWE4_PF03556_167 <unknown description>
+PSLLTEAKQEDKFKDLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLN
+FLTENPSGIKGISRDTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEW
+>Q92564_PF03556_175 <unknown description>
+KLRNTLDYLRSFLNDSTNFKLIYRYAFDFAREKDQRSLDINTAKCMLGLLLGKIWPLFPV
+FHQFLEQSKYKVINKDQWCNVLEFSRTINLDLSNYDEDGAWPVLLDEFVEW
+>Q9BTE7_PF03556_120 <unknown description>
+KLQNKFDFLRSQLNDISSFKNIYRYAFDFARDKDQRSLDIDTAKSMLALLLGRTWPLFSV
+FYQYLEQSKYRVMNKDQWYNVLEFSRTVHADLSNYDEDGAWPVLLDEFVEW
+>P11926_PF00278_41 <unknown description>
+YVADLGDILKKHLRWLKALPRVTPFYAVKCNDSKAIVKTLAATGTGFDCASKTEIQLVQS
+LGVPPERIIYANPCKQVSQIKYAANNGVQMMTFDSEVELMKVARAHPKAKLVLRIATDDS
+KAVCRLSVKFGATLRTSRLLLERAKELNIDVVGVSFHVGSGCTDPETFVQAISDARCVFD
+MGAEVGFSMYLLDIGGGFPGSEDVKLKFEEITGVINPALDKYFPSDSGVRIIAEPGRYYV
+ASAFTLAVNIIAKKIVLKEQTGSDDEDESSEQTFMYYVNDGVYGSFNCILYDHAHVKPLL
+QKRPKPDEKYYSSSIWGPTCDGLDRIVERCDLPEMHVGDWMLFENMG
+>P11926_PF02784_45 <unknown description>
+LGDILKKHLRWLKALPRVTPFYAVKCNDSKAIVKTLAATGTGFDCASKTEIQLVQSLGVP
+PERIIYANPCKQVSQIKYAANNGVQMMTFDSEVELMKVARAHPKAKLVLRIATDDSKAVC
+RLSVKFGATLRTSRLLLERAKELNIDVVGVSFHVGSGCTDPETFVQAISDARCVFDMGAE
+VGFSMYLLDIGGGFPGSEDVKLKFEEITGVINPALDKYFPSDSGVRIIAEPGRYYVA
+>Q9NPI6_PF06058_9 <unknown description>
+QEMSLAALKQHDPYITSIADLTGQVALYTFCPKANQWEKTDIEGTLFVYRRSASPYHGFT
+IVNRLNMHNLVEPVNKDLEFQLHEPFLLYRNASLSIYSIWFYDKNDCHRIAKLMA
+>Q9NPI6_PF16741_541 <unknown description>
+ILSKSQLQDTLIHLIKNDSSFLSTLHEVYLQVLTKNKDNH
+>Q8IZD4_PF06058_14 <unknown description>
+RDISLAALQRHDPYINRIVDVASQVALYTFGHRANEWEKTDVEGTLFVYTRSASPKHGFT
+IMNRLSMENRTEPITKDLDFQLQDPFLLYRNARLSIYGIWFYDKEECQRIAELMK
+>Q8IZD4_PF16741_575 <unknown description>
+SPLTKLQLQEALLYLIQNDDNFLNIIYEAYLFSMTQAAMKK
+>Q8IU60_PF05026_12 <unknown description>
+VLDDLCSRFILHIPSEERDNAIRVCFQIELAHWFYLDFYMQNTPGLPQCGIRDFAKAVFS
+HCPFLLPQGEDVEKVLDEWKEY
+>Q8IU60_PF00293_99 <unknown description>
+TYGAIILDETLENVLLVQGYLAKSGWGFPKGKVNKEEAPHDCAAREVFEETGFDIKDYIC
+KDDYIELRINDQLARLYIIPGIPKDTKFNPKTRREIRNIEWFSIEKLPCHRND
+>Q96C86_PF05652_45 <unknown description>
+SGFRLQKVLRESARDKIIFLHGKVNEASGDGDGEDAVVILEKTPFQVEQVAQLLTGSPEL
+QLQFSNDIYSTYHLFPPRQLNDVKTTVVYPATEKHLQKYLRQ
+>Q96C86_PF11969_174 <unknown description>
+QWVYNILDKKAEADRIVFENPDPSDGFVLIPDLKWNQQQLDDLYLIAICHRRGIRSLRDL
+TPEHLPLLRNILHQGQEAILQRYRMKGDHLRVYLHYLPSYYHLHVHFTALGFEAPGSG
+>Q6PJP8_PF07522_914 <unknown description>
+PEINSLITTDMCSSLVHLLPMMQINFKGLQSHLKKCGGKYNQILAFRPTGWTHSNKFTRI
+ADVIPQTKGNISIYGIPYSEHSSYLEMKRFVQWLKPQKIIPTVNVG
+>Q9H816_PF07522_267 <unknown description>
+DIHVIPYSDHSSYSELRAFVAALKPCQVVPIVS
+>Q96SD1_PF07522_239 <unknown description>
+PEILHHLTTDRNTQIHACRHPKAEEYFQWSKLPCGITSRNRIPLHIISIKPSTMWFGERS
+RKTNVIVRTGESSYRACFSFHSSYSEIKDFLSYLCPVNAYPNVIP
+>Q5T197_PF07782_405 <unknown description>
+FDNIYISTYFCQIDDRRKKLGKRTLLPLRKAEEKTVIFPCKPTIQASEMSNVVRELLETL
+PILLLLVVLCGLDWALYSIFDTIRHHSFLQYSFRSSHKLEVKVGGDSMLARLLRKTIGAL
+NTSSETVMESNNMPCLPQPVGLDARAYWRAAVPIGLLVCLCLLQAFGYRLRRVIAAFYFP
+KREKKRILFLY
+>Q5T1A1_PF07782_354 <unknown description>
+YDNIYITSRFLRMEAVRSTAGLPTVLPLSAHEARRYIPPGSIFLSQWEKFFYILETFNLI
+RHLLLVLFLVFLDYAVFWVLDLARHQLQGEIVARSPVLVSLTVEGTGYAGNIYRDLVSAF
+DVLQQGNISILSRRCLLRPSEPDSTGYIVIGVMYGLCFFITLFGSYVSRLRRVICASYYP
+SREQERISYLY
+>Q9H295_PF07782_242 <unknown description>
+YENIYITRQFVQFDERERHQQRPCVLPLNKEERRKYVIIPTFWPTPKERKNLGLFFLPIL
+IHLCIWVLFAAVDYLLYRLIFSVSKQFQSLPGFEVHLKLHGEKQGTQDIIHDSSFNISVF
+EPNCIPKPKFLLSETWVPLSVILLILVMLGLLSSILMQLKILVSASFYPSVERKRIQYLH
+>P32321_PF00383_14 <unknown description>
+EWPEYFMAVAFLSAQRSKDPNSQVGACIVNSENKIVGIGYNGMPNGCSDDVLPWRRTAEN
+KLDTKYPYVCHAELNAIMNKNSTDVKGCSMYVALFPCNECAKLIIQAGIKEVIFM
+>Q14203_PF01302_29 <unknown description>
+VGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRKYFTCDEGHGIF
+VRQSQ
+>Q14203_PF12455_527 <unknown description>
+LQDVNRELTNQQEASVERQQQPPPETFDFKIKFAETKAHAKAIEMELRQMEVAQANRHMS
+LLTAFMPDSFLRPGGDHDCVLVLLLMPRLICKAELIRKQAQEKFELSENCSERPGLRGAA
+GEQLSFAAGLVYSLSLLQATLHRYEHALSQCSVDVYKKVGSLYPEMSAHERSLDFLIELL
+HKDQLDETVNVEPLTKAIKYYQHLYSIHLAEQPEDCTMQLADHIKFTQSALDCMSVEVGR
+LRAFLQGGQEATDIALLLRDLETSCSDIRQFCKKIRRRM
+>Q13561_PF04912_16 <unknown description>
+EPDVYETSDLPEDDQAEFDAEELTSTSVEHIIVNPNAAYDKFKDKRVGTKGLDFSDRIGK
+TKRTGYESGEYEMLGEGLGVKETPQQKYQRLLHEVQELTTEVEKIKTTVKESATEEKLTP
+VLLAKQLAALKQQLVASHLEKLLGPDAAINLTDPDGALAKRLLLQLEATKNSKGGSGGKT
+TGTPPDSSLVTYELHSRPEQDKFSQAAKVAELEKRLTELETAVRCDQDAQNPLSAGLQGA
+CLMETVELLQAKVSALDLAVLDQVEARLQSVLGKVNEIAKHKASVEDADTQSKVHQLYET
+IQRWSPIASTLPELVQRLVTIKQLHEQAMQFGQLLTHLDTTQQMIANSLKDNTTLLTQVQ
+TTMRENLATVEGNFASIDERMKKL
+>O75935_PF07426_7 <unknown description>
+LQRLQARVEELERWVYGPGGARGSRKVADGLVKVQVALGNISSKRERVKILYKKIEDLIK
+YLDPEYIDRIAIPDASKLQFILAEEQFILSQVALLEQVNALVPMLDSAHIKAVPEHAARL
+QRLAQIHIQQQDQCVEITEESKALLEEYNKTTMLLSKQFVQWDE
+>Q9UJW0_PF05502_23 <unknown description>
+PLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPSAEAKLKKNRCANCFDCPGCMHT
+LSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRDVGMA
+>Q9UJW0_PF05502_239 <unknown description>
+LTEVTTLQQRLLQPDFQPVCASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKI
+QLVAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTH
+>Q9BTE1_PF00132_102 <unknown description>
+AQIGSYVHVGKNCVIGRRCVLKDCCKI
+>O00399_PF00132_100 <unknown description>
+KMGDNNVIESKAYVGRNVILTSGCIIGACCNL
+>Q9H773_PF12643_63 <unknown description>
+EVGELAELFQWKTDGEPGPQGWSPRERAALQEELSDVLIYLVALAARCRVDLPLAVLSKM
+DINRRRYPAHLARSSSRKYTEL
+>P06132_PF01208_15 <unknown description>
+KNDTFLRAAWGEETDYTPVWCMRQAGRYLPEFRETRAAQDFFSTCRSPEACCELTLQPLR
+RFPLDAAIIFSDILVVPQALGMEVTMVPGKGPSFPEPLREEQDLERLRDPEVVASELGYV
+FQAITLTRQRLAGRVPLIGFAGAPWTLMTYMVEGGGSSTMAQAKRWLYQRPQASHQLLRI
+LTDALVPYLVGQVVAGAQALQLFESHAGHLGPQLFNKFALPYIRDVAKQVKARLREAGLA
+PVPMIIFAKDGHFALEELAQAGYEVVGLDWTVAPKKARECVGKTVTLQGNLDPCALYASE
+EEIGQLVKQMLDDFGPHRYIANLGHGLYPDMDPEHVGAFVDAVHKH
+>Q7Z4W1_PF13561_14 <unknown description>
+GAGKGIGRGTVQALHATGARVVAVSRTQADLDSLVRECPGIEPVCVDLGDWEATERALGS
+VGPVDLLVNNAAVALLQPFLEVTKEAFDRSFEVNLRAVIQVSQIVARGLIARGVPGAIVN
+VSSQCSQRAVTNHSVYCSTKGALDMLTKVMALELGPHKIRVNAVNPTVVMTSMGQATWSD
+PHKAKTMLNRIPLGKFAEVEHVVNAILFLLSDRSGMTTGSTLPVEGGF
+>Q9NUU7_PF00270_116 <unknown description>
+KIQENALPMMLAEPPQNLIAQSQSGTGKTAAFVLAMLSRVEPSDRYPQCLCLSPTYELAL
+QTGKVIEQMGKFYPELKLAYAVRGNKLERGQKISEQIVIGTPGTVLDWCSKLKFIDPKKI
+KVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSV
+>Q9NUU7_PF00271_319 <unknown description>
+KFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVALLSGEMMVEQRAAVIERF
+REGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNETYLHRIGRTGRFG
+>Q9UMR2_PF00270_117 <unknown description>
+KIQENALPLMLAEPPQNLIAQSQSGTGKTAAFVLAMLSQVEPANKYPQCLCLSPTYELAL
+QTGKVIEQMGKFYPELKLAYAVRGNKLERGQKISEQIVIGTPGTVLDWCSKLKFIDPKKI
+KVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSV
+>Q9UMR2_PF00271_320 <unknown description>
+KFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVALLSGEMMVEQRAAVIERF
+REGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNETYLHRIGRTGRFG
+>Q9BW61_PF10172_3 <unknown description>
+DFLKGLPVYNKSNFSRFHADSVCKASNRRPSVYLPTREYPSEQIIVTEKTNILLRYLHQQ
+WDK
+>O94760_PF02274_70 <unknown description>
+SLPDCVFVEDVAVVCEETALITRPGAPSRRKEVDMMKEALEKLQLNIVEMKDENATLDGG
+DVLFTGREFFVGLSKRTNQRGAEILADTFKD
+>Q16531_PF10433_75 <unknown description>
+DLLFILTAKYNACILEYKQSGESIDIITRAHGNVQDRIGRPSETGIIGIIDPECRMIGLR
+LYDGLFKVIPLDRDNKELKAFNIRLEELHVIDVKFLYGCQAPTICFVYQDPQGRHVKTYE
+VSLREKEFNKGPWKQENVEAEASMVIAVPEPFGGAIIIGQESITYHNGDKYLAIAPPIIK
+QSTIVCHNRVDPNGSRYLLGDMEGRLFMLLLEKEEQMDGTVTLKDLRVELLGETSIAECL
+TYLDNGVVFVGSRLGDSQLVKLNVDSNEQGSYVVAMETFTNLGPIVDMCVVDLERQGQGQ
+LVTCSGAFKEGSLRIIRNGIGIHEHASIDLPGIKGLWPLRSDPNRETDDTLVLSFVGQTR
+VLMLNGEEVEETELMGFVDDQQTFFCGNVAHQQLIQITSASVRLVSQEPKALVSEWKEPQ
+AKNISVASCNSSQVVVAVGRALYYLQIHPQELRQISHTEMEHEVACLDI
+>Q16531_PF03178_791 <unknown description>
+LLIIDQHTFEVLHAHQFLQNEYALSLVSCKLGKDPNTYFIVGTAMVYPEEAEPKQGRIVV
+FQYSDGKLQTVAEKEVKGAVYSMVEFNGKLLASINSTVRLYEWTTEKELRTECNHYNNIM
+ALYLKTKGDFILVGDLMRSVLLLAYKPMEGNFEEIARDFNPNWMSAVEILDDDNFLGAEN
+AFNLFVCQKDSAATTDEERQHLQEVGLFHLGEFVNVFCHGSLVMQNLGETSTPTQGSVLF
+GTVNGMIGLVTSLSESWYNLLLDMQNRLNKVIKSVGKIEHSFWRSFHTERKTEPATGFID
+GDLIESFLD
+>Q92466_PF00400_233 <unknown description>
+KELWNLRMHKKKVTHVALNPCCDWFLATASVDQTVKIWD
+>P20711_PF00282_35 <unknown description>
+PGYLRPLIPAAAPQEPDTFEDIINDVEKIIMPGVTHWHSPYFFAYFPTASSYPAMLADML
+CGAIGCIGFSWAASPACTELETVMMDWLGKMLELPKAFLNEKAGEGGGVIQGSASEATLV
+ALLAARTKVIHRLQAASPELTQAAIMEKLVAYSSDQAHSSVERAGLIGGVKLKAIPSDGN
+FAMRASALQEALERDKAAGLIPFFMVATLGTTTCCSFDNLLEVGPICNKEDIWLHVDAAY
+AGSAFICPEFRHLLNGVEFADSFNFNPHKWLLVNFDCSAMWVKKRTDLTGAFRLDPTYLK
+HSHQDSGLITDYRHWQIPLGRRFRSLKMWFVFRMYGVKGLQAYIRKHVQLSHEFESLVRQ
+DPRFEICVEVILGLVCFRLK
+>Q8NEL9_PF02862_611 <unknown description>
+LKFKVENFFCMGSPLAVFLALRGIRPGNTGSQDHILPREICNRLLNIFHPTDPVAYRLEP
+LILKHYSNISPVQIHWYNTSNPLPYEHMKPSFLNPAKEPTSVSENEGISTIPSPVTSPVL
+SRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSTTQSSETSKDSMEDEKKPVAS
+PSATTVGTQTLPHSSSGFLDSAYFRLQESFFNLPQLLFPENVMQNKDNALVELDHRIDFE
+LREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMY
+>O94830_PF02825_43 <unknown description>
+HWFYCKIIDSKETWIPFNSEDSQQLEEAYSSGKGCNGRVVPTDGGRYDVHLGERMRYAVY
+WDELASEVRR
+>O94830_PF00536_394 <unknown description>
+LKKLQLSEFFDIFEKEKVDKEALALCTDRDLQEIGIPLGPRKKILNY
+>O94830_PF02862_496 <unknown description>
+IYKPEIFFAFGSPIGMFLTVRGLKRIDPNYRFPTCKGFFNIYHPFDPVAYRIEPMVVPGV
+EFEPMLIPHHKGRKRMHLELREGLTRMSMDLKNNLLGSLRMAWKSFTRAPYPALQASETP
+EETEAEPESTSEKPSDVNTEETSVAVKEEVLPINVGMLNGGQRIDYVLQEKPIESFNEYL
+FALQSHLCYWESEDTVLLVLKEIY
+>Q8WTU0_PF00240_12 <unknown description>
+LSEVTFSLQVSPDFELRNFKVLCEAESRVPVEEIQIIHMERLLIEDHCSLGSYGLKDGDI
+VVLLQK
+>Q8WTU0_PF09668_220 <unknown description>
+QQNIEENMNIAIEEAPESFGQVTMLYINCKVNGHPLKAFVDSGAQMTIMSQACAERCNIM
+RLVDRRWAGVAKGVGTQRIIGRVHLAQIQIEGDFLQCSFSILEDQPMDMLLGLDMLRRHQ
+CSID
+>Q5TDH0_PF00240_12 <unknown description>
+LSEVTFSLQVDADFELHNFRALCELESGIPAAESQIVYAERPLTDNHRSLASYGLKDGDV
+VILRQK
+>Q5TDH0_PF09668_212 <unknown description>
+QQNIEENMTIAMEEAPESFGQVVMLYINCKVNGHPVKAFVDSGAQMTIMSQACAERCNIM
+RLVDRRWAGIAKGVGTQKIIGRVHLAQVQIEGDFLPCSFSILEEQPMDMLLGLDMLKRHQ
+CSID
+>Q8IXT1_PF08646_10 <unknown description>
+ASVLALQNSSFIYPSCQKCFSRIILVSKRSNCPKCGSTGESGNANYRYKLSLKVAESNKL
+FVITVFGSCLDTFFGLTATGLHRYIQDPN
+>Q9NX09_PF07809_106 <unknown description>
+QARLGSRRPARLLMPSQLVSQVGKELLRLAYSEPCGLRGALLDVCVEQGKSCHSVGQLAL
+DPSLVPTFQLTLVLRLDSRLWPKIQGLFSSANSPFLPGFSQSLTLSTGFRVIKKKLYS
+>Q08345_PF00754_47 <unknown description>
+ISASSSWSDSTAARHSRLESSDGDGAWCPAGSVFPKEEEYLQVDLQRLHLVALVGTQGRH
+AGGLGKEFSRSYRLRYSRDGRRWMGWKDRWGQEVISGNEDPEGVVLKDLGPPMVARLVRF
+YPRADRVMSVCLRVEL
+>Q08345_PF07714_610 <unknown description>
+LRFKEKLGEGQFGEVHLCEVDSPQDLVSLDFPLNVRKGHPLLVAVKILRPDATKNARNDF
+LKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSAHQLEDKAAEGAPGD
+GQAAQGPTISYPMLLHVAAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKIADFGMSR
+NLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRAQPFGQLT
+DEQVIENAGEFFRDQGRQVYLSRPPACPQGLYELMLRCWSRESEQRPPFSQLHRFL
+>Q16832_PF00754_46 <unknown description>
+ITASSQWSESTAAKYGRLDSEEGDGAWCPEIPVEPDDLKEFLQIDLHTLHFITLVGTQGR
+HAGGHGIEFAPMYKINYSRDGTRWISWRNRHGKQVLDGNSNPYDIFLKDLEPPIVARFVR
+FIPVTDHSMNVCMRVEL
+>Q16832_PF07714_563 <unknown description>
+LTFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSANQPVLVAVKMLRADANKNARNDF
+LKEIKIMSRLKDPNIIHLLAVCITDDPLCMITEYMENGDLNQFLSRHEPPNSSSSDVRTV
+SYTNLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKNYTIKIADFGMSRNLYSGDYYR
+IQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETFTFCQEQPYSQLSDEQVIENTG
+EFFRDQGRQTYLPQPAICPDSVYKLMLSCWRRDTKNRPSFQEIHL
+>Q96HY6_PF09756_116 <unknown description>
+KIGAKKLRKLEEKQARKAQREAEEAEREERKRLESQREAEWKKEEERLRLEEEQKEEEER
+KAREEQAQREHEEYLKLKEAFVVEEEGVGETMTEEQSQSFLTEFINYIKQSKVVLLEDLA
+SQVGLRTQDTINRIQDLLAEGTITGVIDDRGKFIYITPEELAAVANFIRQRGRVSIAELA
+QASNSLI
+>Q96D03_PF07809_66 <unknown description>
+SKSKQTKLGCSKVLVPEKLTQRIAQDVLRLSSTEPCGLRGCVMHVNLEIENVCKKLDRIV
+CDSSVVPTFELTLVFKQENCSWTSFRDFFFSRGRFSSGFRRTLILSSGFRLVKKKLYS
+>A6NHG4_PF01187_2 <unknown description>
+PFLELDTNLPANRVPAGLEKRLCAAAASILGKPADRVNVTVRPGLAMALSGSTEPCAQLS
+ISSIGVVGTAEDNRSHSAHFFEFLTKELALGQDRF
+>Q13206_PF00270_93 <unknown description>
+TEIQKQTIGLALQGKDVLGAAKTGSGKTLAFLVPVLEALYRLQWTSTDGLGVLIISPTRE
+LAYQTFEVLRKVGKNHDFSAGLIIGGKDLKHEAERINNINILVCTPGRLLQHMDETVSFH
+ATDLQMLVLDEADRILDMGFADTMNAVIENLPKKRQTLLFSATQTKSVKDL
+>Q13206_PF00271_300 <unknown description>
+KISVLYSFLRSHLKKKSIVFFSSCKEVQYLYRVFCRLRPGVSILALHGRQQQMRRMEVYN
+EFVRKRAAVLFATDIAARGLDFPAVNWVLQFDCPEDANTYIHRAGRTARY
+>Q13206_PF13959_450 <unknown description>
+VQKKLESILAQDQDLKERAQRCFVSYVRSVYLMKDKEVFDVSKLPIPEYALSLGLAVAPR
+V
+>Q96FC9_PF06733_231 <unknown description>
+YCSRTHSQLAQFVHEVKKSPFGKDVRLVSLGSRQNLCVNEDVKSLGSVQLINDRCVDMQR
+SRHEKKKGAEEEKPKRRRQEKQAACPFYNHEQMGLLRDEALAEVKDMEQLLALGKEARAC
+PYYGSRLAIPAAQLVVLPYQMLLHAATRQAAGIRLQDQVVIIDEAHNLIDTITGMHSVEV
+SGSQL
+>Q96FC9_PF13307_692 <unknown description>
+LCNLCGVVPGGVVCFFPSYEYLRQVHAHWEKGGLLGRLAARKKIFQEPKSAHQVEQVLLA
+YSRCIQACGQERGQVTGALLLSVVGGKMSEGINFSDNLGRCVVMVGMPFPNIRSAELQEK
+MAYLDQTLPRAPGQAPPGKALVENLCMKAVNQSIGRAIRHQKDFASVVLLDQRYARPPVL
+AKLPAWIRA
+>Q92841_PF00270_195 <unknown description>
+TPIQCQGFPLALSGRDMVGIAQTGSGKTLAYLLPAIVHINHQPYLERGDGPICLVLAPTR
+ELAQQVQQVADDYGKCSRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLESGKT
+NLRRCTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQ
+>Q92841_PF00271_404 <unknown description>
+HKLIQLMEEIMAEKENKTIIFVETKRRCDDLTRRMRRDGWPAMCIHGDKSQPERDWVLNE
+FRSGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYVHRIGRTARS
+>Q9NVP1_PF00270_203 <unknown description>
+TEIQHKSIRPLLEGRDLLAAAKTGSGKTLAFLIPAVELIVKLRFMPRNGTGVLILSPTRE
+LAMQTFGVLKELMTHHVHTYGLIMGGSNRSAEAQKLGNGINIIVATPGRLLDHMQNTPGF
+MYKNLQCLVIDEADRILDVGFEEELKQIIKLLPTRRQTMLFSATQTRKVEDL
+>Q9NVP1_PF00271_416 <unknown description>
+LFTFLKKNRKKKLMVFFSSCMSVKYHYELLNYIDLPVLAIHGKQKQNKRTTTFFQFCNAD
+SGTLLCTDVAARGLDIPEVDWIVQYDPPDDPKEYIHRVGRTARG
+>Q9NVP1_PF13959_561 <unknown description>
+IQSQLEKLIEKNYFLHKSAQEAYKSYIRAYDSHSLKQIFNVNNLNLPQVALSFGFKVPP
+>Q92499_PF00270_26 <unknown description>
+TDIQAESIPLILGGGDVLMAAETGSGKTGAFSIPVIQIVYETLKDQQEGKKGKTTIKTGA
+SVLNKWQMNPYDRGSAFAIGSDGLCCQSREVKEWHGCRATKGLMKGKHYYEVSCHDQGLC
+RVGWSTMQASLDLGTDKFGFGFGGTGKKSHNKQFDNYGEEFTMHDTIGCYLDIDKGHVKF
+SKNGKDLGLAFEIPPHMKNQALFPACVLKNAELKFNFGEEEFKFPPKDGFVALSKAPDGY
+IVKSQHSGNAQVTQTKFLPNAPKALIVEPSRELAEQTLNNIKQFKKYIDNPKLRELLIIG
+GVAARDQLSVLENGVDIVVGTPGRLDDLVSTGKLNLSQVRFLVLDEADGLLSQGYSDFIN
+RMHNQIPQVTSDGKRLQVIVCSATLHSFDVKKL
+>Q92499_PF00622_132 <unknown description>
+KHYYEVSCHDQGLCRVGWSTMQASLDLGTDKFGFGFGGTGKKSHNKQFDNYGEEFTMHDT
+IGCYLDIDKGHVKFSKNGKDLGLAFEIPPHMKNQALFPACVLKNAELKFNFGE
+>Q92499_PF00271_500 <unknown description>
+AIKEHKMDQAIIFCRTKIDCDNLEQYFIQQGGGPDKKGHQFSCVCLHGDRKPHERKQNLE
+RFKKGDVRFLICTDVAARGIDIHGVPYVINVTLPDEKQNYVHRIGRVGRA
+>Q9UHI6_PF00270_87 <unknown description>
+PVQLKAIPLGRCGLDLIVQAKSGTGKTCVFSTIALDSLVLENLSTQILILAPTREIAVQI
+HSVITAIGIKMEGLECHVFIGGTPLSQDKTRLKKCHIAVGSPGRIKQLIELDYLNPGSIR
+LFILDEADKLLEEGSFQEQINWIYSSLPASKQMLAVSATYPE
+>Q9UHI6_PF00271_295 <unknown description>
+EKTQHLQELFSRIPFNQALVFSNLHSRAQHLADILSSKGFPAECISGNMNQNQRLDAMAK
+LKHFHCRVLISTDLTSRGIDAEKVNLVVNLDVPLDWETYMHRIGRAGRFG
+>Q9NR30_PF00270_210 <unknown description>
+FPIQAKTFHHVYSGKDLIAQARTGTGKTFSFAIPLIEKLHGELQDRKRGRAPQVLVLAPT
+RELANQVSKDFSDITKKLSVACFYGGTPYGGQFERMRNGIDILVGTPGRIKDHIQNGKLD
+LTKLKHVVLDEVDQMLDMGFADQVEEILSVAYKKDSEDNPQTLLFSATCPH
+>Q9NR30_PF00271_436 <unknown description>
+GHQGRTIIFCETKKEAQELSQNSAIKQDAQSLHGDIPQKQREITLKGFRNGSFGVLVATN
+VAARGLDIPEVDLVIQSSPPKDVESYIHRSGRTGRAG
+>Q9NR30_PF08152_620 <unknown description>
+GATSVDQRSLINSNVGFVTMILQCSIEMPNISYAWKELKEQLGEEIDSKVKGMVFLKGKL
+GVCFDVPTASVTEIQEKWHDSRRWQLSVATEQPELE
+>Q9BUQ8_PF00270_415 <unknown description>
+TPIQRQAIPIGLQNRDIIGVAETGSGKTAAFLIPLLVWITTLPKIDRIEESDQGPYAIIL
+APTRELAQQIEEETIKFGKPLGIRTVAVIGGISREDQGFRLRMGCEIVIATPGRLIDVLE
+NRYLVLSRCTYVVLDEADRMIDMGFEPDVQKILEHMPVSNQKPDTDEAEDPEKMLANFES
+GKHKYRQTVMFTATMPPAVERL
+>Q9BUQ8_PF00271_651 <unknown description>
+KRKKLLAILEQGFDPPIIIFVNQKKGCDVLAKSLEKMGYNACTLHGGKGQEQREFALSNL
+KAGAKDILVATDVAGRGIDIQDVSMVVNYDMAKNIEDYIHRIGRTGRAG
+>Q9GZR7_PF00270_216 <unknown description>
+TPIQALTLAPAIRDKLDILGAAETGSGKTLAFAIPMIHAVLQWQKRNAAPPPSNTEAPPG
+ETRTEAGAETRSPGKAEAESDALPDDTVIESEALPSDIAAEARAKTGGTVSDQALLFGDD
+DAGEGPSSLIREKPVPKQNENEEENLDKEQTGNLKQELDDKSATCKAYPKRPLLGLVLTP
+TRELAVQVKQHIDAVARFTGIKTAILVGGMSTQKQQRMLNRRPEIVVATPGRLWELIKEK
+HYHLRNLRQLRCLVVDEADRMVEKGHFAELSQLLEMLNDSQYNPKRQTLVFSATLT
+>Q9GZR7_PF00271_577 <unknown description>
+FYLYYFLMQYPGRSLVFANSISCIKRLSGLLKVLDIMPLTLHACMHQKQRLRNLEQFARL
+EDCVLLATDVAARGLDIPKVQHVIHYQVPRTSEIYVHRSGRTARA
+>Q9UHL0_PF00270_122 <unknown description>
+KIQEMALPMMLAHPPQNLIAQSQSGTGKTAAFVLAMLSRVNALELFPQCLCLAPTYELAL
+QTGRVVEQMGKFCVDVQVMYAIRGNRIPRGTDITKQIIIGTPGTVLDWCFKLKLIDLTKI
+RVFVLDEADVMIDTQGFSDHSIRIQRALPSECQMLLFSATFEDS
+>Q9UHL0_PF00271_324 <unknown description>
+DKYQALCNIYGSITIGQAIIFCQTRRNAKWLTVEMIQDGHQVSLLSGELTVEQRASIIQR
+FRDGKEKVLITTNVCARGIDVKQVTIVVNFDLPVKQGEEPDYETYLHRIGRTGRFG
+>Q9NUL7_PF00270_152 <unknown description>
+TTVQSSTIPSLLRGRHVVCAAETGSGKTLSYLLPLLQRLLGQPSLDSLPIPAPRGLVLVP
+SRELAQQVRAVAQPLGRSLGLLVRDLEGGHGMRRIRLQLSRQPSADVLVATPGALWKALK
+SRLISLEQLSFLVLDEADTLLDESFLELVDYILEKSHIAEGPADLEDPFNPKAQLVLVGA
+TFPEGV
+>Q9NUL7_PF00271_377 <unknown description>
+KVAELVHILKHRDRAERTGPSGTVLVFCNSSSTVNWLGYILDDHKIQHLRLQGQMPALMR
+VGIFQSFQKSSRDILLCTDIASRGLDSTGVELVVNYDFPPTLQDYIHRAGRVGRVG
+>Q9H8H2_PF00270_150 <unknown description>
+TSVQKQSIPVLLEGRDALVRSQTGSGKTLAYCIPVVQSLQAMESKIQRSDGPYALVLVPT
+RELALQSFDTVQKLLKPFTWIVPGVLMGGEKRKSEKARLRKGINILISTPGRLVDHIKST
+KNIHFSRLRWLVFDEADRILDLGFEKDITVILNAVNAECQKRQNVLLSATLTEGVTR
+>Q9H8H2_PF00271_400 <unknown description>
+CKFEEDQKMVVFFSSCELVEFHYSLFLQTLLSSSGAPASGQLPSASMRLKFLRLHGGMEQ
+EERTAVFQEFSHSRRGVLLCTDVAARGLDLPQVTWIVQYNAPSSPAEYIHRIGRTARIG
+>Q9H8H2_PF13959_589 <unknown description>
+QTVFEDYVHSSERRVSWAKKALQSFIQAYATYPRELKHIFHVRSLHLGHVAKSFGLRDAP
+R
+>O00571_PF00270_204 <unknown description>
+TPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQIYSDGPGEALRAMKENGRYGRR
+KQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVAT
+PGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSA
+TFPKEIQM
+>O00571_PF00271_426 <unknown description>
+DKRSFLLDLLNATGKDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALH
+QFRSGKSPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVG
+>O15523_PF00270_202 <unknown description>
+TPVQKHAIPIIKGKRDLMACAQTGSGKTAAFLLPILSQIYTDGPGEALKAVKENGRYGRR
+KQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVAT
+PGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSA
+TFPKEIQM
+>O15523_PF00271_425 <unknown description>
+KRSFLLDILGATGSDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALHQ
+FRSGKSPILVATAVAARGLDISNVRHVINFDLPSDIEEYVHRIGRTGRVG
+>Q9UJV9_PF00270_205 <unknown description>
+TPIQIQGIPTILSGRDMIGIAFTGSGKTLVFTLPVIMFCLEQEKRLPFSKREGPYGLIIC
+PSRELARQTHGILEYYCRLLQEDSSPLLRCALCIGGMSVKEQMETIRHGVHMMVATPGRL
+MDLLQKKMVSLDICRYLALDEADRMIDMGFEGDIRTIFSYFKGQRQTLLFSATMPKKIQN
+>Q9UJV9_PF00271_420 <unknown description>
+KMVYLLECLQKTPPPVLIFAEKKADVDAIHEYLLLKGVEAVAIHGGKDQEERTKAIEAFR
+EGKKDVLVATDVASKGLDFPAIQHVINYDMPEEIENYVHRIGRTGRSG
+>Q86XP3_PF00270_277 <unknown description>
+TPIQCQGVPVALSGRDMIGIAKTGSGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTR
+ELCQQIHAECKRFGKAYNLRSVAVYGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKAT
+NLQRVSYLVFDEADRMFDMGFEYQVRSIASHVRPDRQTLLFSATFRKKIEKL
+>Q86XP3_PF00271_488 <unknown description>
+LTRRLVEFTSSGSVLLFVTKKANAEELANNLKQEGHNLGLLHGDMDQSERNKVISDFKKK
+DIPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAG
+>Q9NXZ2_PF00013_74 <unknown description>
+LKSHFVGAVIGRGGSKIKNIQSTTNTTIQIIQEQPESLVKIFGSKAMQTKAKAVID
+>Q9NXZ2_PF00270_266 <unknown description>
+TPIQSQAWPIVLQGIDLIGVAQTGTGKTLCYLMPGFIHLVLQPSLKGQRNRPGMLVLTPT
+RELALQVEGECCKYSYKGLRSVCVYGGGNRDEQIEELKKGVDIIIATPGRLNDLQMSNFV
+NLKNITYLVLDEADKMLDMGFEPQIMKILLDVRPDRQTVMTSATWPHSVHR
+>Q9NXZ2_PF00271_472 <unknown description>
+EKWSHMQTFLQSMSSTDKVIVFVSRKAVADHLSSDLILGNISVESLHGDREQRDREKALE
+NFKTGKVRILIATDLASRGLDVHDVTHVYNFDFPRNIEEYVHRIGRTGRAG
+>Q9H0S4_PF00270_48 <unknown description>
+TKIQIEAIPLALQGRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQ
+ISEQFEALGSSIGVQSAVIVGGIDSMSQSLALAKKPHIIIATPGRLIDHLENTKGFNLRA
+LKYLVMDEADRILNMDFETEVDKILKVIPRDRKTFLFSATMTKKVQKL
+>Q9H0S4_PF00271_251 <unknown description>
+DTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQSKRLGSLNKFK
+AKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAG
+>Q9Y6V7_PF00270_26 <unknown description>
+TPVQLGCIPAILEGRDCLGCAKTGSGKTAAFVLPILQKLSEDPYGIFCLVLTPTRELAYQ
+IAEQFRVLGKPLGLKDCIIVGGMDMVAQALELSRKPHVVIATPGRLADHLRSSNTFSIKK
+IRFLVMDEADRLLEQGCTDFTVDLEAILAAVPARRQTLLFSATLTDTLRE
+>Q9Y6V7_PF00271_234 <unknown description>
+YLVHLIQRFQDEHEDWSIIIFTNTCKTCQILCMMLRKFSFPTVALHSMMKQKERFAALAK
+FKSSIYRILIATDVASRGLDIPTVQVVINHNTPGLPKIYIHRVGRTARAG
+>Q9NQI0_PF00270_312 <unknown description>
+TPVQKYSIPIILAGRDLMACAQTGSGKTAAFLLPILAHMMHDGITASRFKELQEPECIIV
+APTRELVNQIYLEARKFSFGTCVRAVVIYGGTQLGHSIRQIVQGCNILCATPGRLMDIIG
+KEKIGLKQIKYLVLDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTLMFSATFPEEIQRL
+>Q9NQI0_PF00271_527 <unknown description>
+KREKLVEILRNIGDERTMVFVETKKKADFIATFLCQEKISTTSIHGDREQREREQALGDF
+RFGKCPVLVATSVAARGLDIENVQHVINFDLPSTIDEYVHRIGRTGRCG
+>Q9BQ39_PF00270_161 <unknown description>
+FPIQVKTFGPVYEGKDLIAQARTGTGKTFSFAIPLIERLQRNQETIKKSRSPKVLVLAPT
+RELANQVAKDFKDITRKLSVACFYGGTSYQSQINHIRNGIDILVGTPGRIKDHLQSGRLD
+LSKLRHVVLDEVDQMLDLGFAEQVEDIIHESYKTDSEDNPQTLLFSATCPQWVYK
+>Q9BQ39_PF00271_387 <unknown description>
+GSEGRAIIFCETKKNVTEMAMNPHIKQNAQCLHGDIAQSQREITLKGFREGSFKVLVATN
+VAARGLDIPEVDLVIQSSPPQDVESYIHRSGRTGRAG
+>Q9BQ39_PF08152_571 <unknown description>
+GASSFEPRSLITSDKGFVTMTLESLEEIQDVSCAWKELNRKLSSNAVSQITRMCLLKGNM
+GVCFDVPTTESERLQAEWHDSDWILSVPAKLPEIE
+>Q8N8A6_PF00270_223 <unknown description>
+PVQAAVIPALLESAACGFLVGRGGYRPSDLCVSAPTGSGKTLAFVIPVVQALLSRVVCHI
+RALVVLPTKELAQQVSKVFNIYTDATPLRVSLVTGQKSLAKEQESLVQKTADGYRCLADI
+VVATPGRLVDHIDQTPGFSLQQLRFLIIDEADRMIDSMHQSWLPRVVAAAFQSEDPADPC
+ALLQRRQAQAVTAASTCCPQMPLQKLLFSATLTQNPEKL
+>Q8N8A6_PF00271_491 <unknown description>
+KPLVVLHLVLEMGFSRVLCFTNSRENSHRLFLLVQAFGGVDVAEFSSRYGPGQRRMILKQ
+FEQGKIQLLISTDATARGIDVQGVELVVNYDAPQYLRTYVHRVGRTARAG
+>Q9Y2R4_PF00270_189 <unknown description>
+TPIQMQAIPVMLHGRELLASAPTGSGKTLAFSIPILMQLKQPANKGFRALIISPTRELAS
+QIHRELIKISEGTGFRIHMIHKAAVAAKKFGPKSSKKFDILVTTPNRLIYLLKQDPPGID
+LASVEWLVVDESDKLFEDGKTGFRDQLASIFLACTSHKVRRAMFSATFAYDVE
+>Q9Y2R4_PF00271_399 <unknown description>
+KLLAVRELVKKGFNPPVLVFVQSIERAKELFHELIYEGINVDVIHAERTQQQRDNTVHSF
+RAGKIWVLICTALLARGIDFKGVNLVINYDFPTSSVEYIHRIGRTGRAG
+>Q86TM3_PF00013_53 <unknown description>
+FKIKNNMVGVVIGYSGSKIKDLQHSTNTKIQIINGESEAKVRIFGNREMKAKAKAAIE
+>Q86TM3_PF00270_246 <unknown description>
+TPIQSQAWPIILQGIDLIVVAQTGTGKTLSYLMPGFIHLDSQPISREQRNGPGMLVLTPT
+RELALHVEAECSKYSYKGLKSICIYGGRNRNGQIEDISKGVDIIIATPGRLNDLQMNNSV
+NLRSITYLVIDEADKMLDMEFEPQIRKILLDVRPDRQTVMTSATWPDTVRQ
+>Q86TM3_PF00271_457 <unknown description>
+TQEFVENMSPNDKVIMFVSQKHIADDLSSDFNIQGISAESLHGNSEQSDQERAVEDFKSG
+NIKILITTDIVSRGLDLNDVTHVYNYDFPRNIDVYVHRVGYIGRTG
+>Q8TDD1_PF00270_120 <unknown description>
+TPIQRKTIPVILDGKDVVAMARTGSGKTACFLLPMFERLKTHSAQTGARALILSPTRELA
+LQTLKFTKELGKFTGLKTALILGGDRMEDQFAALHENPDIIIATPGRLVHVAVEMSLKLQ
+SVEYVVFDEADRLFEMGFAEQLQEIIARLPGGHQTVLFSATLPK
+>Q8TDD1_PF00271_324 <unknown description>
+AAVLLHLLHNVVRPQDQTVVFVATKHHAEYLTELLTTQRVSCAHIYSALDPTARKINLAK
+FTLGKCSTLIVTDLAARGLDIPLLDNVINYSFPAKGKLFLHRVGRVARAG
+>Q8TDD1_PF08147_714 <unknown description>
+DLMGDEAQNLTRGRQQLKWDRKKKRFVGQSGQEDKKKIKTESGRYISSSYKRDLYQKWKQ
+K
+>Q8NHQ9_PF00270_33 <unknown description>
+TPVQSATIPLFMRNKDVAAEAVTGSGKTLAFVIPILEILLRREEKLKKSQVGAIIITPTR
+ELAIQIDEVLSHFTKHFPEFSQILWIGGRNPGEDVERFKQQGGNIIVATPGRLEDMFRRK
+AEGLDLASCVRSLDVLVLDEADRLLDMGFEASINTILEFLPKQRRTGLFSATQTQEVENL
+>Q8NHQ9_PF00271_253 <unknown description>
+EKFNQLVHFLRNHKQEKHLVFFSTCACVEYYGKALEVLVKGVKIMCIHGKMKYKRNKIFM
+EFRKLQSGILVCTDVMARGIDIPEVNWVLQYDPPSNASAFVHRCGRTARIG
+>Q8NHQ9_PF13959_405 <unknown description>
+PKLKSMALADRAVFEKGMKAFVSYVQAYAKHECNLIFRLKDLDFASLARGFALLRMPKM
+>Q9NY93_PF00270_31 <unknown description>
+TLIQEKAIPLALEGKDLLARARTGSGKTAAYAIPMLQLLLHRKATGPVVEQAVRGLVLVP
+TKELARQAQSMIQQLATYCARDVRVANVSAAEDSVSQRAVLMEKPDVVVGTPSRILSHLQ
+QDSLKLRDSLELLVVDEADLLFSFGFEEELKSLLCHLPRIYQAFLMSATFNEDVQA
+>Q9NY93_PF00271_243 <unknown description>
+DKFLLLYALLKLSLIRGKSLLFVNTLERSYRLRLFLEQFSIPTCVLNGELPLRSRCHIIS
+QFNQGFYDCVIATDAEVLGAPVKGKRRGRGPKGDKASDPEAGVARGIDFHHVSAVLNFDL
+PPTPEAYIHRAGRTARAN
+>Q5T1V6_PF04438_106 <unknown description>
+PICVVCGRYGEYICDKTDEDVCSLECK
+>Q5T1V6_PF00270_227 <unknown description>
+TPIQMQMIPVGLLGRDILASADTGSGKTAAFLLPVIMRALFESKTPSALILTPTRELAIQ
+IERQAKELMSGLPRMKTVLLVGGLPLPPQLYRLQQHVKVIIATPGRLLDIIKQSSVELCG
+VKIVVVDEADTMLKMGFQQQVLDILENIPNDCQTILVSATIPTSIEQ
+>Q5T1V6_PF00271_429 <unknown description>
+KKKKLFEILNDKKLFKPPVLVFVDCKLGADLLSEAVQKITGLKSISIHSEKSQIERKNIL
+KGLLEGDYEVVVSTGVLGRGLDLISVRLVVNFDMPSSMDEYVHQIGRVGRLG
+>P17844_PF00270_118 <unknown description>
+TAIQAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTR
+ELAQQVQQVAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKT
+NLRRTTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQ
+>P17844_PF00271_327 <unknown description>
+EKLIRLMEEIMSEKENKTIVFVETKRRCDELTRKMRRDGWPAMGIHGDKSQQERDWVLNE
+FKHGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYIHRIGRTARS
+>P17844_PF08061_498 <unknown description>
+SAGKRGGFNTFRDRENYDRGYSSLLKRDFGAKTQN
+>P17844_PF08061_551 <unknown description>
+SAGIQTSFRTGNPTGTYQNGYDSTQQYGSNVPN
+>Q8IY21_PF00270_768 <unknown description>
+QRELLDVVDKNESAVIVAPTSSGKTYASYYCMEKVLKESDDGVVVYVAPTKALVNQVAAT
+VQNRFTKNLPSGEVLCGVFTREYRHDALNCQVLITVPACFEILLLAPHRQNWVKKIRYVI
+FDEVHCLGGEIGAEIWEHLLVMIRCPFLALSATIS
+>Q8IY21_PF00271_1253 <unknown description>
+LKALAERGIGYHHSAMSFKEKQLVEILFRKGYLRVVTATGTLALGVNMPCKSVVFAQNSV
+YLDALNYRQMSGRAGRRG
+>P26196_PF00270_121 <unknown description>
+PIQEESIPIALSGRDILARAKNGTGKSGAYLIPLLERLDLKKDNIQAMVIVPTRELALQV
+SQICIQVSKHMGGAKVMATTGGTNLRDDIMRLDDTVHVVIATPGRILDLIKKGVAKVDHV
+QMIVLDEADKLLSQDFVQIMEDIILTLPKNRQILLYSATFPLSVQK
+>P26196_PF00271_323 <unknown description>
+HCLNTLFSRLQINQSIIFCNSSQRVELLAKKISQLGYSCFYIHAKMRQEHRNRVFHDFRN
+GLCRNLVCTDLFTRGIDIQAVNVVINFDFPKLAETYLHRIGRSGRFG
+>O75398_PF01342_200 <unknown description>
+DSELPVRCRNISGTLYKNRLGSGGRGRCIKQGENWYSPTEFEAMAGRASSKDWKRSIRYA
+GRPLQCLIQDGIL
+>O75398_PF01753_504 <unknown description>
+CVNCGREAMSECTGCHKVNYCSTFCQRKDWKDHQHIC
+>Q9NUI1_PF13561_38 <unknown description>
+SGIGFRIAEIFMRHGCHTVIASRSLPRVLTAARKLAGATGRRCLPLSMDVRAPPAVMAAV
+DQALKEFGRIDILINCAAGNFLCPAGALSFNAFKTVMDIDTSGTFNVSRVLYEKFFRDHG
+GVIVNITATLGNRGQALQVHAGSAKAAVDAMTRHLAVEWGPQNIRVNSLAPGPISGTEGL
+RRLGGPQASLSTKVTASPLQRLGNKTEIAHSVLYLASPLASYVTGAVLVADGG
+>Q16698_PF13561_69 <unknown description>
+TGLGKGMTTLLSSLGAQCVIASRKMDVLKATAEQISSQTGNKVHAIQCDVRDPDMVQNTV
+SELIKVAGHPNIVINNAAGNFISPTERLSPNAWKTITDIVLNGTAFVTLEIGKQLIKAQK
+GAAFLSITTIYAETGSGFVVPSASAKAGVEAMSKSLAAEWGKYGMRFNVIQPGPIKTKGA
+FSRLDPTGTFEKEMIGRIPCGRLGTVEELANLAAFLCSDYASWINGAVIKFDGG
+>Q8WXF8_PF01335_26 <unknown description>
+LHRMFEVVGGQLTECELELLAFLLDEAPGAAGGLARARSGLELLLELERRGQCDESNLRL
+LGQLLRVLARHDLLPHLARKRRR
+>O75618_PF01335_26 <unknown description>
+LHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGLIRNGRDFLLALERQGRCDESNFRQV
+LQLLRIITRHDLLPYVTLKRRR
+>P59665_PF00879_1 <unknown description>
+MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDES
+>P59665_PF00323_66 <unknown description>
+CYCRIPACIAGERRYGTCIYQGRLWAFCC
+>P59666_PF00879_1 <unknown description>
+MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDES
+>P59666_PF00323_66 <unknown description>
+CYCRIPACIAGERRYGTCIYQGRLWAFCC
+>P12838_PF00879_1 <unknown description>
+MRIIALLAAILLVALQVRAGPLQARGDEAPGQEQRGPEDQDISISFAWDKS
+>P12838_PF00323_65 <unknown description>
+CSCRLVFCRRTELRVGNCLIGGVSFTYCC
+>Q01523_PF00879_1 <unknown description>
+MRTIAILAAILLVALQAQAESLQERADEATTQKQSGEDNQDLAISFAGN
+>Q01523_PF00323_65 <unknown description>
+CYCRTGRCATRESLSGVCEISGRLYRLCC
+>Q01524_PF00879_1 <unknown description>
+MRTLTILTAVLLVALQAKAEPLQAEDDPLQAKAYEADAQEQRGANDQDFAVSFAEDAS
+>Q01524_PF00323_72 <unknown description>
+CHCRRSCYSTEYSYGTCTVMGINHRFCC
+>P60022_PF00711_33 <unknown description>
+DHYNCVSSGGQCLYSACPIFTKIQGTCYRGKAKCCK
+>Q9H4E7_PF00169_217 <unknown description>
+VLKQGYLWKRGHLRRNWAERWFQLQPSCLCYFGSEECKEKRGIIPLDAHCCVEVLPDRDG
+KRCMFCVKTANRTYEMSASDTRQRQEWTAAIQMAIR
+>Q6ZN54_PF00130_139 <unknown description>
+HRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCTGCYYRCHSKCLNLISKPCV
+>Q6ZN54_PF13901_234 <unknown description>
+RQCDYTGQYYCSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLREIN
+PLLFSYVEELVEIRKLRQDILLMKPYFITCREAMEARLLLQLQDRQHFVENDEMYSVQDL
+LDVHAGRLGCSLTEIHTLFAKHIKLDCERCQAKGFVCELCREGDVLFPFDSHTSVCADCS
+AVFHRDCYYDNSTTCPKCARL
+>Q9HBH1_PF01327_66 <unknown description>
+HVCQVGDPVLRGVAAPVERAQLGGPELQRLTQRLVQVMRRRRCVGLSAPQLGVPRQVLAL
+ELPEALCRECPPRQRALRQMEPFPLRVFVNPSLRVLDSRLVTFPEGCESVAGFLACVPRF
+QAVQISGLDPNGEQVVWQASGWAARIIQHEMDHLQGCLFIDKMDSR
+>O15121_PF08557_6 <unknown description>
+SREDFEWVYTDQPHADRRREILAKYPEIKSLMKPDPN
+>O15121_PF00487_68 <unknown description>
+WVIFGAYAFGSCINHSMTLAIHEIAHNAAFGNCKAMWNRWFGMFANLPIGIPYSISFKRY
+HMDHHRYLGADGVDVDIPTDFEGWFFCTAFRKFIWVILQPLFYAFRPLFINPKPITYLEV
+INTVAQVTFDILIYYFLGIKSLVYMLAASLLGLGLHPISGHFIAEHYMFLKGHETYSYYG
+PLNLLTFNVGYHNEHHDFPNIPGKSLPLVRKIAAEYYDNLPHYN
+>Q6QHC5_PF08557_6 <unknown description>
+SRSDFEWVYTDQPHTQRRKEILAKYPAIKALMRPDPR
+>Q6QHC5_PF00487_67 <unknown description>
+RWLLFWAYAFGGCVNHSLTLAIHDISHNAAFGTGRAARNRWLAVFANLPVGVPYAASFKK
+YHVDHHRYLGGDGLDVDVPTRLEGWFFCTPARKLLWLVLQPFFYSLRPLCVHPKAVTRME
+VLNTLVQLAADLAIFALWGLKPVVYLLASSFLGLGLHPISGHFVAEHYMFLKGHETYSYY
+GPLNWITFNVGYHVEHHDFPSIPGYNLPLVRKIAPEYYDHLPQH
+>P35659_PF08766_320 <unknown description>
+PTDEELKETIKKLLASANLEEVTMKQICKKVYENYPTYDLTERKDFIKTTVKEL
+>Q14154_PF08238_259 <unknown description>
+GDHTAAFSYFQKAAARGY
+>Q14154_PF08238_277 <unknown description>
+SKAQYNAGLCHEHGRGTPRDISKAVLYYQLAASQGH
+>Q14154_PF08238_313 <unknown description>
+SLAQYRYARCLLRDPASSWNPERQRAVSLLKQAADSG
+>Q14154_PF08238_364 <unknown description>
+EPYLDEQRAVKYLWLAANNGD
+>Q14154_PF08238_386 <unknown description>
+QSRYHLGICYEKGLGVQRNLGEALRCYQQSAALGN
+>Q08495_PF16182_10 <unknown description>
+TSPGSVSPSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPDLMIYEPHFT
+YSLLEHVELPRSRERSLSPKSTSPPPSPEVWADSRSPGIISQASAPRTTGTPRTSLPHFH
+HPE
+>Q08495_PF16182_120 <unknown description>
+TPRTSLPHFHHPETSRPDSNIYKKPPIYKQRESVGGSPQTKHLIEDLIIESSKFPAAQPP
+DPNQPAKIETDYWPCPPSLAVVETEWRKRKASRRGAEEEEEEEDDDSGEEMKALRERQRE
+ELSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHQGTSKSSSLPAYGRTTLS
+RLQSTEFSPSGSETGSPGLQNGEGQRGRMDRGNSLPCVLEQKIYPYEMLVVTNKGR
+>Q08495_PF02209_370 <unknown description>
+HLSAEDFSRVFAMSPEEFGKLALWKRNELKKKASLF
+>Q8TCE6_PF08616_159 <unknown description>
+IKDIVSQFGMETVILHTALMLKKRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYVH
+LNADELEALQMCTGYVAGFVDLEVSNRPDLYDVFVNLAESEITIA
+>A4D1U4_PF09804_80 <unknown description>
+VFVVTFDPRSGNMVEWCLPQDIDLEGVEFKSMASGSHKIQSDFIYFRKGPFFGLACFANM
+PVESELERGARMKSVGILSPSYTLLYRYMHFLENQVRHQLEMPGHYSHLAAFYEDKKGVL
+HAGPGRGSSLPPVYWLPSIHRYMYPEMKITHPAGCMSQFIKFFGEQILILWKFALLRKRI
+LIFSPPPVGVVCYRVYCCCCLANVSLPGIGGTIPESKPFFYVNVADIESLEVEVSYVACT
+TEKIFEEKRELYDVYVDNQNVKTHHD
+>Q6P3S1_PF03456_29 <unknown description>
+PVVLWKFPEDFGDQEILQSVPKFCFPFDVERVSQNQVGQHFTFVLTDIESKQRFGFCR
+>Q6P3S1_PF02141_94 <unknown description>
+CLCILSYLPWFEVYYKLLNTLADYLAKELENDLNETLRSLYNHPVPKANTPVNLSVNQEI
+FIACEQVLKDQPALVPHSYFIAPDVTGLPTIPESRNLTEYFVAVDVNNMLQLYASMLHER
+RIVIISSKLSTLTACIHGSAALLYPMYWQHIYIPVLPPHLLDYCCAPMPYLIGIHSSLIE
+RVKNKSLEDVVMLNVDTN
+>Q6P3S1_PF03455_355 <unknown description>
+FCEESFVKHRSSVMKQFLETAINLQLFKQFIDGRLAKLNAGRGFSDVFEEE
+>Q8IV53_PF03456_29 <unknown description>
+PPILRQFPPDFRDQEAMQMVPKFCFPFDVEREPPSPAVQHFTFALTDLAGNRRFGFCR
+>Q8IV53_PF02141_92 <unknown description>
+QSCLCILSHLPWFEVFYKLLNTVGDLLAQDQVTEAEELLQNLFQQSLSGPQASVGLELGS
+GVTVSSGQGIPPPTRGNSKPLSCFVAPDSGRLPSIPENRNLTELVVAVTDENIVGLFAAL
+LAERRVLLTASKLSTLTSCVHASCALLYPMRWEHVLIPTLPPHLLDYCCAPMPYLIGVHA
+SLAERVREKALEDVVVLNVDAN
+>Q8IV53_PF03455_357 <unknown description>
+FSEEVFLAQKPGAPLQAFHRRAVHLQLFKQFIEARLEKLNKGEGFSDQFEQE
+>Q9ULE3_PF03456_584 <unknown description>
+PELTQQFPLKLERSFKFMREAEDQLKAIPQFCFPDAKDWVPVQQFTSETFSFVLTGEDGS
+RRFGYCRR
+>Q9ULE3_PF02141_661 <unknown description>
+LPEVYCIVSRLGCFSLFSRILDEVEKRRGISPALVQPLMRSVMEAPFPALGKTILVKNFL
+PGSGTEVIELCRPLDSRLEHVDFESLFSSLSVRHLVCVFASLLLERRVIFIADKLSILSK
+CCHAMVALIYPFAWQHTYIPVLPPAMVDIVCSPTPFLIGLLSSSLPLLRELPLEEVLVVD
+LV
+>Q9ULE3_PF03455_929 <unknown description>
+QREAFRKAVSSKSLRHFLEVFMETQMFRGFIQERELRRQDAKGLFEV
+>P78524_PF03456_716 <unknown description>
+PEVSYQFPKLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSR
+RFGYCRR
+>P78524_PF02141_792 <unknown description>
+LPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPAPGKTIKVKTFL
+PGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVIFVADKLSTLSS
+CSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLKELPVEEALMVN
+LG
+>P78524_PF03455_1055 <unknown description>
+FQREAFRKSVASKSIRRFLEVFMESQMFAGFIQDRELRKCRAKGLFEQR
+>Q68D51_PF03456_510 <unknown description>
+PQVIQQFPGKDDHGYKQSKDMEERLKVIPKFCFPDSKDWMPTSELKSETFSFVLTGEDGS
+RWFGYCK
+>Q68D51_PF02141_587 <unknown description>
+LPEVYCMVSRLGCFNLFSKILDEVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYL
+PGAGDESIELCRPLDSRLEHVDFKCLFKCLSVCHLIRVCASLLLERRVIFVANSLSTLSK
+CGHAVVATLYPFTWQHTYIPVLPASMIDIVCSPTPFLIGILSCSLPQLQDLPIEEVLIVD
+LCA
+>Q68D51_PF03455_847 <unknown description>
+FQREPFRKSHTSRSVRHFLDLFMETQMFAGFIQDRELRKSGVKGLFEI
+>Q9H6A0_PF03456_73 <unknown description>
+PIITYQFPKRENLLRGQQEEEERLLKAIPLFCFPDGNEWASLTEYPRETFSFVLTNVDGS
+RKIGYCRR
+>Q9H6A0_PF02141_150 <unknown description>
+LPKVYCIISCIGCFGLFSKILDEVEKRHQISMAVIYPFMQGLREAAFPAPGKTVTLKSFI
+PDSGTEFISLTRPLDSHLEHVDFSSLLHCLSFEQILQIFASAVLERKIIFLAEGLSTLSQ
+CIHAAAALLYPFSWAHTYIPVVPESLLATVCCPTPFMVGVQMRFQQEVMDSPMEEVLLVN
+LCE
+>Q9H6A0_PF03455_404 <unknown description>
+FQERSFCKALTSKTNRRFVKKFVKTQLFSLFIQEAEKSKNPPAGYFQQ
+>O75064_PF03456_214 <unknown description>
+ELLGRYPEEDNEAFPLPESVPVFCLPMGATIECWPAQTKYPVPVFSTFVLTGAAGDKVYG
+AALQF
+>O75064_PF02141_314 <unknown description>
+SRRAIAVLSRWPAFPAFRAFLTFLYRYSVSGPHRLPLEAHISHFIHNVPFPSPQRPRILV
+QMSPYDNLLLCQPVSSPLPLSGASFLQLLQSLGPELAITLLLAVLTEHKLLVHSLRPDLL
+TSVCEALVSMIFPLHWQCPYIPLCPLVLADVLSAPVPFIVGIHSSYFDLHDPPADVICVD
+LDTN
+>O75064_PF03455_605 <unknown description>
+LQGFLKSRERSSHKLYSQLLHTQMFSQFIEECSFGSARHAALEFFDSC
+>Q5VZ89_PF03456_211 <unknown description>
+LIFRYPEEDYESFPLSESDVPLFCLPMGATIECWDPETKYPLPVFSTFVLTGSSAKKVYG
+AAIQF
+>Q5VZ89_PF02141_309 <unknown description>
+TNKCICLLSHWPFFEAFRKFLMFIYKLSVSGPHPLPIEKHISHFMQNIPFPSPQRPRILV
+QLSVHDALILSQPVSTPLPLSGANFSTLLMNLGPENCATLLLFVLLESKILLHSLRPAVL
+TGVAEAVVAMIFPFQWQCPYIPLCPLSLAAVLSAPLPFIVGVDSRYFDLHDPPQDVVCID
+LDTN
+>Q5VZ89_PF03455_599 <unknown description>
+FDRQGFLKSRDRAYAKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDC
+>Q6IQ26_PF03456_76 <unknown description>
+KVLARYPENVEWNPFDQDAVGMLCMPKGLAFKTQADPREPQFHAFIITREDGSRTFGFAL
+TF
+>Q6IQ26_PF02141_202 <unknown description>
+YVSKCICLITPMSFMKACRSVLEQLHQAVTSPQPPPLPLESYIYNVLYEVPLPPPGRSLK
+FSGVYGPIICQRPSTNELPLFDFPVKEVFELLGVENVFQLFTCALLEFQILLYSQHYQRL
+MTVAETITALMFPFQWQHVYVPILPASLLHFLDAPVPYLMGLHSNGLDDRSKLELPQEAN
+LCFVDIDNH
+>Q6IQ26_PF03455_555 <unknown description>
+FDKASFLSDQPEPYLPFLSRFLETQMFASFIDNKIMCHDDDDKDPVLRVFDSR
+>Q6IQ26_PF02759_795 <unknown description>
+SLCDLLERIWSHGLQVKQGKSALWSHLLHYQDNRQRKLTSGSLSTSGILLDSERRKSDAS
+SLMPPLRISLIQDMRHIQNIGEIKTDVGKARAWVRLSMEKKLLSRHLKQLLSDHELTKKL
+YKRYAFLRCDDEKEQFLYHLLSFNAVDY
+>Q6IQ26_PF01477_956 <unknown description>
+YHILIVPSKKLGGSMFTANPWICISGELGETQIMQIPRNVLEMTFECQNLGKLTTVQIGH
+DNSGLYAKWLVEYVMVRNEITGHTYKFPCGRWLGKGMDDGSLERILV
+>Q6IQ26_PF02759_1143 <unknown description>
+LVSALEQAFQHGFKSPRLFKNVFIWDFLEKAQTYYETLEKNEVVPEENWHTRARNFCRFV
+TAINNTPRNIGKDGKFQMLVCLGARDHLLHHWIALLADCPITAHMYEDVALIKDHTLVNS
+LIRVLQTLQEFNITLETS
+>Q6ZUT9_PF03456_58 <unknown description>
+KVLAHYPQNIEWNPFDQDAVNMLCMPKGLSFRTQTDNKDPQFHSFIITREDGSRTYGFVL
+TF
+>Q6ZUT9_PF02141_187 <unknown description>
+YVSKSICLITPLPFMQACKKFLIQLYKAVTSQQPPPLPLESYIHNILYEVPLPPPGRSLK
+FYGVYEPVICQRPGPSELPLSDYPLREAFELLGLENLVQVFTCVLLEMQILLYSQDYQRL
+MTVAEGITTLLFPFQWQHVYVPILPASLLHFLDAPVPYLMGLQSKEGTDRSKLELPQEAN
+LCFVDIDNH
+>Q6ZUT9_PF03455_541 <unknown description>
+FDKASFLSDQPEPYLPFLSRFIETQMFATFIDNKIMSQWEEKDPLLRVFDTR
+>Q6ZUT9_PF02759_780 <unknown description>
+SLCDLLERIWSHGLQVKQGKSALWSHLIQFQDREEKQEHLAESPVALGPERRKSDSGVML
+PTLRVSLIQDMRHIQNMSEIKTDVGRARAWIRLSLEKKLLSQHLKQLLSNQPLTKKLYKR
+YAFLRCEEEREQFLYHLLSLNAVDY
+>Q6ZUT9_PF01477_942 <unknown description>
+IIPIKKLSNAIITSNPWICVSGELGDTGVMQIPKNLLEMTFECQNLGKLTTVQIGHDNSG
+LLAKWLVDCVMVRNEITGHTYRFPCGRWLGKGIDDGSLERI
+>Q6ZUT9_PF02759_1127 <unknown description>
+LVAALEQVFHHGFKSARIFHKNVFIWDFIEKVVAYFETTDQILDNEDDVLIQKSSCKTFC
+HYVNAINTAPRNIGKDGKFQILVCLGTRDRLLPQWIPLLAECPAITRMYEESALLRDRMT
+VNSLIRILQTIQDFTI
+>Q8IWF6_PF09794_64 <unknown description>
+CVCVVGFDLELGQAVEVIYPQHSKLTDREKTNICYLSFPDSNSGCLGDTQFCFRFRQSSG
+RRVSLHCLLDQFDKDLPVYLKKDPAYFYGYVYFRQVRDKTLKRGYFQKSLVLISKLPYIH
+FFHTVLKQIAPEYFEKN
+>Q8IWF6_PF08616_271 <unknown description>
+IFRCFCPVFLHSQMLWELVLLGEPLVVMAPSPSESSETVLALVNCISPLKYFSDFRPYFT
+IHDSEFKEYTTRTQAPPSVILGVTNPFFAKTLQHWPHIIRIGD
+>Q8NEG7_PF09794_43 <unknown description>
+ECVCVVTFDLELGQALELVYPNDFRLTDKEKSSICYLSFPDSHSGCLGDTQFSFRMRQCG
+GQRSPWHADDRHYNSRAPVALQREPAHYFGYVYFRQVKDSSVKRGYFQKSLVLVSRLPFV
+RLFQALLSLIAPEYFD
+>Q8NEG7_PF08616_251 <unknown description>
+LFRCFRPVLTHMQTLWELMLLGEPLLVLAPSPDVSSEMVLALTSCLQPLRFCCDFRPYFT
+IHDSEFKEFTTRTQAPPNVVLGVTNPFFIKTLQHWPHILRVGEPKM
+>O94850_PF15498_55 <unknown description>
+MDFQASHWARGFQNRTCGPRPGSPQPPPRRPWASRVLQEATNWRAGPLAEVRAREQEKRK
+AASQEREAKETERKRRKAGGARRSPPGRPRPEPRNAPRVAQLAGLPAPLRPERLAPVGRA
+PRPSAQPQSDPGSAWAGPWGGRRPGPPSYEAHLLLRGSAGTAPRRRWDRPPPYVAPPSYE
+GPHRTLGTKRGPGNSQVPTSSAPAATPARTDGGRTKKRLDPRIYRDVLGAWGLRQGQGLL
+GGSPGCGAARARPEPGKGVVEKSLGLAAADLNSGSDSHPQAKATGSAGTEIAPAGSATAA
+PCAPHPAPRSRHHLKGSREGKEGEQIWFPKCWIPSPKKQPPRHSQTLPRPWAPGGTGWRE
+SLGLGEGAGPETLEGWKATRRAHTLPRSSQGLSRGEGVFVIDATCVVIRSQYVPTPRTQQ
+VQLLPSGVTRVVGDSPSQSKPGKEEGEGATVFPSPCQKRLSSSRLLHQPGGGRGGEAEGG
+RPGDSTLEERTFRILGLPAPEVNLRDAPTQPGSPEHQALGPAASGAQGRAEGSEVAVVQR
+RAGRGWARTPGPYAGALREAVSRIRRHTAPDSDTDEAEELSVHSGSSDGSDTEAPGASWR
+NERTLPEVGNSSPEEDGKTAELSDSVGEILDVISQTEEVLFGVRDIRGTQQGNRK
+>O43583_PF01253_113 <unknown description>
+QKVTIAKIPRAKKKYVTRVCGLATFEIDLKEAQRFFAQKFSCGASVTGEDEIIIQGDFTD
+DIIDVIQEK
+>Q9Y315_PF01791_51 <unknown description>
+VTFIDLTTLSGDDTSSNIQRLCYKAKYPIREDLLKALNMHDKGITTAAVCVYPARVCDAV
+KALKAAGCNIPVASVAAGFPAGQTHLKTRLEEIRLAVEDGATEIDVVINRSLVLTGQWEA
+LYDEIRQFRKACGEAHLKTILATGELGTLTNVYKASMIAMMAGSDFIKTSTGKETVNATF
+PVAIVMLRAIRDFFWKTGNKIGFKPAGGIRSAKDSLAWLSLVKEELGDEWLKPELFRIGA
+STLL
+>Q5TB30_PF00610_27 <unknown description>
+GMPLRKHRQHFKKYGNCFTAGEAVDWLYDLLRNNSNFGPEVTRQQTIQLLRKFLKNHVIE
+DIKGRWGSENVDDNNQLFRF
+>Q8WUY9_PF00610_28 <unknown description>
+MPLRKHRCRFKSYEHCFTAAEAVDWLHELLRCSQNFGPEVTRKQTVQLLKKFLKNHVIED
+IKGKWGEEDFEDNRHLYRF
+>Q8WUY9_PF00620_271 <unknown description>
+VFKTIADYYGHLKEPLLTFHLFDAFVSVLGLLQKEKVAVEAFQICCLLLPPENRRKLQLL
+MRMMARICLNKE
+>O75140_PF12257_100 <unknown description>
+VELTFKDQYIGRGDMWRLKKSLVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISED
+TRVVFRSTSAMVYIFIQMSCEMWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVV
+LFSRTFYDAKSVDEFPEINRASIRQDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFI
+QYPVLVRLEQAEGFPQGDNSTSAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPG
+VGVFEVDRLLMILTKQRMIDNGIGVDLVCMGEQPLHAVPLFK
+>O75140_PF00610_1200 <unknown description>
+LSPYCFISAEVVHWLVNHVEGIQTQAMAIDIMQKMLEEQLITHASGEAWRTFIYGFYFYK
+I
+>O75140_PF19418_1278 <unknown description>
+WHTAGVDDFASFQRKWFEVAFVAEELVHSEIPAFLLPWLPSRPASYASRHSSFSRSFGGR
+SQAAALLAATVPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEIKLHWMAVTAAV
+LFEMVQGWHRKATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPLNISCLLKEGSEHLF
+DSFEPETYWDRMHLFQEAIAHRFGFVQDKYSASAFNFPAENKPQYIHVTGTVFLQLPYSK
+RKFSGQQRRRRNSTSSTNQNMFCEERVGYNWAYNTMLTKTWRSSATGDEKFADRLLKDFT
+DFCINRDN
+>Q96QD5_PF00610_49 <unknown description>
+QVEVKKRRHRLKRHNDCFVGSEAVDVIFSHLIQNKYFGDVDIPRAKVVRVCQALMDYKVF
+EAVPTKVFGKDKKPTFEDSSCSLYRF
+>Q9NTK1_PF15343_25 <unknown description>
+GGPGQEPPPSPSLDDYVRSISRLAQPTSVLDKATAQGQPRPPHRPAQACRKGRPAVSLRD
+ITARFSGQQPTLPMADTVDPLDWLFGESQEKQPSQRDLPRRTGPSAGLWGPHRQMDSSKP
+MGAPRGRLCEARMPGHSLARPPQDGQQSSDLRSWTFGQSAQAMASRHRPRPSSVLRTLYS
+HLPVIHEL
+>Q9BUN8_PF04511_11 <unknown description>
+IPAITRYWFAATVAVPLVGKLGLISPAYLFLWPEAFLYRFQIWRPITATFYFPVGPGTGF
+LYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVL
+YVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGHLYFFLMFRY
+PMDLGGRNFLSTPQ
+>Q9GZP9_PF04511_13 <unknown description>
+IPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITNFLFFGPVGFNFL
+FNMIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFLGQAFTIMLVYVW
+SRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGHIYFFLEDVFPNQ
+PGGIRILKTPS
+>Q96Q80_PF04511_13 <unknown description>
+VPAVTRAYTAACVLTTAAVQLELLSPFQLYFNPHLVFRKFQVWRLVTNFLFFGPLGFSFF
+FNMLFVFRYCRMLEEGSFRGRTADFVFMFLFGGVLMTLLGLLGSLFFLGQALMAMLVYVW
+SRRSPRVRVNFFGLLTFQAPFLPWALMGFSLLLGNSILVDLLGIAVGHIYYFLEDVFPNQ
+PGGKRLLQTP
+>Q07507_PF14704_43 <unknown description>
+RQGFSYQCPQGQVIVAVRSIFSKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEW
+YQTCSNNGLVAGFQSRYFESVLDREWQFYCCRYSKRCPYSCWLTTEYPGHYGEEMDMISY
+NYDYYIRGATTTFSAVERDRQWKFIMCRMT
+>Q6ICB0_PF05903_8 <unknown description>
+PVKLYVYDLSKGLARRLSPIMLGKQLEGIWHTSIVVHKDEFFFGSGGISSCPPGGTLLGP
+PDSVVDVGSTEVTEEIFLEYLSSLGESLFRGEAYNLFEHNCNTFSNEVAQFLTGRKIPSY
+ITDLPSEVLSTPFGQALRP
+>Q9BSY9_PF05903_7 <unknown description>
+VVLNVYDMYWMNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGET
+FKFKEAVVLGSTDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPR
+WINRLAYFSSCIPFLQSCLPKE
+>P17661_PF04732_9 <unknown description>
+QRVSSYRRTFGGAPGFPLGSPLSSPVFPRAGFGSKGSSSSVTSRVYQVSRTSGGAGGLGS
+LRASRLGTTRTPSSYGAGELLDFSLADAVNQEFLTTRT
+>P17661_PF00038_107 <unknown description>
+NEKVELQELNDRFANYIEKVRFLEQQNAALAAEVNRLKGREPTRVAELYEEELRELRRQV
+EVLTNQRARVDVERDNLLDDLQRLKAKLQEEIQLKEEAENNLAAFRADVDAATLARIDLE
+RRIESLNEEIAFLKKVHEEEIRELQAQLQEQQVQVEMDMSKPDLTAALRDIRAQYETIAA
+KNISEAEEWYKSKVSDLTQAANKNNDALRQAKQEMMEYRHQIQSYTCEIDALKGTNDSLM
+RQMRELEDRFASEASGYQDNIARLEEEIRHLKDEMARHLREYQDLLNVKMALDVEIATYR
+KLLEGEESR
+>P15924_PF17902_447 <unknown description>
+QLKPRNPDYRSNKPIILRALCDYKQDQKIVHKGDECILKDNNERSKWYVTGPGGVDMLVP
+SVGLIIP
+>P15924_PF18373_548 <unknown description>
+VSWHYCMIDIEKIRAMTIAKLKTMRQEDYMKTIADLELHYQEFIRNSQGSEMFGDDDKRK
+IQSQFTDAQKHYQTLVIQ
+>P15924_PF00681_2048 <unknown description>
+LLEAQAATGGIIDPHRNEKLTVDSAIARDLIDFD
+>P15924_PF00681_2124 <unknown description>
+LLEAQIASGGVVDPVNSVFLPKDVALARGLIDRDLYRSL
+>P15924_PF00681_2253 <unknown description>
+FLQGSSCIAGIYNETTKQKLGIYEAMKIGLVRPGTALEL
+>P15924_PF00681_2291 <unknown description>
+LLEAQAATGFIVDPVSNLRLPVEEAYKRGLVGIEFKEKL
+>P15924_PF00681_2367 <unknown description>
+LLEAQIATGGIIDPKESHRLPVDIAYKRGYFNEELSEIL
+>P15924_PF00681_2468 <unknown description>
+IVDPETNKEMSVQEAYKKGLIDYETFKEL
+>P15924_PF00681_2650 <unknown description>
+LLEAQACTGGIIHPTTGQKLSLQDAVSQGVIDQDMATRL
+>P15924_PF00681_2726 <unknown description>
+FLEFQYLTGGLVDPEVHGRISTEEAIRKGFIDGRAAQRL
+>P60981_PF00241_27 <unknown description>
+EEIKKRKKAVIFCLSADKKCIIVEEGKEILVGDVGVTITDPFKHFVGMLPEKDCRYALYD
+ASFETKESRKEELMFFLWAPELAPLKSKMIYASSKDAIKKKFQGIKHECQANGPEDLNRA
+CIAEK
+>Q7L5Y6_PF09737_142 <unknown description>
+RECSLFTDDCRCVIVGSAAYLPDEPHPPFFEVYRNSESVTPNPRSPLEDYSLHIIDLHTG
+RLCDTRTFKCDKVVLSHNQGLYLYKNILAILSVQQQTIHVFQVTPEGTFIDVRTIGRFCY
+EDDLLTVSAVFPEVQRDSQTGMANPFRDPFINSLKHRLLVYLWRRAEQDGSAMAKRRFFQ
+YFDQLRQLRMWKMQLLDENHLFIKYTSEDVVTLRVTDPSQASFFVVYNMVTTEVIAVFEN
+TSDELLELFENFCDLFRNATLHSEVQFPCSASSNNFARQIQRRFKDTIINAKYGGHTEAV
+RRLLGQLPISAQSYSGSPYLDLSLFSYDDKWVSVMERPKTCGDHPIRFYARDSGLLKFEI
+QAGLLGRPINHTVRRLVAFTFHPFEPFAISVQRTNAEYVVNFHMRH
+>Q05D60_PF17045_11 <unknown description>
+TSPCEAELQELMEQIDIMVSNKKMDWERKMRALETRLDLRDQELANAQTCLDQKGQEVGL
+LRQKLDSLEKCNLAMTQNYEGQLQSLKAQFSKLTNNFEKLRLHQMKQNKVPRKELPHLKE
+EIPFELSNLNQKLEEFRAKSREWDKQEILYQTHLISLDAQQKLLSEKCNQFQKQAQSYQT
+QLNGKKQCLEDSSSEIPRLICDPDPNCEINERDEFIIEKLKSAVNEIALSRNKLQDENQK
+LLQELKMYQRQCQAMEAGLSEVKSELQSRD
+>O95424_PF15198_1 <unknown description>
+MLGARVAAHLDALGPLVPYVPPPLLPSMFYVGLFFVNVLILYYAFLMEYIVLNVGLVFLP
+EDMDQALVDLGVLSDPGSGLYDADSELDVFDAYLE
+>O15263_PF00711_27 <unknown description>
+DPVTCLKSGAICHPVFCPRRYKQIGTCGLPGTKCCK
+>O00273_PF02017_19 <unknown description>
+KPCLLRRNYSREQHGVAASCLEDLRSKACDILAIDKSLTPVTLVLAEDGTIVDDDDYFLC
+LPSNTKFVALASNEKW
+>O00273_PF09033_100 <unknown description>
+DGGTAWISQESFDVDETDSGAGLKWKNVARQLKEDLSSIILLSEEDLQMLVDAPCSDLAQ
+ELRQSCATVQRLQHTLQQVLDQREEVRQSKQLLQLYLQALEKEGSLLSKQEESKAAFGEE
+VDAVDTGISRETSSDVALASHILTALREKQAPELSLSSQDLELVT
+>O76075_PF02017_6 <unknown description>
+KSVKLRALRSPRKFGVAGRSCQEVLRKGCLRFQLPERGSRLCLYEDGTELTEDYFPSVPD
+NAELVLLTLGQAWQ
+>O76075_PF09230_101 <unknown description>
+QAAQQLLCDEQAPQRQRLLADLLHNVSQNIAAETRAEDPPWFEGLESRFQSKSGYLRYSC
+ESRIRSYLREVSSYPSTVGAEAQEEFLRVLGSMCQRLRSMQYNGSYFDRGAKGGSRLCTP
+EGWFSCQGPFDMDSCLSRHSINPYSNRESRILFSTWNLDHIIEKKRTIIPTLVEAIKEQD
+GREVDWEYFYGLLFTSENLKLVHIVCHKKTTHKLNCDPSRIYK
+>Q6ZPD8_PF03982_39 <unknown description>
+FLLFSKFWPLAVLSLAWLTYDWNTHSQGGRRSAWVRNWTLWKYFRNYFPVKLVKTHDLSP
+KHNYIIANHPHGILSFGVFINFATEATGIARIFPSITPFVGTLERIFWIPIVREYVMSMG
+VCPVSSSALKYLLTQKGSGNAVVIVVGGAAEALLCRPGASTLFLKQRKGFVKMALQTGAY
+LVPSYSFGENEVFNQETFPEGTWLRLFQKTFQDTFKKILGLNFCTFHGRGFTRGSWGFLP
+FNRPITTVVGEPLPIPRIKRPNQKTVDKYHALYISALRKLFDQHKVEYGLPETQELTI
+>O75907_PF03062_156 <unknown description>
+VGALTEQAGLLLHVANLATILCFPAAVVLLVESITPVGSLLALMAHTILFLKLFSYRDVN
+SWCRRARAKAASAGKKASSAAAPHTVSYPDNLTYRDLYYFLFAPTLCYELNFPRSPRIRK
+RFLLRRILEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWL
+IFFYWLFHSCLNAVAELMQFGDREFYRDWWNSESVTYFWQNWNIPVHKWCIRHFYKPMLR
+RGSSKWMARTGVFLASAFFHEYLVSVPLRMFRLWAFTGMMAQIPLAWFVGRFFQGNYGNA
+AVWLSLIIGQPIAVLMYV
+>Q96PD7_PF03982_92 <unknown description>
+MYIFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKTHNLL
+TTRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREYLMSG
+GICPVSRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRKGFVKLALRHGA
+DLVPIYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGLVPYS
+KPITTVVGEPITIPKLEHPTQQDIDLYHTMYMEALVKLFDKHKTKFGLPETEVLEVN
+>Q9BY27_PF07324_2 <unknown description>
+ERYAAALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVF
+EIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVVQAAQQR
+ELEAVEHRIREEQRAMDQKIILELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLE
+LIRKLQQRGCRA
+>Q14129_PF07324_2 <unknown description>
+ERYAGALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVF
+EIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVLQAAQQR
+ELEAVEHRIREEQRAMDQKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLE
+LIRKLQQRGCW
+>Q8WYQ5_PF00035_514 <unknown description>
+CILHEYMQRVLKVRPVYNFFECENPSEPFGASVTIDGVTYGSGTASSKKLAKNKAARATL
+EIL
+>Q8WYQ5_PF00035_620 <unknown description>
+PYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKHTVRGWCKNKRVGKQLASQK
+ILQLL
+>P23743_PF14513_6 <unknown description>
+GLISPSDFAQLQKYMEYSTKKVSDVLKLFEDGEMAKYVQGDAIGYEGFQQFLKIYLEVDN
+VPRHLSLALFQSFETGHCLNETN
+>P23743_PF14513_89 <unknown description>
+VTKDVVCLNDVSCYFSLLEGGR
+>P23743_PF00130_206 <unknown description>
+HMWRPKRFPRPVYCNLCESSIGLGKQGLSCNLCKYTVHDQCAMKALPCE
+>P23743_PF00130_270 <unknown description>
+HVWVRGGCESGRCDRCQKKIRIYHSLTGLHCVWCHLEIHDDCLQAVGHECDC
+>P23743_PF00781_376 <unknown description>
+PLLVFVNPKSGGKQGQRVLWKFQYILNPRQVFNLLKDGPEIGLRLFKDVPDSRILVCGGD
+GTVGWILETIDKANLPVLPPVAVLPLGTGNDLARCLRWGGGYEGQNLAKI
+>P23743_PF00609_521 <unknown description>
+INNYFSIGVDASIAHRFHIMREKYPEKFNSRMKNKLWYFEFATSESIFSTCKKLEESLTV
+EICGKPLDLSNLSLEGIAVLNIPSMHGGSNLWGDTRRPHGDIYGINQALGATAKVITDPD
+ILKTCVPDLSDKRLEVVGLEGAIEMGQIYTKLKNAGRRLAKCSEITFHTTKTLPMQIDGE
+P
+>Q16760_PF00169_55 <unknown description>
+IKEGMLTKQNNSFQRSKRRYFKLRGRTLYYAKTAKSIIFDEVDLTDASVAESSTKNVNNS
+FTVITPCRKLILCADNRKEMEDWIAALKTV
+>Q16760_PF00130_164 <unknown description>
+HNWYACSHARPTYCNVCREALSGVTSHGLSCEVCKFKAHKRCAVRATNNCK
+>Q16760_PF00130_236 <unknown description>
+HQWLEGNLPVSAKCTVCDKTCGSVLRLQDWRCLWCKAMVHTSCKESLLTKCP
+>Q16760_PF00781_321 <unknown description>
+PLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDTFRILVCGGD
+GSVGWVLSEIDSLNLHKQCQLGVLPLGTGNDLARVLGWGSACDDDTQLPQILEK
+>Q16760_PF00609_763 <unknown description>
+VMNNYFGIGLDAKISLDFNNKRDEHPEKCRSRTKNMMWYGVLGTKELLHRTYKNLEQKVL
+LECDGRPIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDTFAAPSFDDKILEVVAVFGSMQ
+MAVSRVIRLQHHRIAQCRTVKISILGDEGVPVQVDGE
+>Q16760_PF07647_1142 <unknown description>
+VHLWGTEEVAAWLEHLSLCEYKDIFTRHDIRGSELLHLERRDLKDLGVTKVGHMKRILCG
+IKEL
+>P52429_PF00130_60 <unknown description>
+HGWRDTDLFSQPTYCCVCAQHILQGAFCDCCGLRVDEGCLRKADKRFQCK
+>P52429_PF00781_220 <unknown description>
+LIILANSRSGTNMGEGLLGEFRILLNPVQVFDVTKTPPIKALQLCTLLPYYSARVLVCGG
+DGTVGWVLDAVDDMKIKGQEKYIPQVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLR
+>P52429_PF00609_369 <unknown description>
+TMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDLNKKVE
+LELDGERVALPSLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEVVGVYGSFHC
+AQIQVKLANPFRIGQAHTVRLILKCSMMPMQVDGEP
+>P49619_PF14513_6 <unknown description>
+WVSLTPEEFDQLQKYSEYSSKKIKDALTEFNEGGSLKQYDPHEPISYDVFKLFMRAYLEV
+DLPQPLSTHLFLAFSQKPRHETSDHPTEGASNSEANSADTNIQNADNATKADEACAPDTE
+SNMAEKQAPAEDQVAATPLEPPVPRSSSSESPVVYLKDVVCYLSLLETGR
+>P49619_PF00130_272 <unknown description>
+HAWTMKHFKKPTYCNFCHIMLMGVRKQGLCCTYCKYTVHERCVSRNIPGC
+>P49619_PF00781_434 <unknown description>
+PLLVLVNPKSGGRQGERILRKFHYLLNPKQVFNLDNGGPTPGLNFFRDTPDFRVLACGGD
+GTVGWILDCIDKANFAKHPPVAVLPLGTGNDLARCLRWGGGYEGGSLTKI
+>P49619_PF00609_578 <unknown description>
+IMNNYFSIGVDASIAHRFHVMREKHPEKFNSRMKNKLWYFEFGTSETFAATCKKLHDHIE
+LECDGVGVDLSNIFLEGIAILNIPSMYGGTNLWGENKKNRAVIRESRKGVTDPKELKFCV
+QDLSDQLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCASVTIRTNKLLPMQVDGEP
+>Q86XP1_PF00169_67 <unknown description>
+IKEGQLLKQTSSFQRWKKRYFKLRGRTLYYAKDSKSLIFDEVDLSDASVAEASTKNANNS
+FTIITPFRRLMLCAENRKEMEDWISSLKSVQ
+>Q86XP1_PF00130_176 <unknown description>
+HNWYACSHARPTFCNVCRESLSGVTSHGLSCEVCKFKAHKRCAVRATNNCK
+>Q86XP1_PF00130_248 <unknown description>
+HQWLEGNLPVSAKCAVCDKTCGSVLRLQDWKCLWCKTMVHTACKDLYHPICP
+>Q86XP1_PF00781_332 <unknown description>
+PLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDNFRILVCGGD
+GSVGWVLSEIDKLNLNKQCQLGVLPLGTGNDLARVLGWGGSYDDDTQLPQILEK
+>Q86XP1_PF00609_770 <unknown description>
+VMNNYFGIGLDAKISLEFNNKREEHPEKCRSRTKNLMWYGVLGTRELLQRSYKNLEQRVQ
+LECDGQYIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDIFAAPSFDDKILEVVAIFDSMQ
+MAVSRVIKLQHHRIAQCRTVKITIFGDEGVPVQVDGE
+>Q86XP1_PF07647_1151 <unknown description>
+WGTEEVAAWLDLLNLGEYKDIFIRHDIRGAELLHLERRDLKDLGIPKVGHVKRILQGIKE
+L
+>Q5KSL6_PF00169_218 <unknown description>
+LKEGPMLKNCNSFKRWKLRYFLVQGQKLYFAHHPAFAHFETIDLSQATVAESSCRNLCHS
+FCVITPQRKITLAAPNRKDMEEWINIIKT
+>Q5KSL6_PF00130_399 <unknown description>
+HQWVEGNMPVSSQCAVCHESCGSYQRLQDFRCLWCNSTVHDDCRRRFSKEC
+>Q5KSL6_PF00781_491 <unknown description>
+PLLIFINSKSGDHQGIVFLRKFKQYLNPSQVFDLLKGGPEAGLSMFKNFARFRILVCGGD
+GSVSWVLSLIDAFGLHEKCQLAVIPLGTGNDLARVLGWGAFWNKSK
+>Q5KSL6_PF00609_857 <unknown description>
+VMNNYFGIGLDAKISLDFNTRRDEHPGQYNSRLKNKMWYGLLGTKELLQRSYRKLEERVH
+LECDGETISLPNLQGIVVLNITSYAGGINFWGSNTATTEYEAPAIDDGKLEVVAIFGSVQ
+MAMSRIINLHHHRIAQCHEVMITIDGEEGIPVQVDGE
+>P52824_PF00130_61 <unknown description>
+HSFRKVTLTKPTFCHLCSDFIWGLAGFLCDVCNFMSHEKCLKHVRIPCT
+>P52824_PF00130_184 <unknown description>
+HHWREGNLPSGARCEVCRKTCGSSDVLAGVRCEWCGVQAHSLCSAALAPECG
+>P52824_PF00788_396 <unknown description>
+QEVLKIYPGWLKVGVAYVSVRVTPKSTARSVVLEVLPLLGRQAESPESFQLVEVAMGCRH
+VQRTMLMDEQPLLDRLQDIRQMSVRQVSQTRFYVAESR
+>P52824_PF00781_588 <unknown description>
+PLLVFVNPKSGGLKGRDLLCSFRKLLNPHQVFDLTNGGPLPGLHLFSQVPCFRVLVCGGD
+GTVGWVLGALEETRYRLACPEPSVAILPLGTGNDLGRVLRWGAGYS
+>P52824_PF00609_742 <unknown description>
+MSNYCGIGIDAELSLDFHQAREEEPGKFTSRLHNKGVYVRVGLQKISHSRSLHKQIRLQV
+ERQEVELPSIEGLIFINIPSWGSGADLWGSDSDTRFEKPRMDDGLLEVVGVTGVVHMGQV
+QGGLRSGIRIAQGSYFRVTLLKATPVQVDGEP
+>Q13574_PF00130_172 <unknown description>
+HHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPC
+>Q13574_PF00781_295 <unknown description>
+PLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPKEALEMYRKVHNLRILACGGD
+GTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEE
+>Q13574_PF00609_446 <unknown description>
+VFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIR
+VVCDGMDLTPKIQDLKPQCVVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFT
+MTSLAALQVGGHGERLTQCREVVLTTSKAIPVQVDGEP
+>Q13574_PF12796_794 <unknown description>
+LIEAAKRNDFCKLQELHRAGGDLMHRDEQSRTLLHHAVSTGSKDVVRYLLDHAPPEILDA
+VEENGETCLHQAAALGQRTICHYIVEAGASLMKTD
+>Q9Y4D2_PF01764_394 <unknown description>
+VISIRGTLSPKDALTDLTGDAERLPVEGHHGTWLGHKGMVLSAEYIKKKLEQEMVLSQAF
+GRDLGRGTKHYGLIVVGHSLGAGTAAILSFLLRPQYPTLKCFAYSPPGGLLSEDAMEYSK
+EFVTAVVLGKDLVPRI
+>Q8NCG7_PF01764_370 <unknown description>
+VVAVRGTMSLQDVLTDLSAESEVLDVECEVQDRLAHKGISQAARYVYQRLINDGILSQAF
+SIAPEYRLVIVGHSLGGGAAALLATMLRAAYPQVRCYAFSPPRGLWSKALQEYSQSFIVS
+LVLGKDVIPRLSVT
+>Q16854_PF01712_41 <unknown description>
+LSIEGNIAVGKSTFVKLLTKTYPEWHVATEPVATWQNIQAAGTQKACTAQSLGNLLDMMY
+REPARWSYTFQTFSFLSRLKVQLEPFPEKLLQARKPVQIFERSVYSDRYIFAKNLFENGS
+LSDIEWHIYQDWHSFLLWEFASRITLHGFIYLQASPQVCLKRLYQRAREEEKGIELAYLE
+QLHGQHEAWLIHKTTKLHFEALMNIPVLVLDVNDDFSEEVTKQEDLMREVNTFVK
+>Q8NBQ5_PF00106_38 <unknown description>
+IVLITGAGHGIGRLTAYEFAKLKSKLVLWDINKHGLEETAAKCKGLGAKVHTFVVDCSNR
+EDIYSSAKKVKAEIGDVSILVNNAGVVYTSDLFATQDPQIEKTFEVNVLAHFWTTKAFLP
+AMTKNNHGHIVTVASAAGHVSVPFLLAYCSSKFAAVGFHKTLTDELAALQITGVKTTCLC
+PNFVNTGFIK
+>Q53GQ0_PF00106_52 <unknown description>
+WAVVTGSTDGIGKSYAEELAKHGMKVVLISRSKDKLDQVSSEIKEKFKVETRTIAVDFAS
+EDIYDKIKTGLAGLEIGILVNNVGMSYEYPEYFLDVPDLDNVIKKMININILSVCKMTQL
+VLPGMVERSKGAILNISSGSGMLPVPLLTIYSATKTFVDFFSQCLHEEYRSKGVFVQSVL
+PYFVATKLAKI
+>Q7Z5P4_PF00106_38 <unknown description>
+IVLITGAGHGIGRQTTYEFAKRQSILVLWDINKRGVEETAAECRKLGVTAHAYVVDCSNR
+EEIYRSLNQVKKEVGDVTIVVNNAGTVYPADLLSTKDEEITKTFEVNILGHFWITKALLP
+SMMERNHGHIVTVASVCGHEGIPYLIPYCSSKFAAVGFHRGLTSELQALGKTGIKTSCLC
+PVFVNTGFTKN
+>Q9BPX1_PF13561_19 <unknown description>
+RGIGAGIVRAFVNSGARVVICDKDESGGRALEQELPGAVFILCDVTQEDDVKTLVSETIR
+RFGRLDCVVNNAGHHPPPQRPEETSAQGFRQLLELNLLGTYTLTKLALPYLRKSQGNVIN
+ISSLVGAIGQAQAVPYVATKGAVTAMTKALALDESPYGVRVNCISPGNIWTPLWEELAAL
+MPDPRATIREGMLAQPLGRMGQPAEVGAAAVFLASEANFCTGIELLVTGGA
+>P14061_PF00106_5 <unknown description>
+VVLITGCSSGIGLHLAVRLASDPSQSFKVYATLRDLKTQGRLWEAARALACPPGSLETLQ
+LDVRDSKSVAAARERVTEGRVDVLVCNAGLGLLGPLEALGEDAVASVLDVNVVGTVRMLQ
+AFLPDMKRRGSGRVLVTGSVGGLMGLPFNDVYCASKFALEGLCESLAVLLLPFGVHLSLI
+ECGPVHTAFMEK
+>P37059_PF00106_83 <unknown description>
+KAVLVTGGDCGLGHALCKYLDELGFTVFAGVLNENGPGAEELRRTCSPRLSVLQMDITKP
+VQIKDAYSKVAAMLQDRGLWAVINNAGVLGFPTDGELLLMTDYKQCMAVNFFGTVEVTKT
+FLPLLRKSKGRLVNVSSMGGGAPMERLASYGSSKAAVTMFSSVMRLELSKWGIKVASIQP
+GGFLTNIAGTSDKWE
+>P37058_PF00106_50 <unknown description>
+WAVITGAGDGIGKAYSFELAKRGLNVVLISRTLEKLEAIATEIERTTGRSVKIIQADFTK
+DDIYEHIKEKLAGLEIGILVNNVGMLPNLLPSHFLNAPDEIQSLIHCNITSVVKMTQLIL
+KHMESRQKGLILNISSGIALFPWPLYSMYSASKAFVCAFSKALQEEYKAKEVIIQVLTPY
+AVSTAMTKYLNT
+>P51659_PF00106_11 <unknown description>
+VVLVTGAGAGLGRAYALAFAERGALVVVNDLGGDFKGVGKGSLAADKVVEEIRRRGGKAV
+ANYDSVEEGEKVVKTALDAFGRIDVVVNNAGILRDRSFARISDEDWDIIHRVHLRGSFQV
+TRAAWEHMKKQKYGRIIMTSSASGIYGNFGQANYSAAKLGLLGLANSLAIEGRKSNIHCN
+TIAPNA
+>P51659_PF01575_484 <unknown description>
+IPNRPPDAVLTDTTSLNQAALYRLSGDWNPLHIDPNFASLAGFDKPILHGLCTFGFSARR
+VLQQFADNDVSRFKAIKARFAKPVYPGQTLQTEMWKEGNRIHFQTKVQETGDIVISN
+>P51659_PF02036_628 <unknown description>
+FEEIGRRLKDIGPEVVKKVNAVFEWHITKGGNIGAKWTIDLKSGSGKVYQGPAKGAADTT
+IILSDEDFMEVVLGKLDPQKAFFSGRLKARGNIMLSQKLQMILK
+>P56937_PF00106_3 <unknown description>
+KVVLITGASSGIGLALCKRLLAEDDELHLCLACRNMSKAEAVCAALLASHPTAEVTIVQV
+DVSNLQSVFRASKELKQRFQRLDCIYLNAGIMPNPQLNIKALFFGLFSRKVIHMFSTAEG
+LLTQGDKITADGLQEVFETNVFGHFILIRELEPLLCHSDNPSQLIWTSSRSARKSNFSLE
+DFQHSKGKEPYSSSKYATDLLSVALNRNFNQQGLYSNVACPGTALTNLT
+>Q92506_PF13561_18 <unknown description>
+GAGSGIGRAVSVRLAGEGATVAACDLDRAAAQETVRLLGGPGSKEGPPRGNHAAFQADVS
+EARAARCLLEQVQACFSRPPSVVVSCAGITQDEFLLHMSEDDWDKVIAVNLKGTFLVTQA
+AAQALVSNGCRGSIINISSIVGKVGNVGQTNYAASKAGVIGLTQTAARELGRHGIRCNSV
+LPGFIATPMTQKVPQKVVDKITEMIPMGHLGDPEDVADVVAFLASEDSGYITGTSVEVTG
+GL
+>Q15392_PF01565_111 <unknown description>
+HKNIMINLMDILEVDTKKQIVRVEPLVTMGQVTALLTSIGWTLPVLPELDDLTVGGLIMG
+TGIESSSHKYGLFQHICTAYELVLADGSFVRC
+>Q9UBM7_PF01222_92 <unknown description>
+ITRKAAQLYTLWVTFQVLLYTSLPDFCHKFLPGYVGGIQEGAVTPAGVVNKYQINGLQAW
+LLTHLLWFANAHLLSWFSPTIIFDNWIPLLWCANILGYAVSTFAMVKGYFFPTSARDCKF
+TGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPGIVAWTLINLSFAAKQRELHSHVTNAMV
+LVNVLQAIYVIDFFWNETWYLKTIDICHDHFGWYLGWGDCVWLPYLYTLQGLYLVYHPVQ
+LSTPHAVGVLLLGLVGYYIFRVANHQKDLFRRTDGRCLIWGRKPKVIECSYTSADGQRHH
+SKLLVSGFWGVARHFNYVGDLMGSLAYCLACGGGHLLPYFYIIYMAILLTHRCLRDEHRC
+ASKYGRDWERYTAAVPYRLLPGIF
+>Q86SQ9_PF01255_32 <unknown description>
+IMDGNRRYAKKCQVERQEGHSQGFNKLAETLRWCLNLGILEVTVYAFSIENFKRSKSEVD
+GLMDLARQKFSRLMEEKEKLQKHGVCIRVLGDLHLLPLDLQELIAQAVQATKNYNKCFLN
+VCFAYTSRHEISNAVREMAWGVEQGLLDPSDISESLLDKCLYTNRSPHPDILIRTSGEVR
+LSDFLLWQTSHSCLVFQPVLWPEYTFWNLFEAILQFQMNH
+>Q9UQ10_PF01408_3 <unknown description>
+LRWGIVSVGLISSDFTAVLQTLPRSEHQVVAVAARDLSRAKEFAQKHDIPKAYGSYEELA
+KDPSVEVAYIGTQHPQHKAAVMLCLAAGKAVLCEKPTGVNAAEVREMVAEARSRAL
+>Q9UQ10_PF02894_137 <unknown description>
+SVLAQGTLGDLRVARAEFGKNLIHVPRAVDRAQAGGALLDIGIYCVQFTSMVFGGQKPEK
+ISVVGRRHETGVDDTVTVLLQYPGEVHGSFTCSITVQLSNTASVSGTKGMVQ
+>P00367_PF02812_113 <unknown description>
+NHVLSLSFPIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKC
+AVVDVPFGGAKAGVKINPKNYTDNELEKITRRFTMELAKKGFIGPGIDVPAPDMSTGERE
+MSWIADTYA
+>P00367_PF00208_263 <unknown description>
+GGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFGDKTFVVQGFGNVGLHSMRYLHR
+FGAKCIAVGESDGSIWNPDGIDPKELEDFKLQHGSILGFPKAKPYEGSILEADCDILIPA
+ASEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLERNIMVIPDLYLNAGGVTVSYFEW
+LKNLNHVSYG
+>P49448_PF02812_113 <unknown description>
+NHVLSLSFPIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKC
+AVVDVPFGGAKAGVKINPKNYTENELEKITRRFTMELAKKGFIGPGVDVPAPDMNTGERE
+MSWIADTYA
+>P49448_PF00208_263 <unknown description>
+GGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFRDKTFVVQGFGNVGLHSMRYLHR
+FGAKCIAVGESDGSIWNPDGIDPKELEDFKLQHGSILGFPKAKPYEGSILEVDCDILIPA
+ATEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLERNILVIPDLYLNAGGVTVSYFEW
+LKNLNHVSYG
+>O43323_PF01085_23 <unknown description>
+CGPGRGPVGRRRYARKQLVPLLYKQFVPGVPERTLGASGPAEGRVARGSERFRDLVPNYN
+PDIIFKDEENSGADRLMTERCKERVNALAIAVMNMWPGVRLRVTEGWDEDGHHAQDSLHY
+EGRALDITTSDRDRNKYGLLARLAVEAGFDWVYYESRNHVHVS
+>O43323_PF01079_187 <unknown description>
+KADNSLAVRAGGCFPGNATVRLWSGERKGLRELHRGDWVLAADASGRVVPTPVLLFLDRD
+LQRRASFVAVETEWPPRKLLLTPWHLVFAARGPAPAPGDFAPVFARRLRAGDSVLAPGGD
+ALRPARVARVAREEAVGVFAPLTAHGTLLVNDVLASCYAVLESHQWAHRAFAPLRLLHAL
+GALLPGGAVQPTGMHWYSRLLYRLAEEL
+>Q7Z5J1_PF00106_32 <unknown description>
+VLLTGANAGVGEELAYHYARLGSHLVLTAHTEALLQKVVGNCRKLGAPKVFYIAADMASP
+EAPESVVQFALDKLGGLDYLVLNHIGGAPAGTRARSPQATRWLMQVNFVSYVQLTSRALP
+SLTDSKGSLVVVSSLLGRVPTSFSTPYSAAKFALDGFFGSLRRELDVQDVNVAITM
+>P28845_PF00106_35 <unknown description>
+KKVIVTGASKGIGREMAYHLAKMGAHVVVTARSKETLQKVVSHCLELGAASAHYIAGTME
+DMTFAEQFVAQAGKLMGGLDMLILNHITNTSLNLFHDDIHHVRKSMEVNFLSYVVLTVAA
+LPMLKQSNGSIVVVSSLAGKVAYPMVAAYSASKFALDGFFSSIRKEYSVSRVNVSITLCV
+LGLIDTETAMKAV
+>P80365_PF00106_84 <unknown description>
+AVLITGCDSGFGKETAKKLDSMGFTVLATVLELNSPGAIELRTCCSPRLRLLQMDLTKPG
+DISRVLEFTKAHTTSTGLWGLVNNAGHNEVVADAELSPVATFRSCMEVNFFGALELTKGL
+LPLLRSSRGRIVTVGSPAGDMPYPCLGAYGTSKAAVALLMDTFSCELLPWGVKVSIIQPG
+CFKTESVRNVGQWE
+>P09417_PF00106_13 <unknown description>
+VLVYGGRGALGSRCVQAFRARNWWVASVDVVENEEASASIIVKMTDSFTEQADQVTAEVG
+KLLGEEKVDAILCVAGGWAGGNAKSKSLFKNCDLMWKQSIWTSTISSHLATKHLKEGGLL
+TLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGAAAIAVLPVTLDTPMNRK
+SM
+>Q6UWP2_PF00106_13 <unknown description>
+LALVTGASGGIGAAVARALVQQGLKVVGCARTVGNIEELAAECKSAGYPGTLIPYRCDLS
+NEEDILSMFSAIRSQHSGVDICINNAGLARPDTLLSGSTSGWKDMFNVNVLALSICTREA
+YQSMKERNVDDGHIININSMSGHRVLPLSVTHFYSATKYAVTALTEGLRQELREAQTHIR
+ATCISPGVVETQFAFKLHD
+>A0PJE2_PF00106_25 <unknown description>
+LEETAALAKQLPLKSPSENIFLHIVDLSDPKQIWKFVENFKQEHKLHVLINNAGCMVNKR
+ELTEDGLEKNFAANTLGVYILTTGLIPVLEKEHDPRVITVSSGGM
+>Q6UX07_PF00106_38 <unknown description>
+TAVVTGANSGIGKMTALELARRGARVVLACRSQERGEAAAFDLRQESGNNEVIFMALDLA
+SLASVRAFATAFLSSEPRLDILIHNAGISSCGRTREAFNLLLRVNHIGPFLLTHLLLPCL
+KACAPSRVVVVASAA
+>Q96LJ7_PF00106_9 <unknown description>
+VCVVTGASRGIGRGIALQLCKAGATVYITGRHLDTLRVVAQEAQSLGGQCVPVVCDSSQE
+SEVRSLFEQVDREQQGRLDVLVNNAYAGVQTILNTRNKAFWETPASMWDDINNVGLRGHY
+FCSVYGARLMVPAGQGLIVVISSPGSLQYMFNVPYGVGKAACDKLAADCAHELRRHGVSC
+VSLWPGIVQTELLKEHMAK
+>Q13268_PF13561_43 <unknown description>
+GSTSGIGFAIARRLARDGAHVVISSRKQQNVDRAMAKLQGEGLSVAGIVCHVGKAEDREQ
+LVAKALEHCGGVDFLVCSAGVNPLVGSTLGTSEQIWDKILSVNVKSPALLLSQLLPYMEN
+RRGAVILVSSIAAYNPVVALGVYNVSKTALLGLTRTLALELAPKDIRVNCVVPGIIKTDF
+SKVFHGNESLWKNFKEHHQLQRIGESEDCAGIVSFLCSPDASYVNGENIAVAG
+>O75911_PF00106_40 <unknown description>
+NVLITGGGRGIGRQLAREFAERGARKIVLWGRTEKCLKETTEEIRQMGTECHYFICDVGN
+REEVYQTAKAVREKVGDITILVNNAAVVHGKSLMDSDDDALLKSQHINTLGQFWTTKAFL
+PRMLELQNGHIVCLNSVLALSAIPGAIDYCTSKASAFAFMESLTLGLLDCPGVSATTVLP
+FHTSTEMFQG
+>Q9BTZ2_PF13561_42 <unknown description>
+DGIGFAIARRLAQDGAHVVVSSRKQQNVDQAVATLQGEGLSVTGTVCHVGKAEDRERLVA
+TAVKLHGGIDILVSNAAVNPFFGSIMDVTEEVWDKTLDINVKAPALMTKAVVPEMEKRGG
+GSVVIVSSIAAFSPSPGFSPYNVSKTALLGLTKTLAIELAPRNIRVNCLAPGLIKTSFSR
+MLWMDKEKEESMKETLRIRRLGEPEDCAGIVSFLCSEDASYITGETVVVGGG
+>Q9BUT1_PF13561_14 <unknown description>
+AAQGIGQAAALAFAREGAKVIATDINESKLQELEKYPGIQTRVLDVTKKKQIDQFANEVE
+RLDVLFNVAGFVHHGTVLDCEEKDWDFSMNLNVRSMYLMIKAFLPKMLAQKSGNIINMSS
+VASSVKGVVNRCVYSTTKAAVIGLTKSVAADFIQQGIRCNCVCPGTVDTPSLQERIQARG
+NPEEARNDFLKRQKTGRFATAEEIAMLCVYLASDESAYVTGNPVIIDGGWS
+>Q9Y394_PF00106_52 <unknown description>
+VVWVTGASSGIGEELAYQLSKLGVSLVLSARRVHELERVKRRCLENGNLKEKDILVLPLD
+LTDTGSHEAATKAVLQEFGRIDILVNNGGMSQRSLCMDTSLDVYRKLIELNYLGTVSLTK
+CVLPHMIERKQGKIVTVNSILGIISVPLSIGYCASKHALRGFFNGLRTELATYPGIIVSN
+ICPGPVQSNIVENS
+>Q9BPW9_PF00106_30 <unknown description>
+KYIFITGCDSGFGNLAARTFDKKGFHVIAACLTESGSTALKAETSERLRTVLLDVTDPEN
+VKRTAQWVKNQVGEKGLWGLINNAGVPGVLAPTDWLTLEDYREPIEVNLFGLISVTLNML
+PLVKKAQGRVINVSSVGGRLAIVGGGYTPSKYAVEGFNDSLRRDMKAFGVHVSCIEPGLF
+KTNLADP
+>Q8N5I4_PF00106_45 <unknown description>
+VAIVTGGTDGIGYSTAKHLARLGMHVIIAGNNDSKAKQVVSKIKEETLNDKVEFLYCDLA
+SMTSIRQFVQKFKMKKIPLHVLINNAGVMMVPQRKTRDGFEEHFGLNYLGHFLLTNLLLD
+TLKESGSPGHSARVVTVSSATHYVAELNMDDLQSSACYSPHAAYAQSKLALVLFTYHLQR
+LLAAEGSHVTANVVDPGVVNTDVYK
+>O14521_PF05328_55 <unknown description>
+HHSGSKAASLHWTSERVVSVLLLGLLPAAYLNPCSAMDYSLAAALTLHGHWGLGQVVTDY
+VHGDALQKAAKAGLLALSALTFAGLCYFNYHDVGICKAVAMLWK
+>Q00796_PF08240_32 <unknown description>
+PNEVLLRMHSVGICGSDVHYWEYGRIGNFIVKKPMVLGHEASGTVEKVGSSVKHLKPGDR
+VAIEPGAPRENDEFCKMGRYNLSPSIFFCATPPDDGNLCRFYKHNAAFCYK
+>Q00796_PF00107_183 <unknown description>
+PIGMVTLLVAKAMGAAQVVVTDLSATRLSKAKEIGADLVLQISKESPQEIARKVEGQLGC
+KPEVTIECTGAEASIQAGIYATRSGGNLVLVGLGSEMTTVPLLHAAIREVDIKGVFRYCN
+TWPVAISMLA
+>Q96HY7_PF00676_217 <unknown description>
+VIIGMPHRGRLNLLTGLLQFPPELMFRKMRGLSEFPENFSATGDVLSHLTSSVDLYFGAH
+HPLHVTMLPNPSHLEAVNPVAVGKTRGRQQSRQDGDYSPDNSAQPGDRVICLQVHGDASF
+CGQGIVPETFTLSNLPHFRIGGSVHLIVNNQLGYTTPAERGRSSLYCSDIGKLVGCAIIH
+VNGDSPEEVVRATRLAFEYQRQFRKDVIIDLLCYRQWGHNELDEPFYTNPIMYKIIRARK
+SIPDTYAEHLIAGGLMTQEEVSEIKSSYYAKLNDHLNNMA
+>Q96HY7_PF02779_568 <unknown description>
+KLDWATAEALALGSLLAQGFNVRLSGQDVGRGTFSQRHAIVVCQETDDTYIPLNHMDPNQ
+KGFLEVSNSPLSEEAVLGFEYGMSIESPKLLPLWEAQFGDFFNGAQIIFDTFISGGEAKW
+LLQSGIVILLPHGYDGAGPDHSSCRIERFLQMCDSAEEGVDGDTVNMFVVHPTTPAQYFH
+LLRRQMVRNFRKPLIVASPKMLLR
+>Q96HY7_PF16870_777 <unknown description>
+STLQEMAPGTTFNPVIGDSSVDPKKVKTLVFCSGKHFYSLVKQRESLGAKKHDFAIIRVE
+ELCPFPLDSLQQEMSKYKHVKDHIWSQEEPQNMGPWSFVSPRFEKQLACKLRLVGRPPLP
+VPAVGIGTVHLHQHEDILAKTF
+>O43143_PF00270_149 <unknown description>
+ILVRHQSFVLVGETGSGKTTQIPQWCVEYMRSLPGPKRGVACTQPRRVAAMSVAQRVADE
+MDVMLGQEVGYSIRFEDCSSAKTILKYMTDGMLLREAMNDPLLERYGVIILDEAHERTLA
+TDILMGVLKEVVRQRSDLKVIVMSATLD
+>O43143_PF00271_338 <unknown description>
+TVIQIHMCEEEEGDLLLFLTGQEEIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQ
+QRIFEPPPPKKQNGAIGRKVVVSTNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLL
+VTAISKASAQQRAGRAGRT
+>O43143_PF04408_539 <unknown description>
+ALELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQ
+CFVRPTEAKKAADEAKMRFAHIDGDHLTL
+>O43143_PF07717_689 <unknown description>
+IRKALVTGYFMQVAHLERTGHYLTVKDNQVVQLHPSTVLDHKPEWVLYNEFVLTTKNYIR
+TCTDIKPEWLVKIAPQY
+>O60231_PF00270_405 <unknown description>
+REELLAAIANHQVLIIEGETGSGKTTQIPQYLFEEGYTNKGMKIACTQPRRVAAMSVAAR
+VAREMGVKLGNEVGYSIRFEDCTSERTVLRYMTDGMLLREFLSEPDLASYSVVMVDEAHE
+RTLHTDILFGLIKDVARFRPELKVLVASATMD
+>O60231_PF00271_603 <unknown description>
+HVTQPPGDILVFLTGQEEIEAACEMLQDRCRRLGSKIRELLVLPIYANLPSDMQARIFQP
+TPPGARKVVVATNIAETSLTIEGIIYVLDPGFCKQKSYNPRTGMESLTVTPCSKASANQR
+AGRAGRV
+>O60231_PF04408_792 <unknown description>
+ALEQLYALGALNHLGELTTSGRKMAELPVDPMLSKMILASEKYSCSEEILTVAAMLSVNN
+SIFYRPKDKVV
+>O60231_PF07717_939 <unknown description>
+VRKAITAGYFYHTARLTRSGYRTVKQQQTVFIHPNSSLFEQQPRWLLYHELVLTTKEFMR
+QVLEIESSWLLEVAPHY
+>Q7Z478_PF00270_581 <unknown description>
+IVETLKRHRVVVVAGETGSGKSTQVPHFLLEDLLLNEWEASKCNIVCTQPRRISAVSLAN
+RVCDELGCENGPGGRNSLCGYQIRMESRACESTRLLYCTTGVLLRKLQEDGLLSNVSHVI
+VDEVHERSVQSDFLLIILKEILQKRSDLHLILMSATVD
+>Q7Z478_PF00271_852 <unknown description>
+ELLAYLDKSPQFRNIEGAVLIFLPGLAHIQQLYDLLSNDRRFYSERYKVIALHSILSTQD
+QAAAFTLPPPGVRKIVLATNIAETGITIPDVVFVIDTGRTKENKYHESSQMSSLVETFVS
+KASALQRQGRAGRV
+>Q7Z478_PF04408_1049 <unknown description>
+AMNLLRKIGACELNEPKLTPLGQHLAALPVNVKIGKMLIFGAIFGCLDPVATLAAVMTEK
+SPFTTPIGRKDEADL
+>Q7Z478_PF07717_1216 <unknown description>
+LKAVLVAGLYDNVGKIIYTKSVDVTEKLACIVETAQGKAQVHPSSVNRDLQTHGWLLYQE
+KIRYARVYLRETTLITPFPVLLFGGDI
+>Q7L2E3_PF00270_443 <unknown description>
+ILNAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQR
+VSHELGPSLRRNVGFQVRLESKPPSRGGALLFCTVGILLRKLQSNPSLEGVSHVIVDEVH
+ERDVNTDFLLILLKGLQRLNPALRLVLMSATG
+>Q7L2E3_PF00271_663 <unknown description>
+DARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQPPV
+GVRKIVLATNIAETSITINDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRRGR
+AGRC
+>Q7L2E3_PF04408_851 <unknown description>
+AVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDP
+FSSSLQNRAEVDK
+>Q7L2E3_PF07717_1016 <unknown description>
+KGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLT
+YFMAVKSNGSVFVRDSSQVHPLAVLLL
+>Q7L7V1_PF04408_458 <unknown description>
+ALEDLDYLAALDNDGNLSEFGIIMSEFPLDPQLSKSILASCEFDCVDEVLTIAAMVTAPN
+CFSHVPHGAEEAALTCWKTFLHPEGDHFT
+>Q7L7V1_PF07717_616 <unknown description>
+IKKALLSGYFMQIARDVDGSGNYLMLTHKQVAQLHPLSGYSITKKMPEWVLFHKFSISEN
+NYIRITSEISPELFMQLVPQY
+>Q9H6R0_PF00270_90 <unknown description>
+LDNAVLIGETGSGKTTQIPQYLYEGGISRQGIIAVTQPRRVAAISLATRVSDEKRTELGK
+LVGYTVRFDDVTSEDTRIKFLTDGMLLREAISDSLLRKYSCVILDEAHERTIHTDVLFGV
+VKAAQKRRKELGKLPLKVIVMSATMD
+>Q9H6R0_PF00271_283 <unknown description>
+QEAPSSQDILVFLTGQEEIEAMSKTCRDIAKHLPDGCPAMLVLPLYASLPYAQQLRVFQG
+APKGYRKVIISTNIAETSITITGIKYVVDTGMVKAKKYNPDSGLEVLAVQRVSKTQAWQR
+TGRAGR
+>Q9H6R0_PF04408_471 <unknown description>
+AIAQLDLLGALEHKDDQLTLTPMGRKMAAFPLEPKFAKTILMSPKFHCTEEILTIVSLLS
+VDSVLHNPPSRREEVQGVRKKFISSEGDHMTL
+>Q9H6R0_PF07717_620 <unknown description>
+VRRCLAHSLFMSTAELQPDGTYATTDTHQPVAIHPSSVLFHCKPACVVYTELLYTNKCYM
+RDLCVIDAQWLYEAAPE
+>Q14147_PF00271_376 <unknown description>
+EERGDLLVFLSGMAEISAVLEAAQTYASHTQRWVVLPLHSALSVADQDKVFDVAPPGVRK
+CILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEFWISQASAEQRKGRAGRT
+G
+>Q14147_PF04408_557 <unknown description>
+AILYLRDQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSMFSLVEPVLTIAAALSVQS
+PFTRSAQSSPECAAARRPLESDQGDPFTLFNVFNAWVQVK
+>Q14147_PF07717_800 <unknown description>
+LKLVLGRGLYPQLAVPDAFNSSRKDSDQIFHTQAKQGAVLHPTCVFAGSPEVLHAQELEA
+SNCDGSRDDKDKMSSKHQLLSFVSLLETNKPYLVNCVRIPALQSLLLFSRS
+>Q9H5Z1_PF00271_265 <unknown description>
+VVKIHQTEGDGDVLAFLTGQEEVETVVSMLIEQARALARTGMKRHLRVLPMYAGLPSFEQ
+MKVFERVSRSVRKVIVATNVAETSITISGIVYVIDCGFVKLRAYNPRTAIECLVVVPVSQ
+ASANQRAGRGGRS
+>Q9H5Z1_PF04408_459 <unknown description>
+ALELLYALGGLDKDCRLTEPLGMRIAEFPLNPMFAKMLLESGNFGCSQEILSIAAMMQIQ
+NIFVVPPNQKSHAIRVHRKFAVEEGDHLTM
+>Q9H5Z1_PF07717_608 <unknown description>
+RCIVSGFFANAARFHSTGAYRTIRDDHELHIHPASVLYAEKPPRWVIYNEVIQTSKYYMR
+DVTAIESAWLLELAPH
+>Q9H2U1_PF00270_212 <unknown description>
+MQKELVNLIDNHQVTVISGETGCGKTTQVTQFILDNYIERGKGSACRIVCTQPRRISAIS
+VAERVAAERAESCGSGNSTGYQIRLQSRLPRKQGSILYCTTGIILQWLQSDPYLSSVSHI
+VLDEIHERNLQSDVLMTVVKDLLNFRSDLKVILMSATLNAE
+>Q9H2U1_PF00271_477 <unknown description>
+ALIRYIVLEEEDGAILVFLPGWDNISTLHDLLMSQVMFKSDKFLIIPLHSLMPTVNQTQV
+FKRTPPGVRKIVIATNIAETSITIDDVVYVIDGGKIKETHFDTQNNISTMSAEWVSKANA
+KQRKGRAGRV
+>Q9H2U1_PF04408_670 <unknown description>
+SIRHLMELNALDKQEELTPLGVHLARLPVEPHIGKMILFGALFCCLDPVLTIAASLSFKD
+PFVIPLGKEKIADARRKELAKDTRSDHLT
+>Q9H2U1_PF07717_831 <unknown description>
+IKAVICAGLYPKVAKIRLNLGKKRKMVKVYTKTDGLVAVHPKSVNVEQTDFHYNWLIYHL
+KMRTSSIYLYDCTEVSPYCLLFFGGDI
+>Q8IY37_PF00270_261 <unknown description>
+IMEAVAEHPIVIVCGETGSGKTTQVPQFLYEAGFSSEDSIIGVTEPRRVAAVAMSQRVAK
+EMNLSQRVVSYQIRYEGNVTEETRIKFMTDGVLLKEIQKDFLLLRYKVVIIDEAHERSVY
+TDILIGLLSRIVTLRAKRNLPLKLLIMSATLRVED
+>Q8IY37_PF00271_589 <unknown description>
+HVLPLYSLLAPEKQAQVFKPPPEGTRLCVVATNVAETSLTIPGIKYVVDCGKVKKRYYDR
+VTGVSSFRVTWVSQASADQRAGRAGRT
+>Q8IY37_PF04408_737 <unknown description>
+AEELLIALGALQPPQKAERVKQLQENRLSCPITALGRTMATFPVAPRYAKMLALSRQHGC
+LPYAITIVASMTVRELFEELDRPAASDEELTRLKSKRARVAQMKRTWAGQGASLKLGDLM
+VL
+>Q8IY37_PF07717_925 <unknown description>
+LRQIVTAGLGDHLARRVQSEEMLEDKWRNAYKTPLLDDPVFIHPSSVLFKELPEFVVYQE
+IVETTKMYMKGVSSVEVQWIPALLPS
+>Q8IX18_PF00270_60 <unknown description>
+KKIIQAVRDNSFLIVTGNTGSGKTTQLPKYLYEAGFSQHGMIGVTQPRKVAAISVAQRVA
+EEMKCTLGSKVGYQVRFDDCSSKETAIKYMTDGCLLKHILGDPNLTKFSVIILDEAHERT
+LTTDILFGLLKKLFQEKSPNRKEHLKVVVMSATMELA
+>Q8IX18_PF00271_264 <unknown description>
+MDIHLNEMAGDILVFLTGQFEIEKSCELLFQMAESVDYDYDVQDTTLDGLLILPCYGSMT
+TDQQRRIFLPPPPGIRKCVISTNISATSLTIDGIRYVVDGGFVKQLNHNPRLGLDILEVV
+PISKSEALQRSGRAGRT
+>Q8IX18_PF04408_463 <unknown description>
+ALKQLYQCDAIDRSGHVTRLGLSMVEFPLPPHLTCAVIKAASLDCEDLLLPIAAMLSVEN
+VFIRPVDPEYQKEAEQRHR
+>Q8IX18_PF07717_619 <unknown description>
+LRRCLCAGYFKNVARRSVGRTFCTMDGRGSPVHIHPSSALHEQETKLEWIIFHEVLVTTK
+VYARIVCPIRYEWVRDLLPK
+>Q6P158_PF05773_246 <unknown description>
+EQRQEEAFALKSICGEKFIERIQNRVWTIGLELEYLTSRFRKSKPKESTKNVQENSLEIC
+KFYLKGNCKFGSKCRFKHEVPPNQIVGRIERSVDDSHLNAIEDASFLYELEIRFSKDHKY
+PYQAPLVAFYSTNENLPLACRLHISEFLYDKALTFAETSEPVVYSLITLLEEE
+>Q6P158_PF18044_304 <unknown description>
+ICKFYLKGNCKFGSKCRFKH
+>Q6P158_PF00270_551 <unknown description>
+ETILNLLRKHQVVVISGMTGCGKTTQIPQFILDDSLNGPPEKVANIICTQPRRISAISVA
+ERVAKERAERVGLTVGYQIRLESVKSSATRLLYCTTGVLLRRLEGDTALQGVSHIIVDEV
+HERTEESDFLLLVLKDIVSQRPGLQVILMSATLNA
+>Q6P158_PF00271_831 <unknown description>
+IEALLEWIVDGKHSYPPGAILVFLPGLAEIKMLYEQLQSNSLFNNRRSNRCVIHPLHSSL
+SSEEQQAVFVKPPAGVTKIIISTNIAETSITIDDVVYVIDSGKMKEKRYDASKGMESLED
+TFVSQANALQRKGRAGRV
+>Q6P158_PF04408_1039 <unknown description>
+RLRDLGALTPDERLTPLGYHLASLPVDVRIGKLMLFGSIFRCLDPALTIAASLAFKSPFV
+SPWDKKEEANQK
+>Q6P158_PF07717_1213 <unknown description>
+ISAMLCAALYPNVVQVKSPEGKFQKTSTGAVRMQPKSAELKFVTKNDGYVHIHPSSVNYQ
+VRHFDSPYLLYHEKIKTSRVFIRDCSMVSVYPLVLFGG
+>Q96C10_PF04851_1 <unknown description>
+MELRSYQWEVIMPALEGKNIIIWLPTGAGKTRAAAYVAKRHLETVDGAKVVVLVNRVHLV
+TQHGEEFRRMLDGRWTVTTLSGDMGPRAGFGHLARCHDLLICTAELLQMALTSPEEEEHV
+ELTVFSLIVVDECHHTHKDTVYNVIMSQYLELKLQRAQPLPQVLGLTASP
+>Q96C10_PF18119_216 <unknown description>
+KQYNLCHRRSQDPFGDLLKKLMDQIHDHLEMPELSRKFGTQMYEQQVVKLSEAAALAGLQ
+EQRVYALHLRRYNDALLIHDTVRAVDALAALQDFYHREHVTKTQILCAERRLLALFDDRK
+NELAHLA
+>Q96C10_PF00271_351 <unknown description>
+LEMLEKILQRQFSSSNSPRGIIFTRTRQSAHSLLLWLQQQQGLQTVDIRAQLLIGAGNSS
+QSTHMTQRDQQEVIQKFQDGTLNLLVATSVAEEGLDIPHCNVVVRYGLLTNEISMVQARG
+RARA
+>Q96C10_PF11648_552 <unknown description>
+VQLLCINCMVAVGHGSDLRKVEGTHHVNVNPNFSNYYNVSRDPVVINKVFKDWKPGGVIS
+CRNCGEVWGLQMIYKSVKLPVLKVRSMLLETPQGRIQAKKWSRVPFSVPDFDFLQ
+>Q14562_PF00575_261 <unknown description>
+EPTIGDIYNGKVTSIMQFGCFVQLEGLRKRWEGLVHISELRREGRVANVADVVSKGQRVK
+VKVLSFTGTKTSL
+>Q14562_PF00271_767 <unknown description>
+IHLTEPPGDILVFLTGQEEIDTACEILYERMKSLGPDVPELIILPVYSALPSEMQTRIFD
+PAPPGSRKVVIATNIAETSLTIDGIYYVVDPGFVKQKVYNSKTGIDQLVVTPISQAQAKQ
+RAGRAGRTG
+>Q14562_PF04408_957 <unknown description>
+AMEQLYTLGALDDEGLLTRLGRRMAEFPLEPMLCKMLIMSVHLGCSEEMLTIVSMLSVQN
+VFYRPKDKQALADQKKAKFHQTEGDHLTL
+>Q14562_PF07717_1103 <unknown description>
+VQKAICSGFFRNAAKKDPQEGYRTLIDQQVVYIHPSSALFNRQPEWVVYHELVLTTKEYM
+REVTTIDPRWLVEFAPA
+>Q08211_PF00035_5 <unknown description>
+KNFLYAWCGKRKMTPSYEIRAVGNKNRQKFMCEVQVEGYNYTGMGNSTNKKDAQSNAARD
+FVNYL
+>Q08211_PF00035_181 <unknown description>
+AKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKLAAQ
+SCALSLVRQL
+>Q08211_PF00270_395 <unknown description>
+SEILEAISQNSVVIIRGATGCGKTTQVPQFILDDFIQNDRAAECNIVVTQPRRISAVSVA
+ERVAFERGEEPGKSCGYSVRFESILPRPHASIMFCTVGVLLRKLEAGIRGISHVIVDEIH
+ERDINTDFLLVVLRDVVQAYPEVRIVLMSATID
+>Q08211_PF00271_637 <unknown description>
+IEALLKYIETLNVPGAVLVFLPGWNLIYTMQKHLEMNPHFGSHRYQILPLHSQIPREEQR
+KVFDPVPVGVTKVILSTNIAETSITINDVVYVIDSCKQKVKLFTAHNNMTNYATVWASKT
+NLEQRKGRAGRV
+>Q08211_PF04408_832 <unknown description>
+AEHTLRELDALDANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAICTIAAATCFPE
+PFINEGK
+>Q08211_PF07717_994 <unknown description>
+LLAFGVYPNVCYHKEKRKILTTEGRNALIHKSSVNCPFSSQDMKYPSPFFVFGEKIRTRA
+ISAKGMTLVTPLQLLLFAS
+>P49366_PF01916_45 <unknown description>
+LLEAFGTTGFQATNFGRAVQQVNAMIEKKLEPLSQDEDQHADLTQSRRPLTSCTIFLGYT
+SNLISSGIRETIRYLVQHNMVDVLVTTAGGVEEDLIKCLAPTYLGEFSLRGKELRENGIN
+RIGNLLVPNENYCKFEDWLMPILDQMVMEQNTEGVKWTPSKMIARLGKEINNPESVYYWA
+QKNHIPVFSPALTDGSLGDMIFFHSYKNPGLVLDIVEDLRLINTQAIFAKCTGMIILGGG
+VVKHHIANANLMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRVDAQPVKVYADAS
+LVFPLLVAET
+>Q8TF46_PF17216_236 <unknown description>
+AGIKSGRYIQGILNVNKHRAQIEAFVRLQGASSKDSDLVSDILIHGMKARNRSIHGDVVV
+VELLPKNEWKGRTV
+>Q8TF46_PF17849_365 <unknown description>
+ILVTPWDYRIPKIRISTQQAETLQDFRVVVRIDSWESTSVYPNGHFVRVLGRIGDLEGEI
+ATILVENS
+>Q8TF46_PF00773_465 <unknown description>
+RKDLRKSHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGVHIADVTHFVAPNSYIDIEART
+RATTYYLADRRYDMLPSVLSADLCSLLGGVDRYAVSIMWELDKASYEIKKVWYGRTIIRS
+AYKLFYEAAQELLDGNLSVVDDIPEFKDLDEKSRQAKLEELVWAIGKLTDIARHVRAKRD
+GCGALELEGVEVCVQLDDKKNIHDLIPKQPLEVHETVAECMILANHWVAKKIWESFPHQA
+LLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSLDNANDPHDPIVNRLLRSMATQ
+AMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYSDIVVHRLLMAAIS
+>Q8TF46_PF17215_876 <unknown description>
+SDGVIYSIRTNGVLLFIPRFGIKGAAYLKNKDGLVISCGPDSCSEWKPGSLQRFQNKITS
+TTTDGESVTFHLFDHVTVRISIQAS
+>Q8IYB7_PF17216_50 <unknown description>
+FETYMSKEDVSEGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGD
+LVVVKLLPEEHWKVVK
+>Q8IYB7_PF17849_265 <unknown description>
+YALFSPSDHRVPRIYVPLKDCPQDFVARPKDYANTLFICRIVDWKEDCNFALGQLAKSLG
+QAGEIEPETEGILTEYG
+>Q8IYB7_PF00773_371 <unknown description>
+RRDLRKDCIFTIDPSTARDLDDALSCKPLADGNFKVGVHIADVSYFVPEGSDLDKVAAER
+ATSVYLVQKVVPMLPRLLCEELCSLNPMSDKLTFSVIWTLTPEGKILDEWFGRTIIRSCT
+KLSYEHAQSMIESPTEKIPAKELPPISPEHSSEEVHQAVLNLHGIAKQLRQQRFVDGALR
+LDQLKLAFTLDHETGLPQGCHIYEYRESNKLVEEFMLLANMAVAHKIHRAFPEQALLRRH
+PPPQTRMLSDLVEFCDQMGLPVDFSSAGALNKSLTQTFGDDKYSLARKEVLTNMCSRPMQ
+MALYFCSGLLQDPAQFRHYALNVPLYTHFTSPIRRFADVLVHRLLAAAL
+>Q8IYB7_PF17877_766 <unknown description>
+GPLESEAMVMGILKQAFDVLVLRYGVQKRIYCNALALRSHHFQKVGKKPELTLVWEPEDM
+EQEPAQQVITIFSLVEVVLQAESTALKYS
+>Q01459_PF00704_110 <unknown description>
+LKDIIDPAFRASWIAQKLNLAKTQYMDGINIDIEQEVNCLSPEYDALTALVKETTDSFHR
+EIEGSQVTFDVAWSPKNIDRRCYNYTGIADACDFLFVMSYDEQSQIWSECIAAANAPYNQ
+TLTGYNDYIKMSINPKKLVMGVPWYGYDYTCLNLSEDHVCTIAKVPFRGAPCSDAAGRQV
+PYKTIMKQINSSISGNLWDKDQRAPYYNYKDPAGHFHQVWYDNPQSISLKATYIQNYRLR
+GIGMWNAN
+>O60610_PF06371_85 <unknown description>
+QDVSDEQVLVLFEQMLLDMNLNEEKQQPLREKDIIIKREMVSQYLYTSKAGMSQKESSKS
+AMMYIQELRSGLRDMPLLSCLESLRVSLNNNPVSWVQTFGAEGLASLLDILKRLHDEKEE
+TAGSYDSRNKHEIIRCLKAFMNNKFGIKTMLETEEGILLLVRAMDPAVPNMMIDAAKLLS
+ALCI
+>O60610_PF06367_276 <unknown description>
+NERVLEAMTERAEMDEVERFQPLLDGLKSGTTIALKVGCLQLINALITPAEELDFRVHIR
+SELMRLGLHQVLQDLREIENEDMRVQLNVFDEQGEEDSYDLKGRLDDIRMEMDDFNEVFQ
+ILLNTVKDSKAEPHFLSILQHLLLVRNDYEARPQYYKLIEECISQIVLHKNGADPDFKCR
+HLQIEIEGL
+>O60610_PF06346_663 <unknown description>
+IPSPSSLPGGTAIPPPPPLPGSARIPPPPPPLPGSAGIPPPPPPLPGEAGMPPPPPPLPG
+GPGIPPPPPFPGGPGIPPPPPGMGMPPPPPFGFGVPAAPVLP
+>O60610_PF02181_770 <unknown description>
+KKLYKPEVQLRRPNWSKLVAEDLSQDCFWTKVKEDRFENNELFAKLTLTFSAQTKTSKAK
+KDQEGGEEKKSVQKKKVKELKVLDSKTAQNLSIFLGSFRMPYQEIKNVILEVNEAVLTES
+MIQNLIKQMPEPEQLKMLSELKDEYDDLAESEQFGVVMGTVPRLRPRLNAILFKLQFSEQ
+VENIKPEIVSVTAACEELRKSESFSNLLEITLLVGNYMNAGSRNAGAFGFNISFLCKLRD
+TKSTDQKMTLLHFLAELCENDYPDVLKFPDELAHVEKASRVSAENLQKNLDQMKKQISDV
+ERDVQNFPAATDEKDKFVEKMTSFVKDAQEQYNKLRMMHSNMETLYKELGEYFLFDPKKL
+SVEEFFMDLHNFRNMF
+>O60879_PF06371_101 <unknown description>
+LSEKEVLDLFEKMMEDMNLNEEKKAPLRNKDFTTKREMVVQYISATAKSGGLKNSKHECT
+LSSQEYVHELRSGISDEKLLNCLESLRVSLTSNPVSWVNNFGHEGLGLLLDELEKLLDKK
+QQENIDKKNQYKLIQCLKAFMNNKFGLQRILGDERSLLLLARAIDPKQPNMMTEIVKILS
+AICI
+>O60879_PF06367_292 <unknown description>
+DKLLGAITTAAERNNRERFSPIVEGLENQEALQLQVACMQFINALVTSPYELDFRIHLRN
+EFLRSGLKTMLPDLKEKENDELDIQLKVFDENKEDDLTELSHRLNDIRAEMDDMNEVYHL
+LYNMLKDTAAENYFLSILQHFLLIRNDYYIRPQYYKIIEECVSQIVLHCSGMDPDFKYRQ
+RLDIDLTHL
+>O60879_PF02181_629 <unknown description>
+KKMYKPEVSMKRINWSKIEPTELSENCFWLRVKEDKFENPDLFAKLALNFATQIKVQKNA
+EALEEKKTGPTKKKVKELRILDPKTAQNLSIFLGSYRMPYEDIRNVILEVNEDMLSEALI
+QNLVKHLPEQKILNELAELKNEYDDLCEPEQFGVVMSSVKMLQPRLSSILFKLTFEEHIN
+NIKPSIIAVTLACEELKKSESFNRLLELVLLVGNYMNSGSRNAQSLGFKINFLCKIRDTK
+SADQKTTLLHFIADICEEKYRDILKFPEELEHVESASKVSAQILKSNLASMEQQIVHLER
+DIKKFPQAENQHDKFVEKMTSFTKTAREQYEKLSTMHNNMMKLYENLGEYFIFDSKTVSI
+EEFFGDLNNFRTLF
+>Q9NSV4_PF06371_116 <unknown description>
+PLSENELLELFEKMMEDMNLNEDKKAPLREKDFSIKKEMVMQYINTASKTGSLKRSRQIS
+PQEFIHELKMGSADERLVTCLESLRVSLTSNPVSWVESFGHEGLGLLLDILEKLISGKIQ
+EKVVKKNQHKVIQCLKALMNTQYGLERIMSEERSLSLLAKAVDPRHPNMMTDVVKLLSAV
+CI
+>Q9NSV4_PF06367_305 <unknown description>
+EEVLEALTSAGEEKKIDRFFCIVEGLRHNSVQLQVACMQLINALVTSPDDLDFRLHIRNE
+FMRCGLKEILPNLKCIKNDGLDIQLKVFDEHKEEDLFELSHRLEDIRAELDEAYDVYNMV
+WSTVKETRAEGYFISILQHLLLIRNDYFIRQQYFKLIDECVSQIVLHRDGMDPDFTYRKR
+LDLDL
+>Q9NSV4_PF02181_637 <unknown description>
+KKEFKPEISMRRLNWLKIRPHEMTENCFWIKVNENKYENVDLLCKLENTFCCQQKERREE
+EDIEEKKSIKKKIKELKFLDSKIAQNLSIFLSSFRVPYEEIRMMILEVDETRLAESMIQN
+LIKHLPDQEQLNSLSQFKSEYSNLCEPEQFVVVMSNVKRLRPRLSAILFKLQFEEQVNNI
+KPDIMAVSTACEEIKKSKSFSKLLELVLLMGNYMNAGSRNAQTFGFNLSSLCKLKDTKSA
+DQKTTLLHFLVEICEEKYPDILNFVDDLEPLDKASKVSVETLEKNLRQMGRQLQQLEKEL
+ETFPPPEDLHDKFVTKMSRFVISAKEQYETLSKLHENMEKLYQSIIGYYAIDVKKVSVED
+FLTDLNNFRTTF
+>Q9UPY3_PF04851_32 <unknown description>
+WQQEAIHDNIYTPRKYQVELLEAALDHNTIVCLNTGSGKTFIAVLLTKELSYQIRGDFSR
+NGKRTVFLVNSANQVAQQVSAVRTHSDLKVGEYSNLEVNASWTKERWNQEFTKHQVLIMT
+CYVALNVLKNGYLSLSDINLLVFDECHLAILDHPYREIMKLCENCPSCPRILGLTA
+>Q9UPY3_PF00271_442 <unknown description>
+LCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFITGHGIGKNQPRNKQMEAEFRKQ
+EEVLRKFRAHETNLLIATSIVEEGVDIPKCNLVVRFDLPTEYRSYVQSKGRAR
+>Q9UPY3_PF03368_630 <unknown description>
+AIGHINRYCARLPSDPFTHLAPKCRTRELPDGTFYSTLYLPINSPLRASIVGPPMSCVRL
+AERVVALICCEKLHKIGELDDHLMPVGKE
+>Q9UPY3_PF02170_903 <unknown description>
+SEARIGIPSTKYTKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFPS
+PEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRKA
+KWESLQNKQILVPELCAIHPIPASLWRKAVCLPSILYRLHCL
+>Q9UPY3_PF00636_1313 <unknown description>
+ERLEMLGDSFLKHAITTYLFCTYPDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVS
+IFDPPVNWLPPGYVVNQDKSNTDKWEKDEMTKDCMLANGKLDEDYEEEDEEEESLMWRAP
+KEEADYEDDFLEYDQEHIRFIDNMLMGSGAFVKKISLSPFSTTDSAYEWKMPKKSSLGSM
+PFSSDFEDFDYSSWDAMCYLDPSKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQ
+CIADKSIADCVEALLGCYLTSCG
+>Q9UPY3_PF00636_1702 <unknown description>
+QRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSALVNNTIFASLAVKYDYHKYFKAV
+SPELFHVIDDFVQFQLEKNEMQGMDSELRRSEEDEEKEEDIEVPKAMGDIFESLAGAIYM
+DSG
+>Q9UBX3_PF00153_9 <unknown description>
+RWYFGGLASCGAACCTHPLDLLKVHLQTQQEVKLRMTGMALRVVRTDGILALYSGLSASL
+CRQMTYSLTRFAIYETVRDRVAK
+>Q9UBX3_PF00153_97 <unknown description>
+LPFHEKVLLGSVSGLAGGFVGTPADLVNVRMQNDVKLPQGQRRNYAHALDGLYRVAREEG
+LRRLFSGATMASSRGALVTVGQLSCYDQAKQLV
+>Q9UBX3_PF00153_198 <unknown description>
+NIFTHFVASFIAGGCATFLCQPLDVLKTRLMNSKGEYQGVFHCAVETAKLGPLAFYKGLV
+PAGIRLIPHTVLTFVFLEQLRKNF
+>Q9BTC0_PF00628_270 <unknown description>
+YCICRQPHNNRFMICCDRCEEWFHGDCVGISEARGRLLERNGEDYICPNCT
+>Q9BTC0_PF07500_668 <unknown description>
+SQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKSKYRS
+IMFNLKDPKNQGLFHRVLREEISLAKLVRLKPEELVSKELSTWKERPAR
+>Q9BTC0_PF07744_1050 <unknown description>
+LSRLSTIWKGFINMQSVAKFVTKAYPVSGCFDYLSEDLPDTIHIGGRIAPKTVWDYVGKL
+KSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGRFGVVANNNRHVKDLYLIPLSAQDP
+VPSKLLPFEGPGLESPRPNIILGLVI
+>Q5T7M9_PF14875_19 <unknown description>
+ARFSYVRMKYLFFSWLVVFVGSWIIYVQYSTYTELCRGKDCKKIICDKYKTGVIDGPACN
+SLCVTETLYFGKCLSTKPNNQMYLGIWDNLPGVVKCQMEQALHLDFGTELEPRKEIVLFD
+KPTRGTTVQKFKEMVYSLFKAKLGDQGNLSELVNLIL
+>Q5T7M9_PF12260_194 <unknown description>
+AWALLQLNEFLLMVILQDKEHTPKLMGFCGDLYVMESVEYTSLYGISLPWVIELFIPSGF
+RRSMDQLFTPSWPRKAKIAIGLLEFVEDVFHGPYGNFLMCDTSAKNLGYNDKYDLKMVDM
+RKIVPETNLKELIKDRHCESDLDCVYGTDCRTSCDQSTMKCTSEVIQPNLAKACQLLKDY
+LLRGAPSEIREELEKQLYSCIA
+>Q5VUD6_PF14875_23 <unknown description>
+RLPGLRVRCIFLAWLGVFAGSWLVYVHYSSYSERCRGHVCQVVICDQYRKGIISGSVCQD
+LCELHMVEWRTCLSVAPGQQVYSGLWRDKDVTIKCGIEETLDSKARSDAAPRRELVLFDK
+PTRGTSIKEFREMTLSFLKANLGDLPSLPALVGQVL
+>Q5VUD6_PF12260_197 <unknown description>
+VWALLQRNEFLLLLSLQEKEHASRLLGYCGDLYLTEGVPHGAWHAAALPPLLRPLLPPAL
+QGALQQWLGPAWPWRAKIAIGLLEFVEELFHGSYGTFYMCETTLANVGYTATYDFKMADL
+QQVAPEATVRRFLQGRRCEHSTDCTYGRDCRAPCDRLMRQCKGDLIQPNLAKVCALLRGY
+LLPGAPADLREELGTQLRTCTT
+>Q0P6D2_PF14875_46 <unknown description>
+HPGVLSERCTDEKSRRILAALCQDYQGGTLAGDLCEDLCVAGELLFQRCLHYNRGKKVLQ
+ADWRGRPVVLKSKEEAFSSFPPLSLLEEEAGEGGQDMPEAELLLMVAGEVKSALGLELSN
+SSL
+>Q0P6D2_PF12260_187 <unknown description>
+LWALLQQEEYVYFSLLQDLSPHVLPVLGSCGHFYAVEFLAAGSPHHRALFPLDRAPGAPG
+GGQAKAISDIALSFLDMVNHFDSDFSHRLHLCDIKPENFAIRSDFTVVAIDVDMAFFEPK
+MREILEQNCTGDEDCNFFDCFSRCDLRVNKCGAQRVNNNLQVICDKIFRHWFSAPLKSSA
+VSFQLQLQLQEAVQECADP
+>Q8NDZ4_PF12260_206 <unknown description>
+LLTLAFNPEPLVLQSFPSDEGWPFAKYLGACGRMVAVNYVGEELWSYFNAPWEKRVDLAW
+QLMEIAEQLTNNDFEFALYLLDVSFDNFAVGPRDGKVIIVDAENVLVADKRLIRQNKPEN
+WDVWYESKFDDCDKEACLSFSKEILCARATVDHNYYAVCQNLLSRHATWRGTSGGLLHDP
+PSEIAKDGRLEALLDECANP
+>Q9H7Y0_PF12260_211 <unknown description>
+LYTLAVNSHPILLQIFPGAEGWPLPKYLGSCGRFLVSTSTRPLQEFYDAPPDQAADLAYQ
+LLGVLESLRSNDLNYFFYFTHIDAGMFGVFNNGHLFIRDASAVGVIDKQEGSQEANRAGE
+NKDIFSCLVSGCQAQLPSCESISEKQSLVLVCQKLLPRLLQGRFPSPVQDDIDSILVQC
+>Q9UNQ2_PF00398_30 <unknown description>
+FNTGIGQHILKNPLIINSIIDKAALRPTDVVLEVGPGTGNMTVKLLEKAKKVVACELDPR
+LVAELHKRVQGTPVASKLQVLVGDVLKTDLPFFDTCVANLPYQISSPFVFKLLLHRPFFR
+CAILMFQREFALRLVAKPGDKLYCRLSINTQLLARVDHLMKVGKNNFRPPPKVESSVVRI
+EPKNPPPPINFQEWDGLVRITFVRKNKTLSAAFKSSAVQQLLEKN
+>Q14689_PF06464_10 <unknown description>
+AAPLPAEVRESLAELELELSEGDITQKGYEKKRAKLLARYIPLIQGIDPSLQAENRIPGP
+SQTTAAAPKQQKSRPTASRDERFRSDVHTEAVQAALAKYKERKMPMPSKRRSV
+>Q14689_PF00501_340 <unknown description>
+QRWGTTQPKSPCLTALDTTGKAVYTLTYGKLWSRSLKLAYTLLNKLTSKNEPLLKPGDRV
+ALVFPNSDPVMFMVAFYGCLLAELVPVPIEVPLTRKDAGSQQVGFLLGSCGVFLALTTDA
+CQKGLPKAQTGEVAAFKGWPPLSWLVIDGKHLAKPPKDWHPLAQDTGTGTAYIEYKTSKE
+GSTVGVTVSHASLLAQCRALTQACGYSEAETLTNVLDFKRDAGLWHGVLTSVMNRMHVVS
+VPYALMKANPLSWIQKVCFYKARAALVKSRDMHWSLLAQRGQRDVSLSSLRMLIVADGAN
+PWSISSCDAFLNVFQSRGLRPEVICPCASSPEALTVAIRRPPDLGGPPPRKAVLSMNGLS
+YGVIRVDTEEKLSVLTVQDVGQVMPGANVCVVKLEGTPYLCKTDEVGEICVSSSATGTAY
+YGLLGITKNVFEAVPVTTGGAPIFDRPFTRTGLLGFIGPDNLVFIVGKLDGLMVT
+>Q14689_PF00501_991 <unknown description>
+LQWRAHTTPDHPLFLLLNAKGTVTSTATCVQLHKRAERVAAALMEKGRLSVGDHVALVYP
+PGVDLIAAFYGCLYCGCVPVTVRPPHPQNLGTTLPTVKMIVEVSKSACVLTTQAVTRLLR
+SKEAAAAVDIRTWPTILDTDDIPKKKIASVFRPPSPDVLAYLDFSVSTTGILAGVKMSHA
+ATSALCRSIKLQCELYPSRQIAICLDPYCGLGFALWCLCSVYSGHQSVLVPPLELESNVS
+LWLSAVSQYKARVTFCSYSVMEMCTKGLGAQTGVLRMKGVNLSCVRTCMVVAEERPRIAL
+TQSFSKLFKDLGLPARAVSTTFGCRVNVAICLQGTAGPDPTTVYVDMRALRHDRVRLVER
+GSPHSLPLMESGKILPGVKVIIAHTETKGPLGDSHLGEIWVSSPHNATGYYTVYGEEALH
+ADHFSARLSFGDTQTIWARTGYLGFLRRTELTDASGGRHDALYVVGSLDETLEL
+>Q9P265_PF06464_14 <unknown description>
+AALPPEVRAQLAELELELSEGDITQKGYEKKRSKLLSPYSPQTQETDSAVQKELRNQTPA
+PSAAQTSAPSKYHRTRSGGARDERYRSDIHTEAVQAALAKHKEQKMALPMPTKRRSTF
+>Q9P265_PF00501_363 <unknown description>
+GKPVYTLTYGKLWSRSLKLAYTLLNKLGTKNEPVLKPGDRVALVYPNNDPVMFMVAFYGC
+LLAEVIPVPIEVPLTRKDAGGQQIGFLLGSCGIALALTSEVCLKGLPKTQNGEIVQFKGW
+PRLKWVVTDSKYLSKPPKDWQPHISPAGTEPAYIEYKTSKEGSVMGVTVSRLAMLSHCQA
+LSQACNYSEGETIVNVLDFKKDAGLWHGMFANVMNKMHTISVPYSVMKTCPLSWVQRVHA
+HKAKVALVKCRDLHWAMMAHRDQRDVSLSSLRMLIVTDGANPWSVSSCDAFLSLFQSHGL
+KPEAICPCATSAEAMTVAIRRPGVPGAPLPGRAILSMNGLSYGVIRVNTEDKNSALTVQD
+VGHVMPGGMMCIVKPDGPPQLCKTDEIGEICVSSRTGGMMYFGLAGVTKNTFEVIPVNSA
+GSPVGDVPFIRSGLLGFVGPGSLVFVVGKMDGL
+>Q9P265_PF00501_995 <unknown description>
+LQWRAQATPDHVLFMLLNAKGTTVCTASCLQLHKRAERIASVLGDKGHLNAGDNVVLLYP
+PGIELIAAFYGCLYAGCIPVTVRPPHAQNLTATLPTVRMIVDVSKAACILTSQTLMRLLR
+SREAAAAVDVKTWPTIIDTDDLPRKRLPQLYKPPTPEMLAYLDFSVSTTGMLTGVKMSHS
+AVNALCRAIKLQCELYSSRQIAICLDPYCGLGFALWCLCSVYSGHQSVLIPPMELENNLF
+LWLSTVNQYKIRDTFCSYSVMELCTKGLGNQVEVLKTRGINLSCVRTCVVVAEERPRVAL
+QQSFSKLFKDIGLSPRAVSTTFGSRVNVAICLQGTSGPDPTTVYVDLKSLRHDRVRLVER
+GAPQSLLLSESGKILPGVKVVIVNPETKGPVGDSHLGEIWVNSPHTASGYYTIYDSETLQ
+ADHFNTRLSFGDAAQTLWARTGYLGFVRRTELTAATGERHDALYVVGALDETLEL
+>Q9Y2E4_PF06464_9 <unknown description>
+MALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPRVDQALPQERRAPVTP
+SSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSL
+>Q9Y2E4_PF00501_345 <unknown description>
+KPLYILTYGKLWTRSMKVAYSILHKLGTKQEPMVRPGDRVALVFPNNDPAAFMAAFYGCL
+LAEVVPVPIEVPLTRKDAGSQQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWP
+KLLWFVTESKHLSKPPRDWFPHIKDANNDTAYIEYKTCKDGSVLGVTVTRTALLTHCQAL
+TQACGYTEAETIVNVLDFKKDVGLWHGILTSVMNMMHVISIPYSLMKVNPLSWIQKVCQY
+KAKVACVKSRDMHWALVAHRDQRDINLSSLRMLIVADGANPWSISSCDAFLNVFQSKGLR
+QEVICPCASSPEALTVAIRRPTDDSNQPPGRGVLSMHGLTYGVIRVDSEEKLSVLTVQDV
+GLVMPGAIMCSVKPDGVPQLCRTDEIGELCVCAVATGTSYYGLSGMTKNTFEVFPMTSSG
+APISEYPFIRTGLLGFVGPGGLVFVVGKMDGLMVV
+>Q9Y2E4_PF00501_976 <unknown description>
+LQWRAQTTPDHILYTLLNCRGAIANSLTCVQLHKRAEKIAVMLMERGHLQDGDHVALVYP
+PGIDLIAAFYGCLYAGCVPITVRPPHPQNIATTLPTVKMIVEVSRSACLMTTQLICKLLR
+SREAAAAVDVRTWPLILDTDDLPKKRPAQICKPCNPDTLAYLDFSVSTTGMLAGVKMSHA
+ATSAFCRSIKLQCELYPSREVAICLDPYCGLGFVLWCLCSVYSGHQSILIPPSELETNPA
+LWLLAVSQYKVRDTFCSYSVMELCTKGLGSQTESLKARGLDLSRVRTCVVVAEERPRIAL
+TQSFSKLFKDLGLHPRAVSTSFGCRVNLAICLQGTSGPDPTTVYVDMRALRHDRVRLVER
+GSPHSLPLMESGKILPGVRIIIANPETKGPLGDSHLGEIWVHSAHNASGYFTIYGDESLQ
+SDHFNSRLSFGDTQTIWARTGYLGFLRRTELTDANGERHDALYVVGALDEAMEL
+>O95057_PF00071_9 <unknown description>
+RVVVFGAGGVGKSSLVLRFVKGTFRDTYIPTIEDTYRQVISCDKSVCTLQITDTTGSHQF
+PAMQRLSISKGHAFILVFSVTSKQSLEELGPIYKLIVQIKGSVEDIPVMLVGNKCDETQR
+EVDTREAQAVAQEWKCAFMETSAKMNYNVKELFQELL
+>Q96HU8_PF00071_10 <unknown description>
+VAVFGAGGVGKSSLVLRFVKGTFRESYIPTVEDTYRQVISCDKSICTLQITDTTGSHQFP
+AMQRLSISKGHAFILVYSITSRQSLEELKPIYEQICEIKGDVESIPIMLVGNKCDESPSR
+EVQSSEAEALARTWKCAFMETSAKLNHNVKELFQELLN
+>O95661_PF00071_39 <unknown description>
+RVVVVGTAGVGKSTLLHKWASGNFRHEYLPTIENTYCQLLGCSHGVLSLHITDSKSGDGN
+RALQRHVIARGHAFVLVYSVTKKETLEELKAFYELICKIKGNNLHKFPIVLVGNKSDDTH
+REVALNDGATCAMEWNCAFMEISAKTDVNVQELFHMLLN
+>Q96F81_PF02460_415 <unknown description>
+PRKCTKYNAVYQILHYLVDKDFMTPKTADYATPALKYSMLFSPTEKGESMMNIYLDNFEN
+WNSSDGVTTITGIEFGIKHSLFQDYLLMDTVYPAIAIVIVLLVMCVYTKSMFITLMTMFA
+IISSLIVSYFLYRVVFHFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPHAETS
+ETVSITLQHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPA
+VVVLHERYLLNIFTCFKKPQQQIYDNKSCWTVACQKCHKVLFAISEASRIFFEKVLPCIV
+IKFRYLWLFWFLA
+>Q96F81_PF02460_962 <unknown description>
+LSNGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIISIAGTIF
+VTVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDPDREGKVIFSLSRVGS
+AMAMAALTTFVAGAMMM
+>Q9P2K9_PF02460_480 <unknown description>
+LTSCSVFLSFFGIASIGLSCLVALFLYHVVFGIQYLGILNGVAAFVIVGIGVDDVFVFIN
+TYRQATHLEDPQLRMIHTVQTAGKATFFTSLTTAAAYAANVFSQIPAVHDFGLFMSLIVS
+CCWLAVLVTMPAALGLW
+>Q9P2K9_PF02460_1179 <unknown description>
+GVQSALCGLVLSLLICVAAVAVFTTHILLLLPVLLSILGIVCLVVTIMYWSGWEMGAVEA
+ISLSILVGSSVDYCVHLVEGYLLAGENLPPHQAEDARTQRQWRTLEAVRHVGVAIVSSAL
+TTVIATVPLFFCIIAPFAKFGKIVALNTGVSILYTLTVSTALL
+>Q155Q3_PF00307_22 <unknown description>
+QLQAYVAWVNAQLKKRPAVKPVQDLRQDLRDGVILAYLIEIVAGEKLSGVQLSPGNQQEM
+KNNVEKVLQFVASKKIRMHQTSAKDIVDGNLKSIMRLVLALAAHFK
+>Q155Q3_PF00778_600 <unknown description>
+CTKVLYFTDRSLTPFMVNIPKRLEEVTLKDFKAAIDREGNHRYHFKALDPEFGTVKEEIF
+HDDDAIPGWEGKIVAWV
+>Q9UBS4_PF00226_25 <unknown description>
+DFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDDPQAQEKFQDLGAAYEVLSDSEKRK
+QYD
+>Q9UBS4_PF01556_135 <unknown description>
+IVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQLGPGRFQMTQEVVCD
+ECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLRFRIKVVKHPIFERR
+GDDLYTNVTISLVESLVGFEMDITHLDGHKVHISRDKITRPGAKLWKKGEGLPNFDNNNI
+KGSLIITFDVDFP
+>Q9NXW2_PF00226_110 <unknown description>
+DYYEILGVSRGASDEDLKKAYRRLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQ
+YD
+>Q9NXW2_PF09320_267 <unknown description>
+VSSPPYSLSPRPSVGHIHRRVTDHLGVVYYVGDTFSEEYTGSSLKTVERNVEDDYIANLR
+NNCWKEKQQKEGLLYRARYFGDTDMYHRAQKMGTPSCSRL
+>P59910_PF00226_4 <unknown description>
+DYYSVLGITRNSEDAQIKQAYRRLALKHHPLKSNEPSSAEIFRQIAEAYDVLSDPMKRGI
+YD
+>P59910_PF01556_141 <unknown description>
+ERDLYLSLEDLFFGCTKKIKISRRVLNEDGYSSTIKDKILTIDVKPGWRQGTRITFEKEG
+DQGPNIIPADIIFIVKEKLHPRFRRENDNLFFVNPIPLGKALTCCTVEVRTLDDRLLNIP
+INDIIHPKYFKKVPGEGMPLPEDPTKKGDLFIFFDIQFP
+>Q8TBM8_PF00226_108 <unknown description>
+NYYEVLGVTKDAGDEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQ
+YD
+>Q8TBM8_PF09320_269 <unknown description>
+MVSNPPYSLYPRSGTGQTIKMQTENLGVVYYVNKDFKNEYKGMLLQKVEKSVEEDYVTNI
+RNNCWKERQQKTDMQYAAKVYRDDRLRRKADALSMDNCKEL
+>Q8IXB1_PF00226_35 <unknown description>
+DFYSLLGVSKTASSREIRQAFKKLALKLHPDKNPNNPNAHGDFLKINRAYEVLKDEDLRK
+KYD
+>Q8IXB1_PF00085_131 <unknown description>
+IITLERREFDAAVNSGELWFVNFYSPGCSHCHDLAPTWRDFAKEVDGLLRIGAVNCGDDR
+MLCRMKGVNSYPSLFIFRSGMAPVKYHGDRSKESLVSFA
+>Q8IXB1_PF00085_466 <unknown description>
+NDKEPWLVDFFAPWCPPCRALLPELRRASNLLYGQLKFGTLDCTVHEGLCNMYNIQAYPT
+TVVFNQSNIHEYEGHHSAEQILEFIE
+>Q8IXB1_PF00085_558 <unknown description>
+VVSLTPTTFNELVTQRKHNEVWMVDFYSPWCHPCQVLMPEWKRMARTLTGLINVGSIDCQ
+QYHSFCAQENVQRYPEIRFFPPKS
+>Q8IXB1_PF00085_674 <unknown description>
+DLTPQTFSEKVLQGKNHWVIDFYAPWCGPCQNFAPEFELLARMIKGKVKAGKVDCQAYAQ
+TCQKAGIRAYPTVKFYFYERAKRNFQEEQ
+>Q9NVH1_PF00226_14 <unknown description>
+DYYSLLNVRREASSEELKAAYRRLCMLYHPDKHRDPELKSQAERLFNLVHQAYEVLSDPQ
+TRAIYD
+>Q9NVH1_PF11875_410 <unknown description>
+PYLRAQKEKELEKQRESAATDVLQKKQEAESAVRLMQESVRRIIEAEESRMGLIIVNAWY
+GKFVNDKSRKSEKVKVIDVTVPLQCLVKDSKLILTEASKAGLPGFYDPCVGEEKNLKVLY
+QFRGVLHQVMVLDSEALRIP
+>Q9UKB3_PF00226_14 <unknown description>
+DYYTLLGCDELSSVEQILAEFKVRALECHPDKHPENPKAVETFQKLQKAKEILTNEESRA
+RYD
+>O75165_PF19432_12 <unknown description>
+CFYTTKHSWRGKYKRVFSVGTHAITTYNPNTLEVTNQWPYGDICSISPVGKGQGTEFNLT
+FRKGSGKKSETLKFSTEHRTELLTEALRFRTDFSEGKITGRRYNCYKHHWSDSRKPVILE
+VTPGGFDQINPATNRVLCSYDYRNIEGFVDLSDYQGGFCILYGGFSRLHLFASEQREEII
+KSAIDHAGNYIGISLRIRKEPLEFEQYLNLRFGKYSTDESITSLAEFVVQKISPRHSEPV
+KRVLALTETCLVERDPATYNIATLKPLGEVFALVCDSENPQLFTIEFIKGQVRKYSSTER
+DSLLASLLDGVRASGNRDVCVKMTPTHKGQRWGLLSMPVDEEVESLHLRFLATPPNGNFA
+DAVFRFNANISYSGVLHAVTQDGLFSENKEKLINNAITALLSQEGDVVASNAELESQFQA
+VRRLVASKAGFLAFTQLPKFRERLGVKVVKALKRSNNGIIHAAVDMLCALMCPMHDDYDL
+RQEQLNKASLLSSKKFLENLLEKFNSHVDHGTGALVISSLLDFLTFALCAPYSETTEGQQ
+FDMLLEMVASNGRTLFKLFQHPSMAIIKGAGLVMKAIIEEGDKEIATKMQELALSEGALP
+RHLHTAMFTISSDQRMLTNRQLSRHLVGLWTADNATATNLLKRILPPGLLAYLESSDLVP
+EKDADRMHVRDNVKIAMDQYGKFNKVPEWQRLAGKAAKEVEKFAKEKVDLVLMHWRDRMG
+IAQKENINQKPVVLRKRRQRIKIEANWDLFYYRFGQDHARSNLIWNFKTREELKDTLESE
+MRAFNIDRELGSANVISWNHHEFEVKYECLAEEIKIGDYYLRLLLEED
+>O75165_PF14237_976 <unknown description>
+WYFGNADKERSGPYGFHEMQELWTKGMLNAKTRCWAQGMDGWRPLQSIPQL
+>O75165_PF00226_1301 <unknown description>
+DAYEVLNLPQGQGPHDESKIRKAYFRLAQKYHPDKNPEGRDMFEKVNKAYEFLCTKS
+>Q6Y2X3_PF00226_444 <unknown description>
+PFHVLGVEATASDVELKKAYRQLAVMVHPDKNHHPRAEEAFKVLRAAWDIVSNAEKRKEY
+E
+>Q6Y2X3_PF14901_531 <unknown description>
+AMNTMMCSRCQGKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALM
+DGKVYDITEWAGCQRVGISPDTHRVPYHI
+>Q9Y2G8_PF00226_29 <unknown description>
+DPYRVLGVSRTASQADIKKAYKKLAREWHPDKNKDPGAEDKFIQISKAYEILSNEEKRSN
+YD
+>Q9Y2G8_PF00085_158 <unknown description>
+FKKPYLIKITSDWCFSCIHIEPVWKEVIQELEELGVGIGVVHAGYERRLAHHLGAHSTPS
+ILGIINGKISFFHNAVVRENLRQFVE
+>Q9NVM6_PF00226_11 <unknown description>
+DLYALLGIEEKAADKEVKKAYRQKALSCHPDKNPDNPRAAELFHQLSQALEVLTDAAARA
+AYD
+>Q9NVM6_PF00076_189 <unknown description>
+YSKDVLLRLLQKYGEVLNLVLSSKKPGTAVVEFATVKAAELAVQN
+>Q9H819_PF00226_82 <unknown description>
+NYYEILGVSRDASDEELKKAYRKLALKFHPDKNCAPGATDAFKAIGNAFAVLSNPDKRLR
+YD
+>Q9H819_PF09320_250 <unknown description>
+TNPPYSLFYKSTLGYTISRETQNLQVPYFVDKNFDKAYRGASLHDLEKTIEKDYIDYIQT
+SCWKEKQQKSELTNLAGLYRDERLKQKAESLKLENCEKL
+>Q5F1R6_PF00226_4 <unknown description>
+HYEALGVRRDASEEELKKAYRKLALKWHPDKNLDNAAEAAEQFKLIQAAYDVLSDPQERA
+WYD
+>Q5F1R6_PF12171_314 <unknown description>
+LYCPACDKSFKTEKAMKNHEKSKKHR
+>Q8N4W6_PF05154_3 <unknown description>
+KGLLVTYALWAVGGPAGLHHLYLGRDSHALLWMLTLGGGGLGWLWEFW
+>Q8N4W6_PF00226_278 <unknown description>
+AYQVLGLSEGATNEEIHRSYQELVKVWHPDHNLDQTEEAQRHFLEIQAAYEVLSQPRK
+>Q6P3W2_PF00226_11 <unknown description>
+DWYSILGADPSANISDLKQKYQKLILMYHPDKQSTDVPAGTVEECVQKFIEIDQAWKILG
+NEETKREYD
+>Q6P3W2_PF05207_96 <unknown description>
+QVYLEEMSWNEGDHSFYLSCRCGGKYSVSKDEAEEVSLISCDTCSLIIELL
+>Q9H1X3_PF00226_49 <unknown description>
+DCYEVLGVSRSAGKAEIARAYRQLARRYHPDRYRPQPGDEGPGRTPQSAEEAFLLVATAY
+ETLKDEETRKDYD
+>Q9NZQ0_PF00071_18 <unknown description>
+KVISMGNAEVGKSCIIKRYCEKRFVSKYLATIGIDYGVTKVHVRDREIKVNIFDMAGHPF
+FYEVRNEFYKDTQGVILVYDVGQKDSFDALDAWLAEMKQELGPHGNMENIIFVVCANKID
+CTKHRCVDESEGRLWAESKGFLYFETSAQTGEGINEMFQTFY
+>Q9NZQ0_PF00226_218 <unknown description>
+SWDMLGVKPGASRDEVNKAYRKLAVLLHPDKCVAPGSEDAFKAVVNARTALL
+>Q9NX36_PF00226_51 <unknown description>
+EYYRLLNVEEGCSADEVRESFHKLAKQYHPDSGSNTADSATFIRIEKAYR
+>Q9NX36_PF09350_203 <unknown description>
+LVEDLIQESMAKGDFDNLSGKGKPLKKFSDCSYIDPMTHNLNRILIDNGYQPEWILKQKE
+ISDTIEQLR
+>Q96LL9_PF00226_50 <unknown description>
+LYDLLGVPSTATQAQIKAAYYRQCFLYHPDRNSGSAEAAERFTRISQAYVVLGSATLRRK
+YD
+>O60832_PF08068_49 <unknown description>
+TSQWPLLLKNFDKLNVRTTHYTPLACGSNPLKREIGDYIRTGFINLDKPSNPSSHEVV
+>O60832_PF01509_110 <unknown description>
+RRILRVEKTGHSGTLDPKVTGCLIVCIERATRLVKSQQSAGKEYVGIVRLHNAIEGGTQL
+SRALETLTGALFQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGIFWVSCEAGTYI
+>O60832_PF16198_227 <unknown description>
+RTLCVHLGLLLGVGGQMQELRRVRSGVMSEKDHMVTMHDVLDAQWLYDNHKDESYLRRVV
+YPLEKLL
+>O60832_PF01472_297 <unknown description>
+KRLVMKDSAVNAICYGAKIMLPGVLRYEDGIEVNQEIVVITTKGEAICMAIALMTTAVIS
+TCDHGIVAKIKRVI
+>O94907_PF04706_85 <unknown description>
+CAEDEECGTDEYCASPTRGGDAGVQICLACRKRRKRCMRHAMCCPGNYCKNGICV
+>Q9UBU2_PF04706_78 <unknown description>
+CSSDKECEVGRYCHSPHQGSSACMVCRRKKKRCHRDGMCCPSTRCNNGICI
+>Q9UBP4_PF04706_146 <unknown description>
+ECIIDEDCGPSMYCQFASFQYTCQPCRGQRMLCTRDSECCGDQLCVWGHCT
+>Q9UBT3_PF04706_40 <unknown description>
+QCLSDTDCNTRKFCLQPRDEKPFCATCRGLRRRCQRDAMCCPGTLCVNDVCT
+>Q9UBT3_PF06607_144 <unknown description>
+SCLRTFDCGPGLCCARHFWTKICKPVLLEGQVCSRRGHKDTAQAPEIFQRCDCGPGLLCR
+S
+>P09622_PF07992_43 <unknown description>
+DVTVIGSGPGGYVAAIKAAQLGFKTVCIEKNETLGGTCLNVGCIPSKALLNNSHYYHMAH
+GKDFASRGIEMSEVRLNLDKMMEQKSTAVKALTGGIAHLFKQNKVVHVNGYGKITGKNQV
+TATKADGGTQVIDTKNILIATGSEVTPFPGITIDEDTIVSSTGALSLKKVPEKMVVIGAG
+VIGVELGSVWQRLGADVTAVEFLGHVGGVGIDMEISKNFQRILQKQGFKFKLNTKVTGAT
+KKSDGKIDVSIEAASGGKAEVITCDVLLVCIGRRPFTKNLGLEELGIELDPRGRIPVNTR
+FQTKIPNIYAIGDVVAGPMLAHKAEDEG
+>P09622_PF02852_389 <unknown description>
+VPSVIYTHPEVAWVGKSEEQLKEEGIEYKVGKFPFAANSRAKTNADTDGMVKILGQKSTD
+RVLGAHILGPGAGEMVNEAALALEYGASCEDIARVCHAHPTLSEAFREA
+>Q12959_PF09058_6 <unknown description>
+QDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTLLDN
+>Q12959_PF10608_107 <unknown description>
+KYRYQDEDTPPQEHISPQITNEVIGPELVHVSEKNLSEIENVHGFVSHSHISPIKANPPP
+VLVNTDSLETPTYVNGTDADYEYE
+>Q12959_PF00595_191 <unknown description>
+EITLERGNSGLGFSIAGGTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEVD
+VRDVTHSKAVEALKEAGSIVRLYV
+>Q12959_PF00595_287 <unknown description>
+IKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAHKDGKLQIGDKLLAVNNVCL
+EEVTHEEAVTALKNTSDFVYLKV
+>Q12959_PF10600_371 <unknown description>
+KPTSMYMNDGYAPPDITNSSSQPVDNHVSPSSFLGQTPASPARYSPVSKAVLGDDEITRE
+PR
+>Q12959_PF00595_434 <unknown description>
+VVLHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHE
+QAAAALKNAGQAVTIV
+>Q12959_PF00018_554 <unknown description>
+RALFDYDKTKDSGLPSQGLNFKFGDILHVINASDDEWWQARQVTPDGESDEVGVIPS
+>Q12959_PF00625_703 <unknown description>
+TRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDI
+QEHKFIEAGQYNNHLYGTSVQSVREVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPK
+SMENIMEMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEE
+>Q15700_PF09058_2 <unknown description>
+GIFKSSLFQALLDIQEFYEVTLLNS
+>Q15700_PF10608_120 <unknown description>
+KYRYQDEDAPHDHSLPRLTHEVRGPELVHVSEKNLSQIENVHGYVLQSHISPLKASPAPI
+IVNTDTLDTIPYVNGTEIEYEFE
+>Q15700_PF00595_203 <unknown description>
+EITLERGNSGLGFSIAGGTDNPHIGDDPGIFITKIIPGGAAAEDGRLRVNDCILRVNEVD
+VSEVSHSKAVEALKEAGSIVRLYV
+>Q15700_PF00595_299 <unknown description>
+IKLFKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIDGGAAQKDGRLQVGDRLLMVNNYSL
+EEVTHEEAVAILKNTSEVVYLKV
+>Q15700_PF10600_383 <unknown description>
+KPTTIYMTDPYGPPDITHSYSPPMENHLLSGNNGTLEYKTSLPPISPGRYSPIPKHMLVD
+DDYTRPPE
+>Q15700_PF00595_527 <unknown description>
+VVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELQRGDQILSVNGIDLRGASHE
+QAAAALKGAGQTVTI
+>Q15700_PF00018_647 <unknown description>
+RAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDEWWQARRVMLEGDSEEMGVIPS
+>Q15700_PF00625_785 <unknown description>
+TRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVISREQMEKDI
+QEHKFIEAGQYNDNLYGTSVQSVRFVAERGKHCILDVSGNAIKRLQVAQLYPIAIFIKPR
+SLEPLMEMNKRLTEEQAKKTYDRAIKLEQEFGEYFTAIVQGDTLEDIYNQCKLVIEE
+>Q92796_PF00595_132 <unknown description>
+IVLERGNSGLGFSIAGGIDNPHVPDDPGIFITKIIPGGAAAMDGRLGVNDCVLRVNEVDV
+SEVVHSRAVEALKEAGPVVRLVV
+>Q92796_PF00595_227 <unknown description>
+VNLLKGPKGLGFSIAGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVNNTNL
+QDVRHEEAVASLKNTSDMVYLKV
+>Q92796_PF10600_311 <unknown description>
+KPGSLHLNDMYAPPDYASTFTALADNHISHNSSLGYLGAVESKVSYPAPPQVPPTRYSPI
+PRHMLAEEDFTREPR
+>Q92796_PF00595_387 <unknown description>
+IILHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELRRGDRILSVNGVNLRNATHE
+QAAAALKRAGQSVTIV
+>Q92796_PF00018_507 <unknown description>
+RALFDYDRTRDSCLPSQGLSFSYGDILHVINASDDEWWQARLVTPHGESEQIGVIPS
+>Q92796_PF00625_627 <unknown description>
+ARPVIILGPMKDRVNDDLISEFPHKFGSCVPHTTRPRRDNEVDGQDYHFVVSREQMEKDI
+QDNKFIEAGQFNDNLYGTSIQSVRAVAERGKHCILDVSGNAIKRLQQAQLYPIAIFIKPK
+SIEALMEMNRRQTYEQANKIYDKAMKLEQEFGEYFTAIVQGDSLEEIYNKIKQIIED
+>P78352_PF10608_32 <unknown description>
+QANSPPVIVNTDTLEAPGYELQVNGTEGEMEYE
+>P78352_PF00595_65 <unknown description>
+EITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEVD
+VREVTHSAAVEALKEAGSIVRLYVM
+>P78352_PF00595_161 <unknown description>
+IKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAHKDGRLQIGDKILAVNSVGL
+EDVMHEDAVAALKNTYDVVYLKV
+>P78352_PF10600_245 <unknown description>
+KPSNAYLSDSYAPPDITTSYSQHLDNEISHSSYLGTDYPTAMTPTSPRRYSPVAKDLLGE
+EDIPREPR
+>P78352_PF00595_314 <unknown description>
+IVIHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDQILSVNGVDLRNASHE
+QAAIALKNAGQTVTI
+>P78352_PF00018_434 <unknown description>
+RALFDYDKTKDCGFLSQALSFRFGDVLHVIDASDEEWWQARRVHSDSETDDIGFIPS
+>P78352_PF00625_534 <unknown description>
+ARPIIILGPTKDRANDDLLSEFPDKFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDI
+QAHKFIEAGQYNSHLYGTSVQSVREVAEQGKHCILDVSANAVRRLQAAHLHPIAIFIRPR
+SLENVLEINKRITEEQARKAFDRATKLEQEFTECFSAIVEGDSFEEIYHKVKRVIED
+>Q8TDM6_PF04822_130 <unknown description>
+APSPPPLLTDQQVNEKVENLSIQLRLMTRERNELRKRLAFATHGTAFDKRPYHRLNPDYE
+RLKIQCVRAMSDLQSLQNQHTNAL
+>Q8TDM6_PF00595_633 <unknown description>
+KALGFDMAEGVNEPCFPGDCGIFVTKVDKGSIADGRLRVNDWLLRINDVDLINKDKKQAI
+KALLNGEGAINMVV
+>Q8TDM6_PF00595_722 <unknown description>
+INLSGQKDSGISLENGVYAAAVLPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESLL
+RSCQDSLTLSL
+>Q8TDM6_PF00595_1351 <unknown description>
+VKVQKGSEPLGISIVSGEKGGIYVSKVTVGSIAHQAGLEYGDQLLEFNGINLRSATEQQA
+RLIIGQQCDTITIL
+>Q8TDM6_PF16610_1427 <unknown description>
+YNPHVHQLSSHSRSSSHLDPAGTHSTLQGSGTTTPEHPSVIDPLMEQDEGPSTPPAKQSS
+>Q8TDM6_PF00625_1775 <unknown description>
+SQQAIERGVKDCLFVDYKRRSGHFDVTTVASIKEITEKNRHCLLDIAPHAIERLHHMHIY
+PIVIFIHYKSAKHIKEQRDPIYLRDKVTQRHSKEQFEAAQKLEQEYSRYFTGVIQGGALS
+SICTQILAMVNQ
+>O14490_PF03359_645 <unknown description>
+KKNRCLSIGIQVDDAEEPDKTGENKAPSKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADL
+DFHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPS
+ILPPPDPWIDSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCQQMEREERENNLP
+EDILGKIRTAVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENI
+SMKFDELHQLKANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKR
+LMAAKRAASVRQNSATESAESIEIYIPEAQTRL
+>O95886_PF03359_628 <unknown description>
+QRKWRPSIGVQVETISDSDTENRSRREFHSIGVQVEEDKRRARFKRSNSVTAGVQADLEL
+EGLAGLATVATEDKALQFGRSFQRHASEPQPGPRAPTYSVFRTVHTQGQWAYREGYPLPY
+EPPATDGSPGPAPAPTPGPGAGRRDSWIERGSRSLPDSGRASPCPRDGEWFIKMLRAEVE
+KLEHWCQQMEREAEDYELPEEILEKIRSAVGSTQLLLSQKVQQFFRLCQQSMDPTAFPVP
+TFQDLAGFWDLLQLSIEDVTLKFLELQQLKANSWKLLEPKEEKKVPPPIPKKPLRGRGVP
+VKERSLDSVDRQRQEARKRLLAAKRAASFRHSSATESADSIEIYIPEAQTRL
+>Q9Y2H0_PF03359_661 <unknown description>
+KRKLSSIGIQVDCIQPVPKEEPSPATKFQSIGVQVEDDWRSSVPSHSMSSRRDTDSDTQD
+ANDSSCKSSERSLPDCTPHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPP
+DPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLG
+KVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFD
+ELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKPAVSRDKASDASDKQRQEARKRL
+LAAKRAASVRQNSATESADSIEIYVPEAQTRL
+>Q15398_PF03359_426 <unknown description>
+PHHGVPYFRNILQSETEKLTSHCFEWDRKLELDIPDDAKDLIRTAVGQTRLLMKERFKQF
+EGLVDDCEYKRGIKETTCTDLDGFWDMVSFQIEDVIHKFNNLIKLEESGWQVNNNMNHNM
+NKNVFRKKVVSGIASKPKQDDAGRIAARNRLAAIKNAMRERIRQEECAETAVSV
+>P80370_PF00008_92 <unknown description>
+CSSAPCANNRTCVSLDDGLYECSCAPGYSGK
+>P80370_PF00008_131 <unknown description>
+CVINGSPCQHGGTCVDDEGRASHASCLCPPGFSGN
+>P80370_PF00008_174 <unknown description>
+CTPNPCENDGVCTDIGGDFRCRCPAGFIDK
+>P80370_PF00008_212 <unknown description>
+CASSPCQNGGTCLQHTQVSYECLCKPEFTGL
+>Q6UY11_PF00008_95 <unknown description>
+CTTQSPCQNGGQCMYDGGGEYHCVCLPGFHGR
+>Q6UY11_PF12661_142 <unknown description>
+CRNGGQCQDDQGFALNFTCRCLVGF
+>Q6UY11_PF00008_178 <unknown description>
+CLMRPCANGATCLDGINRFSCLCPEGFAGR
+>Q6UY11_PF00008_216 <unknown description>
+CASRPCQRGARCRDRVHDFDCLCPSGYGGKT
+>O00548_PF07657_22 <unknown description>
+SGVFELKLQEFVNKKGLLGNRNCCRGGAGPPPCACRTFFRVCLKHYQASVSPEPPCTYGS
+AVTPVLGVDSF
+>O00548_PF01414_159 <unknown description>
+WSQDLHSSGRTDLKYSYRFVCDEHYYGEGCSVFCRPRDDAFGHFTCGERGEKVCNPGWKG
+PYC
+>O00548_PF00008_296 <unknown description>
+KPCKNGATCTNTGQGSYTCSCRPGYTGAT
+>O00548_PF00008_332 <unknown description>
+CDPSPCKNGGSCTDLENSYSCTCPPGFYGK
+>O00548_PF00008_370 <unknown description>
+CADGPCFNGGRCSDSPDGGYSCRCPVGYSG
+>O00548_PF00008_409 <unknown description>
+CSSSPCSNGAKCVDLGDAYLCRCQAGFSGRH
+>O00548_PF00008_447 <unknown description>
+CASSPCANGGTCRDGVNDFSCTCPPGYTGRN
+>O00548_PF12661_490 <unknown description>
+CHNGATCHERGHRYVCECARGY
+>Q9NYJ7_PF00008_278 <unknown description>
+CDGNPCANGGSCSETPRSFECTCPRGFYGLR
+>Q9NYJ7_PF00008_316 <unknown description>
+CADGPCFNGGLCVGGADPDSAYICHCPPGFQGSN
+>Q9NYJ7_PF00008_357 <unknown description>
+CSLQPCRNGGLCLDLGHALRCRCRAGFAGPR
+>Q9NYJ7_PF00008_395 <unknown description>
+CAGRACANGGTCVEGGGAHRCSCALGFGGR
+>Q9NYJ7_PF12661_438 <unknown description>
+CAHGGRCYAHFSGLVCACAPGY
+>Q9NR61_PF07657_27 <unknown description>
+SGVFQLQLQEFINERGVLASGRPCEPGCRTFFRVCLKHFQAVVSPGPCTFGTVSTPVLGT
+NSF
+>Q9NR61_PF01414_157 <unknown description>
+LDEQTSTLTRLRYSYRVICSDNYYGDNCSRLCKKRNDHFGHYVCQPDGNLSCLPGWTGEY
+C
+>Q9NR61_PF00008_292 <unknown description>
+SPCKNGATCSNSGQRSYTCTCRPGYTG
+>Q9NR61_PF00008_328 <unknown description>
+CDSNPCRNGGSCKDQEDGYHCLCPPGYYGL
+>Q9NR61_PF00008_366 <unknown description>
+CADSPCFNGGSCRERNQGANYACECPPNFTGSN
+>Q9NR61_PF00008_406 <unknown description>
+CTSNPCANGGQCLNRGPSRMCRCRPGFTGT
+>Q9NR61_PF00008_444 <unknown description>
+CARNPCAHGGTCHDLENGLMCTCPAGFSGRR
+>Q86YH6_PF00348_110 <unknown description>
+QLRGLVVLLISKAAGPSSVNTSCQNYDMVSGIYSCQRSLAEITELIHIALLVHRGIVNLN
+ELQSSDGPLKDMQFGNKIAILSGDFLLANACNGLALLQNTKVVELLASALMDLVQGVYHE
+NSTSKESYITDDIGISTWKEQTFLSHGALLAKSCQAAMELAKHDAEVQNMAFQYGKHMAM
+SHKINSDVQPFIK
+>Q9NP97_PF03259_4 <unknown description>
+VEETLKRLQSQKGVQGIIVVNTEGIPIKSTMDNPTTTQYASLMHSFILKARSTVRDIDPQ
+NDLTFLRIRSKKNEIMVAPDKDYFLIVIQN
+>Q8TF09_PF03259_4 <unknown description>
+VEETLKRIQSHKGVIGTMVVNAEGIPIRTTLDNSTTVQYAGLLHHLTMKAKSTVRDIDPQ
+NDLTFLRIRSKKHEIMVAPDKEYLLIVIQ
+>P56177_PF00046_129 <unknown description>
+RKPRTIYSSLQLQALNRRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKK
+>Q07687_PF12413_51 <unknown description>
+QESPTLPVSTATDSSYYTNQQHPAGGGGGGGSPYAHMGSYQYQASGLNNVPYSAKSSYDL
+GYTAAYTSYAPYGTSSSPANN
+>Q07687_PF00046_153 <unknown description>
+RKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQVKIWFQNRRSKFKK
+>O60479_PF12413_27 <unknown description>
+KDSPTLPESSVTDLGYYSAPQHDYYSGQPYGQTVNPYTYHHQFNLNGLAGTGAYSPKSEY
+TYGASYRQYGAYREQPLPAQ
+>O60479_PF00046_130 <unknown description>
+RKPRTIYSSYQLAALQRRFQKAQYLALPERAELAAQLGLTQTQVKIWFQNRRSKFKK
+>Q92988_PF00046_118 <unknown description>
+RKPRTIYSSLQLQHLNQRFQHTQYLALPERAQLAAQLGLTQTQVKIWFQNKRSKYKK
+>P56178_PF12413_32 <unknown description>
+QESPTLPESSATDSDYYSPTGGAPHGYCSPTSASYGKALNPYQYQYHGVNGSAGSYPAKA
+YADYSYASSYHQYGGAYNRVPSATNQ
+>P56178_PF00046_138 <unknown description>
+RKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQVKIWFQNKRSKIKK
+>P56179_PF00046_168 <unknown description>
+RKPRTIYSSLQLQALNHRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKK
+>Q96GE9_PF15055_47 <unknown description>
+CWSCRVLSGLGLMGAGGYVYWVARKPMKMGYPPSPWTITQMVIGLS
+>Q9NPF5_PF16282_124 <unknown description>
+YPFARFNKTVQVPVYSEQEYQLYLHDDAWTKAETDHLFDLSRRFDLRFVVIHDRYDHQQF
+KKRSVEDLKERYYHICAKL
+>Q9NPF5_PF05499_243 <unknown description>
+EEEYLLQELRKIEARKKEREKRSQDLQKLITAADTTAEQRRTERKAPKKKLPQKKEAEKP
+AVPETAGIKFPDFKSAGVTLRSQRMKLPSSVGQKKIKALEQMLLELGVELSPTPTEELVH
+MFNELRSDLVLLYELKQACANCEYELQMLRHRHEALARA
+>P28067_PF00993_41 <unknown description>
+NHTFLHTVYCQDGSPSVGLSEAYDEDQLFFFDFSQNTRVPRLPEFADWAQEQGDAPAILF
+DKEFCEWMIQQIGPK
+>P28067_PF07654_131 <unknown description>
+VFTLKPLEFGKPNTLVCFVSNLFPPMLTVNWQHHSVPVEGFGPTFVSAVDGLSFQAFSYL
+NFTPEPSDIFSCIVTHE
+>Q9UGM3_PF00530_106 <unknown description>
+NGDGRCQGRVEILYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNAWFGQGSGPIA
+LDDVRCSGHESYLWSCPHNGWLSHNCGHGEDAGVICS
+>Q9UGM3_PF00530_237 <unknown description>
+VNGGDRCRGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNAQFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLTHNCGHSEDAGVICS
+>Q9UGM3_PF00530_366 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDSWDTSDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGYESYLWSCPHNGWLSHNCQHSEDAGVICS
+>Q9UGM3_PF00530_497 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMLAPGNARFGQGSGPI
+VLDDVRCSGNESYLWSCPHNGWLSHNCGHSEDAGVICS
+>Q9UGM3_PF00530_605 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPNNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_736 <unknown description>
+VNGSDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_865 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDSWDTSDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGYESYLWSCPHNGWLSHNCQHSEDAGVICS
+>Q9UGM3_PF00530_996 <unknown description>
+VNGGDRCQGRVEVLYQGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHSEDAGVICS
+>Q9UGM3_PF00530_1125 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_1254 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_1383 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_1512 <unknown description>
+VNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_1641 <unknown description>
+VNGGDRCRGRVEVLYQGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNAQFGQGSGPI
+VLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICS
+>Q9UGM3_PF00530_1772 <unknown description>
+VNGGDRCRGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPI
+VLDDVRCSGNESYLWSCPHKGWLTHNCGHHEDAGVICS
+>Q9UGM3_PF00431_1895 <unknown description>
+CGGFLFYASGTFSSPSYPAYYPNNAKCVWEIEVNSGYRINLGFSNLKLEAHHNCSFDYVE
+IFDGSLNSSLLLGKICNDTRQIFTSSYNRMTIHFRSDISFQNTGFLAWY
+>Q9UGM3_PF00530_2024 <unknown description>
+CAGRVEIYHGGTWGTVCDDSWTIQEAEVVCRQLGCGRAVSALGNAYFGSGSGPITLDDVE
+CSGTESTLWQCRNRGWFSHNCNHREDAGVICS
+>Q9UGM3_PF00431_2137 <unknown description>
+CGGFLSQPSGDFSSPFYPGNYPNNAKCVWDIEVQNNYRVTVIFRDVQLEGGCNYDYIEVF
+DGPYRSSPLIARVCDGARGSFTSSSNFMSIRFISDHSITRRGFRAEY
+>Q9UGM3_PF00100_2256 <unknown description>
+CLPNHMQASVSRSYLQSLGFSASDLVISTWNGYYECRPQITPNLVIFTIPYSGCGTFKQA
+DNDTIDYSNFLTAAVSGGIIKRRTDLRIHVSCRMLQNTWVDTMYIANDTIHVANNTIQVE
+EVQYGNFDVNISFYTSSSFLYPVTSRPYYVDLNQDLYVQAEILHSDAVLTLFVDTCVASP
+YSNDFTSLTYDLIRSGCVRDDTYGPYSSPSLRIARFRFRAFHFLNRFPSVYLRCKMVVCR
+AYDPSSRCYRGCV
+>Q8NFW5_PF00046_67 <unknown description>
+RRSRTAFTAQQLEALEKTFQKTHYPDVVMRERLAMCTNLPEARVQVWFKNRRAKFRK
+>Q8NFW5_PF03826_351 <unknown description>
+SKTTSIENLRLRAKQHAA
+>P28068_PF00969_27 <unknown description>
+STCLLDDAGTPKDFTYCISFNKDLLTCWDPEENKMAPCEFGVLNSLANVLSQHLNQKDTL
+MQRLRNGLQNCATHTQPFW
+>P28068_PF07654_117 <unknown description>
+VQVAKTTPFNTREPVMLACYVWGFYPAEVTITWRKNGKLVMPHSSAHKTAQPNGDWTYQT
+LSHLALTPSYGDTYTCVVEHTG
+>Q14565_PF08423_84 <unknown description>
+GFLTAFEYSEKRKMVFHITTGSQEFDKLLGGGIESMAITEAFGEFRTGKTQLSHTLCVTA
+QLPGAGGYPGGKIIFIDTENTFRPDRLRDIADRFNVDHDAVLDNVLYARAYTSEHQMELL
+DYVAAKFHEEAGIFKLLIIDSIMALFRVDFSGRGELAERQQKLAQMLSRLQKISEEYNVA
+VFVTNQMTADPGATMTFQADPKKPIGGHILAHASTTRISLRKGRGELRIAKIYDSPEMPE
+NEATFAITAGGIGD
+>Q13316_PF07263_1 <unknown description>
+MKISILLMFLWGLSCALPVTRYQNNESEDSEEWKGHLAQAPTPPLESSESSEGSKVSSEE
+QANEDPSDSTQSEEGLGSDDHQYIYRLAGGFSRSTGKGGDDKDDDEDDSGDDTFGDDDSG
+PGPKDRQEGGNSRLGSDEDSDDTIQASEESAPQGQDSAQDTTSESRELDNEDRVDSKPEG
+GDSTQESESEEHWVGGGSDGESSHGDGSELDDEGMQSDDPESIRSERGNSRMNSAGMKSK
+ESGENSEQANTQDSGGSQLLEHPSRKIFRKSRISEEDDRSELDDNNTMEEVKSDSTENSN
+SRDTGLSQPRRDSKGDSQEDSKENLSQEESQNVDGPSSESSQEANLSSQENSSESQEEVV
+SESRGDNPDPTTSYVEDQEDSDSSEEDSSHTLSHSKSESREEQADSESSESLNFSEESPE
+SPEDENSSSQEGLQSHSSSAESQSEESHSEEDDSDSQDSSRSKEDSNSTESKSSSEEDGQ
+LKNIEIESRKLTVDAYHNKPIGDQDDNDCQDGY
+>Q09013_PF00069_71 <unknown description>
+FEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREERDVLVNGDRRW
+ITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIVMAIDSVHRLG
+YVHRDIKPDNILLDRCGHIRLADFGSCLKLRADGTVRSLVAVGTPDYLSPEILQAVGGGP
+GTGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYGKIVHYKEHLSLPLVDEGVPEEA
+RDFIQRLLCPPETRLGRGGAGDFRTHPFF
+>Q09013_PF08826_470 <unknown description>
+ELQEALEEEVLTRQSLSREMEAIRTDNQNFASQLREAEARNRDLEAHVRQLQERMELLQA
+E
+>Q9Y5R6_PF00751_72 <unknown description>
+RLPKCARCRNHGYASPLKGHKRFCMWRDCQCKKCNLIAERQRVMAAQ
+>Q9Y5R6_PF12374_130 <unknown description>
+ELGISHPIPLPSAAELLVKRENNGSNPCLMTECSGTSQPPPASVPTTAASEGRMVIQDIP
+AVTSRGHVENTPD
+>Q9Y5R5_PF00751_119 <unknown description>
+RTPKCARCRNHGVVSCLKGHKRFCRWRDCQCANCLLVVERQRVMAAQ
+>Q9NQL9_PF00751_25 <unknown description>
+RTPKCARCRNHGVLSWLKGHKRYCRFKDCTCEKCILIIERQRVMAAQ
+>Q9NQL9_PF03474_249 <unknown description>
+RPPLEVLKKIFPNQKPTVLELILKGCGGDLVSAVEV
+>Q5VZB9_PF00751_93 <unknown description>
+RTPKCARCRNHGVVSALKGHKRFCRWRDCACAKCTLIAERQRVMAAQ
+>Q5VZB9_PF03474_327 <unknown description>
+RDPLDILTKIFPNYRRSRLEGILRFCKGDVVQAIEQV
+>Q96MA1_PF00751_7 <unknown description>
+RTPKCSRCRNHGFLVPVKGHAGKCRWKQCLCEKCYLISERQKIMAAQ
+>Q5HYR2_PF15791_74 <unknown description>
+SGDPHRAPALPSICSTLILQPCATLDPLLLQPQVPKVSDQALVSAHSEWQRKLEAAEALL
+TLRNSAQAPPDSISLHQPCNPPAPAGDKGFQPPSPSLRPRPASSISLPIGHLGCISLL
+>Q8IXT2_PF00751_38 <unknown description>
+RSPTCARCRNHGVTAHLKGHKRLCLFQACECHKCVLILERRRVMAAQ
+>Q8IXT2_PF15791_240 <unknown description>
+SGEPQGPPSQPRTHSTLILQPCGTPDPLQLQPQASGASCLARTSGPSEWQLQQEAAEALV
+GLKDSSQAPRVTPSVPPNPAWISLLHPCGPPAPAGGRGFQPVGPCLRPSPAPSVALHIGR
+LGSISLL
+>Q96SC8_PF00751_66 <unknown description>
+RTPKCARCRNHGVVSALKGHKRYCRWKDCLCAKCTLIAERQRVMAAQ
+>Q96SC8_PF03474_314 <unknown description>
+RTPLDILTRVFPGHRRGVLELVLQGCGGDVVQAIEQV
+>Q9Y222_PF00249_225 <unknown description>
+GKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCR
+>Q9Y222_PF00249_273 <unknown description>
+TGKWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYL
+>Q09019_PF00400_287 <unknown description>
+LNEFAFSPDGRHLACVSQDGCLRVF
+>Q09019_PF00400_329 <unknown description>
+LLCVCWSPDGRYVVTGGEDDLVTVWS
+>P51530_PF08696_74 <unknown description>
+LENKELCILRNDWCSVPVEPGDIIHLEGDCTSDTWIIDKDFGYLILYPDMLISGTSIASS
+IRCMRRAVLSETFRSSDPATRQMLIGTVLHEVFQKAINNSFAPEKLQELAFQTIQEIRHL
+KEMYRLNLSQDEIKQEVEDYLPSFCKWAGDFMHKNTSTDFPQMQLSLPSDNSKDNSTCNI
+EVVKPMDIEESIWSPRFGLKGKIDVTVG
+>P51530_PF13086_626 <unknown description>
+LNKPQRQAMKKVLLSKDYTLIVGMPGTGKTTTICTLVRILYACGFSVLLTSYTHSAVDNI
+LLKLAKFKIGFLRLGQIQKVHPAIQQFTEQEICRSKSIKS
+>P51530_PF13086_730 <unknown description>
+EELYNSQLIVATTCMGINHPIFSRKIFDFCIVDEASQISQPICLGPLFFSRRFVLVGDHQ
+QLPPLVLN
+>P51530_PF13087_806 <unknown description>
+SESLFKRLEQNKSAVVQLTVQYRMNSKIMSLSNKLTYEGKLECGSDKVANAVINLRHFKD
+VKLELEFYADYSDNPWLMGVFEPNNPVCFLNTDKVPAPEQVEKGGVSNVTEAKLIVFLTS
+IFVKAGCSPSDIGIIAPYRQQLKIINDLLARSIGMVEVNTVDKYQGRDKSIVLVSFVRSN
+KDGTVGELLKDWRRLNVAITRAKHKLILLGC
+>Q9UI46_PF00400_531 <unknown description>
+QFLDTYDAHNMSVDTVSWNPYHTKVFMSCSSDWTVKIWD
+>Q5VTH9_PF00400_695 <unknown description>
+QYLDTYRGHKGPVYKVTWNPFCHDVFLSCSADWGVIIW
+>Q5VTH9_PF00400_748 <unknown description>
+SVVYDVAWSPKSSYIFAAANENRVEIWD
+>O96015_PF01221_21 <unknown description>
+LVRHSDMPEEMRVETMELCVTACEKFSNNNESAAKMIKETMDKKFGSSWHVVIGEGFGFE
+ITHEVKNLLYLYFGGTLAVCVWKC
+>P24855_PF03372_45 <unknown description>
+SYIVQILSRYDIALVQEVRDSHLTAVGKLLDNLNQDAPDTYHYVVSEPLGRNSYKERYLF
+VYRPDQVSAVDSYYYDDGCEPCGNDTFNREPAIVRFFSRFTEVREFAIVPLHAAPGDAVA
+EIDALYDVYLDVQEKWGLEDVMLMGDFNAGCSYVRPSQWSSIRLWTSPTFQWLIPDSADT
+TATPTHCAYDRIVVAGMLLRGAVVPDSALP
+>Q8IYX4_PF00076_60 <unknown description>
+VFIGRLPQDVYEHQLIPLFQRVGRLYEFRLMMTFSGLNRGFAYARYSSRRGAQAAIATLH
+NH
+>Q8IYX4_PF14709_254 <unknown description>
+GARATLQLLCQRMKLGSPVFLTKCLGIGPAGWHRFWYQVVIPGHPVPFSGLIWVVLTLDG
+RDGHEVAKDAVSVRLLQALS
+>Q8NFT8_PF00008_353 <unknown description>
+CQRKPCQNNASCIDANEKQDGSNFTCVCLPGYTGE
+>Q8NFT8_PF00008_399 <unknown description>
+DPCRNGATCISSLSGFTCQCPEGYFGS
+>Q8NFT8_PF00008_434 <unknown description>
+CASSPCQNNGTCYVDGVHFTCNCSPGFTGPT
+>Q8NFT8_PF00008_472 <unknown description>
+CALSPCAHGTCRSVGTSYKCLCDPGYHGL
+>Q8NFT8_PF00008_509 <unknown description>
+CLSAPCLNAATCRDLVNGYECVCLAEYKGT
+>Q8NFT8_PF12661_552 <unknown description>
+CLNGATCDSDGLNGTCICAPGF
+>Q8NFT8_PF00008_585 <unknown description>
+CDSNPCHHGGSCLDQPNGYNCHCPHGWVGAN
+>Q8NFT8_PF19330_634 <unknown description>
+MPRHSLYIIIGALCVAFILMLIILIVGICRISRIEYQGSSRPAYEEFYNCRSIDSEFSNA
+IASIRHARFGKKSRPAMYDVSPIAYEDYSPDDKPLVTLIKTKDL
+>Q96M86_PF08393_1017 <unknown description>
+QQQRIWHLYRVISENISEWKCMAFAKFSPAMAQEKTEGWLTEAARMSTTLELHSPVLQHC
+MRILGEFRSYLPLLTKLGSLHPQSLNCQCLLRALGLGSLQTIELLTLGQLLTYPLLEFAD
+RINQVWQNENERIHAQETIRRLQRYWEARQLRLLNFILHVPYEPPASERSKRQVLRSPQW
+EVVDKDSGTFILSDYSNLQDSIQESLQVLSKILAIEKSGDLNKIALEWVAIMHGLGALLE
+VWLTFQQKWIFLNKVLHEMKIQFPNADLNSRFKVMDDQYRTLMRISVADPMVLSLVVPSA
+ERSPYFQGQQLQQLLQAGSVELEGIIMSLESVLYGVCAHFPRLFFLSDSELVALLAARLE
+SCEAQLWVRRCFPHVHAVSFRSCPTGEKNTDDWESSPNTQTQVEALAVLGAGGEEVKLQG
+PLPLHPDLPKWLASLEKCLRLALVHMLQ
+>Q96M86_PF12774_1649 <unknown description>
+YNYEYLGPRLGPLPSLLPERPALVLLLALEEVACGTVLGPNGVGKRAIVNSLAQALGRQL
+VMLPCSPQIEAQCLSNYLNGALQGGAWLLLEKVHQLPPGLLSALGQRLGELHHLYAPLYQ
+EASRNTSTIDPTQPQLLGSSFFEKHHVSVRLGYGCLLVLRALSSAVPANLHLLLRPVALA
+LPDLRQVAELTLLGAGMRDAFQMATRLSKFFSLERELVSGPLPCRLPLLKQILEDTIRTL
+NVTKEEPKCQKPRSLAAIEEAALLRSPLFSILNGLHLHNLRGLLCALFPSASQVLAEPMT
+YKLMKPLVVEELQQVGLDPSPDILGSLEQLSQALSRASGILLLGPAGSGKT
+>Q96M86_PF17852_2211 <unknown description>
+CAGVAEVTSMARILHSLLDLHLRLKEEKAPGPEDLSYSDPVAQSFRSSKSSFLNRSQVDS
+DDVPDKCREHLLAVSSFLFALIWGFGAHLPSRFWPIFDTFIRDSISRLSNYPEPPPSALV
+FDLHVSPEDGTLVPF
+>Q96M86_PF12775_2363 <unknown description>
+PSIQTERLLYVVDLLLSGGQPVLLAGEAATGKSAFVEVLVEPHHPYIYSPIHPAFSSSHL
+RLLLSRGIQGQTQASPQPGHHQDSKPSLLFLLEDLHLATSDPEKSCQPVLETLRQAMDGT
+VYAHSTLELQTLQPTVNFLATVTVPGYCERPLCPRLFRLFTVLALES
+>Q96M86_PF12780_2865 <unknown description>
+CHSMAQHVARLVRVLARPRQHGLLLSGALGTGRHTAITLASSICQAHFFHLPSGSEEAIL
+QCLRDASWHAGMLSQPVALLVPSGVDLTTLHRLLALATSGSFPGQYTEADLDRIGEHLPR
+ENLGVKQNIKKEMVLQRFHQQVCSHLHLFFLIGDKQAHKQLPSTLFLRLLQLATASIDRY
+EPWDQAALAKVAQHHLEGAQSVPLDDGSWKYPDLQASIPSVAKAMALIHLSATHYHEHLC
+PALPLVTPKTFLDFLDTFLM
+>Q96M86_PF12777_3226 <unknown description>
+KAFLEPLSQLQVADFEEIRSYRAPPESVVRVTDAMCDLFHHETGWASAKQLLCTEDFYQE
+LVFFPKEKITDSELIKLHLILKAPGMDDAALRAVSRPAASLAAWLWAVLHYGLAHCRGLP
+TDLLLQQVEATLTREQARLGYYQFQAQETLEHNLALAKMVEDAQASHNCVAKTLSQAQCG
+QYHKWPMKAALLTPMRAWTTQLQKLKGRCMTVFGDTLLCSAAIIYLGPFPPLRRQELLDE
+>Q96M86_PF03028_4101 <unknown description>
+TLHPLTVIQKLAAKYQQGQKQLQVIALGSEAWDPVSVVVSTLSQAMYEGHWLVLDNCHLM
+PHWPKELLQLLLELLGRAKVVADLESEQLLDQPESRNVSTVHRDFRLWLIVPAESSASLP
+AVLTQHSM
+>Q96M86_PF18199_4442 <unknown description>
+RLVQVNRRLESLQDLLTHVIRQDESDAPWSVLGPNARRPLEGVLETEALELSQLVGTLQR
+DLDCLLQQLKGAPPCPSRRCAAVAHALWTGRLPLPWRPHAPAGPQPPWHWLRQLSRRGQL
+LVRYLGVGADASSDVPERVFHLSAFRHPRRLLLALRGEAALDQNVPSSNFPGSRGSVSSQ
+LQYKRLEMNSNPLHFRVENGPNPTVPERGLLLIGLQVLHAEWDPIAGALQDSPSSQPSPL
+PPVSISTQAPGTSDLPAPADLTVYSCPVYMGGPLGTAKLQSRNIVMHLPLPTKLTPNTCV
+QRRVHVC
+>Q9UF47_PF00226_20 <unknown description>
+LYEILGLHKGASNEEIKKTYRKLALKHHPDKNPDDPAATEKFKEINNAHAILTDISKRSI
+YD
+>Q8N7S2_PF00226_54 <unknown description>
+RKLALRYHPDKNPGNAQAAEIFKEINAAHAILSDSKKRKIYD
+>P31689_PF00226_6 <unknown description>
+TYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLSDAKKRELYD
+>P31689_PF01556_108 <unknown description>
+VHQLSVTLEDLYNGATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGP
+GMVQQIQSVCMECQGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGE
+GDQEPGLEPGDIIIVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPISTLDNRTIVI
+TSHPGQIVKHGDIKCVLNEGMPIYRRPYEKGRLIIEFKVNFP
+>P31689_PF00684_134 <unknown description>
+CDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMECQGHGERISPKDRC
+KSCNGRK
+>O60884_PF00226_9 <unknown description>
+LYDILGVPPGASENELKKAYRKLAKEYHPDKNPNAGDKFKEISFAYEVLSNPEKRELYD
+>O60884_PF01556_117 <unknown description>
+MHPLKVSLEDLYNGKTTKLQLSKNVLCSACSGQGGKSGAVQKCSACRGRGVRIMIRQLAP
+GMVQQMQSVCSDCNGEGEVINEKDRCKKCEGKKVIKEVKILEVHVDKGMKHGQRITFTGE
+ADQAPGVEPGDIVLLLQEKEHEVFQRDGNDLHMTYKIGLVEALCGFQFTFKHLDGRQIVV
+KYPPGKVIEPGCVRVVRGEGMPQYRNPFEKGDLYIKFDVQFP
+>O60884_PF00684_143 <unknown description>
+CSACSGQGGKSGAVQKCSACRGRGVRIMIRQLAPGMVQQMQSVCSDCNGEGEVINEKDRC
+KKCEGKK
+>Q96EY1_PF00226_93 <unknown description>
+DYYQILGVPRNASQKEIKKAYYQLAKKYHPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRK
+QYD
+>Q96EY1_PF01556_211 <unknown description>
+YFMELTFNQAAKGVNKEFTVNIMDTCERCNGKGNEPGTKVQHCHYCGGSGMETINTGPFV
+MRSTCRRCGGRGSIIISPCVVCRGAGQAKQKKRVMIPVPAGVEDGQTVRMPVGKREIFIT
+FRVQKSPVFRRDGADIHSDLFISIAQALLGGTARAQGLYETINVTIPPGTQTDQKIRMGG
+KGIPRINSYGYGDHYIHIKIRVP
+>Q96EY1_PF00684_236 <unknown description>
+CERCNGKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIIISPCVVCRGA
+G
+>Q8WW22_PF00226_6 <unknown description>
+QYYDILGVKPSASPEEIKKAYRKLALKYHPDKNPDEGEKFKLISQAYEVLSDPKKRDVYD
+>Q8WW22_PF01556_109 <unknown description>
+VHQLSVTLEDLYNGVTKKLALQKNVICEKCEGVGGKKGSVEKCPLCKGRGMQIHIQQIGP
+GMVQQIQTVCIECKGQGERINPKDRCESCSGAKVIREKKIIEVHVEKGMKDGQKILFHGE
+GDQEPELEPGDVIIVLDQKDHSVFQRRGHDLIMKMKIQLSEALCGFKKTIKTLDNRILVI
+TSKAGEVIKHGDLRCVRDEGMPIYKAPLEKGILIIQFLVIFP
+>Q8WW22_PF00684_135 <unknown description>
+CEKCEGVGGKKGSVEKCPLCKGRGMQIHIQQIGPGMVQQIQTVCIECKGQGERINPKDRC
+ESCSGAK
+>P25685_PF00226_4 <unknown description>
+DYYQTLGLARGASDEEIKRAYRRQALRYHPDKNKEPGAEEKFKEIAEAYDVLSDPRKREI
+FD
+>P25685_PF01556_164 <unknown description>
+VTHDLRVSLEEIYSGCTKKMKISHKRLNPDGKSIRNEDKILTIEVKKGWKEGTKITFPKE
+GDQTSNNIPADIVFVLKDKPHNIFKRDGSDVIYPARISLREALCGCTVNVPTLDGRTIPV
+VFKDVIRPGMRRKVPGEGLPLPKTPEKRGDLIIEFEVIFP
+>P25686_PF00226_4 <unknown description>
+YYEILDVPRSASADDIKKAYRRKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKHKRE
+IYD
+>Q9UDY4_PF00226_4 <unknown description>
+DYYCILGIEKGASDEDIKKAYRKQALKFHPDKNKSPQAEEKFKEVAEAYEVLSDPKKREI
+YD
+>Q9UDY4_PF01556_161 <unknown description>
+IHELRVSLEEIYSGCTKRMKISRKRLNADGRSYRSEDKILTIEIKKGWKEGTKITFPREG
+DETPNSIPADIVFIIKDKDHPKFKRDGSNIIYTAKISLREALCGCSINVPTLDGRNIPMS
+VNDIVKPGMRRRIIGYGLPFPKNPDQRGDLLIEFEVSFP
+>O75953_PF00226_76 <unknown description>
+DYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKKRGL
+YD
+>O75953_PF01556_244 <unknown description>
+VHELRVSLEEIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEG
+DATPDNIPADIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLP
+CNDVIKPGTVKRLRGEGLPFPKVPTQRGDLIVEFKVRFP
+>O75190_PF00226_3 <unknown description>
+DYYEVLGVQRHASPEDIKKAYRKLALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAKKR
+DIYD
+>Q7Z6W7_PF00226_3 <unknown description>
+DYYEVLGLQRYASPEDIKKAYHKVALKWHPDKNPENKEEAERKFKEVAEAYEVLSNDEKR
+DIYD
+>Q8NHS0_PF00226_3 <unknown description>
+NYYEVLGVQASASPEDIKKAYRKLALRWHPDKNPDNKEEAEKKFKLVSEAYEVLSDSKKR
+SLYD
+>Q9UBS3_PF00226_27 <unknown description>
+YYDILGVPKSASERQIKKAFHKLAMKYHPDKNKSPDAEAKFREIAEAYETLSDANRRKEY
+D
+>Q96KC8_PF00226_65 <unknown description>
+NFYQFLGVQQDASSADIRKAYRKLSLTLHPDKNKDENAETQFRQLVAIYEVLKDDERRQR
+YD
+>Q96KC8_PF00249_495 <unknown description>
+EPWTQNQQKLLELALQQYPRGSSDRWDKIARCVPSKSKEDCIARYK
+>Q99543_PF00226_88 <unknown description>
+DHYAVLGLGHVRYKATQRQIKAAHKAMVLKHHPDKRKAAGEPIKEGDNDYFTCITKAYEM
+LSDPVKRRAFN
+>Q99543_PF16717_339 <unknown description>
+KKEKDIQKKAIKKERQKLRNSCKTWNHFSDNEAERVKMMEEVEKLCDRLELASLQCLNET
+LTSCTKEVGKAALEKQIEEINEQIRKEKE
+>Q99543_PF00249_452 <unknown description>
+KNWSEDDLQLLIKAVNLFPAGTNSRWEVIANYMNIHSSSGVKRTAKDVIGKA
+>Q99543_PF00249_553 <unknown description>
+PWTTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMKRYKE
+>Q13217_PF09976_40 <unknown description>
+HLELGKKLLAAGQLADALSQFHAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIQ
+LKMDFTAARLQRGHLLLKQGKLDEAEDDFKKVLKSNPSENEEK
+>Q13217_PF13181_223 <unknown description>
+EAFYKISTLYYQLGDHELSLSEVRECLKLDQDH
+>Q13217_PF00226_394 <unknown description>
+DYYKILGVKRNAKKQEIIKAYRKLALQWHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPE
+MRKKFD
+>Q9NNZ3_PF00226_34 <unknown description>
+TYYELLGVHPGASTEEVKRAFFSKSKELHPDRDPGNPSLHSRFVELSEAYRVLSREQSRR
+SYD
+>Q9H3Z4_PF00226_16 <unknown description>
+LYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEINNAHAILTDATKRNI
+YD
+>Q99615_PF13414_35 <unknown description>
+GNAYYAKKDYNEAYNYYTKAIDMCPKNASYYGNRAATLMMLG
+>Q99615_PF13432_189 <unknown description>
+LGRYPEAQSVASDILRMDSTNADALYVRGLCLYYEDCIEKAVQFFVQALRMAPDH
+>Q99615_PF13181_294 <unknown description>
+AKLYCNRGTVNSKLRKLDDAIEDCTNAVKLDD
+>Q99615_PF13181_329 <unknown description>
+KAYLRRAQCYMDTEQYEEAVRDYEKVY
+>Q99615_PF00226_381 <unknown description>
+DYYKILGVDKNASEDEIKKAYRKRALMHHPDRHSGASAEVQKEEEKKFKEVGEAFTILSD
+PKKKTRYD
+>O75937_PF00226_57 <unknown description>
+NPFEVLQIDPEVTDEEIKKRFRQLSILVHPDKNQDDADRAQKAFEAVDKAYKLLLDQEQK
+K
+>Q8WXX5_PF00226_15 <unknown description>
+DLYRVLGVRREASDGEVRRGYHKVSLQVHPDRVGEGDKEDATRRFQILGKVYSVLSDREQ
+RAVYD
+>P18858_PF04675_289 <unknown description>
+YLAVARTFEKIEEVSARLRMVETLSNLLRSVVALSPPDLLPVLYLSLNHLGPPQQGLELG
+VGDGVLLKAVAQATGRQLESVRAEAAEKGDVGLVAENSRSTQRLMLPPPPLTASGVFSKF
+RDIARLTGSASTAKKIDIIKGLFVACRHSEARFIARSLSGRLRLGLAEQSVLAAL
+>P18858_PF01068_542 <unknown description>
+PMLAHPTRGISEVLKRFEEAAFTCEYKYDGQRAQIHALEGGEVKIFSRNQEDNTGKYPDI
+ISRIPKIKLPSVTSFILDTEAVAWDREKKQIQPFQVLTTRKRKEVDASEIQVQVCLYAFD
+LIYLNGESLVREPLSRRRQLLRENFVETEGEFVFATSLDTKDIEQIAEFLEQSVKDSCEG
+LMVKTLDVDATYEIAKRSHNWLKLK
+>P18858_PF04679_771 <unknown description>
+RAGRYGGFLLASYDEDSEELQAICKLGTGFSDEELEEHHQSLKALVLPSPRPYVRIDGAV
+IPDHWLDPSAVWEVKCADLSLSPIYPAARGLVDSDKGISLRFPRFIRVREDK
+>P49916_PF00645_96 <unknown description>
+DYAKRGTAGCKKCKEKIVKGVCRIGKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTK
+KIEDLTELEGWEELEDNEKEQITQHI
+>P49916_PF04675_264 <unknown description>
+REFRKLCAMVADNPSYNTKTQIIQDFLRKGSAGDGFHGDVYLTVKLLLPGVIKTVYNLND
+KQIVKLFSRIFNCNPDDMARDLEQGDVSETIRVFFEQSKSFPPAAKSLLTIQEVDEFLLR
+LSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKHDLKMNSGAKHVLDAL
+>P49916_PF01068_483 <unknown description>
+PMLAEACKSVEYAMKKCPNGMFSEIKYDGERVQVHKNGDHFSYFSRSLKPVLPHKVAHFK
+DYIPQAFPGGHSMILDSEVLLIDNKTGKPLPFGTLGVHKKAAFQDANVCLFVFDCIYFND
+VSLMDRPLCERRKFLHDNMVEIPNRIMFSEMKRVTKALDLADMITRVIQEGLEGLVLKDV
+KGTYEPGKRHWLKVK
+>P49916_PF04679_705 <unknown description>
+GGMMSIFLMGCYDPGSQKWCTVTKCAGGHDDATLARLQNELDMVKISKDPSKIPSWLKVN
+KIYYPDFIVPDPKKAAVWEITGAEFSKSEAHTADGISIRFPRCTRIRDDK
+>P49916_PF16759_934 <unknown description>
+LLDIFTGVRLYLPPSTPDFSRLRRYFVAFDGDLVQEFDMTSATHVLGSRDKNPAAQQVSP
+EWIWACIRKRR
+>P49917_PF04675_15 <unknown description>
+PFADLCSTLERIQKSKGRAEKIRHFREFLDSWRKFHDALHKNHKDVTDSFYPAMRLILPQ
+LERERMAYGIKETMLAKLYIELLNLPRDGKDALKLLNYRTPTGTHGDAGDFAMIAYFVLK
+PRCLQKGSLTIQQVNDLLDSIASNNSAKRKDLIKKSLLQLITQSSALEQKWLIRMIIKDL
+KLGVSQQTIFSVFH
+>P49917_PF01068_248 <unknown description>
+PMLAAIADIEHIEKDMKHQSFYIETKLDGERMQMHKDGDVYKYFSRNGYNYTDQFGASPT
+EGSLTPFIHNAFKADIQICILDGEMMAYNPNTQTFMQKGTKFDIKRMVEDSDLQTCYCVF
+DVLMVNNKKLGHETLRKRYEILSSIFTPIPGRIEIVQKTQAHTKNEVIDALNEAIDKREE
+GIMVKQPLSIYKPDKRGEGWLKIK
+>P49917_PF04679_476 <unknown description>
+RGGMMSHFLCAVAEKPPPGEKPSVFHTLSRVGSGCTMKELYDLGLKLAKYWKPFHRKAPP
+SSILCGTEKPEVYIEPCNSVIVQIKAAEIVPSDMYKTGCTLRFPRIEKIRDDK
+>P49917_PF00533_656 <unknown description>
+SNIFEDVEFCVMSGTDSQPKPDLENRIAEFGGYIVQNPGPDTYCVIAGSENIRVKNIILS
+NKHDVVKPAWLLECF
+>P49917_PF11411_750 <unknown description>
+TKEHFAREYDCYGDSYFIDTDLNQLKEVFSGIKN
+>P49917_PF00533_834 <unknown description>
+EGTRLAIKALELRFHGAKVVSCLAEGVSHVIIGEDHSRVADFKAFRRTFKRKFKILKESW
+VTDSI
+>Q5SXM8_PF05180_70 <unknown description>
+QLVYTCKVCGTRSSKRISKLAYHQGVVIVTCPGCQNHHIIADNLGWFSDLNGKRNIEEIL
+TARGEQ
+>O00429_PF00350_28 <unknown description>
+IVVVGTQSSGKSSVLESLVGRDLLPRGTGIVTRRPLILQLVHVSQEDKRKTTGEENDPAT
+WKNSRHLSKGVEAEEWGKFLHTKNKLYTDFDEIRQEIENETERISGNNKGVSPEPIHLKI
+FSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIRELILRFISNPNSIILAVTAANTDMATS
+EALKISREVDPDGRRTLAVITK
+>O00429_PF01031_238 <unknown description>
+DAMDVLMGRVIPVKLGIIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKY
+LARTLNRLLMHHIRDCLPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEY
+CNTIEGTAKYIETSELCGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRP
+ALFVPEVSFELLVKRQIKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAI
+VEVVTCLLRKRLPVTNEMVHNLVAIELAYINTKHPDFADACGLMN
+>O00429_PF02212_652 <unknown description>
+REQRDCEVIERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKDTLQSELVGQLYKSSLLDD
+LLTESEDMAQRRKEAADMLKALQGASQIIAEI
+>Q9Y6K1_PF00855_293 <unknown description>
+GELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCS
+AFHQATYNKQPMYRKAIYEVLQ
+>Q9Y6K1_PF17980_475 <unknown description>
+TRERLVYEVRQKCRNIEDICISCGSLNVTLEHPLFVGGMCQNCKNCFLECAYQYDD
+>Q9Y6K1_PF00145_634 <unknown description>
+IRVLSLFDGIATGLLVLKDLGIQVDRYIASEVCEDSITVGMVRHQGKIMYVGDVRSVTQK
+HIQEWGPFDLVIGGSPCNDLSIVNPARKGLYEGTGRLFFEFYRLLHDARPKEGDDRPFFW
+LFENVVAMGVSDKR
+>Q9UBC3_PF00855_226 <unknown description>
+GDLVWGKIKGFSWWPAMVVSWKATSKRQAMSGMRWVQWFGDGKFSEVSADKLVALGLFSQ
+HFNLATFNKLVSYRKAMYHALEKA
+>Q9UBC3_PF17980_416 <unknown description>
+SREQMASDVANNKSSLEDGCLSCGRKNPVSFHPLFEGGLCQTCRDRFLELFYMYDD
+>Q9UBC3_PF00145_575 <unknown description>
+IRVLSLFDGIATGYLVLKELGIKVGKYVASEVCEESIAVGTVKHEGNIKYVNDVRNITKK
+NIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFEFYHLLNYSRPKEGDDRPFFW
+MFENVVAMKVGDKR
+>Q9UJW3_PF17980_35 <unknown description>
+RDLIAYEVKANQRNIEDICICCGSLQVHTQHPLFEGGICAPCKDKFLDALFLYDD
+>Q6XZF7_PF00018_8 <unknown description>
+RAIFDFCPSVSEELPLFVGDIIEVLAVVDEFWLLGKKEDVTGQFPS
+>Q6XZF7_PF07653_71 <unknown description>
+FVCICEFTSQELDNLPLHRGDLVILDGIPTAGWLQGRSCWGARGFFPSSCVRE
+>Q6XZF7_PF14604_157 <unknown description>
+SAQLDEELDFREGDVITIIGVPEPGWFEGELEGRRGIFPEGFVE
+>Q6XZF7_PF00018_249 <unknown description>
+VALYRFQALEPNELDFEVGDKIRILATLEDGWLEGSLKGRTGIFP
+>Q6XZF7_PF00621_788 <unknown description>
+VIEELLQTERDYIRDLEMCIERIMVPMQQAQVPNIDFEGLFGNMQMVIKVSKQLLAALEI
+SDAVGPVFLGHRDELEGTYKIYCQNHDEAIALLEIYEKDEKIQKHLQDSLADLKSLYNEW
+GCTNYINLGSFLIKPVQRVMRYPLLLMELLNSTPESHPDKVPLTNAVLAVKEINVNIN
+>Q6XZF7_PF03114_998 <unknown description>
+IKKSNRVSSHLKHLTGFAPQIKDEVFEETEKNFRMQERLIKSFIRDLSLYLQHIRESACV
+KVVAAVSMWDVCMERGHRDLEQFERVHRYISDQLFTNFKERTERLVISPLNQLLSMFTGP
+HKLVQKRFDKLLDFYNCTERAEKLKDKKTLEELQSARNNYEALNAQLLDELPKFHQYAQG
+LFTNCVHGYAEAHCDFVHQALEQLKPLL
+>Q6XZF7_PF00018_1520 <unknown description>
+AVYTFKARNPNELSVSANQKLKILEFKDVTGNTEWWLAEVNGKKGYVPS
+>P26358_PF06464_18 <unknown description>
+ISLPDDVRRRLKDLERDSLTEKECVKEKLNLLHEFLQTEIKNQLCDLETKLRKEELSEEG
+YLAKVKSLLNKDLSLENGAHAYNREV
+>P26358_PF12047_416 <unknown description>
+EALPQHKLTCFSVYCKHGHLCPIDTGLIEKNIELFFSGSAKPIYDDDPSLEGGVNGKNLG
+PINEWWITGFDGGEKALIGFSTSFAEYILMDPSPEYAPIFGLMQEKIYISKIVVEFLQSN
+SDSTYEDLINKIET
+>P26358_PF02008_662 <unknown description>
+NAFKRRRCGVCEVCQQPECGKCKACKDMVKFGGSGRSKQACQERRC
+>P26358_PF01426_771 <unknown description>
+ETLEVGDCVSVIPDDSSKPLYLARVTALWEDSSNGQMFHAHWFCAGTDTVLGATSDPLEL
+FLVDECEDMQLSYIHSKVKVIYKAPSENWAMEGGMDPESLLEGDDGKTYFYQLWYDQDYA
+RFESP
+>P26358_PF01426_948 <unknown description>
+LYRVGDGVYLPPEAFTFNIKLSSPVKRPRKEPVDEDLYPEHYRKYSDYIKGSNLDAPEPY
+RIGRIKEIFCPKKSNGRPNETDIKIRVNKFYRPENTHKSTPASYHADINLLYWSDEEAVV
+DFKAVQGRCTVEYGEDLPECVQVYSMGGPNRFYFLEAYNAKSKSFEDP
+>P26358_PF00145_1156 <unknown description>
+RTLDVFSGCGGLSEGFHQAGISDTLWAIEMWDPAAQAFRLNNPGSTVFTEDCNILLKLVM
+AGETTNSRGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCDYYR
+PRFFLLENVRNFVSFKRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIILAAA
+PGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPEVRN
+GASALEISYNGEPQSWFQRQLRGAQYQPILRDHICKDMSALVAARMRHIPLAPGSDWRDL
+PNIEVRLSDGTMARKLRYTHHDRKNGRSSSGALRGVCSCVEAGKACDPAARQFNTLIPWC
+LPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQGF
+PDTYRLFGNILDKHRQVGNAVPPPLAKAIGLEIK
+>Q9ULA0_PF02127_34 <unknown description>
+FVNRSPSPFHAVAECRNRLLQAGFSELKETEKWNIKPESKYFMTRNSSTIIAFAVGGQYV
+PGNGFSLIGAHTDSPCLRVKRRSRRSQVGFQQVGVETYGGGIWSTWFDRDLTLAGRVIVK
+CPTSGRLEQQLVHVERPILRIPHLAIHLQRNINENFGPNTEMHLVPILATAIQEELEKGT
+PEPGPLNAVDERHHSVLMSLLCAHLGLSPKDIVEMELCLADTQPAVLGGAYDEFIFAPRL
+DNLHSCFCALQALIDSCAGPGSLATEPHVRMVTLYDNEEVGSESAQGAQSLLTELVLRRI
+SASCQHPTAFEEAIPKSFMISADMAHAVHPNYLDKHEENHRPLFHKGPVIKVNSKQRYAS
+NAVSEALIREVANKVKVPLQDLMVRNDTPCGTTIGPILASRLGLRVLDLGSPQLAMHSIR
+EMACTTGVLQTLTLFKGFF
+>O43598_PF05014_23 <unknown description>
+LYFCGSIRGGREDRTLYERIVSRLRRFGTVLTEHVAAAELGARGEEAAGGDRLIHEQDLE
+WLQQADVVVAEVTQPSLGVGYELGRAVAFNKRILCL
+>O00115_PF03265_18 <unknown description>
+TCYGDSGQPVDWFVVYKLPALRGSGEAAQRGLQYKYLDESSGGWRDGRALINSPEGAVGR
+SLQPLYRSNTSQLAFLLYNDQPPQPSKAQDSSMRGHTKGVLLLDHDGGFWLVHSVPNFPP
+PASSAAYSWPHSACTYGQTLLCVSFPFAQFSKMGKQLTYTYPWVYNYQLEGIFAQEFPDL
+ENVVKGHHVSQEPWNSSITLTSQAGAVFQSFAKFSKFGDDLYSGWLAAALGTNLQVQFWH
+KTVGILPSNCSDIWQVLNVNQIAFPGPAGPSFNSTEDHSKWCVSPKGPWTCVGDMNRNQG
+EEQRGGGTLCAQLPALWKAFQPLVKNYQPC
+>Q8WZ79_PF03265_31 <unknown description>
+SCRNEEGKAVDWFTFYKLPKRQNKESGETGLEYLYLDSTTRSWRKSEQLMNDTKSVLGRT
+LQQLYEAYASKSNNTAYLIYNDGVPKPVNYSRKYGHTKGLLLWNRVQGFWLIHSIPQFPP
+IPEEGYDYPPTGRRNGQSGICITFKYNQYEAIDSQLLVCNPNVYSCSIPATFHQELIHMP
+QLCTRASSSEIPGRLLTTLQSAQGQKFLHFAKSDSFLDDIFAAWMAQRLKTHLLTETWQR
+KRQELPSNCSLPYHVYNIKAIKLSRHSYFSSYQDHAKWCISQKGTKNRWTCIGDLNRSPH
+QAFRSGGFICTQNWQIYQAFQGLVLYYESC
+>P49184_PF03372_24 <unknown description>
+FNAQRLTLAKVAREQVMDTLVRILARCDIMVLQEVVDSSGSAIPLLLRELNRFDGSGPYS
+TLSSPQLGRSTYMETYVYFYRSHKTQVLSSYVYNDEDDVFAREPFVAQFSLPSNVLPSLV
+LVPLHTTPKAVEKELNALYDVFLEVSQHWQSKDVILLGDFNADCASLTKKRLDKLELRTE
+PGFHWVIADGEDTTVRASTHCTYDRVVLHGERCRSLLHTAAAFDFPTSFQLTEEEALNIS
+DH
+>Q92874_PF03372_27 <unknown description>
+FNIQSFGDSKVSDPACGSIIAKILAGYDLALVQEVRDPDLSAVSALMEQINSVSEHEYSF
+VSSQPLGRDQYKEMYLFVYRKDAVSVVDTYLYPDPEDVFSREPFVVKFSAPGTGERAPPL
+PSRRALTPPPLPAAAQNLVLIPLHAAPHQAVAEIDALYDVYLDVIDKWGTDDMLFLGDFN
+ADCSYVRAQDWAAIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVACGARLRRSLKPQSA
+TVHDFQEEFGLDQTQALAISDH
+>Q13609_PF03372_25 <unknown description>
+SFNVRSFGESKQEDKNAMDVIVKVIKRCDIILVMEIKDSNNRICPILMEKLNRNSRRGIT
+YNYVISSRLGRNTYKEQYAFLYKEKLVSVKRSYHYHDYQDGDADVFSREPFVVWFQSPHT
+AVKDFVIIPLHTTPETSVKEIDELVEVYTDVKHRWKAENFIFMGDFNAGCSYVPKKAWKN
+IRLRTDPRFVWLIGDQEDTTVKKSTNCAYDRIVLRGQEIVSSVVPKSNSVFDFQKAYKLT
+EEEALDVSDH
+>P06340_PF00993_29 <unknown description>
+DHMGSYGPAFYQSYGASGQFTHEFDEEQLFSVDLKKSEAVWRLPEFGDFARFDPQGGLAG
+IAAIKAHLDILVERSNRSRA
+>P06340_PF07654_115 <unknown description>
+VTVLPKSRVELGQPNILICIVDNIFPPVINITWLRNGQTVTEGVAQTSFYSQPDHLFRKF
+HYLPFVPSAEDVYDCQVEHWGL
+>P13765_PF00969_40 <unknown description>
+DCYFTNGTEKVQFVVRFIFNLEEYVRFDSDVGMFVALTKLGQPDAEQWNSRLDLLERSRQ
+AVDGVCRHNYRL
+>P13765_PF07654_125 <unknown description>
+VTVYPERTPLLHQHNLLHCSVTGFYPGDIKIKWFLNGQEERAGVMSTGPIRNGDWTFQTV
+VMLEMTPELGHVYTCLVDHSSL
+>Q96BY6_PF11878_46 <unknown description>
+RLLEPLDYETVIEELEKTYRNDPLQDLLFFPSDDFSAATVSWDIRTLYSTVPEDAEHKAE
+NLLVKEACKFYSSQWHVVNYKYEQYSGDIRQLPRAEYKPEKLPSHSFEID
+>Q96BY6_PF00169_183 <unknown description>
+FKSGWLYKGNFNSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIFLD
+SCTGVVQNNRLRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNRIL
+>Q96BY6_PF14429_670 <unknown description>
+VYKNQIYIYPKHLKYDSQKCFNKARNITVCIEFKNSDEESAKPLKCIYGKPGGPLFTSAA
+YTAVLHHSQNPDFSDEVKIELPTQLHEKHHILFSFYHVTCDINAKANAKKKEALETSVGY
+AWLPLMKHDQIASQEYNIPIATSLPPNYLSFQDSASGKHGGSDIKWVDGGKPLFKVSTFV
+VSTVNTQDPH
+>Q96BY6_PF06920_1592 <unknown description>
+RKNFEFNKQKSIVRSHLQLIKAVSQLIADAGIGGSRFQHSLAITNNFANGDKQMKNSNFP
+AEVKDLTKRIRTVLMATAQMKEHEKDPEMLVDLQYSLANSYASTPELRRTWLESMAKIHA
+RNGDLSEAAMCYIHIAALIAEYLKRKGYWKVEKICTASLLSEDTHPCDSNSLLTTPSGGS
+MFSMGWPAFLSITPNIKEEGAMKEDSGMQDTPYNENILVEQLYMCVEFLWKSERYELIAD
+VNKPIIAVFEKQRDFKKLSDLYYDIHRSYLKVAEVVNSEKRLFGRYYRVAFYGQGFFEEE
+EGKEYIYKEPKLTGLSEISQRLLKLYADKFGADNVKIIQDSNKVNPKDLDPKYAYIQVTY
+VTPFFEEKEIEDRKTDFEMHHNINRFVFETPFTLSGKKHGGVAEQCKRRTILTTSHLFPY
+VKKRIQVISQSSTELNPIEVAIDEMSKKVSELNQLCTMEEVDMIRLQLKLQGSVSVKVNA
+GPMAYARAFLEETNAKKYPDNQVKLLKEIFRQFADACGQALDVNERLIKEDQLEYQEELR
+SHYKDMLSELS
+>Q5JSL3_PF11878_38 <unknown description>
+KVVEPLDYENVIAQRKTQIYSDPLRDLLMFPMEDISISVIGRQRRTVQSTVPEDAEKRAQ
+SLFVKECIKTYSTDWHVVNYKYEDFSGDFRMLPCKSLRPEKIPNHVFEID
+>Q5JSL3_PF00169_166 <unknown description>
+VIKQGWLHKANVNSTITVTMKVFKRRYFYLTQLPDGSYILNSYKDEKNSKESKGCIYLDA
+CIDVVQCPKMRRHAFELKMLDKYSHYLAAETEQEMEEWLITLKKII
+>Q5JSL3_PF14429_637 <unknown description>
+TIYKNHLYVYPLQLKYDSQKTFAKARNIAVCVEFRDSDESDASALKCIYGKPAGSVFTTN
+AYAVVSHHNQNPEFYDEIKIELPIHLHQKHHLLFTFYHVSCEINTKGTTKKQDTVETPVG
+FAWVPLLKDGRIITFEQQLPVSANLPPGYLNLNDAESRRQCNVDIKWVDGAKPLLKIKSH
+LESTIYTQDL
+>Q5JSL3_PF06920_1510 <unknown description>
+RNNFEYTKRKTFLRTHLQIIIAVSQLIADVALSGGSRFQESLFIINNFANSDRPMKATAF
+PAEVKDLTKRIRTVLMATAQMKEHEKDPEMLIDLQYSLAKSYASTPELRKTWLDSMAKIH
+VKNGDFSEAAMCYVHVAALVAEFLHRKKLFPNGCSAFKKITPNIDEEGAMKEDAGMMDVH
+YSEEVLLELLEQCVDGLWKAERYEIISEISKLIVPIYEKRREFEKLTQVYRTLHGAYTKI
+LEVMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTGLSEISLRLVKLYGEKFGT
+ENVKIIQDSDKVNAKELDPKYAHIQVTYVKPYFDDKELTERKTEFERNHNISRFVFEAPY
+TLSGKKQGCIEEQCKRRTILTTSNSFPYVKKRIPINCEQQINLKPIDVATDEIKDKTAEL
+QKLCSSTDVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNDSQASKYPPKKVSELKDMFRK
+FIQACSIALELNERLIKEDQVEYHEGLKSNFRDMVKELS
+>Q14183_PF00168_105 <unknown description>
+TLHCSILRAKGLKPMDFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEDLTYSG
+ITDDDITHKVLRIAVCDEDKLSHNEFIGEIRVPLRRLKPSQKK
+>Q14183_PF00168_268 <unknown description>
+LLVGILRCAHLAAMDVNGYSDPYVKTYLRPDVDKKSKHKTCVKKKTLNPEFNEEFFYEIE
+LSTLATKTLEVTVWDYDIGKSNDFIGGVSLGPGARGEARKHW
+>Q14184_PF00168_142 <unknown description>
+ALHCTITKAKGLKPMDHNGLADPYVKLHLLPGASKANKLRTKTLRNTLNPTWNETLTYYG
+ITDEDMIRKTLRISVCDEDKFRHNEFIGETRVPLKKLKPNHTKT
+>Q14184_PF00168_283 <unknown description>
+LLVGIVRCAHLAAMDANGYSDPYVKTYLRPDVDKKSKHKTAVKKKTLNPEFNEEFCYEIK
+HGDLAKKSLEVTVWDYDIGKSNDFIGGVVLGIHAKGERLKHWFD
+>Q92608_PF07653_12 <unknown description>
+HGVAIYNFQGSGAPQLSLQIGDVVRIQETCGDWYRGYLIKHKMLQGIFPKSF
+>Q92608_PF16172_72 <unknown description>
+EKRRNTENIIPAEIPLAQEVTTTLWEWGSIWKQLYVASKKERFLQVQSMMYDLMEWRSQL
+LSGTLPKDELKELKQKVTSKIDYGNKILELDLIVRDEDGNILDPDNTSVISLFHAHEEAT
+DKITERIKEEMSKDQPDYAMYSRISSSPTHSLYVFVRNFVCRIGEDAELFMSLYDPNKQT
+VISENYLVRWGSRGFPKEIEMLNNLKVVFTDLGNKDLNRDKIYLICQIVRVGKMDLKDTG
+AKKCTQGLRRPFGVAVMDITDIIKGKAESDEEKQHFIPFHPVTAENDFLHSLLGKVIASK
+GDSGGQGLWVTMKMLVGDIIQIRKDYPHLVDRTTVVARKLGFP
+>Q92608_PF14429_419 <unknown description>
+PGDVRNDIYITLLQGDFDKYNKTTQRNVEVIMCVCAEDGKTLPNAICVGAGDKPMNEYRS
+VVYYQVKQPRWMETVKVAVPIEDMQRIHLRFMFRHRSSLESKDKGEKNFAMSYVKLMKED
+GTTLHDGFHDLVVLKGDSKKMEDASAYLTLPSYRHHVENKGATLSRSSSSVGGLSVSSRD
+VFSISTLVCSTKLTQNV
+>Q92608_PF06920_1118 <unknown description>
+EYQRSGDFKKFENEIILKLDHEVEGGRGDEQYMQLLESILMECAAEHPTIAKSVENFVNL
+VKGLLEKLLDYRGVMTDESKDNRMSCTVNLLNFYKDNNREEMYIRYLYKLRDLHLDCDNY
+TEAAYTLLLHTWLLKWSDEQCASQVMQTGQQHPQTHRQLKETLYETIIGYFDKGKMWEEA
+ISLCKELAEQYEMEIFDYELLSQNLIQQAKFYESIMKILRPKPDYFAVGYYGQGFPSFLR
+NKVFIYRGKEYERREDFQMQLMTQFPNAEKMNTTSAPGDDVKNAPGQYIQCFTVQPVLDE
+HPRFKNKPVPDQIINFYKSNYVQRFHYSRPVRRGTVDPENEFASMWIERTSFVTAYKLPG
+ILRWFEVVHMSQTTISPLENAIETMSTANEKILMMINQYQSDETLPINPLSMLLNGIVDP
+AVMGGFAKYEKAFFTEEYVRDHPEDQDKLTHLKDLIAWQIPFLGAGIKIHEKRVSDNLRP
+FHDRMEECFKNLKMKVE
+>Q8IZD9_PF07653_10 <unknown description>
+YGVVICSFRGSVPQGLVLEIGETVQILEKCEGWYRGVSTKKPNVKGIFPANYI
+>Q8IZD9_PF16172_70 <unknown description>
+SNRGQYETVVPLEDSIVTEVTATLQEWASLWKQLYVKHKVDLFYKLRHVMNELIDLRRQL
+LSGHLTQDQVREVKRHITVRLDWGNEHLGLDLVPRKDFEVVDSDQISVSDLYKMHLSSRQ
+SVQQSTSQVDTMRPRHGETCRMPVPHHFFLSLKSFTYNTIGEDTDVFFSLYDMREGKQIS
+ERFLVRLNKNGGPRNPEKIERMCALFTDLSSKDMKRDLYIVAHVIRIGRMLLNDSKKGPP
+HLHYRRPYGCAVLSILDVLQSLTEVKEEKDFVLKVYTCNNESEWSQIHENIIRKSSAKYS
+APSASHGLIISLQLLRGDMEQIRRENPMIFNRGLAITRKLGFP
+>Q8IZD9_PF14429_417 <unknown description>
+PGDIRNDLYLTLEKGDFERGGKSVQKNIEVTMYVLYADGEILKDCISLGSGEPNRSSYHS
+FVLYHSNSPRWGEIIKLPIPIDRFRGSHLRFEFRHCSTKDKGEKKLFGFAFSTLMRDDGT
+TLSDDIHELYVYKCDENSTFNNHALYLGLPCCKEDYNGCPNIPSSLIFQRSTKESFFIST
+QLSSTKLTQNV
+>Q8IZD9_PF06920_1122 <unknown description>
+MDWEQRKNGNFKQVEAELIDKLDSMVSEGKGDESYRELFSLLTQLFGPYPSLLEKVEQET
+WRETGISFVTSVTRLMERLLDYRDCMKGEETENKKIGCTVNLMNFYKSEINKEEMYIRYI
+HKLCDMHLQAENYTEAAFTLLLYCELLQWEDRPLREFLHYPSQTEWQRKEGLCRKIIHYF
+NKGKSWEFGIPLCRELACQYESLYDYQSLSWIRKMEASYYDNIMEQQRLEPEFFRVGFYG
+RKFPFFLRNKEYVCRGHDYERLEAFQQRMLSEFPQAVAMQHPNHPDDAILQCDAQYLQIY
+AVTPIPDYVDVLQMDRVPDRVKSFYRVNNVRKFRYDRPFHKGPKDKENEFKSLWIERTTL
+TLTHSLPGISRWFEVERRELVEVSPLENAIQVVENKNQELRSLISQYQHKQVHGNINLLS
+MCLNGVIDAAVNGGIARYQEAFFDKDYINKHPGDAEKITQLKELMQEQVHVLGVGLAVHE
+KFVHPEMRPLHKKLIDQFQMMRASLY
+>Q8N1I0_PF07653_10 <unknown description>
+YGVVIASFRGTVPYGLSLEIGDTVQILEKCDGWYRGFALKNPNIKGIFPSSYV
+>Q8N1I0_PF16172_70 <unknown description>
+KNKGQFEMVIPTEDSVITEMTSTLRDWGTMWKQLYVRNEGDLFHRLWHIMNEILDLRRQV
+LVGHLTHDRMKDVKRHITARLDWGNEQLGLDLVPRKEYAMVDPEDISITELYRLMEHRHR
+KKDTPVQASSHHLFVQMKSLMCSNLGEELEVIFSLFDSKENRPISERFFLRLNRNGLPKA
+PDKPERHCSLFVDLGSSELRKDIYITVHIIRIGRMGAGEKKNACSVQYRRPFGCAVLSIA
+DLLTGETKDDLILKVYMCNTESEWYQIHENIIKKLNARYNLTGSNAGLAVSLQLLHGDIE
+QIRREYSSVFSHGVSITRKLGFS
+>Q8N1I0_PF14429_397 <unknown description>
+PGEMRNDLYITIERGEFEKGGKSVARNVEVTMFIVDSSGQTLKDFISFGSGEPPASEYHS
+FVLYHNNSPRWSELLKLPIPVDKFRGAHIRFEFRHCSTKEKGEKKLFGFSFVPLMQEDGR
+TLPDGTHELIVHKCEENTNLQDTTRYLKLPFSKGIFLGNNNQAMKATKESFCITSFLCST
+KLTQN
+>Q8N1I0_PF06920_1093 <unknown description>
+MDWEQRRSGNFKQVEAKLIDKLDSLMSEGKGDETYRELFNSIIPLFGPYPSLLKKIERET
+WRESGVSLIATVTRLMERLLDYRDCMKMGEVDGKKIGCTVSLLNFYKTELNKEEMYIRYI
+HKLYDLHLKAQNFTEAAYTLLLYDELLEWSDRPLREFLTYPMQTEWQRKEHLHLTIIQNF
+DRGKCWENGIILCRKIAEQYESYYDYRNLSKMRMMEASLYDKIMDQQRLEPEFFRVGFYG
+KKFPFFLRNKEFVCRGHDYERLEAFQQRMLNEFPHAIAMQHANQPDETIFQAEAQYLQIY
+AVTPIPESQEVLQREGVPDNIKSFYKVNHIWKFRYDRPFHKGTKDKENEFKSLWVERTSL
+YLVQSLPGISRWFEVEKREVVEMSPLENAIEVLENKNQQLKTLISQCQTRQMQNINPLTM
+CLNGVIDAAVNGGVSRYQEAFFVKEYILSHPEDGEKIARLRELMLEQAQILEFGLAVHEK
+FVPQDMRPLHKKLVDQFFVMKSSL
+>Q9H7D0_PF00018_14 <unknown description>
+VAIYNYNASQDVELSLQIGDTVHILEMYEGWYRGYTLQNKSKKGIFP
+>Q9H7D0_PF16172_75 <unknown description>
+GQHETVIPGELPLVQELTSTLREWAVIWRKLYVNNKLTLFRQLQQMTYSLIEWRSQILSG
+TLPKDELAELKKKVTAKIDHGNRMLGLDLVVRDDNGNILDPDETSTIALFKAHEVASKRI
+EEKIQEEKSILQNLDLRGQSIFSTIHTYGLYVNFKNFVCNIGEDAELFMALYDPDQSTFI
+SENYLIRWGSNGMPKEIEKLNNLQAVFTDLSSMDLIRPRVSLVCQIVRVGHMELKEGKKH
+TCGLRRPFGVAVMDITDIIHGKVDDEEKQHFIPFQQIAMETYIRQRQLIMSPLITSHVIG
+ENEPLTSVLNKVIAAKEVNHKGQGLWVSLKLLPGDLTQVQKNFSHLVDRSTAIARKMGFP
+>Q9H7D0_PF14429_439 <unknown description>
+PGDVRNDIYVTLIHGEFDKGKKKTPKNVEVTMSVHDEEGKLLEKAIHPGAGYEGISEYKS
+VVYYQVKQPCWYETVKVSIAIEEVTRCHIRFTFRHRSSQETRDKSERAFGVAFVKLMNPD
+GTTLQDGRHDLVVYKGDNKKMEDAKFYLTLPGTKMEMEEKELQASKNLVTFTPSKDSTKD
+SFQIATLICSTKLTQNV
+>Q9H7D0_PF06920_1134 <unknown description>
+CEFNFSGNGNFHMFENELITKLDQEVEGGRGDEQYKVLLEKLLLEHCRKHKYLSSSGEVF
+ALLVSSLLENLLDYRTIIMQDESKENRMSCTVNVLNFYKEKKREDIYIRYLYKLRDLHRD
+CENYTEAAYTLLLHAELLQWSDKPCVPHLLQKDSYYVYTQQELKEKLYQEIISYFDKGKM
+WEKAIKLSKELAETYESKVFDYEGLGNLLKKRASFYENIIKAMRPQPEYFAVGYYGQGFP
+SFLRNKIFIYRGKEYERREDFSLRLLTQFPNAEKMTSTTPPGEDIKSSPKQYMQCFTVKP
+VMSLPPSYKDKPVPEQILNYYRANEVQQFRYSRPFRKGEKDPDNEFATMWIERTTYTTAY
+TFPGILKWFEVKQISTEEISPLENAIETMELTNERISNCVQQHAWDRSLSVHPLSMLLSG
+IVDPAVMGGFSNYEKAFFTEKYLQEHPEDQEKVELLKRLIALQMPLLTEGIRIHGEKLTE
+QLKPLHERLSSCFRELKEKVE
+>Q96HP0_PF11878_48 <unknown description>
+TEVVEPLDFEDVLLSRPPDAEPGPLRDLVEFPADDLELLLQPRECRTTEPGIPKDEKLDA
+QVRAAVEMYIEDWVIVHRRYQYLSAAYSPVTTDTQRERQKGLPRQVFEQD
+>Q96HP0_PF14429_544 <unknown description>
+HTSYRNLLYVYPHSLNFSSRQGSVRNLAVRVQYMTGEDPSQALPVIFGKSSCSEFTREAF
+TPVVYHNKSPEFYEEFKLHLPACVTENHHLLFTFYHVSCQPRPGTALETPVGFTWIPLLQ
+HGRLRTGPFCLPVSVDQPPPSYSVLTPDVALPGMRWVDGHKGVFSVELTAVSSVHPQDP
+>Q96HP0_PF06920_1490 <unknown description>
+RQNFEIGHNFARVKMQVTMSLSSLVGTTQNFSEEHLRRSLKTILTYAEEDMGLRDSTFAE
+QVQDLMFNLHMILTDTVKMKEHQEDPEMLIDLMYRIARGYQGSPDLRLTWLQNMAGKHAE
+LGNHAEAAQCMVHAAALVAEYLALLEDHRHLPVGCVSFQNISSNVLEESAISDDILSPDE
+EGFCSGKHFTELGLVGLLEQAAGYFTMGGLYEAVNEVYKNLIPILEAHRDYKKLAAVHGK
+LQEAFTKIMHQSSGWERVFGTYFRVGFYGAHFGDLDEQEFVYKEPSITKLAEISHRLEEF
+YTERFGDDVVEIIKDSNPVDKSKLDSQKAYIQITYVEPYFDTYELKDRVTYFDRNYGLRT
+FLFCTPFTPDGRAHGELPEQHKRKTLLSTDHAFPYIKTRIRVCHREETVLTPVEVAIEDM
+QKKTRELAFATEQDPPDAKMLQMVLQGSVGPTVNQGPLEVAQVFLAEIPEDPKLFRHHNK
+LRLCFKDFCKKCEDALRKNKALIGPDQKEYHRELERNYCRLREALQ
+>Q96N67_PF11878_53 <unknown description>
+EAVDPVDLEDYLITHPLAVDSGPLRDLIEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPH
+VRDCIRSYTEDWAIVIRKYHKLGTGFNPNTLDKQKERQKGLPKQVFESD
+>Q96N67_PF14429_557 <unknown description>
+NTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMPVIFGKSSCSEFSKEAY
+TAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQNTPLETPVGYTWIPMLQ
+NGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVFNVEVVAVSSIHTQDP
+>Q96N67_PF06920_1581 <unknown description>
+RQNFEIGNNFARVKMQVTMSLSSLVGTSQNFNEEFLRRSLKTILTYAEEDLELRETTFPD
+QVQDLVFNLHMILSDTVKMKEHQEDPEMLIDLMYRIAKGYQTSPDLRLTWLQNMAGKHSE
+RSNHAEAAQCLVHSAALVAEYLSMLEDRKYLPVGCVTFQNISSNVLEESAVSDDVVSPDE
+EGICSGKYFTESGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGK
+LQEAFSKIVHQSTGWERMFGTYFRVGFYGTKFGDLDEQEFVYKEPAITKLAEISHRLEGF
+YGERFGEDVVEVIKDSNPVDKCKLDPNKAYIQITYVEPYFDTYEMKDRITYFDKNYNLRR
+FMYCTPFTLDGRAHGELHEQFKRKTILTTSHAFPYIKTRVNVTHKEEIILTPIEVAIEDM
+QKKTQELAFATHQDPADPKMLQMVLQGSVGTTVNQGPLEVAQVFLSEIPSDPKLFRHHNK
+LRLCFKDFTKRCEDALRKNKSLIGPDQKEYQRELERNYHRLKEALQ
+>Q8NF50_PF11878_57 <unknown description>
+DPVEPVDFEGLLMTHLNSLDVQLAQELGDFTDDDLDVVFTPKECRTLQPSLPEEGVELDP
+HVRDCVQTYIREWLIVNRKNQGSPEICGFKKTGSRKDFHKTLPKQTFES
+>Q8NF50_PF14429_556 <unknown description>
+HTVYRNLLYVYPQRLNFVNKLASARNITIKIQFMCGEDASNAMPVIFGKSSGPEFLQEVY
+TAVTYHNKSPDFYEEVKIKLPAKLTVNHHLLFTFYHISCQQKQGASVETLLGYSWLPILL
+NERLQTGSYCLPVALEKLPPNYSMHSAEKVPLQNPPIKWAEGHKGVFNIEVQAVSSVHTQ
+DNH
+>Q8NF50_PF06920_1535 <unknown description>
+RFSFGATSNFARVKMQVTMSLASLVGRAPDFNEEHLRRSLRTILAYSEEDTAMQMTPFPT
+QVEELLCNLNSILYDTVKMREFQEDPEMLMDLMYRIAKSYQASPDLRLTWLQNMAEKHTK
+KKCYTEAAMCLVHAAALVAEYLSMLEDHSYLPVGSVSFQNISSNVLEESVVSEDTLSPDE
+DGVCAGQYFTESGLVGLLEQAAELFSTGGLYETVNEVYKLVIPILEAHREFRKLTLTHSK
+LQRAFDSIVNKDHKRMFGTYFRVGFFGSKFGDLDEQEFVYKEPAITKLPEISHRLEAFYG
+QCFGAEFVEVIKDSTPVDKTKLDPNKAYIQITFVEPYFDEYEMKDRVTYFEKNFNLRRFM
+YTTPFTLEGRPRGELHEQYRRNTVLTTMHAFPYIKTRISVIQKEEFVLTPIEVAIEDMKK
+KTLQLAVAINQEPPDAKMLQMVLQGSVGATVNQGPLEVAQVFLAEIPADPKLYRHHNKLR
+LCFKEFIMRCGEAVEKNKRLITADQREYQQELKKNYNKLKENLR
+>Q9BU89_PF13646_42 <unknown description>
+WISQAFDDDSALLKHELAYCLGQMQDARAIPMLVDVLQDTRQEPMVRHEAGEALGAIGDP
+EVLEILKQYSSDPVIE
+>Q9BU89_PF13646_194 <unknown description>
+LAEGLHCGSALFRHEVGYVLGQLQHEAAVPQLAAALARCTENPMVRHECAEALGAIARPA
+CLAALQAHADDPERVVRESCEVAL
+>Q99704_PF00169_5 <unknown description>
+VMEGPLFLQSQRFGTKRWRKTWAVLYPASPHGVARLEFFDHKGSSSGGGRGSSRRLDCKV
+IRLAECVSVAPVTVETPPEPGATAFRLDTAQRSHLLAADAPSSAAWVQTLCRN
+>Q99704_PF02174_153 <unknown description>
+SQFWVTVQRTEAAERCGLHGSYVLRVEAERLTLLTVGAQSQILEPLLSWPYTLLRRYGRD
+KVMFSFEAGRRCPSGPGTFTFQTAQGNDIFQAVETAIHRQK
+>O60496_PF02174_148 <unknown description>
+HKEFAVTMRPTEASERCHLRGSYTLRAGESALELWGGPEPGTQLYDWPYRFLRRFGRDKV
+TFSFEAGRRCVSGEGNFEFETRQGNEIFLALEEAISAQK
+>Q8TEW6_PF02174_137 <unknown description>
+DRFNVFLLPCPNLDVYGECKLQITHENIYLWDIHNPRVKLVSWPLCSLRRYGRDATRFTF
+EAGRMCDAGEGLYTFQTQEGEQIYQRVHSATLAI
+>Q9P104_PF02174_136 <unknown description>
+SERFNVYLMPSPNLDVHGECALQITYEYICLWDVQNPRVKLISWPLSALRRYGRDTTWFT
+FEAGRMCETGEGLFIFQTRDGEAIYQKVHSAALAI
+>Q6PKX4_PF02174_136 <unknown description>
+NERFNVYLMPTPNLDIYGECTMQITHENIYLWDIHNAKVKLVMWPLSSLRRYGRDSTWFT
+FESGRMCDTGEGLFTFQTREGEMIYQKVHSATLAI
+>Q18PE1_PF02174_122 <unknown description>
+TKLESGPATLHLCNDVLVLARDIPPAVTGQWKLSDLRRYGAVPSGFIFEGGTRCGYWAGV
+FFLSSAEGEQIS
+>Q5JWR5_PF04118_11 <unknown description>
+DSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKKLTIGKRLAQC
+LHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMSVKPTLLSLYE
+IYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNMLLEKVAAAVDQSAFYSALWGSLL
+TSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSVLVQRSTLDLI
+LFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFD
+>Q9Y3R5_PF04118_12 <unknown description>
+YRYRSYSSVIEKALRNFESSSEWADLISSLGKLNKALQSNLRYSLLPRRLLISKRLAQCL
+HPALPSGVHLKALETYEIIFKIVGTKWLAKDLFLYSCGLFPLLAHAAVSVRPVLLTLYEK
+YFLPLQKLLLPSLQAFIVGLLPGLEEGSEISDRTDALLLRLSLVVGKEVFYTALWGSVLA
+SPSIRLPASVFVVGHINRDAPGREQKYMLGTNHQLTVKSLRASLLDSNVLVQRNNLEIVL
+FFFPFYTCLDSNERAIPLLRSDIVRILSAATQTLLRRDMSLNRRLYAWLLGSD
+>P30046_PF01187_2 <unknown description>
+PFLELDTNLPANRVPAGLEKRLCAAAASILGKPADRVNVTVRPGLAMALSGSTEPCAQLS
+ISSIGVVGTAEDNRSHSAHFFEFLTKELALGQDRILIRFFPLESWQIGKIGTVMT
+>P09172_PF03351_58 <unknown description>
+SLELSWNVSYTQEAIHFQLLVRRLKAGVLFGMSDRGELENADLVVLWTDGDTAYFADAWS
+DQKGQIHLDPQQDYQLLQVQRTPEGLTLLFKRPFGTCDPKDYLIEDGTVHLVY
+>P09172_PF01082_214 <unknown description>
+MEVQAPNIQIPSQETTYWCYIKELPKGFSRHHIIKYEPIVTKGNEALVHHMEVFQCAPEM
+DSVPHFSGPCDSKMKPDRLNYCRHVLAAWALGAKAFYYPEEAGLAFGGPGSSRYLRLEVH
+YHNP
+>P09172_PF03712_361 <unknown description>
+NAGIMELGLVYTPVMAIPPRETAFILTGYCTDKCTQLALPPSGIHIFASQLHTHLTGRKV
+VTVLVRDGREWEIVNQDNHYSPHFQEIRMLKKVVSVHPGDVLITSCTYNTEDRELATVGG
+FGILEEMCVNYVHYYPQTQLELCKSAVDAGFLQKYF
+>Q86YN1_PF01569_61 <unknown description>
+SFLGGLALNEGVNWLIKNVIQEPRPCGGPHTAVGTKYGMPSSHSQFMWFFSVYSFLFLYL
+RMHQTNNARFLDLLWRHVLSLGLLAVAFLVSYSRVYLLYHTWSQVLYGGIAGGLMAIAWF
+IFTQ
+>Q8TEK3_PF08123_115 <unknown description>
+YNHSVTDPEKLNNYEPFSPEVYGETSFDLVAQMIDEIKMTDDDLFVDLGSGVGQVVLQVA
+AATNCKHHYGVEKADIPAKYAETMDREFRKWMKWYGKKHAEYTLERGDFLSEEWRERIAN
+TSVIFVNNFAFGPEVDHQLKERFANMKEGGRIVSSKPFAPLNFRINSRNLSDIGTIMRVV
+ELSPLKGSVSWTGKPVSYYLHTI
+>Q1HG43_PF10204_10 <unknown description>
+FYAGPKPTFPMDTTLASIIMIFLTALATFIVILPGIRGKTRLFWLLRVVTSLFIGAAILA
+VNFSSEWSVGQVSTNTSYKAFSSEWISADIGLQVGLGGVNITLTGTPVQQLNETINYNEE
+FTWRLGENYAEEYAKALEKGLPDPVLYLAEKFTPRSPCGLYRQYRLAGHYTSAMLWVAFL
+CWLLANVMLSMPVLVYGGYMLLATGIFQLLALLFFSMATSLTSPCPLHLGASVLHTHHGP
+AFWITLTTGLLCVLLGLAMAVAHRMQPHRLKAFFNQS
+>Q1HG44_PF10204_10 <unknown description>
+FYPQPRHAAGFSVPLLIVILVFLALAASFLLILPGIRGHSRWFWLVRVLLSLFIGAEIVA
+VHFSAEWFVGTVNTNTSYKAFSAARVTARVRLLVGLEGINITLTGTPVHQLNETIDYNEQ
+FTWRLKENYAAEYANALEKGLPDPVLYLAEKFTPSSPCGLYHQYHLAGHYASATLWVAFC
+FWLLSNVLLSTPAPLYGGLALLTTGAFALFGVFALASISSVPLCPLRLGSSALTTQYGAA
+FWVTLATGVLCLFLGGAVVSLQYVRPSALRTLLDQS
+>Q9UKG1_PF16746_7 <unknown description>
+LPIEETLEDSPQTRSLLGVFEEDATAISNYMNQLYQAMHRIYDAQNELSAATHLTSKLLK
+EYEKQRFPLGGDDEVMSSTLQQFSKVIDELSSCHAVLSTQLADAMMFPITQFKERDLKEI
+LTLKEVFQIASNDHDAAINRYSRLSKKRENDKVKYEVTEDVYTSRKKQHQTMMHYFCALN
+TLQYKKKIALLEPLLGYMQAQISFFKMGSENLNEQLEEFLANIGTSVQNVRREMDSDIET
+>Q9UKG1_PF00169_291 <unknown description>
+GLVSSTWDRQFYFTQGGNLMSQARGDVAGGLAMDIDNCSVMAVDCEDRRYCFQITSFDGK
+KSSILQAESKKDHEEWICTINNIS
+>Q9UKG1_PF00640_502 <unknown description>
+FIVRFLGSMEVKSDDHPDVVYETMRQILAARAIHNIFRMTESHLLVTCDCLKLIDPQTQV
+TRLTFPLPCVVLYATHQENKRLFGFVLRTSSGRSESNLSSVCYIFESNNEGEKICDSVGL
+AKQIALHAE
+>Q8NEU8_PF16746_7 <unknown description>
+LLLEEALQDSPQTRSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQLSKQLL
+AYEKQNFALGKGDEEVISTLHYFSKVVDELNLLHTELAKQLADTMVLPIIQFREKDLTEV
+STLKDLFGLASNEHDLSMAKYSRLPKKKENEKVKTEVGKEVAAARRKQHLSSLQYYCALN
+ALQYRKQMAMMEPMIGFAHGQINFFKKGAEMFSKRMDSFLSSVADMVQSIQVELEAEAEK
+M
+>Q8NEU8_PF00169_280 <unknown description>
+KAGYLNLRNKTGLVTTTWERLYFFTQGGNLMCQPRGAVAGGLIQDLDNCSVMAVDCEDRR
+YCFQITTPNGKSGIILQAESRKENEEWICAINNIS
+>Q8NEU8_PF00640_494 <unknown description>
+FIVRFLGSMAVKTDSTTEVIYEAMRQVLAARAIHNIFRMTESHLMVTSQSLRLIDPQTQV
+SRANFELTSVTQFAAHQENKRLVGFVIRVPESTGEESLSTYIFESNSEGEKICYAI
+>P04440_PF00969_42 <unknown description>
+QECYAFNGTQRFLERYIYNREEFARFDSDVGEFRAVTELGRPAAEYWNSQKDILEEKRAV
+PDRMCRHNYEL
+>P04440_PF07654_126 <unknown description>
+VNVSPSKKGPLQHHNLLVCHVTDFYPGSIQVRWFLNGQEETAGVVSTNLIRNGDWTFQIL
+VMLEMTPQQGDVYTCQVEHTSL
+>Q9BVM2_PF14913_6 <unknown description>
+WLESLRTAQKTALLQDGRRKVHYLFPDGKEMAEEYDEKTSELLVRKWRVKSALGAMGQWQ
+LEVGDPAPLGAGNLGPELIKESNANPIFMRKDTKMSFQWRIRNLPYPKDVYSVSVDQKER
+CIIVRTTNKKYYKKFSIPDLDRHQLPLDDALLSFAHANCTLIISYQKPKEVVVAESELQK
+ELKKVKTA
+>P16444_PF01244_26 <unknown description>
+IMRDSPVIDGHNDLPWQLLDMFNNRLQDERANLTTLAGTHTNIPKLRAGFVGGQFWSVYT
+PCDTQNKDAVRRTLEQMDVVHRMCRMYPETFLYVTSSAGIRQAFREGKVASLIGVEGGHS
+IDSSLGVLRALYQLGMRYLTLTHSCNTPWADNWLVDTGDSEPQSQGLSPFGQRVVKELNR
+LGVLIDLAHVSVATMKATLQLSRAPVIFSHSSAYSVCASRRNVPDDVLRLVKQTDSLVMV
+NFYNNYISCTNKANLSQVADHLDHIKEVAGARAVGFGGDFDGVPRVPEGLEDVSKYPDLI
+AELLRRNWTEAEVKGALADNLLRVFE
+>Q9H4A9_PF01244_79 <unknown description>
+LMRDFPLVDGHNDLPLVLRQVYQKGLQDVNLRNFSYGQTSLDRLRDGLVGAQFWSAYVPC
+QTQDRDALRLTLEQIDLIRRMCASYSELELVTSAKALNDTQKLACLIGVEGGHSLDNSLS
+ILRTFYMLGVRYLTLTHTCNTPWAESSAKGVHSFYNNISGLTDFGEKVVAEMNRLGMMVD
+LSHVSDAVARRALEVSQAPVIFSHSAARGVCNSARNVPDDILQLLKKNGGVVMVSLSMGV
+IQCNPSANVSTVADHFDHIKAVIGSKFIGIGGDYDGAGKFPQGLEDVSTYPVLIEELLSR
+GWSEEELQGVLRGNLLRVFRQ
+>Q9H4B8_PF01244_87 <unknown description>
+LMRSFPLVDGHNDLPQVLRQRYKNVLQDVNLRNFSHGQTSLDRLRDGLVGAQFWSASVSC
+QSQDQTAVRLALEQIDLIHRMCASYSELELVTSAEGLNSSQKLACLIGVEGGHSLDSSLS
+VLRSFYVLGVRYLTLTFTCSTPWAESSTKFRHHMYTNVSGLTSFGEKVVEELNRLGMMID
+LSYASDTLIRRVLEVSQAPVIFSHSAARAVCDNLLNVPDDILQLLKKNGGIVMVTLSMGV
+LQCNLLANVSTVADHFDHIRAVIGSEFIGIGGNYDGTGRFPQGLEDVSTYPVLIEELLSR
+SWSEEELQGVLRGNLLRVFRQ
+>Q92782_PF14051_13 <unknown description>
+EDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKTHRGPGLAPGQIYT
+YPARCWRKKRR
+>Q92782_PF00628_328 <unknown description>
+CSLCGTSENDDQLLFCDDCDRGYHMYCLSPPMAEPPEGSWSCHLCL
+>Q92784_PF14051_14 <unknown description>
+DQFYKEAIEHCRSYNSRLCAERSVRLPFLDSQTGVAQNNCYIWMEKRHRGPGLAPGQLYT
+YPARCWRKKRR
+>Q92784_PF00628_319 <unknown description>
+CILCGTSENDDQLLFCDDCDRGYHMYCLNPPVAEPPEGSWSCHLC
+>Q9BQC3_PF01866_54 <unknown description>
+FPDQLLGDAVAVAARLEETTGSKMFILGDTAYGSCCVDVLGAEQAGAQALIHFGPACLSP
+PARPLPVAFVLRQRSVALELCVKAFEAQNPDPKAPVVLLSEPACAHALEALATLLRPRYL
+DLLVSSPAFPQPVGSLSPEPMPLERFGRRFPLAPGRRLEEYGAFYVGGSKASPDPDLDPD
+LSRLLLGWAPGQPFSSCCPDTGKTQDEGARAGRLRARRRYLVERARDARVVGLLAGTLGV
+AQHREALAHLRNLTQAAGKRSYVLALGRPTPAKLANFPEVDVFVLLACPLGALAPQLSGS
+FFQPILAPCELEAACNPAW
+>Q96FX2_PF05207_6 <unknown description>
+DEVEIEDFQYDEDSETYFYPCPCGDNFSITKEDLENGEDVATCPSCSLIIKVIYD
+>Q9H2P9_PF00590_1 <unknown description>
+MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLVVADREE
+VEQEADNILKDADISDVAFLVVGDPFGATTHSDLVLRATKLGIPYRVIHNASIMNAVGCC
+GLQLYKFGETVSIVFWTDTWRPESFFDKVKKNRQNGMHTLCLLDIKVKEQSLENLIKGRK
+IYEPPRYMSVNQAAQQLLEIVQNQRIRGEEPAVTEETLCVGLARVGADDQKIAAGTLRQM
+>Q7L8W6_PF01902_1 <unknown description>
+MRVAALISGGKDSCYNMMQCIAAGHQIVALANLRPAENQVGSDELDSYMYQTVGHHAIDL
+YAEAMALPLYRRTIRGRSLDTRQVYTKCEGDEVEDLYELLKLVKEKEEVEGISVGAILSD
+YQRIRVENVCKRLNLQPLAYLWQRNQEDLLREMISSNIQAMIIKVAALGLDPDKHLGKTL
+DQMEPYLIELSKKYGVHVCGEGGEYETFTLDCPLFKKKIIVDSSEVVIHSADAF
+>Q9BTV6_PF00400_236 <unknown description>
+KRHTMGVCSIQSSPHREHILATGSYDEHILLWD
+>O60762_PF00535_28 <unknown description>
+SVLLPTYNERENLPLIVWLLVKSFSESGINYEIIIIDDGSPDGTRDVAEQLEKIYGSDRI
+LLRPREKKLGLGTAYIHGMKHATGNYIIIMDADLSHHPKFIPEFIRKQKEGNFDIVSGTR
+YKGNGGVYGWDLKRKIISRGANFLTQILLRPGASDLTGSFRLYRKEVLEKL
+>O94777_PF07297_5 <unknown description>
+TDQVVGLGLVAVSLIIFTYYTAWVILLPFIDSQHVIHKYFLPRAYAVAIPLAAGLLLLLF
+VGLFISYVMLKTKR
+>Q9P2X0_PF08285_1 <unknown description>
+MTKLAQWLWGLAILGSTWVALTTGALGLELPLSCQEVLWPLPAYLLVSAGCYALGTVGYR
+VATFHDCEDAARELQSQIQEARADLARRGL
+>Q14181_PF08418_24 <unknown description>
+IEKLVELCVQYGQNEEGMVGELIAFCTSTHKVGLTSEILNSFEHEFLSKRLSKARHSTCK
+DSGHAGARDIVSIQELIEVEEEEEILLNSYTTPSKGSQKRAISTPETPLTKRSVSTRSPH
+QLLSPSSFSPSATPSQKYNSRSNRGEVVTSFGLAQGVSWSGRGGAGNISLKVLGCPEALT
+GSYKSMFQKLPDIREVLTCKIEELGSELKEHYKIEA
+>Q14181_PF04042_342 <unknown description>
+VLVACGPYTTSDSITYDPLLDLIAVINHDRPDVCILFGPFLDAKHEQVENCLLTSPFEDI
+FKQCLRTIIEGTRSSGSHLVFVPSLRDVHHEPVYPQPPFSYSDLSREDKKQVQFVSEPCS
+LSINGVIFGLTSTDLLFHLGAEEISSSSGTSDRFSRILKHILTQRSYYPLYPPQEDMAID
+YESFYVYAQLPVTPDVLIIPSELRYFVK
+>P28340_PF03104_131 <unknown description>
+TDEGFSVCCHIHGFAPYFYTPAPPGFGPEHMGDLQRELNLAISRDSRGGRELTGPAVLAV
+ELCSRESMFGYHGHGPSPFLRITVALPRLVAPARRLLEQGIRVAGLGTPSFAPYEANVDF
+EIRFMVDTDIVGCNWLELPAGKYALRLKEKATQCQLEADVLWSDVVSHPPEGPWQRIAPL
+RVLSFDIECAGRKGIFPEPERDPVIQICSLGLRWGEPEPFLRLALTLRPCAPILGAKVQS
+YEKEEDLLQAWSTFIRIMDPDVITGYNIQNFDLPYLISRAQTLKVQTFPFLGRVAGLCSN
+IRDSSFQSKQTGRRDTKVVSMVGRVQMDMLQVLLREYKLRSYTLNAV
+>P28340_PF00136_541 <unknown description>
+VPLSYLLSRGQQVKVVSQLLRQAMHEGLLMPVVKSEGGEDYTGATVIEPLKGYYDVPIAT
+LDFSSLYPSIMMAHNLCYTTLLRPGTAQKLGLTEDQFIRTPTGDEFVKTSVRKGLLPQIL
+ENLLSARKRAKAELAKETDPLRRQVLDGRQLALKVSANSVYGFTGAQVGKLPCLEISQSV
+TGFGRQMIEKTKQLVESKYTVENGYSTSAKVVYGDTDSVMCRFGVSSVAEAMALGREAAD
+WVSGHFPSPIRLEFEKVYFPYLLISKKRYAGLLFSSRPDAHDRMDCKGLEAVRRDNCPLV
+ANLVTASLRRLLIDRDPEGAVAHAQDVISDLLCNRIDISQLVITKELTRAASDYAGKQAH
+VELAERMRKRDPGSAPSLGDRVPYVIISAAKGVAAYMKSEDPLFVLEHSLPIDTQYYLEQ
+QLAKPLLRIFEPI
+>P28340_PF14260_1012 <unknown description>
+CIGCRTVLSHQGAVCEFCQPRESELYQKEVSHLNALEERFSRLWTQCQRCQGSLHEDVIC
+TSRDCPIFYMR
+>P49005_PF18018_49 <unknown description>
+QYAHIYATRLIQMRPFLENRAQQHWGSGVGVKKLCELQPEEKCCVVGTLFKAMPLQPSIL
+REVSEEHNLLPQPPRSKYIHPDDELVLEDELQRIKLKGTIDVSKLVTGTVLAVFGSVRDD
+GKFLVEDY
+>P49005_PF04042_196 <unknown description>
+VLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSRVILAGNLLSHSTQSR
+DSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFDPTNYTLPQQPLHPCM
+FPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMEDHLEILEWTLRVRHIS
+PTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFG
+>Q15054_PF09507_19 <unknown description>
+KIVTYKWLSYTLGVHVNQAKQMLYDYVERKRKENSGAQLHVTYLVSGSLIQNGHSCHKVA
+VVREDKLEAVKSKLAVTASIHVYSIQKAMLKDSGPLFNTDYDILKSNLQNCSKFSAIQCA
+AAVPRAPAESSSSSKKFEQSHLHMSSETQANNELTTNGHGPPASKQVSQQPKGIMGMFAS
+KAAAKTQETNKETKTEAKEVTNASAAGNKAPGKGNMMSNFFGKAAMNKFKVNLDSEQAVK
+EEKIVEQPTVSVTEPKLATPAGLKKSSKKAEPVKVLQKEKKRGKRVALSDDETKETENMR
+KKRRRIKLPESDSSEDEVFPDSPGAYEAESPSPPPPPSPPLEPVPKTEPEPPSVKSSSGE
+NKRKRKRVLKSKTYLDGEGCIVTEKVYESESCTDSEEELNMKTSSVHRPPAMTVKKEPRE
+ERKGPKKGTAALGKANRQVSITGFFQRK
+>Q9HCU8_PF04081_47 <unknown description>
+ELLRQFDLAWQYGPCTGITRLQRWCRAKQMGLEPPPEVWQVLKTH
+>Q07864_PF03104_87 <unknown description>
+IQDDGSRFKVALPYKPYFYIATRKGCEREVSSFLSKKFQGKIAKVETVPKEDLDLPNHLV
+GLKRNYIRLSFHTVEDLVKVRKEISPAVKKNREQDHASDAYTALLSSVLQRGGVITDEEE
+TSKKIADQLDNIVDMREYDVPYHIRLSIDLKIHVAHWYNVRYRGNAFPVEITRRDDLVER
+PDPVVLAFDIETTKLPLKFPDAETDQIMMISYMIDGQGYLITNREIVSEDIEDFEFTPKP
+EYEGPFCVFNEPDEAHLIQRWFEHVQETKPTIMVTYNGDFFDWPFVEARAAVHGLSMQQE
+IGFQKDSQGEYKAPQCIHMDCLRWVKRDSYLPVGSHNLKA
+>Q07864_PF00136_630 <unknown description>
+MYPNIILTNRLQPSAMVDEATCAACDFNKPGANCQRKMAWQWRGEFMPASRSEYHRIQHQ
+LESEKFPPLFPEGPARAFHELSREEQAKYEKRRLADYCRKAYKKIHITKVEERLTTICQR
+ENSFYVDTVRAFRDRRYEFKGLHKVWKKKLSAAVEVGDAAEVKRCKNMEVLYDSLQLAHK
+CILNSFYGYVMRKGARWYSMEMAGIVCFTGANIITQARELIEQIGRPLELDTDGIWCVLP
+NSFPENFVFKTTNVKKPKVTISYPGAMLNIMVKEGFTNDQYQELAEPSSLTYVTRSENSI
+FFEVDGPYLAMILPASKEEGKKLKKRYAVFNEDGSLAELKGFEVKRRGELQLIKIFQSSV
+FEAFLKGSTLEEVYGSVAKVADYWLDVLYSKAANMPDSELFELISENRSMSRKLEDYGEQ
+KSTSISTAKRLAEFLGDQMVKDAGLSCRYIISRKPEGSPVTERAIPLAIFQAEPTVRKHF
+LRKWLKSSSLQDFDIRAILDWDYYIERLGSAIQKII
+>Q07864_PF08490_1546 <unknown description>
+LPPPKHTFEVRAETDLKTICRAIQRFLLAYKEERRGPTLIAVQSSWELKRLASEIPVLEE
+FPLVPICVADKINYGVLDWQRHGARRMIRHYLNLDTCLSQAFEMSRYFHIPIGNLPEDIS
+TFGSDLFFARHLQRHNHLLWLSPTARPDLGGKEADDNCLVMEFDDQATVEINSSGCYSTV
+CVELDLQNLAVNTILQSHHVNDMEGADSMGISFDVIQQASLEDMITGGQAASAPASYDET
+ALCSNTFRILKSMVVGWVKEITQYHNIYADNQVMHFYRWLRSPSSLLHDPALHRTLHNMM
+KKLFLQLIAEFKRLGSSVIYANFNRIILCTKKRRVEDAIAYVEYITSSIHSKETFHSLTI
+SFSRCWEFLLWMDPSNYGGI
+>P56282_PF12213_3 <unknown description>
+PERLRSRALSAFKLRGLLLRGEAIKYLTEALQSISELELEDKLEKIINAVEKQPLSSNMI
+ERSVVEAAVQEC
+>P56282_PF04042_287 <unknown description>
+FVFLSDVWLDQVEVLEKLRIMFAGYSPAPPTCFILCGNFSSAPYGKNQVQALKDSLKTLA
+DIICEYPDIHQSSRFVFVPGPEDPGFGSILPRPPLAESITNEFRQRVPFSVFTTNPCRIQ
+YCTQEITVFREDLVNKMCRNCVRFPSSNLAIPNHFVKTILSQGHLTPLPLYVCPVYWAYD
+YALRVYPVPDLLVIADKYDPFTT
+>Q9NRF9_PF00808_9 <unknown description>
+NLPNAVITRIIKEALPDGVNISKEARSAISRAASVFVLYATSCANNFAMKGKRKTLNASD
+VLSAM
+>Q9NR33_PF00808_40 <unknown description>
+RLPLARVKALVKADPDVTLAGQEAIFILARAAELFVETIAKDAYCCAQQGKRKTLQRRDL
+DNAI
+>P54098_PF18136_121 <unknown description>
+PDVELRLPPLYGDNLDQHFRLLAQKQSLPYLEAANLLLQAQLPPKPPAWAWAEGWTRYGP
+EGEAVPVAIPEERALVFDVEVCLAEGTCPTLAVAISPSAWYSWCSQRLVEERYSWTSQLS
+PADLIPLEVPTGASSPTQRDWQEQLVVGHNVSFDRAHIREQYLIQGSRMRFLDTMSMHMA
+ISGLSSFQRSLWIAAKQGKHKVQPPTKQGQKSQRKARRGPAISSWDWLDISSVNSLAEVH
+RLYVGGPPLEKEPRELFVKGTMKDIRENFQDLMQYCAQDVWATHEVFQQQLPLF
+>P54098_PF00476_764 <unknown description>
+SPFAKDFLPKMEDGTLQAGPGGASGPRALEINKMISFWRNAHKRISSQMVVWLPRSALPR
+AVIRHPDYDEEGLYGAILPQVVTAGTITRRAVEPTWLTASNARPDRVGSELKAMVQAPPG
+YTLVGADVDSQELWIAAVLGDAHFAGMHGCTAFGWMTLQGRKSRGTDLHSKTATTVGISR
+EHAKIFNYGRIYGAGQPFAERLLMQFNHRLTQQEAAEKAQQMYAATKGLRWYRLSDEGEW
+LVRELNLPVDRTEGGWISLQDLRKVQRETARKSQWKKWEVVAERAWKGGTESEMFNKLES
+IATSDIPRTPVLGCCISRALEPSAVQEEFMTSRVNWVVQSSAVDYLHLMLVAMKWLFEEF
+AIDGRFCISIHDEVRYLVREEDRYRAALALQITNLLTRCMFAYKLGLNDLPQSVAFFSA
+>Q9UHN1_PF03129_392 <unknown description>
+TLELRQVCQGLFNELLENGISVWPGYLETMQSSLEQLYSKYDEMSILFTVLVTETTLENG
+LIHLRSRDTTMKEMMHISKLKDFLIK
+>P06746_PF14716_15 <unknown description>
+ITDMLTELANFEKNVSQAIHKYNAYRKAASVIAKYPHKIKSGAEAKKLPGVGTKIAEKID
+EFLA
+>P06746_PF10391_100 <unknown description>
+LTRVSGIGPSAARKFVDEGIKTLEDLRKNEDKLNHHQRIGLKYFGDFE
+>P06746_PF14792_149 <unknown description>
+RIPREEMLQMQDIVLNEVKKVDSEYIATVCGSFRRGAESSGDMDVLLTHPSFTSESTKQP
+KLLHQVVEQLQKVHFITDTLSKGETKFMGVCQLPSKNDEKEYPHRRIDIRLIPK
+>P06746_PF14791_269 <unknown description>
+VLYFTGSDIFNKNMRAHALEKGFTINEYTIRPLGVTGVAGEPLPVDSEKDIFDYIQWKYR
+EPKDR
+>Q9UGP5_PF14716_253 <unknown description>
+NLHITEKLEVLAKAYSVQGDKWRALGYAKAINALKSFHKPVTSYQEACSIPGIGKRMAEK
+IIEILE
+>Q9UGP5_PF10391_337 <unknown description>
+LFSNIWGAGTKTAQMWYQQGFRSLEDIRSQASLTTQQAIGLKHYSDF
+>Q9UGP5_PF14792_386 <unknown description>
+RMPREEATEIEQTVQKAAQAFNSGLLCVACGSYRRGKATCGDVDVLITHPDGRSHRGIFS
+RLLDSLRQEGFLTDDLVSQEENGQQQKYLGVCRLPGPGRRHRRLDIIVVP
+>Q9UGP5_PF14791_502 <unknown description>
+ALLYFTGSAHFNRSMRALAKTKGMSLSEHALSTAVVRNTHGCKVGPGRVLPTPTEKDVFR
+LLGLPYREPAERD
+>Q9NP87_PF14716_156 <unknown description>
+LSEALEILAEAAGFEGSEGRLLTFCRAASVLKALPSPVTTLSQLQGLPHFGEHSSRVVQE
+LLE
+>Q9NP87_PF10391_238 <unknown description>
+KLFTQIFGVGVKTADRWYREGLRTLDDLREQPQKLTQQQKAGLQHHQDL
+>Q9NP87_PF14792_292 <unknown description>
+RSDVDALQQVVEEAVGQALPGATVTLTGGFRRGKLQGHDVDFLITHPKEGQEAGLLPRVM
+CRLQDQGLILY
+>Q9NP87_PF14791_430 <unknown description>
+ALLGWTGSKLFQRELRRFSRKEKGLWLNSHGLFDPEQKTFFQAASEEDIFRHLGLEYLPP
+EQRN
+>Q7Z5Q5_PF18049_198 <unknown description>
+CDIRHLDDWAKSQLIEMLKQAAALVITVMYTDGSTQLGADQTPVSSVRGIVVLVKRQAEG
+GHGCPDAPACGPVLEGFVSDDPCIYIQIEHSAIWDQEQEAHQQFARNVLFQTMKCKCPVI
+CFNAKDFVRIVLQFFGNDGSWKHVADFIGLDPRIAAWLIDPSDATPSFEDLVEKYCEKSI
+TVKVNSTYGNSSRNIVNQNVRENLKTLYRLTMDLCSKLK
+>Q7Z5Q5_PF00476_447 <unknown description>
+KEEMEKTSALLGARLKELEQEAHFVAGERFLITSNNQLREILFGKLKLHLLSQRNSLPRT
+GLQKYPSTSEAVLNALRDLHPLPKIILEYRQVHKIKSTFVDGLLACMKKGSISSTWNQTG
+TVTGRLSAKHPNIQGISKHPIQITTPKNFKGKEDKILTISPRAMFVSSKGHTFLAADFSQ
+IELRILTHLSGDPELLKLFQESERDDVFSTLTSQWKDVPVEQVTHADREQTKKVVYAVVY
+GAGKERLAACLGVPIQEAAQFLESFLQKYKKIKDFARAAIAQCHQTGCVVSIMGRRRPLP
+RIHAHDQQLRAQAERQAVNFVVQGSAADLCKLAMIHVFTAVAASHTLTARLVAQIHDELL
+FEVEDPQIPECAALVRRTMESLEQVQALELQLQVPLKVSLSAGRSWG
+>O75417_PF00270_103 <unknown description>
+GQVLEGKNLVYSAPTSAGKTLVAELLILKRVLEMRKKALFILPFVSVAKEKKYYLQSLFQ
+EVGIKVDGYMGSTSPSRHFSSLDIAVCTIERANGLINRLIEENKMDLLGMVVVDELHMLG
+DSHRGYLLELLLTKICYITRKSASCQADLASSLSNAVQIVGMSATLP
+>O75417_PF00271_404 <unknown description>
+VLQKTVPWGVAFHHAGLTFEERDIIEGAFRQGLIRVLAATSTLSSGVNLPARRVIIRTPI
+FGGRPLDILTYKQMVGRAGRKG
+>O75417_PF00476_2097 <unknown description>
+AECESQKHIMQAKLDAIETQAYQLAGHSFSFTSSDDIAEVLFLELKLPPNREMKNQGSKK
+TLGSTRRGIDNGRKLRLGRQFSTSKDVLNKLKALHPLPGLILEWRRITNAITKVVFPLQR
+EKCLNPFLGMERIYPVSQSHTATGRITFTEPNIQNVPRDFEIKMPTLVGESPPSQAVGKG
+LLPMGRGKYKKGFSVNPRCQAQMEERAADRGMPFSISMRHAFVPFPGGSILAADYSQLEL
+RILAHLSHDRRLIQVLNTGADVFRSIAAEWKMIEPESVGDDLRQQAKQICYGIIYGMGAK
+SLGEQMGIKENDAACYIDSFKSRYTGINQFMTETVKNCKRDGFVQTILGRRRYLPGIKDN
+NPYRKAHAERQAINTIVQGSAADIVKIATVNIQKQLETFHSTFKSHGHREGMLQSDQTGL
+SRKRKLQGMFCPIRGGFFILQLHDELLYEVAEEDVVQVAQIVKNEMESAVKLSVKLKVKV
+KIGASWGE
+>Q8N608_PF00930_133 <unknown description>
+SPDLKYVLLAYDVKQIFHYSYTASYVIYNIHTREVWELNPPEVEDSVLQYAAWGVQGQQL
+IYIFENNIYYQPDIKSSSLRLTSSGKEEIIFNGIADWLYEEELLHSHIAHWWSPDGERLA
+FLMINDSLVPTMVIPRFTGALYPKGKQYPYPKAGQVNPTIKLYVVNLYGPTHTLELMPPD
+SFKSREYYITMVKWVSNTKTVVRWLNRAQNISILTVCETTTGACSKKYEMTSDTWLSQQN
+EEPVFSRDGSKFFMTVPVKQGGRGEFHHVAMFLIQSKSEQITVRHLTSGNWEVIKILAYD
+ETTQKIYFLSTESSPRGRQLYSASTEGLLNRQCISCNFMKEQCTYFDASFSPMNQHFLLF
+CEGPRVP
+>Q8N608_PF00326_582 <unknown description>
+IDWDSVLIDMDNVIVARFDGRGSGFQGLKILQEIHRRLGSVEVKDQITAVKFLLKLPYID
+SKRLSIFGKGYGGYIASMILKSDEKLFKCGSVVAPITDLKLYASAFSERYLGMPSKEEST
+YQAASVLHNVHGLKEENILIIHGTADTKVHFQHSAELIKHLIKAGVNYTMQVYPDEGHNV
+SEKSKYHLYSTILKFFSDCLKE
+>Q9UHL4_PF05577_38 <unknown description>
+QRLDHFNFERFGNKTFPQRFLVSDRFWVRGEGPIFFYTGNEGDVWAFANNSAFVAELAAE
+RGALLVFAEHRYYGKSLPFGAQSTQRGHTELLTVEQALADFAELLRALRRDLGAQDAPAI
+AFGGSYGGMLSAYLRMKYPHLVAGALAASAPVLAVAGLGDSNQFFRDVTADFEGQSPKCT
+QGVREAFRQIKDLFLQGAYDTVRWEFGTCQPLSDEKDLTQLFMFARNAFTVLAMMDYPYP
+TDFLGPLPANPVKVGCDRLLSEAQRITGLRALAGLVYNASGSEHCYDIYRLYHSCADPTG
+CGTGPDARAWDYQACTEINLTFASNNVTDMFPDLPFTDELRQRYCLDTWGVWPRPDWLLT
+SFWGGDLRAASNIIFSNGNLDPWAGGGIRRNLSASVIAVTIQGGAHHLDLRASHPEDPAS
+VVEARKL
+>Q9NY33_PF03571_143 <unknown description>
+LWQTCGELMFSLEPRLRHLGLGKEGITTYFSGNCTMEDAKLAQDFLDSQNLSAYNTRLFK
+EVDGEGKPYYEVRLASVLGSEPSLDSEVTSKLKSYEFRGSPFQVTRGDYAPILQKVVEQL
+EKAKAYAANSHQGQMLAQYIESFTQGSIEAHKRGSRFWIQDKGPIVESYIGFIESYRDPF
+GSRGEFEGFVAVVNKAMSAKFERLVASAEQLLKELPWPPTFEKDKFLTPDFTSLDVLTFA
+GSGIPAGINIPNYDDLRQTEGFKNVSLGNVLAVAYATQREKLTFLEEDDKDLYILWKGPS
+FDVQVGLHELLGHGSGKLFVQDEKGAFNFDQETVINPETGEQIQSWYRSGETWDSKFSTI
+ASSYEECRAESVGLYLCLHPQVLEIFGFEGADAEDVIYVNWLNMVRAGLLALEFYTPEAF
+NWRQAHMQARFVILRVLLEAGEGLVTITPTTGSDGRPDARVRLDRSKIRSVGKPALERFL
+RRLQVLKSTGDVAGGRALYEGYATVTDAPPECFLTLRDTVLLRKESRKLIVQPNTRLEGS
+DVQLLEYEASAAGLIRSFSER
+>P27487_PF00930_108 <unknown description>
+SPDGQFILLEYNYVKQWRHSYTASYDIYDLNKRQLITEERIPNNTQWVTWSPVGHKLAYV
+WNNDIYVKIEPNLPSYRITWTGKEDIIYNGITDWVYEEEVFSAYSALWWSPNGTFLAYAQ
+FNDTEVPLIEYSFYSDESLQYPKTVRVPYPKAGAVNPTVKFFVVNTDSLSSVTNATSIQI
+TAPASMLIGDHYLCDVTWATQERISLQWLRRIQNYSVMDICDYDESSGRWNCLVARQHIE
+MSTTGWVGRFRPSEPHFTLDGNSFYKIISNEEGYRHICYFQIDKKDCTFITKGTWEVIGI
+EALTSDYLYYISNEYKGMPGGRNLYKIQLSDYTKVTCLSCELNPERCQYYSVSFSKEAKY
+YQLRCSGPGLP
+>P27487_PF00326_561 <unknown description>
+LNWATYLASTENIIVASFDGRGSGYQGDKIMHAINRRLGTFEVEDQIEAARQFSKMGFVD
+NKRIAIWGWSYGGYVTSMVLGSGSGVFKCGIAVAPVSRWEYYDSVYTERYMGLPTPEDNL
+DHYRNSTVMSRAENFKQVEYLLIHGTADDNVHFQQSAQISKALVDVGVDFQAMWYTDEDH
+GIASSTAHQHIYTHMSHFIKQCF
+>P42658_PF00930_195 <unknown description>
+SPDREYALFSYNVEPIYQHSYTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAGWGPKGQQL
+IFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKTHIAHWWSPDGTRLA
+YAAINDSRVPIMELPTYTGSIYPTVKPYHYPKAGSENPSISLHVIGLNGPTHDLEMMPPD
+DPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDESEAWLHRQN
+EEPVFSKDGRKFFFIRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWDVTKILAYD
+EKGNKIYFLSTEDLPRRRQLYSANTVGNFNRQCLSCDLVENCTYFSASFSHSMDFFLLKC
+EGPGVPM
+>P42658_PF00326_642 <unknown description>
+EVSWETVMVSSHGAVVVKCDGRGSGFQGTKLLHEVRRRLGLLEEKDQMEAVRTMLKEQYI
+DRTRVAVFGKDYGGYLSTYILPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHG
+LDNRAYEMTKVAHRVSALEEQQFLIIHPTADEKIHFQHTAELITQLIRGKANYSLQIYPD
+ESHYFTSSSLKQHLYRSIINFFVECFR
+>Q6V1X1_PF19520_1 <unknown description>
+MAAAMETEQLGVEIFETADCEENIESQDRPKLEPFYVERYSWSQLKKLLADTRKYHGYMM
+AKAPHDFMFVKRNDPDGPHSDRIYYLAMSGENRENTLFYSEIPKTINRAAVLMLSWKPLL
+DLFQATLDYGMYSREEELLRERKRIG
+>Q6V1X1_PF00930_159 <unknown description>
+SGTFLFQAGSGIYHVKDGGPQGFTQQPLRPNLVETSCPNIRMDPKLCPADPDWIAFIHSN
+DIWISNIVTREERRLTYVHNELANMEEDARSAGVATFVLQEEFDRYSGYWWCPKAETTPS
+GGKILRILYEENDESEVEIIHVTSPMLETRRADSFRYPKTGTANPKVTFKMSEIMIDAEG
+RIIDVIDKELIQPFEILFEGVEYIARAGWTPEGKYAWSILLDRSQTRLQIVLISPELFIP
+VEDDVMERQRLIESVPDSVTPLIIYEETTDIWINIHDIFHVFPQSHEEEIEFIFASECKT
+GFRHLYKITSILKESKYKRSSGGLPAPSDFKCPIKEEIAITSGEWEVLGRHGSNIQVDEV
+RRLVYFEGTKDSPLEHHLYVVSYVNPGEVTRLTDRGYSHSCCISQHCDFFISKYSNQKNP
+H
+>Q6V1X1_PF00326_671 <unknown description>
+FRLNTLASLGYVVVVIDNRGSCHRGLKFEGAFKYKMGQIEIDDQVEGLQYLASRYDFIDL
+DRVGIHGWSYGGYLSLMALMQRSDIFRVAIAGAPVTLWIFYDTGYTERYMGHPDQNEQGY
+YLGSVAMQAEKFPSEPNRLLLLHGFLDENVHFAHTSILLSFLVRAGKPYDLQIYPQERHS
+IRVPESGEHYELHLLHYLQENLGS
+>Q86TI2_PF19520_43 <unknown description>
+AAATDDPAARFQVQKHSWDGLRSIIHGSRKYSGLIVNKAPHDFQFVQKTDESGPHSHRLY
+YLGMPYGSRENSLLYSEIPKKVRKEALLLLSWKQMLDHFQATPHHGVYSREEELLRERKR
+LG
+>Q86TI2_PF00930_175 <unknown description>
+SESGLFLFQASNSLFHCRDGGKNGFMVSPMKPLEIKTQCSGPRMDPKICPADPAFFSFIN
+NSDLWVANIETGEERRLTFCHQGLSNVLDDPKSAGVATFVIQEEFDRFTGYWWCPTASWE
+GSEGLKTLRILYEEVDESEVEVIHVPSPALEERKTDSYRYPRTGSKNPKIALKLAEFQTD
+SQGKIVSTQEKELVQPFSSLFPKVEYIARAGWTRDGKYAWAMFLDRPQQWLQLVLLPPAL
+FIPSTENEEQRLASARAVPRNVQPYVVYEEVTNVWINVHDIFYPFPQSEGEDELCFLRAN
+ECKTGFCHLYKVTAVLKSQGYDWSEPFSPGEDEFKCPIKEEIALTSGEWEVLARHGSKIW
+VNEETKLVYFQGTKDTPLEHHLYVVSYEAAGEIVRLTTPGFSHSCSMSQNFDMFVSHYSS
+VSTPP
+>Q86TI2_PF00326_692 <unknown description>
+RLNTLASLGYAVVVIDGRGSCQRGLRFEGALKNQMGQVEIEDQVEGLQFVAEKYGFIDLS
+RVAIHGWSYGGFLSLMGLIHKPQVFKVAIAGAPVTVWMAYDTGYTERYMDVPENNQHGYE
+AGSVALHVEKLPNEPNRLLILHGFLDENVHFFHTNFLVSQLIRAGKPYQLQIYPNERHSI
+RCPESGEHYEVTLLHFLQEYL
+>Q7Z7J5_PF14049_132 <unknown description>
+QDMPEMSQETRLQRCSRKRKAVTKRARLQRSYEMNERAEETNTVEVITSAPGAMLASWAR
+IAARAVQPKALNSCSIPVSVEAFL
+>Q7Z7J5_PF14047_220 <unknown description>
+GVRWCVVHGRLLSADTKGWVRLQFHAGQAWVPTTHRRMISLFLLPACIFPSPGIEDNMLC
+PDCAKRN
+>Q6W0C5_PF15549_1 <unknown description>
+MDPSQFNPTYIPGSPQMLTEENSRDDSGASQISSETLIKNLSNLTINASSESVSPLSEAL
+LRRESVGAAVLREIEDEWLYSRRGVRTLLSVQREKMARLRYMLLGGVRTHERRPTNKEPK
+GVKKESRPFKCPCSFCVSNGWDPSE
+>Q7L190_PF14049_130 <unknown description>
+KDFPSTAKEAKIRKSLQKKLKVEKGETSLQSS
+>Q7L190_PF14049_187 <unknown description>
+EGVNTVVVTTSAPEALLASWARISARARTPEAVES
+>Q7L190_PF14047_227 <unknown description>
+GVRWCVVHGKSLPADTDGWVHLQFHAGQAWVPEKQEGRVSALFLLPASNFPPPHLEDNML
+CPKCVHRN
+>A6NC42_PF16005_11 <unknown description>
+PPWVKVPEDLKDPEVFQVQTRLLKAIFGPDGSRIPYIEQVSKAMLELKALESSDLTEVVV
+YGSYLYKLRTKWMLQSMAEWHRQRQ
+>A6NFQ7_PF00046_18 <unknown description>
+RKRTMFTKKQLEDLNILFNENPYPNPSLQKEMASKIDIHPTVLQVWFKNHRAKLKK
+>Q5T2R2_PF00348_120 <unknown description>
+ELKEMSEYYFDGKGKAFRPIIVALMARACNIHHNNSRHVQASQRAIALIAEMIHTASLVH
+DDVIDDASSRRGKHTVNKIWGEKKAVLAGDLILSAASIALARIGNTTVISILTQVIEDLV
+RGEFLQLGSKENENERFAHYLEKTFKKTASLIANSCKAVSVLGCPDPVVHEIAYQYGKNV
+GIAFQLIDDVLDFTSCSDQMGKPTSADLKLGLATGPVLFACQQFPEMNAMIMRRFSLPGD
+VDRARQY
+>Q8TB45_PF00610_50 <unknown description>
+IKDRRHHLKTYPNCFVAKELIDWLIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFK
+DVKLFYRF
+>Q8TB45_PF00610_151 <unknown description>
+LQPREEEGVKYERTFMASEFLDWLVQEGEATTRKEAEQLCHRLMEHGIIQHVSNKHPFVD
+SNLLYQF
+>Q9C005_PF05186_52 <unknown description>
+PTRAYLDQTVVPILLQGLAVLAKERPPNPIEFLASYLLKNK
+>Q12882_PF14691_57 <unknown description>
+NNFDDIKHTTLGERGALREAMRCLKCADAPCQKSCPTNLDIKSFITSIANKNYYGAAKMI
+FSDNPLGLTCGMVCPTSDLCVGGCNLYATEEGPINIGGLQQFATEVFKAM
+>Q12882_PF07992_190 <unknown description>
+IALFGAGPASISCASFLARLGYSDITIFEKQEYVGGLSTSEIPQFRLPYDVVNFEIELMK
+DLGVKIICGKSLSVNEMTLSTLKEKGYKAAFIGIGLPEPNKDAIFQGLTQDQGFYTSKDF
+LPLVAKGSKAGMCACHSPLPSIRGVVIVLGAGDTAFDCATSALRCGARRVFIVFRKGFVN
+IRAVPEEMELAKEEKCEFLPFLSPRKVIVKGGRIVAMQFVRTEQDETGKWNEDEDQMVHL
+KADVVISAFGSVLSDPKVKEALSPIKFNRWGLPEVDPETMQTSEAWVFAGGDVVGLANTT
+VES
+>Q12882_PF01180_534 <unknown description>
+SVEMAGLKFINPFGLASATPATSTSMIRRAFEAGWGFALTKTFSLDKDIVTNVSPRIIRG
+TTSGPMYGPGQSSFLNIELISEKTAAYWCQSVTELKADFPDNIVIASIMCSYNKNDWTEL
+AKKSEDSGADALELNLSCPHGMGERGMGLACGQDPELVRNICRWVRQAVQIPFFAKLTPN
+VTDIVSIARAAKEGGANGVTATNTVSGLMGLKSDGTPWPAVGIAKRTTYGGVSGTAIRPI
+ALRAVTSIARALPGFPILATGGIDSAESGLQFLHSGASVLQVCSAIQNQDFTVIEDYCTG
+LKALL
+>Q12882_PF14697_946 <unknown description>
+AMIDEEMCINCGKCYMTCNDSGYQAIQFDPETHLPTITDTCTGCTLCLSVCPIVDCIKM
+>Q14194_PF01979_178 <unknown description>
+MVIPGGIDVNTYLQKPSQGMTAADDFFQGTRAALVGGTTMIIDHVVPEPGSSLLTSFEKW
+HEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDVYQMSDSQLYE
+AFTFLKGLGAVILVHAENGDLIAQEQKRILEMGITGPEGHALSRPEELEAEAVFRAITIA
+GRINCPVYITKVMSKSAADIIALARKKGPLVFGEPIAASLGTDGTHYWSKNWAKAAAFVT
+SPPLSPDPTTPDYLTSLLACGDLQVTGSGHCPYSTAQKAVGKDNFTLIPEGVNGIEERMT
+VVWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADVVIWDPDKLKTITAK
+SHKSAVEYNIFEGMECHGSPLVVISQGKIV
+>Q14195_PF01979_178 <unknown description>
+MVIPGGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAYEKW
+REWADGKSCCDYALHVDITHWNDSVKQEVQNLIKDKGVNSFMVYMAYKDLYQVSNTELYE
+IFTCLGELGAIAQVHAENGDIIAQEQTRMLEMGITGPEGHVLSRPEELEAEAVFRAITIA
+SQTNCPLYVTKVMSKSAADLISQARKKGNVVFGEPITASLGIDGTHYWSKNWAKAAAFVT
+SPPLSPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEERMS
+VIWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRISVGSDSDLVIWDPDAVKIVSAK
+NHQSAAEYNIFEGMELRGAPLVVICQGKI
+>O14531_PF01979_64 <unknown description>
+MVLPGGVDVHTRLQMPVLGMTPADDFCQGTKAALAGGTTMILDHVFPDTGVSLLAAYEQW
+RERADSAACCDYSLHVDITRWHESIKEELEALVKEKGVNSFLVFMAYKDRCQCSDSQMYE
+IFSIIRDLGALAQVHAENGDIVEEEQKRLLELGITGPEGHVLSHPEEVEAEAVYRAVTIA
+KQANCPLYVTKVMSKGAADAIAQAKRRGVVVFGEPITASLGTDGSHYWSKNWAKAAAFVT
+SPPVNPDPTTADHLTCLLSSGDLQVTGSAHCTFTTAQKAVGKDNFALIPEGTNGIEERMS
+MVWEKCVASGKMDENEFVAVTSTNAAKIFNFYPRKGRVAVGSDADLVIWNPKATKIISAK
+THNLNVEYNIFEGVECRGAPAVVISQGRV
+>Q9BPU6_PF01979_57 <unknown description>
+LVIPGGIDTSTHFHQTFMNATCVDDFYHGTKAALVGGTTMIIGHVLPDKETSLVDAYEKC
+RGLADPKVCCDYALHVGITWWAPKVKAEMETLVREKGVNSFQMFMTYKDLYMLRDSELYQ
+VLHACKDIGAIARVHAENGELVAEGAKEALDLGITGPEGIEISRPEELEAEATHRVITIA
+NRTHCPIYLVNVSSISAGDVIAAAKMQGKVVLAETTTAHATLTGLHYYHQDWSHAAAYVT
+VPPLRLDTNTSTYLMSLLANDTLNIVASDHRPFTTKQKAMGKEDFTKIPHGVSGVQDRMS
+VIWERGVVGGKMDENRFVAVTSSNAAKLLNLYPRKGRIIPGADADVVVWDPEATKTISAS
+TQVQGGDFNLYENMRCHGVPLVTISRGRVV
+>Q14117_PF01979_58 <unknown description>
+LVLPGGIDTHTHMQFPFMGSRSIDDFHQGTKAALSGGTTMIIDFAIPQKGGSLIEAFETW
+RSWADPKVCCDYSLHVAVTWWSDQVKEEMKILVQDKGVNSFKMFMAYKDLYMVTDLELYE
+AFSRCKEIGAIAQVHAENGDLIAEGAKKMLALGITGPEGHELCRPEAVEAEATLRAITIA
+SAVNCPLYIVHVMSKSAAKVIADARRDGKVVYGEPIAASLGTDGTHYWNKEWHHAAHHVM
+GPPLRPDPSTPDFLMNLLANDDLTTTGTDNCTFNTCQKALGKDDFTKIPNGVNGVEDRMS
+VIWEKGVHSGKMDENRFVAVTSTNAAKIFNLYPRKGRIAVGSDADIVIWDPKGTRTISAK
+THHQAVNFNIFEGMVCHGVPLVTISRGKVV
+>P01909_PF00993_29 <unknown description>
+DHVASCGVNLYQFYGPSGQYTHEFDGDEQFYVDLERKETAWRWPEFSKFGGFDPQGALRN
+MAVAKHNLNIMIKRYNSTAAT
+>P01909_PF07654_115 <unknown description>
+VTVFSKSPVTLGQPNTLICLVDNIFPPVVNITWLSNGQSVTEGVSETSFLSKSDHSFFKI
+SYLTFLPSADEIYDCKVEHWGL
+>P01906_PF00993_29 <unknown description>
+DHVASYGVNFYQSHGPSGQYTHEFDGDEEFYVDLETKETVWQLPMFSKFISFDPQSALRN
+MAVGKHTLEFMMRQSNSTAAT
+>P01906_PF07654_115 <unknown description>
+VTVFSKFPVTLGQPNTLICLVDNIFPPVVNITWLSNGHSVTEGVSETSFLSKSDHSFFKI
+SYLTFLPSADEIYDCKVEHWGL
+>Q8TE96_PF04408_442 <unknown description>
+ALEDLDYLAALDDDGDLSDLGVILSEFPLAPELAKALLASCEFDCVDEMLTLAAMLTAAP
+GFTRPPLSAEEAAL
+>Q8TE96_PF07717_591 <unknown description>
+LQKALVSGYFLKVARDTDGTGNYLLLTHKHVAQLSSYCCYRSRRAPARPPPWVLYHNFTI
+SKDNCLSIVSEIQPQMLVELAPP
+>Q6PKH6_PF00106_33 <unknown description>
+KVALVTASTDGIGFAIARRLAQDRAHVVVSSRKQQNVDQAVATLQGEGLSVTGTVCHVGK
+AEDRERLVAMAVKLHGGIDILVSNAAVNPFFGSLMDVTEEVWDKTLDINVKAPALMTKAV
+VPEMEKRGGGSVVIVSSIAAFSPSPGFSPYNVSKTALLGLNNTLAIELAPRNIRVNCLH
+>Q8NEX9_PF00106_26 <unknown description>
+KYVFITGCDSGFGNLLAKQLVDRGMQVLAACFTEEGSQKLQRDTSYRLQTTLLDVTKSES
+IKAAAQWVRDKVGEQGLWALVNNAGVGLPSGPNEWLTKDDFVKVINVNLVGLIEVTLHML
+PMVKRARGRVVNMSSSGGRVAVIGGGYCVSKFGVEAFSDSIRRELYYFGVKVCIIEPGNY
+RTAILGKEN
+>Q8N682_PF10277_9 <unknown description>
+AFVPFLLVTWSSAAFIISYVVAVLSGHVNPFLPYISDTGTTPPESGIFGFMINFSAFLGA
+ATMYTRYKIVQKQNQTCYFSTPVFNLVSLVLGLVGCFGMGIVANFQELAVPVVHDGGALL
+AFVCGVVYTLLQSIISYKSCPQWNSLSTCHIRMVISAVSCAAVIPMIVCASLISITKLEW
+NPREKDYVYHVVSAICEWTVAFGFIFYFLTFIQDFQS
+>Q6UX65_PF10277_9 <unknown description>
+SFLPSALVIWTSAAFIFSYITAVTLHHIDPALPYISDTGTVAPEKCLFGAMLNIAAVLCI
+ATIYVRYKQVHALSPEENVIIKLNKAGLVLGILSCLGLSIVANFQKTTLFAAHVSGAVLT
+FGMGSLYMFVQTILSYQMQPKIHGKQVFWIRLLLVIWCGVSALSMLTCSSVLHSGNFGTD
+LEQKLHWNPEDKGYVLHMITTAAEWSMSFSFFGFFLTYIRDFQK
+>Q8NBI3_PF15550_38 <unknown description>
+PENHIDLPGPALWTPQASHHRRRGPGKKEWGPGLPSQAQDGAVVTATRQASRLPEAEGLL
+PEQSPAGLLQDKDLLLGLALPYPEKENRPPGWERTRKRSREHKRRRDRLRLHQGRALVRG
+PSSLMKKAELSEAQVLDAAMEESSTSLAPTMFFLTTFEAAPATEESLILPVTSLRPQQAQ
+PRSDGEVMPTLDMALFDWTDYEDLKPDGWPSAKKKEKHRGKLSSDGNETSPAEGEPCDHH
+QDCLPGTCCDLREHLCTPHNRGLNNKCFDDCMCVEGLRCYAKFHRNRRVTRRKGRCVEPE
+TANGDQGSFINV
+>P01903_PF00993_29 <unknown description>
+EHVIIQAEFYLNPDQSGEFMFDFDGDEIFHVDMAKKETVWRLEEFGRFASFEAQGALANI
+AVDKANLEIMTKRSNYTP
+>P01903_PF07654_114 <unknown description>
+VTVLTNSPVELREPNVLICFIDKFTPPVVNVTWLRNGKPVTTGVSETVFLPREDHLFRKF
+HYLPFLPSTEDVYDCRVEHWGL
+>P01911_PF00969_43 <unknown description>
+ECHFFNGTERVRFLDRYFYNQEESVRFDSDVGEFRAVTELGRPDAEYWNSQKDILEQARA
+AVDTYCRHNYGVV
+>P01911_PF07654_128 <unknown description>
+VTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFLNGQEEKAGMVSTGLIQNGDWTFQTL
+VMLETVPRSGEVYTCQVEHPS
+>Q30154_PF00969_43 <unknown description>
+ECHFFNGTERVRFLHRDIYNQEEDLRFDSDVGEYRAVTELGRPDAEYWNSQKDFLEDRRA
+AVDTYCRHNYGVG
+>Q30154_PF07654_128 <unknown description>
+VTVYPARTQTLQHHNLLVCSVNGFYPGSIEVRWFRNSQEEKAGVVSTGLIQNGDWTFQTL
+VMLETVPRSGEVYTCQVEHPS
+>Q96DY2_PF00612_402 <unknown description>
+RAATLIQALWKGYLVRSLL
+>Q86XH1_PF00004_571 <unknown description>
+LLLAGPSGVGKKMLVHAICTETGANLFNLSSSNIAGKYPGKNGLQMMLHAVFKVARQLQP
+SVVWIEDTEKTFYKKVPNAEKMNEPKRLKKHLPQILKLLKPDDRILIVGTTRRPF
+>Q96MC2_PF14772_100 <unknown description>
+AIDIREIHRRVEEEEIKRQRIEKLENEVKTSQDKFDEITSKWEEGKQKRIPQELWEMLNT
+QQLHCAGLLEDKNKLISELQQELKTKDDQYVKDLKKQSDDI
+>Q96MC2_PF14775_660 <unknown description>
+EYWQALTTVIPSSKQNLWDALYTALEKYHLVLTQRAKLLLENSSLEQQNTELQALLQQYL
+>Q8IXS2_PF14772_26 <unknown description>
+LAEEEMAKKKERLLSQFLKDKLAKEEHNSALNLNKINTQWRTVLREVKTRELHKDIEILS
+QTFERVVDCKDNVIKSLAKDLSEAEEQYAHALRSHLHNV
+>Q9H069_PF14580_79 <unknown description>
+KIEGLENLAHLVWLDLSFNNIETIEGLDTLVNLEDLSLFNNRISKIDSLDALVKLQVLSL
+GNNRIDNMMNIIYLRRFKCLRTLSLSRNPISEAEDYKMFICAYLPDLMYLDYRRIDDHTK
+KLAEAK
+>O95995_PF13851_222 <unknown description>
+LMQRHEEAFTDIKNYYNDITLNNLALINSLKEQMEDMRKKEDHLEREMAEVSGQNKRLAD
+PLQKAREEMSEMQKQLANYERDKQILLCTKARLKVREKELKDLQWEHEVLEQRFTKVQQE
+RDELYRKFTAAIQEVQQKTGFKNLVLERKLQALSAAVEKKEVQFNEVLAASNLDPAALTL
+VSRKLEDVLESKNSTIKDL
+>Q5JU00_PF13516_307 <unknown description>
+PVLEELDLSQNLIGDRGA
+>Q5JU00_PF13516_333 <unknown description>
+HSRLRVLNLANNQVRAPGAQSLA
+>Q5JU00_PF13516_360 <unknown description>
+HNTNLISLNLRLNCIEDEGGQALA
+>Q5JU00_PF13516_388 <unknown description>
+TNKCLTTLHLGGNELS
+>Q5JU00_PF13516_417 <unknown description>
+NTTLTSINLSCNHIGLDGGKQL
+>Q5VUJ9_PF13499_95 <unknown description>
+DVLLRAFEVLDSAKRGFLTKDELIKYMTEEGEPFSQEEMEEMLSAAIDPESNSINYKDYI
+TMM
+>Q9H095_PF00612_395 <unknown description>
+KSVIKLQAWWRGTMIRRE
+>P21728_PF00001_40 <unknown description>
+GNTLVCAAVIRFRHLRSKVTNFFVISLAVSDLLVAVLVMPWKAVAEIAGFWPFGSFCNIW
+VAFDIMCSTASILNLCVISVDRYWAISSPFRYERKMTPKAAFILISVAWTLSVLISFIPV
+QLSWHKAKPTSPSDGNATSLAETIDNCDSSLSRTYAISSSVISFYIPVAIMIVTYTRIYR
+IAQKQIRRIAALERAAVHAKNCQTTTGNGKPVECSQPESSFKMSFKRETKVLKTLSVIMG
+VFVCCWLPFFILNCILPFCGSGETQPFCIDSNTFDVFVWFGWANSSLNPIIY
+>P14416_PF00001_51 <unknown description>
+GNVLVCMAVSREKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIF
+VTLDVMMCTASILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMISIVWVLSFTISCPL
+LFGLNNADQNECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRRRRKRVNTKRSSR
+AFRAHLRAPLKGNCTHPEDMKLCTVIMKSNGSFPVNRRRVEAARRAQELEMEMLSSTSPP
+ERTRYSPIPPSHHQLTLPDPSHHGLHSTPDSPAKPEKNGHAKDHPKIAKIFEIQTMPNGK
+TRTSLKTMSRRKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSA
+FTWLGYVNSAVNPIIY
+>P35462_PF00001_46 <unknown description>
+GNGLVCMAVLKERALQTTTNYLVVSLAVADLLVATLVMPWVVYLEVTGGVWNFSRICCDV
+FVTLDVMMCTASILNLCAISIDRYTAVVMPVHYQHGTGQSSCRRVALMITAVWVLAFAVS
+CPLLFGFNTTGDPTVCSISNPDFVIYSSVVSFYLPFGVTVLVYARIYVVLKQRRRKRILT
+RQNSQCNSVRPGFPQQTLSPDPAHLELKRYYSICQDTALGGPGFQERGGELKREEKTRNS
+LSPTIAPKLSLEVRKLSNGRLSTSLKLGPLQPRGVPLREKKATQMVAIVLGAFIVCWLPF
+FLTHVLNTHCQTCHVSPELYSATTWLGYVNSALNPVIY
+>P21917_PF00001_51 <unknown description>
+GNSLVCVSVATERALQTPTNSFIVSLAAADLLLALLVLPLFVYSEVQGGAWLLSPRLCDA
+LMAMDVMLCTASIFNLCAISVDRFVAVAVPLRYNRQGGSRRQLLLIGATWLLSAAVAAPV
+LCGLNDVRGRDPAVCRLEDRDYVVYSSVCSFFLPCPLMLLLYWATFRGLQRWEVARRAKL
+HGRAPRRPSGPGPPSPTPPAPRLPQDPCGPDCAPPAPGLPRGPCGPDCAPAAPSLPQDPC
+GPDCAPPAPGLPPDPCGSNCAPPDAVRAAALPPQTPPQTRRRRRAKITGRERKAMRVLPV
+VVGAFLLCWTPFFVVHITQALCPACSVPPRLVSAVTWLGYVNSALNPVIY
+>P21918_PF00001_57 <unknown description>
+GNVLVCAAIVRSRHLRANMTNVFIVSLAVSDLFVALLVMPWKAVAEVAGYWPFGAFCDVW
+VAFDIMCSTASILNLCVISVDRYWAISRPFRYKRKMTQRMALVMVGLAWTLSILISFIPV
+QLNWHRDQAASWGGLDLPNNLANWTPWEEDFWEPDVNAENCDSSLNRTYAISSSLISFYI
+PVAIMIVTYTRIYRIAQVQIRRISSLERAAEHAQSCRSSAACAPDTSLRASIKKETKVLK
+TLSVIMGVFVCCWLPFFILNCMVPFCSGHPEGPPAGFPCVSETTFDVFVWFGWANSSLNP
+VIY
+>Q16643_PF00241_14 <unknown description>
+LAAYEEVIREESAADWALYTYEDGSDDLKLAASGEGGLQELSGHFENQKVMYGFCSVKDS
+QAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASSVEDIDAGAI
+>Q9Y295_PF01926_66 <unknown description>
+RIGFVGFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPGIIEGA
+KDGKGRGRQVIAVARTCNLILIVLDVLKPLGHKKIIENEL
+>Q9Y295_PF16897_186 <unknown description>
+KGGINLTATCPQSELDAETVKSILAEYKIHNADVTLRSDATADDLIDVVEGNRVYIPCIY
+VLNKIDQISIEELDIIYKVPHCVPISAHHRWNFDDLLEKIWDYLKL
+>Q9Y295_PF02824_292 <unknown description>
+VRIYTKPKGQLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYALVWGLSVKHNPQKVG
+KDHTLEDEDVIQIVK
+>P55039_PF01926_64 <unknown description>
+RVALIGFPSVGKSTFLSLMTSTASEAASYEFTTLTCIPGVIEYKGANIQLLDLPGIIEGA
+AQGKGRGRQVIAVARTADVIIMMLDATKGEVQRSLLEKELESV
+>P55039_PF16897_185 <unknown description>
+GGISFNSTVTLTQCSEKLVQLILHEYKIFNAEVLFREDCSPDEFIDVIVGNRVYMPCLYV
+YNKIDQISMEEVDRLARKPNSVVISCGMKLNLDYLLEMLWEYLAL
+>P55039_PF02824_291 <unknown description>
+CIYTKKRGQRPDFTDAIILRKGASVEHVCHRIHRSLASQFKYALVWGTSTKYSPQRVGLT
+HTMEHEDVIQIVK
+>A6NNA5_PF00046_34 <unknown description>
+RRNRTTFTLQQLEALEAVFAQTHYPDVFTREELAMKINLTEARVQVWFQNRRAKWRK
+>A6NNA5_PF03826_201 <unknown description>
+NRTASVATLRMKAREHSE
+>Q13474_PF00435_232 <unknown description>
+QLLEIQGAMEELSTTLSQAEGVRATWEPIGDLFIDSLPEHIQAIKLFKEEFSPMKDGVKL
+VNDLAHQLAISDVHLSMENSQALEQINVRWKQLQASVSERLKQLQD
+>Q13474_PF00397_357 <unknown description>
+PWERAISPNKVPYYINHQAQTTCWDHP
+>Q13474_PF09068_386 <unknown description>
+TELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDLVTLTTALEIFNEHDLQASEHVMDVV
+EVIHCLTALYERLEEERGILVNVPLCVDMSLNWLLNVFDSGRSGKMRALSFKTGIACLC
+>Q13474_PF09069_509 <unknown description>
+KEKLQYLFSQVANSGSQCDQRHLGVLLHEAIQVPRQLGEVAAFGGSNVEPSVRSCFRFST
+GKPVIEASQFLEWVNLEPQSMVWLAVLHRV
+>Q13474_PF00569_605 <unknown description>
+KHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASK
+>Q6IAN0_PF00106_54 <unknown description>
+VVVITGATSGLGKECAKVFYAAGAKLVLCGRNGGALEELIRELTASHATKVQTHKPYLVT
+FDLTDSGAIVAAAAEILQCFGYVDILVNNAGISYRGTIMDTTVDVDKRVMETNYFGPVAL
+TKALLPSMIKRRQGHIVAISSIQGKMSIPFRSAYAASKHATQAFFDCLRAEMEQYEIEVT
+VISPGYIHTNLSVN
+>A6NNS2_PF00106_38 <unknown description>
+KVVVITDAISGLGKECARVFHTGGARLVLCGKNWERLENLYDALISVADPSKTFTPKLVL
+LDLSDISCVPDVAKEVLDCYGCVDILINNASVKVKGPAHKISLELDKKIMDANYFGPITL
+TKALLPNMISRRTGQIVLVNNIQGKFGIPFRTTYAASKHAALGFFDCLRAEVEEYDVVIS
+TVSPTFIRS
+>Q08554_PF08758_33 <unknown description>
+VYLRVPSHLQAETLVGKVNLEECLKSASLIRSSDPAFRILEDGSIYTTHDLILSSERKSF
+SIFLSDGQRREQQEIKV
+>Q08554_PF00028_141 <unknown description>
+ASLMENSLGPFPQHVQQIQSDAAQNYTIFYSISGPGVDKEPFNLFYIEKDTGDIFCTRSI
+DREKYEQFALYGYATTADGYAPEYPLPLIIKI
+>Q08554_PF00028_249 <unknown description>
+FTVPENCRSGTSVGKVTATDLDEPDTLHTRLKYKILQQIPDHPKHFSIHPDTGVITTTTP
+FLDREKCDTYQLIMEVRDMGGQPFGLFNTGTITISL
+>Q08554_PF00028_359 <unknown description>
+YVTEVEENRIDVEILRMKVQDQDLPNTPHSKAVYKILQGNENGNFIISTDPNTNEGVLCV
+VKPLNYEVNRQVILQVGVINEAQFSKAASSQTPTMCTTTVTVKI
+>Q08554_PF00028_481 <unknown description>
+QDGFPAGQELLGYKALDPEISSGEGLRYQKLGDEDNWFEINQHTGDLRTLKVLDRESKFV
+KNNQYNISVVAVDAVGRSCTGTLVVHL
+>Q08554_PF01049_725 <unknown description>
+PEDIAQQNLIVSNTEGPGEEVTEANIRLPMQTSNICDTSMSVGTVGGQGIKTQQSFEMVK
+GGYTLDSNKGGGHQTLESVKGVGQGDTGRYAYTDWQSFTQPRLGEKVYLCGQDEEHKHCE
+DYVCSYNYEGKGSLAGSVGCCSDRQEEEGLEFLDHLEPKFRTLAK
+>Q02487_PF08758_34 <unknown description>
+NVTLHVPSKLDAEKLVGRVNLKECFTAANLIHSSDPDFQILEDGSVYTTNTILLSSEKRS
+FTILLSNTENQEK
+>Q02487_PF00028_142 <unknown description>
+CSMLENSLGPFPLFLQQVQSDTAQNYTIYYSIRGPGVDQEPRNLFYVERDTGNLYCTRPV
+DREQYESFEIIAFATTPDGYTPELPLPLIIKI
+>Q02487_PF00028_248 <unknown description>
+YTFTIFENCRVGTTVGQVCATDKDEPDTMHTRLKYSIIGQVPPSPTLFSMHPTTGVITTT
+SSQLDRELIDKYQLKIKVQDMDGQYFGLQTTSTCIINI
+>Q02487_PF00028_360 <unknown description>
+YVTSVEENTVDVEILRVTVEDKDLVNTANWRANYTILKGNENGNFKIVTDAKTNEGVLCV
+VKPLNYEEKQQMILQIGVVNEAPFSREASPRSAMSTATVTVNVE
+>Q02487_PF00028_477 <unknown description>
+TVRMKENAEVGTTSNGYKAYDPETRSSSGIRYKKLTDPTGWVTIDENTGSIKVFRSLDRE
+AETIKNGIYNITVLASDQGGRTCTGTLGII
+>Q02487_PF01049_841 <unknown description>
+CNQDENHKHAQDYVLTYNYEGRGSVAGSVGCCSERQEEDGLEFLDNLEPKFRTLAE
+>Q14574_PF08758_36 <unknown description>
+ILNVPSKLEADKIIGRVNLEECFRSADLIRSSDPDFRVLNDGSVYTARAVALSDKKRSFT
+IWLSDKRKQTQKEVTV
+>Q14574_PF00028_142 <unknown description>
+CSMQENSLGPFPLFLQQVESDAAQNYTVFYSISGRGVDKEPLNLFYIERDTGNLFCTRPV
+DREEYDVFDLIAYASTADGYSADLP
+>Q14574_PF00028_248 <unknown description>
+YNFEVLESSRPGTTVGVVCATDRDEPDTMHTRLKYSILQQTPRSPGLFSVHPSTGVITTV
+SHYLDREVVDKYSLIMKVQDMDGQFFGLIGTSTCIITV
+>Q14574_PF00028_360 <unknown description>
+YEAFVEENAFNVEILRIPIEDKDLINTANWRVNFTILKGNENGHFKISTDKETNEGVLSV
+VKPLNYEENRQVNLEIGVNNE
+>Q14574_PF00028_478 <unknown description>
+VRIKENLAVGSKINGYKAYDPENRNGNGLRYKKLHDPKGWITIDEISGSIITSKILDREV
+ETPKNELYNITVLAIDKDDRSCTGTLAVNIE
+>Q14574_PF01049_829 <unknown description>
+LGEKLHRCNQNEDRMPSQDYVLTYNYEGRGSPAGSVGCCSEKQEEDGLDFLNNLEPKFIT
+LAE
+>O60469_PF07679_232 <unknown description>
+DHRKAMAGQRVELPCKALGHPEPDYRWLKDNMPLELSGRFQKTVTGLLIENIRPSDSGSY
+VCEVSNRYGTAKVI
+>O60469_PF07679_316 <unknown description>
+ATISPRKVKSSVGSQVSLSCSVTGTEDQELSWYRNGEILNPGKNVRITGINHENLIMDHM
+VKSDGGAYQCFVRK
+>O60469_PF13927_407 <unknown description>
+PKIISAFSEKVVSPAEPVSLMCNVKGTPLPTITWTLDDDPILKGGSHRISQMITSEGNVV
+SYLNISSSQVRDGGVYRCTANN
+>O60469_PF07679_508 <unknown description>
+RPMKNITAIAGRDTYIHCRVIGYPYYSIKWYKNSNLLPFNHRQVAFENNGTLKLSDVQKE
+VDEGEYTCNVLVQPQLSTSQSVHVTV
+>O60469_PF13927_608 <unknown description>
+SIGQRVFIPCVVVSGDLPITITWQKDGRPIPGSLGVTIDNIDFTSSLRISNLSLMHNGNY
+TCIARN
+>O60469_PF13927_689 <unknown description>
+PPKFVVQPRDQDGIYGKAVILNCSAEGYPVPTIVWKFSKGAGVPQFQPIALNGRIQVLSN
+GSLLIKHVVEEDSGYYLCKVSN
+>O60469_PF07679_790 <unknown description>
+ITSYPNTTLATQGQKKEMSCTAHGEKPIIVRWEKEDRIINPEMARYLVSTKEVGEEVIST
+LQILPTVREDSGFFSCHAINSYGEDRGIIQLTV
+>O60469_PF00041_887 <unknown description>
+DPPEIEIKDVKARTITLRWTMGFDGNSPITGYDIECKNKSDSWDSAQRTKDVSPQLNSAT
+IIDIHPSSTYSIRMYAKNRIGKSEPS
+>O60469_PF00041_987 <unknown description>
+PPQEVHLEPISSQSIRVTWKAPKKHLQNGIIRGYQIGYREYSTGGNFQFNIISVDTSGDS
+EVYTLDNLNKFTQYGLVVQACNRAGTGPSS
+>O60469_PF00041_1091 <unknown description>
+PPENVQAIATSPESISISWSTLSKEALNGILQGFRVIYWANLMDGELGEIKNITTTQPSL
+ELDGLEKYTNYSIQVLAFTRAGDGVRS
+>O60469_PF00041_1191 <unknown description>
+PPAGVKAAAASASMVFVSWLPPLKLNGIIRKYTVFCSHPYPTVISEFEASPDSFSYRIPN
+LSRNRQYSVWVVAVTSAGRGNSS
+>O60469_PF07679_1301 <unknown description>
+KDIVLPCKAVGDPSPAVKWMKDSNGTPSLVTIDGRRSIFSNGSFIIRTVKAEDSGYYSCI
+ANNNWGSDEIILNLQV
+>O60469_PF00041_1384 <unknown description>
+RLTVSKTTSSSITLSWLPGDNGGSSIRGYILQYSEDNSEQWGSFPISPSERSYRLENLKC
+GTWYKFTLTAQNGVGPGRI
+>Q8TD84_PF07679_229 <unknown description>
+LDGFHSQEVWAGHTVELPCTASGYPIPAIRWLKDGRPLPADSRWTKRITGLTISDLRTED
+SGTYICEVTNTFGSAEATGILM
+>Q8TD84_PF13927_317 <unknown description>
+VTLTPKKLKTGIGSTVILSCALTGSPEFTIRWYRNTELVLPDEAISIRGLSNETLLITSA
+QKSHSGAYQCFAT
+>Q8TD84_PF13927_408 <unknown description>
+PRIVSSFSEKVVNPGEQFSLMCAAKGAPPPTVTWALDDEPIVRDGSHRTNQYTMSDGTTI
+SHMNVTGPQIRDGGVYRCTARN
+>Q8TD84_PF13927_505 <unknown description>
+PPSIRAMRNITAVAGRDTLINCRVIGYPYYSIKWYKDALLLPDNHRQVVFENGTLKLTDV
+QKGMDEGEYLCSV
+>Q8TD84_PF13927_608 <unknown description>
+SIGQLLYIPCVVSSGDMPIRITWRKDGQVIISGSGVTIESKEFMSSLQISSVSLKHNGNY
+TCIASN
+>Q8TD84_PF13927_689 <unknown description>
+PPRFVVQPNNQDGIYGKAGVLNCSVDGYPPPKVMWKHAKGSGNPQQYHPVPLTGRIQILP
+NSSLLIRHVLEEDIGYYLCQASN
+>Q8TD84_PF07679_791 <unknown description>
+ITSHPNTTIAIKGHAKELNCTARGERPIIIRWEKGDTVIDPDRVMRYAIATKDNGDEVVS
+TLKLKPADRGDSVFFSCHAINSYGEDRGLIQLTV
+>Q8TD84_PF00041_889 <unknown description>
+DPPELEIREVKARSMNLRWTQRFDGNSIITGFDIEYKNKSDSWDFKQSTRNISPTINQAN
+IVDLHPASVYSIRMYSFNKIGRSEPS
+>Q8TD84_PF00041_989 <unknown description>
+PPMDVTLQPVTSQSIQVTWKAPKKELQNGVIRGYQIGYRENSPGSNGQYSIVEMKATGDS
+EVYTLDNLKKFAQYGVVVQAFNRAGTGPSS
+>Q8TD84_PF00041_1093 <unknown description>
+PPENVRALSITSDVAVISWSEPPRSTLNGVLKGYRVIFWSLYVDGEWGEMQNITTTRERV
+ELRGMEKFTNYSVQVLAYTQAGDGVRS
+>Q8TD84_PF00041_1193 <unknown description>
+PPAGIKAVPSSASSVVVSWLPPTKPNGVIRKYTIFCSSPGSGQPAPSEYETSPEQLFYRI
+AHLNRGQQYLLWVAAVTSAGRGNSS
+>Q8TD84_PF07679_1305 <unknown description>
+KDVRLPCNSVGDPAPAVKWTKDSEDSAIPVSMDGHRLIHTNGTLLLRAVKAEDSGYYTCT
+ATNTGGFDTIIVNLLV
+>Q8TD84_PF00041_1388 <unknown description>
+RLTVSKTSASSITLTWIPGDNGGSSIRGFVLQYSVDNSEEWKDVFISSSERSFKLDSLKC
+GTWYKVKLAAKNSVGSGRIS
+>P57055_PF14998_39 <unknown description>
+WRPWIQTPGDAELTRTGRPLEPRADQHTFGSKGAFGFQHPVRVYLPMSKRQEYLRSSGEQ
+VLASFPVQATIDFYDDESTES
+>Q8IZU8_PF00685_853 <unknown description>
+PDVVITSLPGSGAEILKQLFFNSSDFLYIRVPTAYIDIPETELEIDSFVDACEWKVSDIR
+SGHFRLLRGWLQSLVQDTKLHLQNIHLHEPNRGKLAQYFAMNKDKKRKFKRRESLPEQRS
+QMKGAFDRDAEYIRALRRHLVYYPSARPVLSLSSGSWTLKLHFFQEVLGASMRALYIVRD
+PRAWIYSMLYNSKPSLYSLKNVPEHLAKLFKIEGGKGKCNLNSGYAFEYEPLRKELSKSK
+SNAVSLLSHLWLANTAAALRINTDLLPTSYQLVKFEDIVHFPQKTTERIFAFLGIPLSPA
+SLNQILFATSTNLFYLPYEGEISPTNTNVWKQNLPRDEIKLIENICWTLM
+>Q9UL01_PF16332_150 <unknown description>
+PLAHSLVGFATAYDFLYNYLSKTQQEKFLEVIANASGYMYETSYRRGWGFQYLHNHQPTN
+CMALLTGSLVLMNQGYLQEAYLWTKQVLTIMEKSLVLLREVTDGSLYEGVAYGSYTTRSL
+FQYMFLVQRHFNINHFGHPWLKQHFAFMYRTILPGFQRTVAIADS
+>Q02413_PF00028_56 <unknown description>
+AACREGEDNSKRNPIAKIHSDCAANQQVTYRISGVGIDQPPYGIFVINQKTGEINITSIV
+DREVTPFFIIYCRALNSMGQDLERPLELRVRVL
+>Q02413_PF00028_163 <unknown description>
+AGQIEENSNANTLVMILNATDADEPNNLNSKIAFKIIRQEPSDSPMFIINRNTGEIRTMN
+NFLDREQYGQYALAVRGSDRDGGADGMSAECECNIKIL
+>Q02413_PF00028_274 <unknown description>
+YTIEIQENTLNSNLLEIRVIDLDEEFSANWMAVIFFISGNEGNWFEIEMNERTNVGILKV
+VKPLDYEAMQSLQLSIGVRNK
+>Q02413_PF01049_685 <unknown description>
+REGGLNMNFMESYFCQKAYAYADEDEGRPSNDCLLIYDIEGVGSPAGSVGCCSFIGEDLD
+DSFLDTLGPKFKKLADI
+>Q14126_PF00028_165 <unknown description>
+VGSVEELSAAHTLVMKINATDADEPNTLNSKISYRIVSLEPAYPPVFYLNKDTGEIYTTS
+VTLDREEHSSYTLTVEARDGNGEVTDKPVKQAQVQIRI
+>Q14126_PF00028_281 <unknown description>
+VEENQVNVEVTRIKVFDADEIGSDNWLANFTFASGNEGGYFHIETDAQTNEGIVTLIKEV
+DYEEMKNLDFSVIVANKAAFHKSIRSKYKPTPIPIKV
+>Q14126_PF00028_401 <unknown description>
+MDRSSKGQIIGNFQAFDEDTGLPAHARYVKLEDRDNWISVDSVTSEIKLAKLPDFESRYV
+QNGTYTVKIVAISEDYPRKTITGTVLINVE
+>P32926_PF00028_60 <unknown description>
+EGEDNSKRNPIAKITSDYQATQKITYRISGVGIDQPPFGIFVVDKNTGDINITAIVDREE
+TPSFLITCRALNAQGLDVEKPLILTVKI
+>P32926_PF00028_164 <unknown description>
+GEIEENSASNSLVMILNATDADEPNHLNSKIAFKIVSQEPAGTPMFLLSRNTGEVRTLTN
+SLDREQASSYRLVVSGADKDGEGLSTQCECNIKV
+>P32926_PF00028_272 <unknown description>
+YSARIEENILSSELLRFQVTDLDEEYTDNWLAVYFFTSGNEGNWFEIQTDPRTNEGILKV
+VKALDYEQLQSVKLSIAVKNKAEFHQSVISRYRVQSTPVTIQV
+>Q86SJ6_PF00028_56 <unknown description>
+AACREGEDNSKRNPIAKIRSDCESNQKITYRISGVGIDRPPYGVFTINPRTGEINITSVV
+DREITPLFLIYCRALNSRGEDLERPLELRVKV
+>Q86SJ6_PF00028_162 <unknown description>
+YTASIEENSDANTLVVKLCATDADEENHLNSKIAYKIVSQEPSGAPMFILNRYTGEVCTM
+SSFLDREQHSMYNLVVRGSDRDGAADGLSSECDCRIKVL
+>Q86SJ6_PF00028_274 <unknown description>
+YSASIEENCLSSELIRLQAIDLDEEGTDNWLAQYLILSGNDGNWFDIQTDPQTNEGILKV
+VKMLDYEQAPNIQLSIGVKNQADFHYSVASQFQMHPTPVRIQV
+>Q86SJ6_PF00028_405 <unknown description>
+NYVLGTYTAIDLDTGNPATDVRYIIGHDAGSWLKIDSRTGEIQFSREFDKKSKYIINGIY
+TAEILAIDDGSGKTATGTICIEV
+>Q9H410_PF08202_81 <unknown description>
+SPQEQSASYQDRRQSWRRASMKETNRRKSLHPIHQGITELSRSISVDLAESKRLGCLLLS
+SFQFSIQKLEPFLRDTKGFSLESFRAKASSLSEELKHFADGLETDGTLQKCFEDSNGKAS
+DFSLEASVAEMKEYITKFSLERQTWDQLLLHYQQEAKEILSRGSTEAKITEVKVEPMTYL
+GSSQNEVLNTKPDYQKILQNQSKVFDCMELVMDELQGSVKQLQAFMDESTQCFQKVSVQL
+GKRSMQQLDPSPARKLLKLQLQNP
+>P55265_PF02295_135 <unknown description>
+IYQDQEQRILKFLEELGEGKATTAHDLSGKLGTPKKEINRVLYSLAKKGKLQKEAGTPPL
+WKIAVS
+>P55265_PF02295_295 <unknown description>
+DMAEIKEKICDYLFNVSDSSALNLAKNIGLTKARDINAVLIDMERQGDVYRQGTTPPIWH
+LTDK
+>P55265_PF00035_504 <unknown description>
+PISGLLEYAQFASQTCEFNMIEQSGPPHEPRFKFQVVINGREFPPAEAGSKKVAKQDAAM
+KAMT
+>P55265_PF00035_615 <unknown description>
+PVTTLLECMHKLGNSCEFRLLSKEGPAHEPKFQYCVAVGAQTFPSVSAPSKKVAKQMAAE
+EAMKAL
+>P55265_PF00035_729 <unknown description>
+GGLLEYARSHGFAAEFKLVDQSGPPHEPKFVYQAKVGGRWFPAVCAHSKKQGKQEAADAA
+LRVL
+>P55265_PF02137_886 <unknown description>
+SLGTGNRCVKGDSLSLKGETVNDCHAEIISRRGFIRFLYSELMKYNSQTAKDSIFEPAKG
+GEKLQIKKTVSFHLYISTAPCGDGALFDKSCSDRAMESTESRHYPVFENPKQGKLRTKVE
+NGEGTIPVESSDIVPTWDGIRLGERLRTMSCSDKILRWNVLGLQGALLTHFLQPIYLKSV
+TLGYLFSQGHLTRAICCRVTRDGSAFEDGLRHPFIVNHPKVGRVSIYDSKRQSGKTKETS
+VNWCLADGYDLEILDGTRGTVDGPRNELSRVSKKNIFLLFKKLCSFRYRRDLLRLSYGEA
+KKAARDYETAKNYFKKGLKDMGYGNWISKP
+>Q96EV8_PF04440_175 <unknown description>
+AEHAQKVLEMEHTQQMKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPIGSMSSMEVN
+VDMLEQMDLMDISDQEALDVFLNSGGEENTVLSPALGPESSTCQNEITLQVPNPSELRAK
+PPSSSSTCTDSATRDISEGGESPVVQ
+>Q8TEA8_PF02580_2 <unknown description>
+KAVVQRVTRASVTVGGEQISAIGRGICVLLGISLEDTQKELEHMVRKILNLRVFEDESGK
+HWSKSVMDKQYEILCVSQFTLQCVLKGNKPDFHLAMPTEQAEGFYNSFLEQLRKTYRPEL
+IKDGKFGAYMQVHIQNDGPVTIELE
+>Q96FN9_PF02580_11 <unknown description>
+RALLQQCLHARLQIRPADGDVAAQWVEVQRGLVIYVCFFKGADKELLPKMVNTLLNVKLS
+ETENGKHVSILDLPGNILIIPQATLGGRLKGRNMQYHSNSGKEEGFELYSQFVTLCEKEV
+AANSKCAEARVVVEHGTYGNRQVLKLDTNGPFTHLIE
+>Q9NZJ0_PF00400_89 <unknown description>
+KCFKEWMAHWNAVFDLAWVPGELKLVTAAGDQTAKFWD
+>Q9NZJ0_PF00400_130 <unknown description>
+GELIGTCKGHQCSLKSVAFSKFEKAVFCTGGRDGNIMVWD
+>Q9NZJ0_PF00400_218 <unknown description>
+SVTVVLFQDENTLVSAGAVDGIIKVWD
+>Q9NZJ0_PF00400_307 <unknown description>
+PVAIFNGHQNSTFYVKSSLSPDDQFLVSGSSDEAAYIWK
+>Q9NZJ0_PF00400_351 <unknown description>
+QPPTVLLGHSQEVTSVCWCPSDFTKIATCSDDNTLKIW
+>Q9Y4J8_PF09068_17 <unknown description>
+QLFAEMRAQDLDRIRLSTYRTACKLRFVQKKCNLHLVDIWNVIEALRENALNNLDPNTEL
+NVSRLEAVLSTIFYQLNKRMPTTHQIHVEQSISLLLNFLLAAFDPEGHGKISVFAVKMAL
+ATLC
+>Q9Y4J8_PF09069_144 <unknown description>
+IMDKLRYIFSMISDSSGVMVYGRYDQFLREVLKLPTAVFEGPSFGYTEQSARSCFSQQKK
+VTLNGFLDTLMSDPPPQCLVWLPLLHRLA
+>Q9Y4J8_PF00569_240 <unknown description>
+PVECSYCHSESMMGFRYRCQQCHNYQLCQDCFWRGHAGGSH
+>O60941_PF09068_17 <unknown description>
+QLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIEAFRDNGLNTLDHTTEI
+SVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMIAAYDSEGRGKLTVFSVKAML
+ATMC
+>O60941_PF09069_145 <unknown description>
+LDKLRYVFSQMSDSNGLMIFSKFDQFLKEVLKLPTAVFEGPSFGYTEHSVRTCFPQQRKI
+MLNMFLDTMMADPPPQCLVWLPLMHRLA
+>O60941_PF00569_240 <unknown description>
+PVECSYCRCESMMGFRYRCQQCHNYQLCQNCFWRGHAGGPH
+>Q8N5C7_PF03942_67 <unknown description>
+FYCYTCYVPVENVPIEQIPLVKLPLKIDIIKHPNETDGKSTAIHAKLLAPEFVNIYTYPC
+IPEYEEKDHEVALIFPGPQSISIKDISFHLQKRIQNNVRGKNDDPDKPSFKRKRTEEQEF
+CDLNDSKCKGTTLKKIIFIDSTWNQTNKIFTDERLQGLLQVELKTRKTCFWRHQKGKPDT
+FLSTIEAIYYFLVDYHTDILKEKYRGQYDNLLFFYSFMYQLI
+>Q8NBA8_PF03942_66 <unknown description>
+RPECTRCSRPQKVCLCPFLPAHPLHISTHLYIIQHPAEENKVLRTVPLLAACLPQDKCKV
+KIGRRFSEERDPELSTVCRKSGTLILYPGAEAANLEEFILDSPVYPSTIIIIDGTWSQAK
+DIFYKNSLFRHPKQVQLKTSISSQYVIRMQPTNRCLSTLECAAVALSILEKNNYIQETLL
+RPLQALCSFQL
+>Q86Y01_PF02825_26 <unknown description>
+VVWEWLNEHSRWRPYTATVCHHIENVLKEDARGSVVLGQVDAQLVPYIIDLQSMHQFRQD
+TGTMRPVRR
+>Q86Y01_PF02825_108 <unknown description>
+VWEWENDGGAWTAYDMDICITIQNAYEKQHPWLDLSSLGFCYLIYFNSMSQMNRQTRRRR
+RLRR
+>Q86Y01_PF00097_411 <unknown description>
+CTICMERLVTASGYEGVLRHKGVRPELVGRLGRCGHMYHLLCLVAMYSNGNKDGSLQCPT
+C
+>Q86Y01_PF18102_479 <unknown description>
+TGTQPPGKMEFHLIPHSLPGFPDTQTIRIVYDIPTGIQGPEHPNPGKKFTARGFPRHCYL
+PNNEKGRKVLRLLITAWERRLIFTIGTSNTTGESDTVVWNEIHHKTEFGSNLTGHGYPDA
+SYLDNVLAELTAQGV
+>Q86UW9_PF02825_20 <unknown description>
+AVWEWQDGLGTWHPYSATVCSFIEQQFVQQKGQRFGLGSLAHSIPLGQADPSLAPYIIDL
+PSWTQFRQDTGTMRAVRR
+>Q86UW9_PF02825_110 <unknown description>
+VVWEWLSDDGSWTAYEASVCDYLEQQVARGNQLVDLAPLGYNYTVNYTTHTQTNKTSSFC
+RSVRR
+>Q86UW9_PF00097_412 <unknown description>
+CIICMEKLSTASGYSDVTDSKAIGSLAVGHLTKCSHAFHLLCLLAMYCNGNKDGSLQCPS
+C
+>Q86UW9_PF18102_480 <unknown description>
+TGTQPQGKMEVLRFQMSLPGHEDCGTILIVYSIPHGIQGPEHPNPGKPFTARGFPRQCYL
+PDNAQGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRNITGHGYPDP
+NYLQNVLAELAAQGV
+>Q8TDB6_PF13923_561 <unknown description>
+CVICMDTISNKKVLPKCKHEFCAPCINKAMSYKPICPTC
+>Q8TDB6_PF18102_607 <unknown description>
+KGNQPEGSMVFTVSRDSLPGYESFGTIVITYSMKAGIQTEEHPNPGKRYPGIQRTAYLPD
+NKEGRKVLKLLYRAFDQKLIFTVGYSRVLGVSDVITWNDIHHKTSRFGGPEMYGYPDPSY
+LKRVKEELKAKGI
+>Q8N9I9_PF13923_164 <unknown description>
+CPICLGEIQNAKTLEKCRHSFCEGCITRALQVKKACPMC
+>Q8N9I9_PF18102_211 <unknown description>
+GNQPQNGRMLVSKDATLLLPSYEKYGTIVIQYVFPPGVQGAEHPNPGVRYPGTTRVAYLP
+DCPEGNKVLTLFRKAFDQRLTFTIGTSMTTGRPNVITWNDIHHKTSCTGGPQLFGYPDPT
+YLTRVQEELRAKGI
+>Q9Y2E6_PF02825_8 <unknown description>
+VVWEWLNEHGRWRPYSPAVSHHIEAVVRAGPRAGGSVVLGQVDSRLAPYIIDLQSMNQFR
+QDTGTLRPVRR
+>Q9Y2E6_PF02825_91 <unknown description>
+VVWEWENDNGSWTPYDMEVGITIQHAYEKQHPWIDLTSIGFSYVIDFNTMGQINRQTQRQ
+RRVRR
+>Q9Y2E6_PF00097_409 <unknown description>
+CTICMERLTAPSGYKGPQPTVKPDLVGKLSRCGHVYHIYCLVAMYNNGNKDGSLQCPTC
+>Q9Y2E6_PF18102_475 <unknown description>
+TGTQPPGKMEYHLIPHSLPGHPDCKTIRIIYSIPPGIQGPEHPNPGKSFSARGFPRHCYL
+PDSEKGRKVLKLLLVAWDRRLIFAIGTSSTTGESDTVIWNEVHHKTEFGSNLTGHGYPDA
+NYLDNVLAELAAQGI
+>Q9NRD9_PF03098_30 <unknown description>
+QRFDGWYNNLMEHRWGSKGSRLQRLVPASYADGVYQPLGEPHLPNPRDLSNTISRGPAGL
+ASLRNRTVLGVFFGYHVLSDLVSVETPGCPAEFLNIRIPPGDPMFDPDQRGDVVLPFQRS
+RWDPETGRSPSNPRDPANQVTGWLDGSAIYGSSHSWSDALRSFSRGQLASGPDPAFPRDS
+QNPLLMWAAPDPATGQNGPRGLYAFGAERGNREPFLQALGLLWFRYHNLWAQRLARQHPD
+WEDEELFQHARKRVIATYQNIAVYEWLPSFLQKTLPEYTGYRPFLDPSISSEFVAASEQF
+LSTMVPPGVYMRNASCHFQGVINRNSSVSRALRVCNSYWSREHPSLQSAEDVDALLLGMA
+SQIAEREDHVLVEDVRDFWPGPLKFSRTDHLASCLQRGRDLGLPSYTKARAALGLSPITR
+WQDINPALSRSNDTVLEATAALYNQDLSWLELLPGGLLESHRDPGPLFSTIVLEQFVRLR
+DGDRYWFENTRNGLFSKKEIEEIRNTTLQDVLVAVINIDPSALQPNVF
+>Q9NRD9_PF00036_820 <unknown description>
+VESMFSLADKDGNGYLSFREFLDIL
+>Q9NRD9_PF00036_859 <unknown description>
+MFRMYDFDGNGLISKDEFIRMLRS
+>Q9NRD9_PF01794_1087 <unknown description>
+RGTAASISFMFSYILLTMCRNLITFLRETFLNRYVPFDAAVDFHRLIASTAIVLTVLHSV
+GHVVNVYLFSISPLSVLSCLFPGLFHDDGSELPQKYYWWFFQTVPGLTGVVLLLILAIMY
+VFASHHFRRRSFRGFWLTHHLYILLYVLLI
+>Q9NRD9_PF08022_1274 <unknown description>
+EISVVKAELLPSGVTHLRFQRPQGFEYKSGQWVRIACLALGTTEYHPFTLTSAPHEDTLS
+LHIRAAGPWTTRLREIYSAPTGDRCARYPKLYLDGPFGE
+>Q9NRD9_PF08030_1380 <unknown description>
+FEVSVLVGGGIGVTPFASILKDLVFKSSVSCQVFCKKIYFIWVTRTQRQFEWLADIIREV
+EENDHQDLVSVHIYITQLAEKFDLRTTMLYICERHFQKVLNRSLFTGLRSITHFGRPPFE
+PFFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQ
+>Q9Y6W6_PF00581_166 <unknown description>
+HLPSQGPVIIDCRPFMEYNKSHIQGAVHINCADKISRRRLQQGKITVLDLISCREGKDSF
+KRIFSKEIIVYDENTNEPSRVMPSQPLHIVLESLKREGKEPLVLKGGLSSFKQ
+>Q9Y6W6_PF00782_329 <unknown description>
+LFLGNEQDAQDLDTMQRLNIGYVINVTTHLPLYHYEKGLFNYKRLPATDSNKQNLRQYFE
+EAFEFIEEAHQCGKGLLIHCQAGVSRSATIVIAYLMKHTRMTMTDAYKFVKGKRPIISPN
+LNFMGQLLEFEE
+>O75319_PF00782_84 <unknown description>
+NEELGLIIDLTYTQRYYKPEDLPETVPYLKIFTVGHQVPDDETIFKFKHAVNGFLKENKD
+NDKLIGVHCTHGLNRTGYLICRYLIDVEGVRPDDAIELFNRCRGHCLERQNYIEDL
+>Q9UNI6_PF00782_34 <unknown description>
+LYFGGAAAVAEPDHLREAGITAVLTVDSEEPSFKAGPGVEDLWRLFVPALDKPETDLLSH
+LDRCVAFIGQARAEGRAVLVHCHAGVSRSVAIITAFLMKTDQLPFEKAYEKLQILKPEAK
+MNEGFEWQLKLYQ
+>O95147_PF00782_34 <unknown description>
+LFLGRGSVASNRHLLQARGITCIVNATIEIPNFNWPQFEYVKVPLADMPHAPIGLYFDTV
+ADKIHSVSRKHGATLVHCAAGVSRSATLCIAYLMKFHNVCLLEAYNWVKARRPVIRPNVG
+FWRQLIDYERQ
+>Q9H1R2_PF00782_12 <unknown description>
+LYLGNFIDAKDLDQLGRNKITHIISIHESPQPLLQDITYLRIPVADTPEVPIKKHFKECI
+NFIHCCRLNGGNCLVHCFAGISRSTTIVTAYVMTVTGLGWRDVLEAIKATRPIANPNPGF
+RQQLEEF
+>Q9BY84_PF00581_14 <unknown description>
+RLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQDKVLITELIQHSA
+KHKVDIDCSQKVVVYDQSSQDVASLSSDCFLTVLLGKLEKSFNSVHLLAGGFAEFSR
+>Q9BY84_PF00782_166 <unknown description>
+LYLGCQRDVLNKELMQQNGIGYVLNASNTCPKPDFIPESHFLRVPVNDSFCEKILPWLDK
+SVDFIEKAKASNGCVLVHCLAGISRSATIAIAYIMKRMDMSLDEAYRFVKEKRPTISPNF
+NFLGQLLDYEK
+>Q8NEJ0_PF00782_27 <unknown description>
+LYISNGVAANNKLMLSSNQITMVINVSVEVVNTLYEDIQYMQVPVADSPNSRLCDFFDPI
+ADHIHSVEMKQGRTLLHCAAGVSRSAALCLAYLMKYHAMSLLDAHTWTKSCRPIIRPNSG
+FWEQLIHYE
+>Q8WTR2_PF00782_74 <unknown description>
+LLGSQDAAHDLDTLKKNKVTHILNVAYGVENAFLSDFTYKSISILDLPETNILSYFPECF
+EFIEEAKRKDGVVLVHCNAGVSRAAAIVIGFLMNSEQTSFTSAFSLVKNARPSICPNSGF
+MEQLRTYQE
+>Q6P1R4_PF01207_21 <unknown description>
+VAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANYRKENLYCEVCPEDRPLIVQF
+CANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGAFLQDEWDLLQRMILLAHEKL
+SVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKEQKGPLSGAASWEHIKAVRKA
+VAIPVFANGNIQCLQDVERCLRDTGVQGVMSAEGNLHNPALFEGRSPAVWELAEEYLDIV
+REHPCPLSYVRAHLFKLWHHTLQVHQELREE
+>P28562_PF00581_14 <unknown description>
+RALLGERAAQCLLLDCRSFFAFNAGHIAGSVNVRFSTIVRRRAKGAMGLEHIVPNAELRG
+RLLAGAYHAVVLLDERSAALDGAKRDGTLALAAGALCREARAAQVFFLKGGYEAFSA
+>P28562_PF00782_181 <unknown description>
+LYLGSAYHASRKDMLDALGITALINVSANCPNHFEGHYQYKSIPVEDNHKADISSWFNEA
+IDFIDSIKNAGGRVFVHCQAGISRSATICLAYLMRTNRVKLDEAFEFVKQRRSIISPNFS
+FMGQLLQFES
+>Q9H596_PF00782_30 <unknown description>
+FLSNGVAANDKLLLSSNRITAIVNASVEVVNVFFEGIQYIKVPVTDARDSRLYDFFDPIA
+DLIHTIDMRQGRTLLHCMAGVSRSASLCLAYLMKYHSMSLLDAHTWTKSRRPIIRPNNGF
+WEQLINYE
+>Q9NRW4_PF00782_12 <unknown description>
+LYIGNFKDARDAEQLSKNKVTHILSVHDSARPMLEGVKYLCIPAADSPSQNLTRHFKESI
+KFIHECRLRGESCLVHCLAGVSRSVTLVIAYIMTVTDFGWEDALHTVRAGRSCANPNVGF
+QRQLQEFEK
+>Q9BVJ7_PF00782_72 <unknown description>
+DQIDRFVQIVDEANARGEAVGVHCALGFGRTGTMLACYLVKERGLAAGDAIAEIRRLRPG
+SIETYEQEKAVFQFY
+>Q9BV47_PF00782_69 <unknown description>
+LYLGDQDMANNRRELRRLGITHVLNASHSRWRGTPEAYEGLGIRYLGVEAHDSPAFDMSI
+HFQTAADFIHRALSQPGGKILVHCAVGVSRSATLVLAYLMLYHHLTLVEAIKKVKDHRGI
+IPNRGFLRQLLALD
+>Q4G0W2_PF00782_26 <unknown description>
+LFLGSARAAGAEEQLARAGVTLCVNVSRQQPGPRAPGVAELRVPVFDDPAEDLLAHLEPT
+CAAMEAAVRAGGACLVYCKNGRSRSAAVCTAYLMRHRGLSLAKAFQMVKSARPVAEPNPG
+FWSQLQKYEE
+>Q68J44_PF00782_62 <unknown description>
+LYIGDEATALDRYRLQKAGFTHVLNAAHGRWNVDTGPDYYRDMDIQYHGVEADDLPTFDL
+SVFFYPAAAFIDRALSDDHSKILVHCVMGRSRSATLVLAYLMIHKDMTLVDAIQQVAKNR
+CVLPNRGFLKQLRELDK
+>Q9NX74_PF01207_15 <unknown description>
+ILAPMVRVGTLPMRLLALDYGADIVYCEELIDLKMIQCKRVVNEVLSTVDFVAPDDRVVF
+RTCEREQNRVVFQMGTSDAERALAVARLVENDVAGIDVNMGCPKQYSTKGGMGAALLSDP
+DKIEKILSTLVKGTRRPVTCKIRILPSLEDTLSLVKRIERTGIAAIAVHGRKREERPQHP
+VSCEVIKAIADTLSIPVIANGGSHDHIQQYSDIEDFRQATAASSVMVARAAMWNPSIFLK
+EGLRPLEEVMQKYIRYAVQYDNHYTNTKYCL
+>Q9NX74_PF00035_370 <unknown description>
+PKMCLLEWCRREKLAQPVYETVQRPLDRLFSSIVTVAEQKYQSTLWDKSKKLAEQAAAIV
+CLR
+>Q05923_PF00581_18 <unknown description>
+LRDPREAERTLLLDCRPFLAFCRRHVRAARPVPWNALLRRRARGPPAAVLACLLPDRALR
+TRLVRGELARAVVLDEGSASVAELRPDSPAHVLLAALLHETRAGPTAVYFLRGGFDGFQ
+>Q05923_PF00782_180 <unknown description>
+LFLGSCSHSSDLQGLQACGITAVLNVSASCPNHFEGLFRYKSIPVEDNQMVEISAWFQEA
+IGFIDWVKNSGGRVLVHCQAGISRSATICLAYLMQSRRVRLDEAFDFVKQRRGVISPNFS
+FMGQLLQFETQ
+>Q96G46_PF18044_122 <unknown description>
+RLCPSLIQESAAKCFFGDRCRFLHD
+>Q96G46_PF01207_309 <unknown description>
+LAPLTTCGNLPFRRICKRFGADVTCGEMAVCTNLLQGQMSEWALLKRHQCEDIFGVQLEG
+AFPDTMTKCAELLSRTVEVDFVDINVGCPIDLVYKKGGGCALMNRSTKFQQIVRGMNQVL
+DVPLTVKIRTGVQERVNLAHRLLPELRDWGVALVTLHGRSREQRYTKLADWQYIEECVQA
+ASPMPLFGNGDILSFEDANRAMQTGVTGIMIARGALLKPWLFTEIKEQRHWDISSSERLD
+ILRDF
+>P51452_PF00782_37 <unknown description>
+IYVGNASVAQDIPKLQKLGITHVLNAAEGRSFMHVNTNANFYKDSGITYLGIKANDTQEF
+NLSAYFERAADFIDQALAQKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSIVRQ
+NREIGPNDGFLAQLCQLND
+>O95620_PF01207_31 <unknown description>
+CAPMVRYSKLAFRTLVRKYSCDLCYTPMIVAADFVKSIKARDSEFTTNQGDCPLIVQFAA
+NDARLLSDAARIVCPYANGIDINCGCPQRWAMAEGYGACLINKPELVQDMVKQVRNQVET
+PGFSVSIKIRIHDDLKRTVDLCQKAEATGVSWITVHGRTAEERHQPVHYDSIKIIKENMS
+IPVIANGDIRSLKEAENVWRITGTDGVMVARGLLANPAMFAGYEETPLKCIWDWVDIALE
+LGTPYMCFHQHLMYMMEKITSR
+>Q13115_PF00581_44 <unknown description>
+KCLLLDCRPFLAHSAGYILGSVNVRCNTIVRRRAKGSVSLEQILPAEEEVRARLRSGLYS
+AVIVYDERSPRAESLREDSTVSLVVQALRRNAERTDICLLKGGYERFSS
+>Q13115_PF00782_203 <unknown description>
+LYLGSAYHAARRDMLDALGITALLNVSSDCPNHFEGHYQYKCIPVEDNHKADISSWFMEA
+IEYIDAVKDCRGRVLVHCQAGISRSATICLAYLMMKKRVRLEEAFEFVKQRRSIISPNFS
+FMGQLLQFES
+>Q16690_PF00581_10 <unknown description>
+QLRKMLRKEAAARCVVLDCRPYLAFAASNVRGSLNVNLNSVVLRRARGGAVSARYVLPDE
+AARARLLQEGGGGVAAVVVLDQGSRHWQKLREESAARVVLTSLLACLPAGPRVYFLKGGY
+ETFYS
+>Q16690_PF00782_186 <unknown description>
+LYLGSAYHASKCEFLANLHITALLNVSRRTSEACATHLHYKWIPVEDSHTADISSHFQEA
+IDFIDCVREKGGKVLVHCEAGISRSPTICMAYLMKTKQFRLKEAFDYIKQRRSMVSPNFG
+FMGQLLQYES
+>Q16828_PF00581_20 <unknown description>
+VAWLNEQLELGNERLLLMDCRPQELYESSHIESAINVAIPGIMLRRLQKGNLPVRALFTR
+GEDRDRFTRRCGTDTVVLYDESSSDWNENTGGESVLGLLLKKLKDEGCRAFYLEGGFSKF
+QA
+>Q16828_PF00782_214 <unknown description>
+LYLGCAKDSTNLDVLEEFGIKYILNVTPNLPNLFENAGEFKYKQIPISDHWSQNLSQFFP
+EAISFIDEARGKNCGVLVHCLAGISRSVTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPN
+FNFMGQLLDFER
+>Q16829_PF00581_56 <unknown description>
+SAEWLQEELEARGGASLLLLDCRPHELFESSHIETAINLAIPGLMLRRLRKGNLPIRSII
+PNHADKERFATRCKAATVLLYDEATAEWQPEPGAPASVLGLLLQKLRDDGCQAYYLQGGF
+NKFQT
+>Q16829_PF00782_252 <unknown description>
+LYLGCAKDSTNLDVLGKYGIKYILNVTPNLPNAFEHGGEFTYKQIPISDHWSQNLSQFFP
+EAISFIDEARSKKCGVLVHCLAGISRSVTVTVAYLMQKMNLSLNDAYDFVKRKKSNISPN
+FNFMGQLLDFER
+>Q13202_PF00581_26 <unknown description>
+GPLVIDSRSFVEYNSWHVLSSVNICCSKLVKRRLQQGKVTIAELIQPAARSQVEATEPQD
+VVVYDQSTRDASVLAADSFLSILLSKLDGCFDSVAILTGGFATFSS
+>Q13202_PF00782_168 <unknown description>
+LYLGSQKDVLNKDLMTQNGISYVLNASNSCPKPDFICESRFMRVPINDNYCEKLLPWLDK
+SIEFIDKAKLSSCQVIVHCLAGISRSATIAIAYIMKTMGMSSDDAYRFVKDRRPSISPNF
+NFLGQLLEYER
+>Q99956_PF00581_10 <unknown description>
+WLRRELSPPRPRLLLLDCRSRELYESARIGGALSVALPALLLRRLRRGSLSVRALLPGPP
+LQPPPPAPVLLYDQGGGRRRRGEAEAEAEEWEAESVLGTLLQKLREEGYLAYYLQGGFSR
+FQA
+>Q99956_PF00782_211 <unknown description>
+LYLGSARDSANLESLAKLGIRYILNVTPNLPNFFEKNGDFHYKQIPISDHWSQNLSRFFP
+EAIEFIDEALSQNCGVLVHCLAGVSRSVTVTVAYLMQKLHLSLNDAYDLVKRKKSNISPN
+FNFMGQLLDFER
+>Q6XUX3_PF07714_654 <unknown description>
+LGQELGRGQYGVVYLCDNWGGHFPCALKSVVPPDEKHWNDLALEFHYMRSLPKHERLVDL
+HGSVIDYNYGGGSSIAVLLIMERLHRDLYTGLKAGLTLETRLQIALDVVEGIRFLHSQGL
+VHRDIKLKNVLLDKQNRAKITDLGFCKPEAMMSGSIVGTPIHMAPELFTGKYDNSVDVYA
+FGILFWYICSGSVKLPEAFERCASKDHLWNNVRRGARPERLPVFDEECWQLMEACWDGDP
+LKRPLL
+>P33316_PF00692_122 <unknown description>
+HATAPTRGSARAAGYDLYSAYDYTIPPMEKAVVKTDIQIALPSGCYGRVAPRSGLAAKHF
+IDVGAGVIDEDYRGNVGVVLFNFGKEKFEVKKGDRIAQLICERIFYPEIEEVQALDDTER
+GSGGFGSTG
+>Q9UBX2_PF00046_20 <unknown description>
+RRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQ
+>Q9UBX2_PF00046_95 <unknown description>
+RRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARH
+>A6NLW8_PF00046_16 <unknown description>
+RRCRTKFTEEQLKILINTFNQKPYPGYATKQKLALEINTEESRIQIWFQNRRARH
+>A6NLW8_PF00046_102 <unknown description>
+RRCRTTYSASQLHTLIKAFMKNPYPGIDSREELAKEIGVPESRVQIWFQNRRSR
+>A0A1W2PPF3_PF00046_17 <unknown description>
+RNRIQYNQSQKDILQSWFQHDPFPDKAAREQLAKEIGVPESNIQVWFKNYRVKQRK
+>A0A1W2PPF3_PF00046_103 <unknown description>
+RQKQTFITWTQKNRLVQAFERNPFPDIATRKKLAEQTGLQESRIQMWFQKQRS
+>O14640_PF00778_3 <unknown description>
+ETKIIYHMDEEETPYLVKLPVAPERVTLADFKNVLSNRPVHAYKFFFKSMDQDFGVVKEE
+IFDDNAKLPCFNGRVVSWL
+>O14640_PF02377_90 <unknown description>
+DAGSQGTDSHTDLPPPLERTGGIGDSRPPSFHPNVASSRDGMDNETGTESMVSHRRERAR
+RRNREEAARTNGHPRGDRRRDVGLPPDSASTALSSELESSSFVDSDEDGSTSRLSSSTEQ
+STSSRLIRKHKRRRRKQRLRQADRASSFSSITDSTMSL
+>O14640_PF00595_252 <unknown description>
+VTLNMERHHFLGISIVGQSNDRGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNDVNFEN
+MSNDDAVRVLREIVSQTGPISLTV
+>O14640_PF00610_428 <unknown description>
+GLEIRDRMWLKITIANAVIGADVVDWLYTHVEGFKERREARKYASSLLKHGFLRHTVNKI
+TFSEQCYYVF
+>O14640_PF12316_503 <unknown description>
+NLATLNLNSGSSGTSDQDTLAPLPHPAAPWPLGQGYPYQYPGPPPCFPPAYQDPGFSYGS
+GSTGSQQSEGSKSSGSTRSSRRAPGREKERRAAGAGGSGSESDHTAPSGVGSSWRERPAG
+QLSRGSSPRSQASATAPGLPPPHPTTKAYTVVGGPPGGPPVRELAAVPPELTGSRQSFQK
+AMG
+>O14641_PF00778_13 <unknown description>
+ETKVIYHLDEEETPYLVKIPVPAERITLGDFKSVLQRPAGAKYFFKSMDQDFGVVKEEIS
+DDNARLPCFNGRVVSWL
+>O14641_PF02377_110 <unknown description>
+LAPPAPPLPPLPPERTSGIGDSRPPSFHPNVSSSHENLEPETETESVVSLRRERPRRRDS
+SEHGAGGHRTGGPSRLERHLAGYESSSTLMTSELESTSLGDSDEEDTMSRFSSSTEQSSA
+SRLLKRHRRRRKQRPPRLERTSSFSSVTDSTMSL
+>O14641_PF00595_268 <unknown description>
+VTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNDMNFEN
+MSNDDAVRVLRDIVH
+>O14641_PF00610_436 <unknown description>
+GLEVRDRMWLKITIPNAFLGSDVVDWLYHHVEGFPERREARKYASGLLKAGLIRHTVNKI
+TFSEQCYYVF
+>O14641_PF12316_515 <unknown description>
+YLVNLSLNDNDGSSGASDQDTLAPLPGATPWPLLPTFSYQYPAPHPYSPQPPPYHELSSY
+TYGGGSASSQHSEGSRSSGSTRSDGGAGRTGRPEERAPESKSGSGSESEPSSRGGSLRRG
+GEASGTSDGGPPPSRGSTGGAPNLRAHPGLHPYGPPPGMALPYNPMMVVMMPPPPPPVPP
+AVQPPGAPPVRDLGSVPPELTASRQSFHMAMG
+>Q92997_PF00778_3 <unknown description>
+ETKIIYHLDGQETPYLVKLPLPAERVTLADFKGVLQRPSYKFFFKSMDDDFGVVKEEISD
+DNAKLPCFNGRVVSWL
+>Q92997_PF02377_90 <unknown description>
+PFCADNPSELPPPMERTGGIGDSRPPSFHPHAGGGSQENLDNDTETDSLVSAQRERPRRR
+DGPEHATRLNGTAKGERRREPGGYDSSSTLMSSELETTSFFDSDEDDSTSRFSSSTEQSS
+ASRLMRRHKRRRRKQKVSRIERSSSFSSITDSTMSL
+>Q92997_PF00595_250 <unknown description>
+VTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNEINFEN
+MSNDDAVRVLREIVHKPGPITLTV
+>Q92997_PF00610_425 <unknown description>
+GLEVRDRMWLKITIPNAFIGSDVVDWLYHNVEGFTDRREARKYASNLLKAGFIRHTVNKI
+TFSEQCYYIF
+>Q92997_PF12316_500 <unknown description>
+NMANLSLHDHDGSSGASDQDTLAPLPHPGAAPWPMAFPYQYPPPPHPYNPHPGFPELGYS
+YGGGSASSQHSEGSRSSGSNRSGSDRRKEKDPKAGDSKSGGSGSESDHTTRSSLRGPRER
+APSERSGPAASEHSHRSHHSLASSLRSHHTHPSYGPPGVPPLYGPPMLMMPPPPAAMGPP
+GAPPGRDLASVPPELTASRQSFRMAMG
+>O00148_PF00270_69 <unknown description>
+EVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVTVLVMCHTRELAFQI
+SKEYERFSKYMPSVKVSVFFGGLSIKKDEEVLKKNCPHVVVGTPGRILALVRNRSFSLKN
+VKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKDI
+>O00148_PF00271_273 <unknown description>
+KNRKLFDLLDVLEFNQVIIFVKSVQRCMALAQLLVEQNFPAIAIHRGMAQEERLSRYQQF
+KDFQRRILVATNLFGRGMDIERVNIVFNYDMPEDSDTYLHRVARAGRFG
+>Q13838_PF00270_70 <unknown description>
+EVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMCHTRELAFQI
+SKEYERFSKYMPNVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALARNKSLNLKH
+IKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEI
+>Q13838_PF00271_274 <unknown description>
+KNRKLFDLLDVLEFNQVVIFVKSVQRCIALAQLLVEQNFPAIAIHRGMPQEERLSRYQQF
+KDFQRRILVATNLFGRGMDIERVNIAFNYDMPEDSDTYLHRVARAGRFG
+>O77932_PF08652_235 <unknown description>
+VDCTDPQAPSTQPPTCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPNVVAGFR
+NPDGFVS
+>Q8WWB3_PF05186_3 <unknown description>
+SIYLQKHLGACLTQGLAEVARVRPVDPIEYLALWIYKYK
+>Q96IM9_PF05186_1 <unknown description>
+METNYLKRCFGNCLAQALAEVAKVRPSDPIEYLAHWLYHYR
+>Q96DT5_PF08385_240 <unknown description>
+LHAIESVVIEWSHQIQEIIERDSVQRLLNGLHLSPQAELDFWMMRRENLSCIYDQLQAPV
+VLKMVKILTTKQSSYFPTLKDIFLAVENALLEAQDVELYLRPLRRHIQCLQETEFPQTRI
+LIAPLFHTICLIWSHSKFYNTPARVIVLLQEFCNLFINQATAYLSPEDLLRGEIEESLEK
+VQVAVNILKTFKNSFFNYRKKLASYFMGRKLRPWDFQSHLVFCRFDKFLDRLIKIEDIFA
+TTLEFEKLERLEFGGTKGAILNGQVHEMSEELMELCKLFKQSTYDPSDCTNMEFESDYVA
+FKSKTLEFDRRLGTIICEAFFNCNGLEAAFKLLTIFGNFLEKPVVMEIFSLHYSTLVHMF
+NTELDVCKQLYNEHMKQIECGHVVLNKNMPFTSGNMKWAQQVLQRLQMFWSNFASLRYLF
+LGNPDHALVYQKYVEMTTLLDQFESRIYNEWKSNVDEICEFNLNQPLVKFSAINGLLCVN
+FDPKLVAVLREVKYLLMLKKQDIPDSALAIFKKRNTILKYIGNLDLLVQGYNKLKQTLLE
+VEYPLIEDELRAIDEQLTAATTWLTWQDDC
+>Q96DT5_PF08393_1316 <unknown description>
+MKQCRKEIKLLKGLWDVIIYVRRSIDNWTKTQWRQIHVEQMDVELRRFAKEIWSLNKEVR
+VWDAYTGLEGTVKDMTASLRAITELQSPALRDRHWHQLMKAIGVKFLINEATTLADLLAL
+RLHRVEDDVRRIVDKAVKELGTEKVITEISQTWATMKFSYEVHYRTGIPLLKSDEQLFET
+LEHNQVQLQTLLQSKYVEYFIEQVLSWQNKLNIADLVIFTWMEVQRTWSHLESIFVCSED
+IRIQLVKDARRFDGVDAEFKELMFKTAKVENVLEATCRPNLYEKLKDLQSRLSLCEKALA
+EYLETKRIAFPRFYFVSSADLLDILSKGAQPKQVTCHLAKLFDSIADLQFEDNQDVSAHR
+AVGMYSKEKEYVPFQAECECVGHVETWLLQLEQTMQETVRHSITEA
+>Q96DT5_PF12774_1855 <unknown description>
+YFYEYLGNSPRLVITPLTDRCYITLTQSLHLTMSGAPAGPAGTGKTETTKDLGRALGMMV
+YVFNCSEQMDYKSIGNIYKGLVQTGAWGCFDEFNRISVEVLSVVAVQVKMIHDAIRNRKK
+RFVFLGEAITLKPSVGIFITMNPGYAGRTELPENLKALFRPCAMVAPDIELICEILLVAE
+GFVDARALARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDKNRPEDQVLM
+RALRDFNMPKIVTDDIPVFLGLVGDLFPALDVPRRRKLHFEQMVRQSTLELRLQPEESFI
+LKVVQLEELLAVRHSVFVVGNAGTGKS
+>Q96DT5_PF17852_2348 <unknown description>
+ILFDKYVPACLDKLRTSFKTITSIPESSLVQTLCVLLECLLTPENVPSDSPKEVYEVYFV
+FACIWAFGGTLLQDQISDYQADFSRWWQKEMKAVKFPSQGTIFDYYVDHKTKKLLPWAD
+>Q96DT5_PF12775_2471 <unknown description>
+FTMDPDVPLQTVLVHTTETARLRYFMELLLEKGKPLMLVGNAGVGKTVFVGDTLASLSED
+YIVSRVPFNYYTTSTALQKILEKPLEKKAGHNYGPGGNKKLIYFIDDMNMPEVDLYGTVQ
+PHTLIRQHIDYGHWYDRQKVMLKEIHNCQYVACMNPMVGSFTINPRLQRHFTVFAFNFP
+>Q96DT5_PF17857_2682 <unknown description>
+LIQATIAFHQTMMCNFLPTAIKFHYIFNLRDLSNVFQGILFASPECLKGPLDLIHLWLHE
+SARVYGDKLIDKKDCDLFQRRMLETAYKYFEGIDSHML
+>Q96DT5_PF12780_2825 <unknown description>
+MHLVLFEDAMQHVCRISRILRTPQGCALLVGVGGSGKQSLSRLAAYLRGLEVFQITLTEG
+YGIQELRVDLANLYIRTGAKNMPTVFLLTDAQVLDESFLVLINDLLASGEIPDLFSDEDV
+DKIISGIHNEVHALGMVDSRENCWKFFMARVRLQLKIILCFSPVGRTLRVRARKFPAIVN
+CTAIDWFHAWPQEALVSVSRRFIEETKGIEPVHKDSISLFMAHVHTTVNEMSTRYYQNER
+RHNYTTPKSFLEQISLFKNL
+>Q96DT5_PF12777_3097 <unknown description>
+ERLVNGIQKLKTTASQVGDLKARLASQEAELQLRNHDAEALITKIGLQTEKVSREKTIAD
+AEERKVTAIQTEVFQKQRECEADLLKAEPALVAATAALNTLNRVNLSELKAFPNPPIAVT
+NVTAAVMVLLAPRGRVPKDRSWKAAKVFMGKVDDFLQALINYDKEHIPENCLKVVNEHYL
+KDPEFNPNLIRTKSFAAAGLCAWVINIIKFYEVYCDVEPKRQALAQANLELAAATEKLEA
+IRKKLVDLDRNLSRLTASFEKATAEKVRCQEEVNQTNKTIKLANRLVKELEAKKIRWGQS
+IKSFEAQEKTLCGDVLLTAAFVSYVGPFTRQYRQELVHCKWVPFL
+>Q96DT5_PF12781_3467 <unknown description>
+AWNNEGLPSDRMSTENAAILTHCERWPLVIDPQQQGIKWIKNKYGMDLKVTHLGQKGFLN
+AIETALAFGDVILIENLEETIDPVLDPLLGRNTIKKGKYIRIGDKECEFNKNFRLILHTK
+LANPHYKPELQAQTTLLNFTVTEDGLEAQLLAEVVSIERPDLEKLKLVLTKHQNDFKIEL
+KYLEDDLLLRLSAAEGSFLDDTKLVERLEATKTTVAEI
+>Q96DT5_PF03028_3925 <unknown description>
+PATPIFFILSPGVDALKDLEILGKRLGFTIDSGKFHNVSLGQGQETVAEVALEKASKGGH
+WVILQNVHLVAKWLGTLEKLLERFSQGSHRDYRVFMSAESAPTPDEHIIPQGLLENSIKI
+T
+>Q96DT5_PF18198_4077 <unknown description>
+FKSILFSLCYFHACVAGRLRFGPQGWSRSYPFNPGDLTICASVLYNYLEANSKVPWEDLR
+YLFGEIMYGGHITDDWDRKLCRVYLEEFMNPSLTEDELMLAPGFAAPPYLDYAGYHQYIE
+EMLPPESPALYGLHPNA
+>Q96DT5_PF18199_4220 <unknown description>
+VTSNTLFRTLLEMQPRNALSGDELGQSTEEKVKNVLDDILEKLPEEFNMAEIMQKNSNRS
+PYVLVCFQECERMNILIREIRISLEQLDLSLKGELALSPAVEAQQFALSYDTVPDTWSKL
+AYPSTYGLAQWFNDLLLRCRELDTWTQDLTLPAVVWLSGFFNPQSFLTAIMQTMARKNEW
+PLDKTRLTADVTKKTKEDYGHPPREGAYLHGLFMEGARWDTQAGTIVEARLKELACPMPV
+IFAKATPVDRQETKQTYECPVYRTKLRGPSYIWTFRLKSEEKTAKWVLAGVALLL
+>Q9UFH2_PF08385_190 <unknown description>
+LHAIETTIIDWSHQIRDVLSKDSAQALLDGLHPLPQVEFEFWDTRLLNLKCIHEQLNRPK
+VNKIVEILEKAKSCYWPALQNVYTNVTEGLKEANDIVLYLKPLRILLEEMEQADFTMLPT
+FIAKVLDTICFIWATSEYYNTPARIIVILQEFCNQIIEMTRTFLSPEEVLKGLQGEIEEV
+LSGISLAVNVLKELYQTYDFCCVNMKLFFKDKEPVPWEFPSSLAFSRINSFFQRIQTIEE
+LYKTAIEFLKLEKIELGGVRGNLLGSLVTRIYDEVFELVKVFADCKYDPLDPGDSNFDRD
+YADFEIKIQDLDRRLATIFCQGFDDCSCIKSSAKLLYMCGGLMERPLILAEVAPRYSVML
+ELFDAELDNAKILYDAQMAASEEGNIPLIHKNMPPVAGQLKWSLELQERLEVSMKHLKHV
+EHPVMSGAEAKLTYQKYDEMMELLRCHREKIYQQWVAGVDQDCHFNLGQPLILRDAASNL
+IHVNFSKALVAVLREVKYLNFQQQKEIPDSAESLFSENETFRKFVGNLELIVGWYNEIKT
+IVKAVEFLLIKSELEAIDVKLLSAETTLFWNGEGVFQY
+>Q9UFH2_PF08393_1269 <unknown description>
+LKACHREVRLLKELWDMVVVVNTSIEDWKTTKWKDINVEQMDIDCKKFAKDMRSLDKEMK
+TWDAFVGLDNTVKNVITSLRAVSELQNPAIRERHWQQLMQATQVKFKMSEETTLADLLQL
+NLHSYEDEVRNIVDKAVKESGMEKVLKALDSTWSMMEFQHEPHPRTGTMMLKSSEVLVET
+LEDNQVQLQNLMMSKYLAHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSED
+IRTQLPGDSQRFDDINQEFKALMEDAVKTPNVVEATSKPGLYNKLEALKKSLAICEKALA
+EYLETKRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASDKPLK
+VGLGMYSKEDEYMVFDQECDLSGQVEVWLNRVLDRMCSTLRHEIPE
+>Q9UFH2_PF12774_1809 <unknown description>
+YSYEYLGNTPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMV
+YVFNCSEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKK
+AFNFLGEIIGLIPTVGIFITMNPGYAGRAELPENLKALFRPCAMVVPDFELICEIMLMAE
+GFLEARLLARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVLM
+RALRDFNIPKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKIIKQSIVELKLQAEDSFV
+LKVVQLEELLQVRHSVFIVGNAGSGKS
+>Q9UFH2_PF17852_2293 <unknown description>
+ILFDKYLPTCLDKLRFGFKKITPVPEITVIQTILYLLECLLTEKTVPPDSPRELYELYFV
+FTCFWAFGGAMFQDQLVDYRVEFSKWWINEFKTIKFPSQGTIFDYYIDPDTKKFLPWTD
+>Q9UFH2_PF12775_2416 <unknown description>
+FELDPDVPLQASLVHTTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGDKLESLNTD
+NYLVQAVPFNFYTTSAMLQGVLEKPLEKKSGRNYGPPGTKKLVYFIDDMNMPEVDKYGTV
+APHTLIRQHMDHRHWYDRHKLTLKDIHNCQYVACMNPTSGSFTIDSRLQRHFCVFAVSFP
+>Q9UFH2_PF17857_2628 <unknown description>
+LVAAALALHQKITATFLPTAIKFHYVFNLRDLSNIFQGLLFSTAEVLKTPLDLVRLWLHE
+TERVYGDKMVDEKDQETLHRVTMASTKKFFDDLGDELLF
+>Q9UFH2_PF12780_2771 <unknown description>
+MNLVLFEDAVAHICRINRILESPRGNALLVGVGGSGKQSLSRLAAYISGLDVFQITLKKG
+YGIPDLKIDLAAQYIKAAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFMEDEV
+ENIISSMRPQVKSLGMNDTRETCWKFFIEKVRRQLKVILCFSPVGSVLRVRARKFPAVVN
+CTAIDWFHEWPEDALVSVSARFLEETEGIPWEVKASISFFMSYVHTTVNEMSRVYLATER
+RYNYTTPKTFLEQIKLYQNL
+>Q9UFH2_PF12777_3043 <unknown description>
+ERLENGLMKLQSTASQVDDLKAKLAIQEAELKQKNESADQLIQVVGIEAEKVSKEKAIAD
+QEEVKVEVINKNVTEKQKACETDLAKAEPALLAAQEALDTLNKNNLTELKSFGSPPDAVV
+NVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDTFLDSLKKFDKEHIPEACLKAFKPYQG
+NPTFDPEFIRSKSTAAAGLCSWCINIVRFYEVYCDVAPKRQALEEANAELAEAQEKLSRI
+KNKIAELNANLSNLTSAFEKATAEKIKCQQEADATNRVILLANRLVGGLASENIRWAESV
+ENFRSQGVTLCGDVLLISAFVSYVGYFTKKYRNELMEKFWIPYI
+>Q9UFH2_PF12781_3413 <unknown description>
+TWNNQGLPSDRMSTENATILGNTERWPLIVDAQLQGIKWIKNKYRSELKAIRLGQKSYLD
+VIEQAISEGDTLLIENIGETVDPVLDPLLGRNTIKKGKYIKIGDKEVEYHPKFRLILHTK
+YFNPHYKPEMQAQCTLINFLVTRDGLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVL
+KELEDSLLARLSAASGNFLGDTALVENLETTKHTASEI
+>Q9UFH2_PF03028_3871 <unknown description>
+PSTSIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEVVAENALDVAAEKGH
+WVILQNIHLVARWLGTLDKKLEHYSTGSHEDYRVFISAEPAPSPETHIIPQGILENAIKI
+T
+>Q9UFH2_PF18198_4023 <unknown description>
+FKCMLFALCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDLR
+YLFGEIMYGGHITDDWDRRLCRTYLAEYIRTEMLEGDVLLAPGFQIPPNLDYKGYHEYID
+ENLPPESPYLYGLHPNA
+>Q9UFH2_PF18199_4167 <unknown description>
+TSEKLFRTVLEMQPKETDSGAGTGVSREEKVKAVLDDILEKIPETFNMAEIMAKAAEKTP
+YVVVAFQECERMNILTNEMRRSLKELNLGLKGELTITTDVEDLSTALFYDTVPDTWVARA
+YPSMMGLAAWYADLLLRIRELEAWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKNEWP
+LDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKELTPAMPVI
+FIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLL
+>Q9P2D7_PF08393_1014 <unknown description>
+SRMVKEFQPYLDLWTTASDWLRWSESWMNDPLSAIDAEQLEKNVVEAFKTMHKCVKQFKD
+MPACQEVALDIRARIEEFKPYIPLIQGLRNPGMRIRHWETLSNQININVRPKANLTFARC
+LEMNLQDHIESISKVAEVAGKEYAIEQALDKMEKEWSTILFNVLPYKATDTYILKSPDEA
+SQLLDDHIVMTQNMSFSPYKKPFEQRINSWENKLKLTQEVLEEWLNCQRSWLYLEPIFSS
+EDINQQLPVESKRYQTMERIWKKIMKNAYENREVINVCSDLRMLDSLRDCNKILDLVQKG
+LSEYLETKRSAFPRFYFLSDDELLEILSQTKDPTAVQPHLRKCFENIARLLFQEDLEITH
+MYSAEGEEVQLCFSIYPSSNVEDWLREVERSMKASVHDIIEKA
+>Q9P2D7_PF12774_1543 <unknown description>
+YGYEYLGNSGRLVITPLTDRCYLTLTGALHLKFGGAPAGPAGTGKTETTKDLGKALAIQT
+VVFNCSDQLDFMAMGKFFKGLASAGAWACFDEFNRIDIEVLSVVAQQITTIQKAQQQRVE
+RFMFEGVEIPLVPSCAVFITMNPGYAGRTELPDNLKALFRPVAMMVPDYAMITEISLYSF
+GFNEASVLAKKITTTFKLSSEQLSSQDHYDFGMRAVKTVISAAGNLKRENPSMNEELICL
+RAIRDVNVPKFLQEDLKLFSGIVSDLFPTIKEEDTDYGILDEAIREACRNSNLKDVEGFL
+TKCIQLYETTVVRHGLMLVGPTGSGKS
+>Q9P2D7_PF17852_2038 <unknown description>
+KALFVSFLEESISFVRSSVKEVIASTNCNLTMSLLKLLDCFFKPFLPREGLKKIPSEKLS
+RIVELIEPWFIFSLIWSVGATGDSSGRTSFSHWLRLKMENEQLTLLFPEEGLVFDYRLED
+AGISGTND
+>Q9P2D7_PF12775_2189 <unknown description>
+TMVPDTNYCNIIVPTMDTVQMSHLLDMLLTNKKPVLCIGPTGTGKTLTISDKLLKNLALD
+YISHFLTFSARTSANQTQDFIDSKLDKRRKGVFGPPLGRNFIFFIDDLNMPALETYGAQP
+PIELLRQWMDHGGWYDRKIIGAFKNLVDINFVCAMGPPGGGRNTVTPRLMRHFNYLSFAE
+>Q9P2D7_PF17857_2412 <unknown description>
+LVEATIMVYATITSQLLPTPAKSHYTFNLRDLSKVFQGMLMADPAKVEDQVQLLRLWYHE
+NCRVFRDRLVNEEDRSWFDQLLK
+>Q9P2D7_PF12780_2556 <unknown description>
+LKLVLFMDAMSHICRISRTLRQALGNALLLGVGGSGRSSLTRLASHMAEYECFQIELSKN
+YGMSEWRDDVKKVLLKAGLQNLPITFLFSDTQIKNESFLEDINNVLNSGDIPNLYTADEQ
+DQIVSTMRPYIQEQGLQPTKANLMAAYTGRVRSNIHMVLCMSPIGEVFRARLRQFPSLVN
+CCTIDWFNEWPAEALKSVATVFLNEIPELESSQEEIQGLIQVCVYIHQSVSKKCIEYLAE
+LTRHNYVTPKSYLELLHIFS
+>Q9P2D7_PF12777_2831 <unknown description>
+RMKSGLDKLLRTSEDVAKMQEDLESMHPLLEEAAKDTMLTMEQIKVDTAIAEETRNSVQT
+EEIKANEKAKKAQAIADDAQKDLDEALPALDAALASLRNLNKNDVTEVRAMQRPPPGVKL
+VIEAVCIMKGIKPKKVPGEKPGTKVDDYWEPGKGLLQDPGHFLESLFKFDKDNIGDVVIK
+AIQPYIDNEEFQPATIAKVSKACTSICQWVRAMHKYHFVAKAVEPKRQALLEAQDDLGVT
+QRILDEAKQRLREVEDGIATMQAKYRECITKKEELELKCEQCEQRLGRAGKLINGLSDEK
+VRWQETVENLQYMLNNISGDVLVAAGFVAYLGPFTGQYRTVLYDS
+>Q9P2D7_PF12781_3205 <unknown description>
+SWQIAGLPNDTLSVENGVINQFSQRWTHFIDPQSQANKWIKNMEKDNGLDVFKLSDRDFL
+RSMENAIRFGKPCLLENVGEELDPALEPVLLKQTYKQQGNTVLKLGDTVIPYHEDFRMYI
+TTKLPNPHYTPEISTKLTLINFTLSPSGLEDQLLGQVVAEERPDLEEAKNQLIISNAKMR
+QELKDIEDQILYRLSSSEGNPVDDMELIKVLEASKMKAAEI
+>Q9P2D7_PF03028_3669 <unknown description>
+STTPLIFVLSPGTDPAADLYKFAEEMKFSKKLSAISLGQGQGPRAEAMMRSSIERGKWVF
+FQNCHLAPSWMPALERLIEHINPDKVHRDFRLWLTSLPSNKFPVSILQNGSKMT
+>Q9P2D7_PF18198_3814 <unknown description>
+FKSLLLSLCLFHGNALERRKFGPLGFNIPYEFTDGDLRICISQLKMFLDEYDDIPYKVLK
+YTAGEINYGGRVTDDWDRRCIMNILEDFYNPDVLSPEHSYSASGIYHQIPPTYDLHGYLS
+YIKSLPLNDMPEIFGLHDNA
+>Q9P2D7_PF18199_3959 <unknown description>
+QNETFALLGTIIQLQPKSSSAGSQGREEIVEDVTQNILLKVPEPINLQWVMAKYPVLYEE
+SMNTVLVQEVIRYNRLLQVITQTLQDLLKALKGLVVMSSQLELMAASLYNNTVPELWSAK
+AYPSLKPLSSWVMDLLQRLDFLQAWIQDGIPAVFWISGFFFPQAFLTGTLQNFARKFVIS
+IDTISFDFKVMFEAPSELTQRPQVGCYIHGLFLEGARWDPEAFQLAESQPKELYTEMAVI
+WLLPTPNRKAQDQDFYLCPIYKTLTRAGTLSTTGHSTNYVIAVEIPTHQPQRHWIKRGVA
+LIC
+>Q9P225_PF08385_242 <unknown description>
+VQRLETSMIHWTRQIKEMLSAQETVETGENLGPLEEIEFWRNRCMDLSGISKQLVKKGVK
+HVESILHLAKSSYLAPFMKLAQQIQDGSRQAQSNLTFLSILKEPYQELAFMKPKDISSKL
+PKLISLIRIIWVNSPHYNTRERLTSLFRKVCD
+>Q9P225_PF08385_414 <unknown description>
+GAQGPQITRNLLEIEDIFHKNLHTLRAVRGGILDVKNTCWHEDYNKFRAGIKDLEVMTQN
+LITSAFELVRDVPHGVLLLDTFHRLASREAIKRTYDKKAVDLYMLFNSELALVNRERNKK
+WPDLEPYVAQYSGKARWVHILRRRIDRVMTCLAGAHFLPRIGTGKESVHTYQQMVQAIDE
+LVRKTFQEWTSSLDKDCIRRLDTPLLRISQEKAGMLDVNFDKSLLILFAEIDYWERLLFE
+TPHYVVNVAERAEDLRILRENLLLVARDYNRIIAMLSPDEQALFKERIRLLDKKIHPGLK
+KLHWALKGASA
+>Q9P225_PF08393_1220 <unknown description>
+LQNLEKELDALQQIWEIARDWEENWNEWKTGRFLILQTETMETTAHGLFRRLTKLAKEYK
+DRNWEIIETTRSKIEQFKRTMPLISDLRNPALRERHWDQVRDEIQREFDQESESFTLEQI
+VELGMDQHVEKIGEISASATKELAIEVALQNIAKTWDVTQLDIVPYKDKGHHRLRGTEEV
+FQALEDNQVALSTMKASRFVKAFEKDVDHWERCLSLILEVIEMILTVQRQWMYLENIFLG
+EDIRKQLPNESTLFDQVNSNWKAIMDRMNKDNNALRSTHHPGLLDTLIEMNTILEDIQKS
+LDMYLETKRHIFPRFYFLSNDDLLEILGQSRNPEAVQPHLKKCFDNIKLLRIQKVGGPSS
+KWEAVGMFSGDGEYIDFLHSVFLEGPVESWLGDVEQTMRVTLRDLLRNC
+>Q9P225_PF12774_1765 <unknown description>
+YNYEYLGNSGRLVITPLTDRCYMTLTTALHLHRGGSPKGPAGTGKTETVKDLGKALGIYV
+IVVNCSEGLDYKSMGRMYSGLAQTGAWGCFDEFNRINIEVLSVVAHQILCILSALAAGLT
+HFHFDGFEINLVWSCGIFITMNPGYAGRTELPENLKSMFRPIAMVVPDSTLIAEIILFGE
+GFGNCKILAKKVYTLYSLAVQQLSRQDHYDFGLRALTSLLRYAGKKRRLQPDLTDEEVLL
+LSMRDMNIAKLTSVDAPLFNAIVQDLFPNIELPVIDYGKLRETVEQEIRDMGLQSTPFTL
+TKVFQLYETKNSRHSTMIVGCTGSGKT
+>Q9P225_PF17852_2253 <unknown description>
+LQRMFEKLINKMLAFKKDNCKELVPLPEYSGITSLCKLYSALATPENGVNPADGENYVTM
+VEMTFVFSMIWSVCASVDEEGRKRIDSYLREIEGSFPNKDTVYEYFVDPKIRSWTSFE
+>Q9P225_PF12775_2377 <unknown description>
+WRYPPNAPFYKIMVPTVDTVRYNYLVSSLVANQNPILLVGPVGTGKTSIAQSVLQSLPSS
+QWSVLVVNMSAQTTSNNVQSIIESRVEKRTKGVYVPFGGKSMITFMDDLNMPAKDMFGSQ
+PPLELIRLWIDYGFWYDRTKQTIKYIREMFLMAAMGPPGGGRTVISPRLRSRFNIINMTF
+P
+>Q9P225_PF17857_2589 <unknown description>
+TEATLDMYNTVVQRFLPTPTKMHYLFNLRDISKVFQGMLRANKDFHDTKSSITRLWIHEC
+FRVFSDRLVDAADTEAFMGIISDKLGSFFD
+>Q9P225_PF12780_2732 <unknown description>
+MQLVLFREAIEHITRIVRVIGQPRGNMLLVGIGGSGRQSLARLASSICDYTTFQIEVTKH
+YRKQEFRDDIKRLYRQAGVELKTTSFIFVDTQIADESFLEDINNILSSGEVPNLYKPDEF
+EEIQSHIIDQARVEQVPESSDSLFAYLIERVQNNLHIVLCLSPMGDPFRNWIRQYPALVN
+CTTINWFSEWPQEALLEVAEKCLIGVDLGTQENIHRKVAQIFVTMHWSVAQYSQKMLLEL
+RRHNYVTPTKYLELLSGYKKL
+>Q9P225_PF12777_3006 <unknown description>
+KLRTGLFKIDETREKVQVMSLELEDAKKKVAEFQKQCEEYLVIIVQQKREADEQQKAVTA
+NSEKIAVEEIKCQALADNAQKDLEEALPALEEAMRALESLNKKDIGEIKSYGRPPAQVEI
+VMQAVMILRGNEPTWAEAKRQLGEQNFIKSLINFDKDNISDKVLKKIGAYCAQPDFQPDI
+IGRVSLAAKSLCMWVRAMELYGRLYRVVEPKRIRMNAALAQLREKQAALAEAQEKLREVA
+EKLEMLKKQYDEKLAQKEELRKKSEEMELKLERAGMLVSGLAGEKARWEETVQGLEEDLG
+YLVGDCLLAAAFLSYMGPFLTNYRDEIVNQIWI
+>Q9P225_PF12781_3365 <unknown description>
+RDWNIQGLPSDAFSTENGIIVTRGNRWALMIDPQAQALKWIKNMEGGQGLKIIDLQMSDY
+LRILEHAIHFGYPVLLQNVQEYLDPTLNPMLNKSVARIGGRLLMRIGDKEVEYNTNFRFY
+ITTKLSNPHYSPETSAKTTIVNFAVKEQGLEAQLLGIVVRKERPELEEQKDSLVINIAAG
+KRKLKELEDEILRLLNEATGSLLDDVQLVNTLHTSKITATEV
+>Q9P225_PF03028_3833 <unknown description>
+PRSPLVFILSPGVDPTSALLQLAEHMGMAQRFHALSLGQGQAPIAARLLREGVTQGHWVF
+LANCHLSLSWMPNLDKLVEQLQVEDPHPSFRLWLSSIPHPDFPISILQVSIKMT
+>Q9P225_PF18198_3978 <unknown description>
+YKKLLFSLCFFHSVLLERKKFLQLGWNIIYGFNDSDFEVSENLLSLYLDEYEETPWDALK
+YLIAGINYGGHVTDDWDRRLLTTYINDYFCDQSLSTPFHRLSALETYFIPKDGSLASYKE
+YISLLPGMDPPEAFGQHPNA
+>Q9P225_PF18199_4124 <unknown description>
+TEAQTLFDTLLSLQPQITPTRAGGQTREEKVLELAADVKQKIPEMIDYEGTQKLLALDPS
+PLNVVLLQEIQRYNTLMQTILFSLTDLEKGIQGLIVMSTSLEEIFNCIFDAHVPPLWGKA
+YPSQKPLAAWTRDLAMRVEQFELWASRARPPVIFWLSGFTFPTGFLTAVLQSSARQNNVS
+VDSLSWEFIVSTVDDSNLVYPPKDGVWVRGLYLEGAGWDRKNSCLVEAEPMQLVCLMPTI
+HFRPAESRKKSAKGMYSCPCYYYPNRAGSSDRASFVIGIDLRSGAMTPDHWIKRGTALLM
+>Q8TE73_PF08385_252 <unknown description>
+KIEDCMKVWIKQTEQVLAENNQLLKEADDVGPRAELEHWKKRLSKFNYLLEQLKSPDVKA
+VLAVLAAAKSKLLKTWREMDIRITDATNEAKDNVKYLYTLEKCCDPLYSSDPLSMMDAIP
+TLINAIKMIYSISHYYNTSEKITSLFVKVTNQIISACKAYITNNGTASIWNQPQDVVEEK
+ILSAIKLKQEYQLCFHKTKQKLKQNPNAKQFDFSEMYIFGKFETFHRRLAKIIDIFTTLK
+TYSVLQDSTIEGLEDMATKYQGIVATIKKKEYNFLDQRKMDFDQDYEEFCKQTNDLHNEL
+RKFMDVTFAKIQNTNQALRMLKKFERLNIPNLGIDDKYQLILENYGADIDMISKLYTKQK
+YDPPLARNQPPIAGKILWARQLFHRIQQPMQLFQQHPAVLSTAEAKPIIRSYNRMAKVLL
+EFEVLFHRAWLRQIEEIHVGLEASLLVKAPGTGELFVNFDPQILILFRETECMAQMGLEV
+SPLATSLFQKRDRYKRNFSNMKMMLAEYQRVKSKIPAAIEQLIVPHLAKVDEALQPGLAA
+LTWTSLNIEAY
+>Q8TE73_PF08393_1404 <unknown description>
+EIKKQLNLLQKIYTLYNSVIETVNSYYDILWSEVNIEKINNELLEFQNRCRKLPRALKDW
+QAFLDLKKIIDDFSECCPLLEYMASKAMMERHWERITTLTGHSLDVGNESFKLRNIMEAP
+LLKYKEEIEDICISAVKERDIEQKLKQVINEWDNKTFTFGSFKTRGELLLRGDSTSEIIA
+NMEDSLMLLGSLLSNRYNMPFKAQIQKWVQYLSNSTDIIESWMTVQNLWIYLEAVFVGGD
+IAKQLPKEAKRFSNIDKSWVKIMTRAHEVPSVVQCCVGDETLGQLLPHLLDQLEICQKSL
+TGYLEKKRLCFPRFFFVSDPALLEILGQASDSHTIQAHLLNVFDNIKSVKFHEKIYDRIL
+SISSQEGETIELDKPVMAEGNVEVWLNSLLEESQSSLHLVIRQA
+>Q8TE73_PF12774_1942 <unknown description>
+YQNEFLGCTDRLVITPLTDRCYITLAQALGMSMGGAPAGPAGTGKTETTKDMGRCLGKYV
+VVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIDLPVLSVAAQQISIILTCKKEHKK
+SFIFTDGDNVTMNPEFGLFLTMNPGYAGRQELPENLKINFRSVAMMVPDRQIIIRVKLAS
+CGFIDNVVLARKFFTLYKLCEEQLSKQVHYDFGLRNILSVLRTLGAAKRANPMDTESTIV
+MRVLRDMNLSKLIDEDEPLFLSLIEDLFPNILLDKAGYPELEAAISRQVEEAGLINHPPW
+KLKVIQLFETQRVRHGMMTLGPSGAGKT
+>Q8TE73_PF17852_2424 <unknown description>
+LRQLYTESFPDLYRFCIQNLEYKMEVLEAFVITQSINMLQGLIPLKEQGGEVSQAHLGRL
+FVFALLWSAGAALELDGRRRLELWLRSRPTGTLELPPPAGPGDTAFDYYVAPDGTWTHWN
+>Q8TE73_PF12775_2554 <unknown description>
+DTTPEYGSILVPNVDNVRTDFLIQTIAKQGKAVLLIGEQGTAKTVIIKGFMSKYDPECHM
+IKSLNFSSATTPLMFQRTIESYVDKRMGTTYGPPAGKKMTVFIDDVNMPIINEWGDQVTN
+EIVRQLMEQNGFYNLEKPGEFTSIVDIQFLAAMIHPGGGRNDIPQRLKRQFSIFNCTLP
+>Q8TE73_PF17857_2768 <unknown description>
+PLTRRLWQMTKIKMLPTPAKFHYVFNLRDLSRVWQGMLNTTSEVIKEPNDLLKLWKHECK
+RVIADRFTVSSDVTWFDKALVSLVEEEF
+>Q8TE73_PF12780_2926 <unknown description>
+MDMVFFADAMVHLVKISRVIRTPQGNALLVGVGGSGKQSLTRLASFIAGYVSFQITLTRS
+YNTSNLMEDLKVLYRTAGQQGKGITFIFTDNEIKDESFLEYMNNVLSSGEVSNLFARDEI
+DEINSDLASVMKKEFPRCLPTNENLHDYFMSRVRQNLHIVLCFSPVGEKFRNRALKFPAL
+ISGCTIDWFSRWPKDALVAVSEHFLTSYDIDCSLEIKKEVVQCMGSFQDGVAEKCVDYFQ
+RFRRSTHVTPKSYLSFIQGYK
+>Q8TE73_PF12777_3202 <unknown description>
+RMNTGLEKLKEASESVAALSKELEAKEKELQVANDKADMVLKEVTMKAQAAEKVKAEVQK
+VKDRAQAIVDSISKDKAIAEEKLEAAKPALEEAEAALQTIRPSDIATVRTLGRPPHLIMR
+IMDCVLLLFQRKVSAVKIDLEKSCTMPSWQESLKLMTAGNFLQNLQQFPKDTINEEVIEF
+LSPYFEMPDYNIETAKRVCGNVAGLCSWTKAMASFFSINKEVLPLKANLVVQENRHLLAM
+QDLQKAQAELDDKQAELDVVQAEYEQAMTEKQTLLEDAERCRHKMQTASTLISGLAGEKE
+RWTEQSQEFAAQTKRLVGDVLLATAFLSYSGPFNQEFRDLLLN
+>Q8TE73_PF12781_3575 <unknown description>
+EWNLQGLPNDDLSIQNGIIVTKASRYPLLIDPQTQGKIWIKNKESRNELQITSLNHKYFR
+NHLEDSLSLGRPLLIEDVGEELDPALDNVLERNFIKTGSTFKVKVGDKEVDVLDGFRLYI
+TTKLPNPAYTPEISARTSIIDFTVTMKGLEDQLLGRVILTEKQELEKERTHLMEDVTANK
+RRMKELEDNLLYRLTSTQGSLVEDESLIVVLSNTKRTAEEV
+>Q8TE73_PF03028_4041 <unknown description>
+PRTPLICLLSMGSDPTDSIIALGKRLKIETRYVSMGQGQEVHARKLLQQTMANGGWALLQ
+NCHLGLDFMDELMDIIIETELVHDAFRLWMTTEAHKQFPITLLQMSIKF
+>Q8TE73_PF18198_4181 <unknown description>
+WKPMLYAVAFLHSTVQERRKFGALGWNIPYEFNQADFNATVQFIQNHLDDMDVKKGVSWT
+TIRYMIGEIQYGGRVTDDYDKRLLNTFAKVWFSENMFGPDFSFYQGYNIPKCSTVDNYLQ
+YIQSLPAYDSPEVFGLHPNA
+>Q8TE73_PF18199_4329 <unknown description>
+AKDVLDTILGIQPKDTSGGGDETREAVVARLADDMLEKLPPDYVPFEVKERLQKMGPFQP
+MNIFLRQEIDRMQRVLSLVRSTLTELKLAIDGTIIMSENLRDALDCMFDARIPAWWKKAS
+WISSTLGFWFTELIERNSQFTSWVFNGRPHCFWMTGFFNPQGFLTAMRQEITRANKGWAL
+DNMVLCNEVTKWMKDDISAPPTEGVYVYGLYLEGAGWDKRNMKLIESKPKVLFELMPVIR
+IYAENNTLRDPRFYSCPIYKKPVRTDLNYIAAVDLRTAQTPEHWVLRGVALLC
+>Q9C0G6_PF08393_879 <unknown description>
+LEEVSAELKLKQLLWDSFSEWDKLQQEWLKSKFDCLDPEVLNGQVSKYAKFVTQLEKGLP
+PNSVVPQLKYKVEKMKEKLPVIIDLRNPTLKARHWAAIEQTVDATLVDAEIPLTLERLSQ
+LHVFDFGQEIQDISGQASGEAALEAILKKVEDSWKTTEFVILPHRDSKDVFILGGTDDIQ
+VLLDDSTINVATLASSRYLGPLKTRVDEWQKQLALFNQTLEEWLTCQRNWLYLESIFNAP
+DIQRQLPAEAKMFLQVDKSWKEIMRKVNRLPNALRAATQPGLLETFQNNNALLDQIQKCL
+EAYLESKRVIFPRFYFLSNDELLEILAQTRNPQAVQPHLRKCFDSISKLEFALMPPAEGK
+IPGIDGEPEKVYTNDILAMLSPEGERVSLGKGLKARGNVEEWLGKVEEAMFTSLRRLCKA
+A
+>Q9C0G6_PF12774_1434 <unknown description>
+YGYEYLGACPRLVITPLTDRCYLCLMGALQLDLGGAPAGPAGTGKTETTKDLAKALAIQC
+VVFNCSDGLDYKMMGRFFSGLAQSGAWCCFDEFNRIDIEVLSVIAQQLITIRNAKAAKLS
+RFMFEGREIKLVMTCAAFITMNPGYAGRTELPDNLKALFRPFAMMVPNYALIAEVILYSE
+GFESSKILARKMTQMYKLCSEQLSQQDHYDFGMRAVKSVLVMAGSLKRENPDLNEDVVLI
+RALQDSNLPKFLTDDALLFSGIISDLFPGVQIPEHDYGILQSTIVDVMNRQNLQPEMCMV
+RKVIQFYETMLVRHGVMLVGPTGGGKT
+>Q9C0G6_PF17852_1929 <unknown description>
+LNLFQRYVDEGLHFINKKCSQAIPQVDISKVTTLCCLLESLILGKDGVNLAMEQTKLNTI
+LCQTFVFCYLWSLGGNLTENYYDSFDTFIRTQFDDNPDARLPNSGDLWSIHMDFDTKRLD
+PWE
+>Q9C0G6_PF12775_2057 <unknown description>
+FKYNRDVPFFEMLVPTTDTVRYGYLMEKLLAVKHSVLFTGITGVGKSVIAKGLLNKIQES
+AGYVPVYLNFSAQTSSARTQEIIESKLERKRKNILGAPGNKRIVIFVDDLNMPRLDRYGS
+QPPIELLRQYQDFGGFYDRNKLFWKEIQDVTIISACAPPGGGRNPVTPRFIRHFSMLCLP
+MP
+>Q9C0G6_PF17857_2269 <unknown description>
+IVEASVEIYNKMSVDLLPTPAKSHYVFNLRDLSKCVQGILQCDPGTIREEIQIFRLFCHE
+CQRVFHDRLINNEDKHYFHVILTEMANKHFG
+>Q9C0G6_PF12780_2418 <unknown description>
+KLVFFQDAIEHVSRIARMIRQERGNALLVGVGGTGKQSLTRLAAHICGYKCLQIELSRGY
+NYDSFHEDLRKLYKMAGVEDKNMVFLFTDTQIVVEEFLEDINNILNSGEVPNLFEKDELE
+QVLAATRPRAKEVGISEGNRDEVFQYFISKVRQKLHIVLCMSPVGEAFRSRCRMFPSLVN
+CCTIDWFVQWPREALLSVSKTFFSQVDAGNEELKEKLPLMCVNVHLSVSSMAERYYNELR
+RRYYTTPTSYLELINLYLSM
+>Q9C0G6_PF12777_2690 <unknown description>
+DRVKNGLTKLLETNILVDKMKLDLSALEPVLLAKSEDVEALMEKLAVDQESADQVRNTVQ
+EDEATAKVKAEETQAIADDAQRDLDEALPALDAANKALDSLDKADISEIRVFTKPPDLVM
+TVMEAISILLNAKPDWPSAKQLLGDSNFLKRLLEYDKENIKPQILAKLQKYINNPDFVPE
+KVEKVSKACKSMCMWVRAMDLYSRVVKVVEPKRQKLRAAQAELDITMATLREKQALLRQV
+EDQIQALQDEYDKGVNEKESLAKTMALTKARLVRAGKLTAALEDEQVRWEESIQKFEEEI
+SNITGNVFIAAACVAYYGAFTAQYRQSLIE
+>Q9C0G6_PF12781_3049 <unknown description>
+RQWNTDGLPRDLISTENGILVTQGRRWPLMIDPQDQANRWIRNKESKSGLKIIKLTDSNF
+LRILENSIRLGLPVLLEELKETLDPALEPILLKQIFISGGRLLIRLGDSDIDYDKNFRFY
+MTTKMPNPHYLPEVCIKVTIINFTVTKSGLEDQLLSDVVRLEKPRLEEQRIKLIVRINTD
+KNQLKTIEEKILRMLFTSEGNILDNEELIDTLQDSKITSGA
+>Q9C0G6_PF03028_3537 <unknown description>
+SCNTPLVFILSTGSDPMGAFQRFARESGYSERVQSISLGQGQGPIAEKMVKDAMKSGNWV
+FLQNCHLAVSWMLAMEELIKTFTDPDSAIKDTFRLFLSSMPSNTFPVTVLQNSVKVT
+>Q9C0G6_PF18198_3685 <unknown description>
+WRQIIFGICFFHAIIQERKKFGPLGWNICYEFNDSDRECALLNLKLYCKEGKIPWDALIY
+ITGEITYGGRVTDSWDQRCLRTILKRFFSPETLEEDYKYSESGIYFAPMADSLQEFKDYI
+ENLPLIDDPEIFGMHENA
+>Q9C0G6_PF18199_3829 <unknown description>
+KETSTLINTILEVQPRSSTGGEGKSNDEIVQELVASVQTRVPEKLEMEGASESLFVKDLQ
+GRLNSLTTVLGQEVDRFNNLLKLIHTSLETLNKAIAGFVVMSEEMEKVYNSFLNNQVPAL
+WSNTAYPSLKPLGSWVKDLILRTSFVDLWLKRGQPKSYWISGFFFPQGFLTGTLQNHARK
+YNLPIDELSFKYSVIPTYRDQAAVIEAAKTVQFGQELPMDMELPSPEDGVLVHGMFMDAS
+RWDDKEMVIEDALPGQMNPVLPVVHFEPQQNYKPSPTLYHCPLYKTGARAGTLSTTGHST
+NFVVTVLLPSKRSKDYWIAKGSALLC
+>Q8WXX0_PF08393_757 <unknown description>
+KKIQDGLNPYLRLYETAVEFSSNYRAWTEGPYHKVNPDQVEADIGNYWRGLYKLEKTFHD
+SPYALAMTKKVRSKVEDFKQHIPLIQVICNPGLRPRHWEAMSAIVGYPLQPSDDSTVSSF
+LDMNLEPYIDRFEGISEAASKEYSLEKAMEKMITEWDAVEFVIHSYRETGTFILASVDEI
+QMLLDDHIIKTQTMRGSPFIKPYEKQMREWEGKLLLLQEILDEWLKVQATWLYLEPIFSS
+PDIMSQMPEEGRRFTAVDKTWRDIMRSVMQDKHVLTVVTIDRMLERLKKSNELLELILKG
+LNEYLEKKRLFFPRFFFLSNDELLEILSETKDPTRVQPHLKKCFEGIAKVEFTETLDITH
+MKSSEGEVVELIEIISTAKARGQVEKWLVELERVMINSIHKVTG
+>Q8WXX0_PF12774_1290 <unknown description>
+YGYEYLGNSPRLVITPLTDRCYRTLFGALHLHLGGAPEGPAGTGKTETTKDLAKAVAKQC
+VVFNCSDGLDYLALGKFFKGLLSCGAWACFDEFNRIDLEVLSVVAQQILTIQRGINAGAD
+ILMFEGTELKLDPTCAVFITMNPGYAGRSELPDNLKALFRTVAMMVPDYAMIAEIVLYSC
+GFVTARPLSVKIVATYRLCSEQLSSQHHYDYGMRAVKSVLTAAGNLKLKYPNENEEILLL
+RSIIDVNLPKFLSHDLPLFEGITSDLFPGVKLPKPDYNDLLAAIKDNCASMNLQMTAFFS
+EKILQVYEMMIVRHGFMIVGEPFGGKT
+>Q8WXX0_PF17852_1783 <unknown description>
+MGLFDRMVPVSVEFIRKHTKELSPTSDTNLVRSLMNLIDCFMDDFADEVKLKERNDRETY
+SLLEGIFLFSLIWSVGASCTDDDRLKFNKILRELMESPISDRTRNTFKLQSGTEQTSSKA
+LTVPFPEKGTIYDYQFVTEGIGKWEPW
+>Q8WXX0_PF12775_1938 <unknown description>
+PIPKDVMFNEIIVPTLDTIRYSALMELLTTHQKPSIFVGPTGTGKSVYITNFLLNQLNKE
+IYKPLLINFSAQTTAAQTQNIVMSKLDKRRKGVFGPPLGKRMVVFVDDVNMPAREVYGAQ
+PPIELLRQWLDHWNWYDLKDCSMIKLVDIQIMCAMGPPGGGRNPVTPRYMRHFNIITINE
+F
+>Q8WXX0_PF17857_2153 <unknown description>
+VNGTMTLYKEAMKNLLPTPAKSHYLFNLRDFSRVIQGVCLSRPETTETTEVIKRLWVHEV
+LRVYYDRLLDNTDRSWLINYIQEILRNYMYEDFHE
+>Q8WXX0_PF12780_2311 <unknown description>
+MNLVLFRFAIEHISRISRILKQPRSHALLVGVGGSGRQSVTRLAAHMADYSVFQVEISKG
+YDTTEWHEDLKVILRKCAEGEMQGVFLFTDTQIKEESFLEDVSNLLNAGEIPNLFALDEK
+QEICDKMRQLDRQRDKTKQTDGSPIALFNMFIDHCRSQLHVVLAMSPIGDAFRNRLRKFP
+ALVNCCTIDWFQSWPEDALQAVASRFLEEIEMSEEIRDGCIDMCKSFHTSTIDLSKSFFV
+ELQRYNYVTPTSYLELISTFKL
+>Q8WXX0_PF12777_2587 <unknown description>
+RYEVGLEKLDSASSQVATMQMELEALHPQLKVASKEVDEMMIMIEKESVEVAKTEKIVKA
+DETIANEQAMASKAIKDECDADLAGALPILESALAALDTLTAQDITVVKSMKSPPAGVKL
+VMEAICILKGIKADKIPDPTGSGKKIEDFWGPAKRLLGDMRFLQSLHEYDKDNIPPAYMN
+IIRKNYIPNPDFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAAEGELKI
+AMDGLRKKQAALKEVQDKLARLQDTLELNKQKKADLENQVDLCSKKLERAEQLIGGLGGE
+KTRWSHTALELGQLYINLTGDILISSGVVAYLGAFTSTYRQNQTKEW
+>Q8WXX0_PF12781_2961 <unknown description>
+RTWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQSQANKWIKNMEKANSLYVIKLSEPDY
+VRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGGSTCIRLGDSTIEYAPDFRFY
+ITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVAQERPDLEEEKQALILQGAEN
+KRQLKEIEDKILEVLSSSEGNILEDETAIKILSSSKALANEI
+>Q8WXX0_PF03028_3426 <unknown description>
+CCAPLIFVLSPGADPMAALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVKEGTWV
+VLQNCHLATSWMPTLEKVCEELSPESTHPDFRMWLTSYPSPNFPVSVLQNGVKMT
+>Q8WXX0_PF18198_3575 <unknown description>
+FKKLLYGLCFFHALVQERRKFGPLGWNIPYEFNETDLRISVQQLHMFLNQYEELPYEALR
+YMTGECNYGGRVTDDWDRRTLRSILNKFFNPELVENSDYKFDSSGIYFVPPSGDHKSYIE
+YTKTLPLTPAPEIFGMNANA
+>Q8WXX0_PF18199_3721 <unknown description>
+SETQLLFDNILLTQSRSAGAGAKSSDEVVNEVASDILGKLPNNFDIEAAMRRYPTTYTQS
+MNTVLVQEMGRFNKLLKTIRDSCVNIQKAIKGLAVMSTDLEEVVSSILNVKIPEMWMGKS
+YPSLKPLGSYVNDFLARLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNYARKYTIPI
+DLLGFDYEVMEDKEYKHPPEDGVFIHGLFLDGASWNRKIKKLAESHPKILYDTVPVMWLK
+PCKRADIPKRPSYVAPLYKTSERRGVLSTTGHSTNFVIAMTLPSDQPKEHWIGRGVALLC
+>Q9NYC9_PF08385_215 <unknown description>
+YAIESAVIKWSYQVQVVLKRESSQPLLQGENPTPKVELEFWKSRYEDLKYIYNQLRTITV
+RGMAKLLDKLQSSYFPAFKAMYRDVVAALAEAQDIHVHLIPLQRHLEALENAEFPEVKPQ
+LRPLLHVVCLIWATCKSYRSPGRLTVLLQEICNLLIQQASNYLSPEDLLRSEVEESQRKL
+QVVSDTLSFFKQEFQDRRENLHTYFKENQEVKEWDFQSSLVFVRLDGFLGQLHVVEGLLK
+TALDFHKLGKVEFSGVRGNALSQQVQQMHEEFQEMYRLLSGSSSDCLYLQSTDFENDVSE
+FNQKVEDLDRRLGTIFIQAFDDAPGLEHAFKLLDIAGNLLERPLVARDTSDKYLVLIQMF
+NKDLDAVRMIYSQHVQEEAELGFSPVHKNMPTVAGGLRWAQELRQRIQGPFSNFGRITHP
+CMESAEGKRMQQKYEDMLSLLEKYETRLYEDWCRTVSEKSQYNLSQPLLKRDPETKEITI
+NFNPQLISVLKEMSYLEPREMKHMPETAAAMFSSRDFYRQLVANLELMANWYNKVMKTLL
+EVEFPLVEEELQNIDLRLRAAEETLNWKTEGICDY
+>Q9NYC9_PF08393_1292 <unknown description>
+LRQCRKEVCQLKELWDTIGMVTSSIHAWETTPWRNINVEAMELECKQFARHIRNLDKEVR
+AWDAFTGLESTVWNTLSSLRAVAELQNPAIRERHWRQLMQATGVSFTMDQDTTLAHLLQL
+QLHHYEDEVRGIVDKAAKEMGMEKTLKELQTTWAGMEFQYEPHPRTNVPLLCSDEDLIEV
+LEDNQVQLQNLVMSKYVAFFLEEVSGWQKKLSTVDAVISIWFEVQRTWTHLESIFTGSED
+IRAQLPQDSKRFEGIDIDFKELAYDAQKIPNVVQTTNKPGLYEKLEDIQGRLCLCEKALA
+EYLDTKRLAFPRFYFLSSSDLLDILSNGTAPQQVQRHLSKLFDNMAKMRFQLDASGEPTK
+TSLGMYSKEEEYVAFSEPCDCSGQVEIWLNHVLGHMKATVRHEMT
+>Q9NYC9_PF12774_1832 <unknown description>
+YSYEYLGNTPRLVITPLTDRCYITLTQSLHLTMSGAPAGPAGTGKTETTKDLGRALGILV
+YVFNCSEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVVAVQVKSIQDAIRDKKQ
+WFSFLGEEISLNPSVGIFITMNPGYAGRTELPENLKSLFRPCAMVVPDFELICEIMLVAE
+GFIEAQSLARKFITLYQLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPDRPEDQVLM
+RSLRDFNIPKIVTDDMPIFMGLIGDLFPALDVPRRRDPNFEALVRKAIVDLKLQAEDNFV
+LKVVQLEELLAVRHSVFVVGGAGTGKS
+>Q9NYC9_PF17852_2316 <unknown description>
+ILFDKYLPTCLDTLRTRFKKIIPIPEQSMVQMVCHLLECLLTTEDIPADCPKEIYEHYFV
+FAAIWAFGGAMVQDQLVDYRAEFSKWWLTEFKTVKFPSQGTIFDYYIDPETKKFEPWS
+>Q9NYC9_PF12775_2439 <unknown description>
+FEFDPEMPLQACLVHTSETIRVCYFMERLMARQRPVMLVGTAGTGKSVLVGAKLASLDPE
+AYLVKNVPFNYYTTSAMLQAVLEKPLEKKAGRNYGPPGNKKLIYFIDDMNMPEVDAYGTV
+QPHTIIRQHLDYGHWYDRSKLSLKEITNVQYVSCMNPTAGSFTINPRLQRHFSVFVLSFP
+>Q9NYC9_PF17857_2651 <unknown description>
+LIDLALAFHQKIATTFLPTGIKFHYIFNLRDFANIFQGILFSSVECVKSTWDLIRLYLHE
+SNRVYRDKMVEEKDFDLFDKIQTEVLKKTFDDIEDPVEQT
+>Q9NYC9_PF12780_2795 <unknown description>
+MDLVLFEDAMRHVCHINRILESPRGNALLVGVGGSGKQSLTRLAAFISSMDVFQITLRKG
+YQIQDFKMDLASLCLKAGVKNLNTVFLMTDAQVADERFLVLINDLLASGEIPDLYSDDEV
+ENIISNVRNEVKSQGLVDNRENCWKFFIDRIRRQLKVTLCFSPVGNKLRVRSRKFPAIVN
+CTAIHWFHEWPQQALESVSLRFLQNTEGIEPTVKQSISKFMAFVHTSVNQTSQSYLSNEQ
+RYNYTTPKSFLEFIRLYQSL
+>Q9NYC9_PF12777_3067 <unknown description>
+ERLENGLLKLHSTSAQVDDLKAKLAAQEVELKQKNEDADKLIQVVGVETDKVSREKAMAD
+EEEQKVAVIMLEVKQKQKDCEEDLAKAEPALTAAQAALNTLNKTNLTELKSFGSPPLAVS
+NVSAAVMVLMAPRGRVPKDRSWKAAKVTMAKVDGFLDSLINFNKENIHENCLKAIRPYLQ
+DPEFNPEFVATKSYAAAGLCSWVINIVRFYEVFCDVEPKRQALNKATADLTAAQEKLAAI
+KAKIAHLNENLAKLTARFEKATADKLKCQQEAEVTAVTISLANRLVGGLASENVRWADAV
+QNFKQQERTLCGDILLITAFISYLGFFTKKYRQSLLDRTWRPYL
+>Q9NYC9_PF12781_3437 <unknown description>
+AWQNEGLPADRMSVENATILINCERWPLMVDPQLQGIKWIKNKYGEDLRVTQIGQKGYLQ
+IIEQALEAGAVVLIENLEESIDPVLGPLLGREVIKKGRFIKIGDKECEYNPKFRLILHTK
+LANPHYQPELQAQATLINFTVTRDGLEDQLLAAVVSMERPDLEQLKSDLTKQQNGFKITL
+KTLEDSLLSRLSSASGNFLGETVLVENLEITKQTAAEV
+>Q9NYC9_PF03028_3895 <unknown description>
+PATPMFFILSPGVDPLKDVESQGRKLGYTFNNQNFHNVSLGQGQEVVAEAALDLAAKKGH
+WVILQNIHLVAKWLSTLEKKLEEHSENSHPEFRVFMSAEPAPSPEGHIIPQGILENSIKI
+T
+>Q9NYC9_PF18198_4047 <unknown description>
+FKSILFALCYFHAVVAERRKFGPQGWNRSYPFNTGDLTISVNVLYNFLEANAKVPYDDLR
+YLFGEIMYGGHITDDWDRRLCRTYLGEFIRPEMLEGELSLAPGFPLPGNMDYNGYHQYID
+AELPPESPYLYGLHPNA
+>Q9NYC9_PF18199_4189 <unknown description>
+TQTSEKLFRTVLELQPRDSQARDGAGATREEKVKALLEEILERVTDEFNIPELMAKVEER
+TPYIVVAFQECGRMNILTREIQRSLRELELGLKGELTMTSHMENLQNALYFDMVPESWAR
+RAYPSTAGLAAWFPDLLNRIKELEAWTGDFTMPSTVWLTGFFNPQSFLTAIMQSTARKNE
+WPLDQMALQCDMTKKNREEFRSPPREGAYIHGLFMEGACWDTQAGIITEAKLKDLTPPMP
+VMFIKAIPADKQDCRSVYSCPVYKTSQRGPTYVWTFNLKTKENPSKWVLAGVALLL
+>Q14204_PF08385_242 <unknown description>
+LNQLQSGVNRWIREIQKVTKLDRDPASGTALQEISFWLNLERALYRIQEKRESPEVLLTL
+DILKHGKRFHATVSFDTDTGLKQALETVNDYNPLMKDFPLNDLLSATELDKIRQALVAIF
+THLRKIRNTKYPIQRALRLVEAISRDLSSQLLKVLGTRKLMHVAYEEFEKVMVACFEVFQ
+TWDDEYEKLQVLLRDIVKRKREENLKMVWRINPAHRKLQARLDQMRKFRRQHEQLRAVIV
+RVLRPQVTAVAQQNQGEVPEPQDMKVAEVLFDAADANAIEEVNLAYENVKEVDGLDVSKE
+GTEAWEAAMKRYDERIDRVETRITARLRDQLGTAKNANEMFRIFSRFNALFVRPHIRGAI
+REYQTQLIQRVKDDIESLHDKFKVQYPQSQACKMSHVRDLPPVSGSIIWAKQIDRQLTAY
+MKRVEDVLGKGWENHVEGQKLKQDGDSFRMKLNTQEIFDDWARKVQQRNLGVSGRIFTIE
+STRVRGRTGNVLKLKVNFLPEIITLSKEVRNLKWLGFRVPLAIVNKAHQANQLYPFAISL
+IESVRTYERTCEKVEERNTISLLVAGLKKEVQALIAEGIALVWESYKLDPY
+>Q14204_PF08393_1321 <unknown description>
+VALEELQDLKGVWSELSKVWEQIDQMKEQPWVSVQPRKLRQNLDALLNQLKSFPARLRQY
+ASYEFVQRLLKGYMKINMLVIELKSEALKDRHWKQLMKRLHVNWVVSELTLGQIWDVDLQ
+KNEAIVKDVLLVAQGEMALEEFLKQIREVWNTYELDLVNYQNKCRLIRGWDDLFNKVKEH
+INSVSAMKLSPYYKVFEEDALSWEDKLNRIMALFDVWIDVQRRWVYLEGIFTGSADIKHL
+LPVETQRFQSISTEFLALMKKVSKSPLVMDVLNIQGVQRSLERLADLLGKIQKALGEYLE
+RERSSFPRFYFVGDEDLLEIIGNSKNVAKLQKHFKKMFAGVSSIILNEDNSVVLGISSRE
+GEEVMFKTPVSITEHPKINEWLTLVEKEMRVTLAKLLAE
+>Q14204_PF12774_1868 <unknown description>
+YGFEYLGVQDKLVQTPLTDRCYLTMTQALEARLGGSPFGPAGTGKTESVKALGHQLGRFV
+LVFNCDETFDFQAMGRIFVGLCQVGAWGCFDEFNRLEERMLSAVSQQVQCIQEALREHSN
+PNYDKTSAPITCELLNKQVKVSPDMAIFITMNPGYAGRSNLPDNLKKLFRSLAMTKPDRQ
+LIAQVMLYSQGFRTAEVLANKIVPFFKLCDEQLSSQSHYDFGLRALKSVLVSAGNVKRER
+IQKIKREKEERGEAVDEGEIAENLPEQEILIQSVCETMVPKLVAEDIPLLFSLLSDVFPG
+VQYHRGEMTALREELKKVCQEMYLTYGDGEEVGGMWVEKVLQLYQITQINHGLMMVGPSG
+SGKS
+>Q14204_PF17852_2431 <unknown description>
+GLVTKALEHAFQLEHIMDLTRLRCLGSLFSMLHQACRNVAQYNANHPDFPMQIEQLERYI
+QRYLVYAILWSLSGDSRLKMRAELGEYIRRITTVPLPTAPNIPIIDYEVSISGEWSPWQ
+>Q14204_PF12775_2561 <unknown description>
+KVAAPDVVVPTLDTVRHEALLYTWLAEHKPLVLCGPPGSGKTMTLFSALRALPDMEVVGL
+NFSSATTPELLLKTFDHYCEYRRTPNGVVLAPVQLGKWLVLFCDEINLPDMDKYGTQRVI
+SFIRQMVEHGGFYRTSDQTWVKLERIQFVGACNPPTDPGRKPLSHRFLRHVPVVYVD
+>Q14204_PF12780_2908 <unknown description>
+PLVLFNEVLDHVLRIDRIFRQPQGHLLLIGVSGAGKTTLSRFVAWMNGLSVYQIKVHRKY
+TGEDFDEDLRTVLRRSGCKNEKIAFIMDESNVLDSGFLERMNTLLANGEVPGLFEGDEYA
+TLMTQCKEGAQKEGLMLDSHEELYKWFTSQVIRNLHVVFTMNPSSEGLKDRAATSPALFN
+RCVLNWFGDWSTEALYQVGKEFTSKMDLEKPNYIVPDYMPVVYDKLPQPPSHREAIVNSC
+VFVHQTLHQANARLAKRGGRTMAITPRHYLDFINHYANL
+>Q14204_PF12777_3201 <unknown description>
+LNVGLRKIKETVDQVEELRRDLRIKSQELEVKNAAANDKLKKMVKDQQEAEKKKVMSQEI
+QEQLHKQQEVIADKQMSVKEDLDKVEPAVIEAQNAVKSIKKQHLVEVRSMANPPAAVKLA
+LESICLLLGESTTDWKQIRSIIMRENFIPTIVNFSAEEISDAIREKMKKNYMSNPSYNYE
+IVNRASLACGPMVKWAIAQLNYADMLKRVEPLRNELQKLEDDAKDNQQKANEVEQMIRDL
+EASIARYKEEYAVLISEAQAIKADLAAVEAKVNRSTALLKSLSAERERWEKTSETFKNQM
+STIAGDCLLSAAFIAYAGYFDQQMRQNLFT
+>Q14204_PF12781_3561 <unknown description>
+RWQASSLPADDLCTENAIMLKRFNRYPLIIDPSGQATEFIMNEYKDRKITRTSFLDDAFR
+KNLESALRFGNPLLVQDVESYDPVLNPVLNREVRRTGGRVLITLGDQDIDLSPSFVIFLS
+TRDPTVEFPPDLCSRVTFVNFTVTRSSLQSQCLNEVLKAERPDVDEKRSDLLKLQGEFQL
+RLRQLEKSLLQALNEVKGRILDDDTIITTLENLKREAAEV
+>Q14204_PF03028_4037 <unknown description>
+PNTPVLMCSVPGYDASGHVEDLAAEQNTQITSIAIGSAEGFNQADKAINTAVKSGRWVML
+KNVHLAPGWLMQLEKKLHSLQPHACFRLFLTMEINPKVPVNLLRAGRI
+>Q14204_PF18198_4177 <unknown description>
+ARLYFLLAWFHAIIQERLRYAPLGWSKKYEFGESDLRSACDTVDTWLDDTAKGRQNISPD
+KIPWSALKTLMAQSIYGGRVDNEFDQRLLNTFLERLFTTRSFDSEFKLACKVDGHKDIQM
+PDGIRREEFVQWVELLPDTQTPSWLGLPNNA
+>Q14204_PF18199_4334 <unknown description>
+TQGVDMISKMLKMQMLEDEDDLAYAETEKKTRTDSTSDGRPAWMRTLHTTASNWLHLIPQ
+TLSHLKRTVENIKDPLFRFFEREVKMGAKLLQDVRQDLADVVQVCEGKKKQTNYLRTLIN
+ELVKGILPRSWSHYTVPAGMTVIQWVSDFSERIKQLQNISLAAASGGAKELKNIHVCLGG
+LFVPEAYITATRQYVAQANSWSLEELCLEVNVTTSQGATLDACSFGVTGLKLQGATCNNN
+KLSLSNAISTALPLTQLRWVKQTNTEKKASVVTLPVYLNFTRADLIFTVDFEIATKEDPR
+SFYERGVAVLC
+>Q8NCM8_PF08385_194 <unknown description>
+RANYFKELFETIAREFYNLDSLSLLEVVDLVETTQDVVDDVWRQTEHDHYPESRMLHLLD
+IIGGSFGRFVQKKLGTLNLWEDPYYLVKESLKAGISICEQWVIVCNHLTGQVWQRYVPHP
+WKNEKYFPETLDKLGKRLEEVLAIRTIHEKFLYFLPASEEKIICLTRVFEPFTGLNPVQY
+NPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQAFLKYKELVKRPTI
+SKELMLERETLLARLVDSIKDFRLDFENRCRGIPGDASGPLSGKNLSEVVNSIVWVRQLE
+LKVDDTIKIAEALLSDLPGFRCFHQSAKDLLDQLKLYEQEQFDDWSRDIQSGLSDSRSGL
+CIEASSRIMELDSNDGLLKVHYSDRLVILLREVRQLSALGFVIPAKIQQVANIAQKFCKQ
+AIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKN
+>Q8NCM8_PF08393_1119 <unknown description>
+SISKDIESCAQIWAFYEEFQQGFQEMANEDWITFRTKTYLFEEFLMNWHDRLRKVEEHSV
+MTVKLQSEVDKYKIVIPILKYVRGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVAD
+TIVAKAADLKDLNSRAQGEVTIREALRELDLWGVGAVFTLIDYEDSQSRTMKLIKDWKDI
+VNQVGDNRCLLQSLKDSPYYKGFEDKVSIWERKLAELDEYLQNLNHIQRKWVYLEPIFGR
+GALPKEQTRFNRVDEDFRSIMTDIKKDNRVTTLTTHAGIRNSLLTILDQLQRCQKSLNEF
+LEEKRSAFPRFYFIGDDDLLEILGQSTNPSVIQSHLKKLFAGINSVCFDEKSKHITAMKS
+LEGEVVPFKNKVPLSNNVETWLNDLALEMKKTLEQLLKEC
+>Q8NCM8_PF12774_1651 <unknown description>
+YTYEYQGNASKLVYTPLTDKCYLTLTQAMKMGLGGNPYGPAGTGKTESVKALGGLLGRQV
+LVFNCDEGIDVKSMGRIFVGLVKCGAWGCFDEFNRLEESVLSAVSMQIQTIQDALKNHRT
+VCELLGKEVEVNSNSGIFITMNPAGKGYGGRQKLPDNLKQLFRPVAMSHPDNELIAEVIL
+YSEGFKDAKVLSRKLVAIFNLSRELLTPQQHYDWGLRALKTVLRGSGNLLRQLNKSGTTQ
+NANESHIVVQALRLNTMSKFTFTDCTRFDALIKDVFPGIELKEVEYDELSAALKQVFEEA
+NYEIIPNQIKKALELYEQLCQRMGVVIVGPSGAGKS
+>Q8NCM8_PF12775_2282 <unknown description>
+DTKQPFILVGPEGCGKGMLLRYAFSQLRSTQIATVHCSAQTTSRHLLQKLSQTCMVISTN
+TGRVYRPKDCERLVLYLKDINLPKLDKWGTSTLVAFLQQVLTYQGFYDENLEWVGLENIQ
+IVASMSAGGRLGRHKLTTRFTSIVRLCSID
+>Q8NCM8_PF12780_2625 <unknown description>
+LDILLFHEVLEYMSRIDRVLSFPGGSLLLAGRSGVGRRTITSLVSHMHGAVLFSPKISRG
+YELKQFKNDLKHVLQLAGIEAQQVVLLLEDYQFVHPTFLEMINSLLSSGEVPGLYTLEEL
+EPLLLPLKDQASQDGFFGPVFNYFTYRIQQNLHIVLIMDSANSNFMINCESNPALHKKCQ
+VLWMEGWSNSSMKKIPEMLFSETGGGEKYNDKKRKEEKKKNSVDPDFLKSFLLIHESCKA
+YGATPSRYMTFLHVYS
+>Q8NCM8_PF12777_2897 <unknown description>
+LQAGVSKLNEAKALVDELNRKAGEQSVLLKTKQDEADAALQMITVSMQDASEQKTELERL
+KHRIAEEVVKIEERKNKIDDELKEVQPLVNEAKLAVGNIKPESLSEIRSLRMPPDVIRDI
+LEGVLRLMGIFDTSWVSMKSFLAKRGVREDIATFDARNISKEIRESVEELLFKNKGSFDP
+KNAKRASTAAAPLAAWVKANIQYSHVLERIHPLETEQAGLESNLKKTEDRKRKLEELLNS
+VGQKVSELKEKFQSRTSEAAKLEAEVSKAQETIKAAEVLINQLDREHKRWNAQVVEITEE
+LATLPKRAQLAAAFITYLSAAPESLRKTCLE
+>Q8NCM8_PF12781_3253 <unknown description>
+WKSEGLPSDDLSIENALVILQSRVCPFLIDPSSQATEWLKTHLKDSRLEVINQQDSNFIT
+ALELAVRFGKTLIIQEMDGVEPVLYPLLRRDLVAQGPRYVVQIGDKIIDYNEEFRLFLST
+RNPNPFIPPDAASIVTEVNFTTTRSGLRGQLLALTIQHEKPDLEEQKTKLLQQEEDKKIQ
+LAKLEESLLETLATSQGNILENKDLIESLNQTKASSA
+>Q8NCM8_PF03028_3721 <unknown description>
+EPILIIISPGADPSQELQELANAERSGECYHQVAMGQGQADLAIQMLKECARNGDWLCLK
+NLHLVVSWLPVLEKELNTLQPKDTFRLWLTAEVHPNFTPILLQSSLKIT
+>Q8NCM8_PF18198_3862 <unknown description>
+HALFSLAWFHAACQERRNYIPQGWTKFYEFSLSDLRAGYNIIDRLFDGAKDVQWEFVHGL
+LENAIYGGRIDNYFDLRVLQSYLKQFFNSSVIDVFNQRNKKSIFPYSVSLPQSCSILDYR
+AVIEKIPEDDKPSFFGLPAN
+>Q8NCM8_PF18199_4014 <unknown description>
+VISQLRILGRSITAGSKFDREIWSNELSPVLNLWKKLNQNSNLIHQKVPPPNDRQGSPIL
+SFIILEQFNAIRLVQSVHQSLAALSKVIRGTTLLSSEVQKLASALLNQKCPLAWQSKWEG
+PEDPLQYLRGLVARALAIQNWVDKAEKQALLSETLDLSELFHPDTFLNALRQETARAVGR
+SVDSLKFVASWKGRLQEAKLQIKISGLLLEGCSFDGNQLSENQLDSPSVSSVLPCFMGWI
+PQDACGPYSPDECISLPVYTSAERDRVVTNIDVPCGGNQDQWIQCGAALFL
+>P63167_PF01221_5 <unknown description>
+KAVIKNADMSEEMQQDSVECATQALEKYNIEKDIAAHIKKEFDKKYNPTWHCIVGRNFGS
+YVTHETKHFIYFYLGQVAILLFKS
+>Q96FJ2_PF01221_5 <unknown description>
+KAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGS
+YVTHETKHFIYFYLGQVAILLFKS
+>P63172_PF03645_16 <unknown description>
+EVSNIVKEAIESAIGGNAYQHSKVNQWTTNVVEQTLSQLTKLGKPFKYIVTCVIMQKNGA
+GLHTASSCFWDSSTDGSCTVRWENKTMYCIVSAF
+>Q8IZS6_PF03645_100 <unknown description>
+VETKVQQILTESLKDVKYDDKVFSHLSLELADRILLAVKEFGYHRYKFIIKVLFIQKTGQ
+AINIASRWIWDIAWDSWVAAKHEAESYVALVLVF
+>P51808_PF03645_18 <unknown description>
+AHNIVKECVDGVLGGEDYNHNNINQWTASIVEQSLTHLVKLGKAYKYIVTCAVVQKSAYG
+FHTASSCFWDTTSDGTCTVRWENRTMNCIVNVF
+>Q5JR98_PF03645_124 <unknown description>
+QRALEAALAAGLHDACYSSDEAARLVRELCEQVHVRLRELSPPRYKLVCSVVLGPRAGQG
+VHVVSRALWDVARDGLASVSYTNTSLFAVATV
+>Q8N7M0_PF03645_81 <unknown description>
+VNHILKDVVTSYLQVEEYEPELCRQMTKTISEVIKAQVKDLMIPRYKLIVIVHIGQLNRQ
+SILIGSRCLWDPKSDTFSSYVFRNSSLFALANVY
+>Q05193_PF00350_34 <unknown description>
+IAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVNATTEYAEFLHCKGKKFTD
+FEEVRLEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIE
+FQIRDMLMQFVTKENCLILAVSPANSDLANSDALKVAKEVDPQGQRTIGVITK
+>Q05193_PF01031_215 <unknown description>
+DARDVLENKLLPLRRGYIGVVNRSQKDIDGKKDITAALAAERKFFLSHPSYRHLADRMGT
+PYLQKVLNQQLTNHIRDTLPGLRNKLQSQLLSIEKEVEEYKNFRPDDPARKTKALLQMVQ
+QFAVDFEKRIEGSGDQIDTYELSGGARINRIFHERFPFELVKMEFDEKELRREISYAIKN
+IHGIRTGLFTPDMAFETIVKKQVKKIREPCLKCVDMVISELISTVRQCTKKLQQYPRLRE
+EMERIVTTHIREREGRTKEQVMLLIDIELAYMNTNHEDFIGFANAQQ
+>Q05193_PF00169_520 <unknown description>
+VIRKGWLTINNIGIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGF
+MSSKHIFALFNTEQRNVYKDYRQLELACETQEEVDSWKASF
+>Q05193_PF02212_656 <unknown description>
+ERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLM
+EESAEQAQRRDEMLRMYHALKEALSIIGD
+>P50570_PF00350_34 <unknown description>
+IAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLILQLIFSKTEHAEFLHCKSKKFTD
+FDEVRQEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIE
+YQIKDMILQFISRESSLILAVTPANMDLANSDALKLAKEVDPQGLRTIGVITK
+>P50570_PF01031_215 <unknown description>
+DARDVLENKLLPLRRGYIGVVNRSQKDIEGKKDIRAALAAERKFFLSHPAYRHMADRMGT
+PHLQKTLNQQLTNHIRESLPALRSKLQSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQ
+QFGVDFEKRIEGSGDQVDTLELSGGARINRIFHERFPFELVKMEFDEKDLRREISYAIKN
+IHGVRTGLFTPDMAFEAIVKKQIVKLKEPSLKCVDLVVSELATVIKKCAEKLSSYPRLRE
+ETERIVTTYIREREGRTKDQILLLIDIEQSYINTNHEDFIGFANAQQ
+>P50570_PF00169_520 <unknown description>
+VIRRGWLTINNISLMKGGSKEYWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGF
+MSNKHVFAIFNTEQRNVYKDLRQIELACDSQEDVDSWKASF
+>P50570_PF02212_650 <unknown description>
+ERQVETIRNLVDSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLM
+EESADQAQRRDDMLRMYHALKEALNIIGD
+>Q9UQ16_PF00350_34 <unknown description>
+IAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVTSKAEYAEFLHCKGKKFTD
+FDEVRLEIEAETDRVTGMNKGISSIPINLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIE
+YQIREMIMQFITRENCLILAVTPANTDLANSDALKLAKEVDPQGLRTIGVITK
+>Q9UQ16_PF01031_215 <unknown description>
+DARDVLENKLLPLRRGYVGVVNRSQKDIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGT
+PHLQKVLNQQLTNHIRDTLPNFRNKLQGQLLSIEHEVEAYKNFKPEDPTRKTKALLQMVQ
+QFAVDFEKRIEGSGDQVDTLELSGGAKINRIFHERFPFEIVKMEFNEKELRREISYAIKN
+IHGIRTGLFTPDMAFEAIVKKQIVKLKGPSLKSVDLVIQELINTVKKCTKKLANFPRLCE
+ETERIVANHIREREGKTKDQVLLLIDIQVSYINTNHEDFIGFANAQQ
+>Q9UQ16_PF00169_516 <unknown description>
+VIRKGWLTISNIGIMKGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKSF
+MSSKHIFALFNTEQRNVYKDYRFLELACDSQEDVDSWKASLLR
+>Q9UQ16_PF02212_650 <unknown description>
+ERQVETIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLM
+EESAEQAQRRDEMLRMYQALKEALGIIGD
+>Q13627_PF00069_150 <unknown description>
+YEIDSLIGKGSFGQVVKAYDRVEQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMK
+YYIVHLKRHFMFRNHLCLVFEMLSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLAT
+PELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPY
+DLAIDMWSLGCILVEMHTGEPLFSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKL
+PDGTWNLKKTKDGKREYKPPGTRKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILR
+MLDYDPKTRIQPYYALQHSFF
+>Q9Y463_PF00069_111 <unknown description>
+YEIDSLIGKGSFGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMK
+YYIVHLKRHFMFRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLAT
+PELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPY
+DLAIDMWSLGCILVEMHTGEPLFSGSNEVDQMNRIVEVLGIPPAAMLDQAPKARKYFERL
+PGGGWTLRRTKELRKDYQGPGTRRLQEVLGVQTGGPGGRRAGEPGHSPADYLRFQDLVLR
+MLEYEPAARISPLGALQHGFF
+>Q86XF0_PF00186_5 <unknown description>
+LNCIVAVSQNMGIGKNGDLPRPPLRNEFRYFQRMTTTSSVEGKQNLVIMGRKTWFSIPEK
+NRPLKDRINLVLSRELKEPPQGAHFLARSLDDALKLTERPELANKVDMIWIVGGSSVYKE
+AMNHLGHLKLFVTRIMQDFESDTFFSEIDLEKYKLLPEYP
+>Q92630_PF00069_222 <unknown description>
+YEVLKVIGKGSFGQVVKAYDHKVHQHVALKMVRNEKRFHRQAAEEIRILEHLRKQDKDNT
+MNVIHMLENFTFRNHICMTFELLSMNLYELIKKNKFQGFSLPLVRKFAHSILQCLDALHK
+NRIIHCDLKPENILLKQQGRSGIKVIDFGSSCYEHQRVYTYIQSRFYRAPEVILGARYGM
+PIDMWSLGCILAELLTGYPLLPGEDEGDQLACMIELLGMPSQKLLDASKRAKNFVSSKGY
+PRYCTVTTLSDGSVVLNGGRSRRGKLRGPPESREWGNALKGCDDPLFLDFLKQCLEWDPA
+VRMTPGQALRHPWL
+>O43781_PF00069_209 <unknown description>
+YEVLKIIGKGSFGQVARVYDHKLRQYVALKMVRNEKRFHRQAAEEIRILEHLKKQDKTGS
+MNVIHMLESFTFRNHVCMAFELLSIDLYELIKKNKFQGFSVQLVRKFAQSILQSLDALHK
+NKIIHCDLKPENILLKHHGRSSTKVIDFGSSCFEYQKLYTYIQSRFYRAPEIILGSRYST
+PIDIWSFGCILAELLTGQPLFPGEDEGDQLACMMELLGMPPPKLLEQSKRAKYFINSKGI
+PRYCSVTTQADGRVVLVGGRSRRGKKRGPPGSKDWGTALKGCDDYLFIEFLKRCLHWDPS
+ARLTPAQALRHPWI
+>P00374_PF00186_5 <unknown description>
+LNCIVAVSQNMGIGKNGDLPWPPLRNEFRYFQRMTTTSSVEGKQNLVIMGKKTWFSIPEK
+NRPLKGRINLVLSRELKEPPQGAHFLSRSLDDALKLTEQPELANKVDMVWIVGGSSVYKE
+AMNHPGHLKLFVTRIMQDFESDTFFPEIDLEKYKLLPEYPGVLSDVQEEKGIKYKFEVYE
+K
+>O75923_PF00168_2 <unknown description>
+LRVFILYAENVHTPDTDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLDQ
+GSELHVVVKDHETMGRNRFLGEAKVPLREVLATPS
+>O75923_PF00168_221 <unknown description>
+QIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIHKGNSPLFNETLFFNLFDSPGELFDE
+PIFITVVDSRSLRTDALLGEFRMDVGTIYR
+>O75923_PF08151_324 <unknown description>
+SDPDDFSAGARGYLKTSLCVLGPGDEAPLERKDPSEDKEDIESNLLRPTGV
+>O75923_PF00168_380 <unknown description>
+HFCLKVFRAEDLPQMDDAVMDNVKQIFGFESNKKNLVDPFVEVSFAGKMLCSKILEKTAN
+PQWNQNITLPAMFPSMCEKMRIRIIDWDRLTHNDIVATTYLSMSKIS
+>O75923_PF08165_695 <unknown description>
+ALKAQCSTEDVDSLVAQLTDELIAGCSQPLGDIHETPSATHLDQYLYQLRTHHLSQITEA
+ALALK
+>O75923_PF08150_786 <unknown description>
+PQNSLPDIVIWMLQGDKRVAYQRVPAHQVLFSRRGANYCGKNCGKLQTIFLKYPMEKVPG
+ARMPVQIRVKLWFG
+>O75923_PF00168_1153 <unknown description>
+HLRCYMYQARDLAAMDKDSFSDPYAIVSFLHQSQKTVVVKNTLNPTWDQTLIFYEIEIFG
+EPATVAEQPPSIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWFPL
+>O75923_PF00168_1340 <unknown description>
+GLRNMKSYQLANISSPSLVVECGGQTVQSCVIRNLRKNPNFDICTLFMEVMLPREELYCP
+PITVKVIDNRQFGRRPVVGQCTIRS
+>O75923_PF00168_1580 <unknown description>
+VRIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPL
+EKDLKITLYDYDLLSKDEKIGETVVDLENR
+>O75923_PF00168_1877 <unknown description>
+YLPAEQVCTIAKKDAFWRLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPA
+K
+>O75923_PF16165_1975 <unknown description>
+EGEKKILAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKT
+MKFILWRRFRWAIILFIILFILLLFLAIFIYAFPNY
+>Q03001_PF00435_375 <unknown description>
+LHNFVSRATNELIWLNEKEEEEVAYDWSERNTNIARKKDYHAELMRELDQKEENIKSVQE
+IAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCV
+>Q03001_PF17902_550 <unknown description>
+QLKPRNSDCPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAKWKVISPTGNEAMVP
+SVCFTVP
+>Q03001_PF18373_651 <unknown description>
+VSWHYLINEIDRIRASNVASIKTMLPGEHQQVLSNLQSRFEDFLEDSQESQVFSGSDITQ
+LEKEVNVCKQYYQELLKS
+>Q03001_PF00681_2170 <unknown description>
+FLEAQAATGFIIDPISGQTYSVEDAVLKGVVDPEFR
+>Q03001_PF00681_2245 <unknown description>
+LEAQIASGGVIDPVRGIRVPPEIALQQGLLNN
+>Q03001_PF00681_2480 <unknown description>
+LEAQVSTGGIIDPLTGKKYRVAEALHRGLVDEGFAQQL
+>Q03001_PF00681_2556 <unknown description>
+LEFQYLTGGLIEPQVHSRLSIEEALQVGIIDVLIATKL
+>Q8WW35_PF03645_44 <unknown description>
+VKDCIHAVLKEELANAEYSPEEMPQLTKHLSENIKDKLKEMGFDRYKMVVQVVIGEQRGE
+GVFMASRCFWDADTDNYTHDVFMNDSLFCVVAA
+>A2CJ06_PF09068_8 <unknown description>
+ALNSIENSIYRTAFKLQSVQTLCQLDLIDSSLIQQVLLRPSFWEARKHSLSVQQLSQALQ
+ELFQKAREENPGQVHPRAPELTLSLLTTMYNSKGTGFLQLMPAAAALITLS
+>A2CJ06_PF09069_124 <unknown description>
+SKYRALFQLYAENSRGGYDSGPRMTRRVLRKLLTDLQQIPTFVGESRALCPVESATRSCF
+QGVLSPAIKEEKFLSWVQSEPPILLWLPTCHRLS
+>A2CJ06_PF00569_226 <unknown description>
+ARCTLCRTFPITGLRYRCLKCLNFDICQMCFLSGLHSKSHQ
+>Q8IYY4_PF13815_24 <unknown description>
+FKFQPRHDSMDWRRISTLDVDRVARELDVATLQENIAGITFCNLDREVCSRCGQPVDPAL
+LKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQTSLGQQQRGQQELGRQADELKGVREES
+R
+>Q86YF9_PF13815_58 <unknown description>
+FQFRPRLESVDWRRLSAIDVDKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVL
+LKLIRLAQFTIEYLLHSQEFLTSQLHTLEERLRLSHCDGEQSKKLLTKQAGEIKTLKEEC
+K
+>Q86Y13_PF19179_228 <unknown description>
+PTTFKDLLNNFIKTTESNIMKQTICSYLDCERSCEADILKNTSYKGFFQLMCSKSCCVYF
+HKICWKKFKNLKYPGENDQSFSGKKCLKEGCTGDMVRMLQCDVPGIVK
+>Q86Y13_PF18738_421 <unknown description>
+LKKELLIHKNVLESYYNHLWTNHPLGGSWHLLYPPNKELPQSKQFDLCLLLALIKHLNVF
+PAPKKGWNMEPPSSDISKSADILRLCKYRDILLSEILMNGLTESQFNSIWKKVSDILLRL
+GMMQEDIDKVKENPIENI
+>Q86Y13_PF13639_1148 <unknown description>
+CVICHENLSPENLSVLPCAHKFHAQCIRPWLMQQGTCPTCR
+>Q9BQI3_PF00069_167 <unknown description>
+FEELAILGKGGYGRVYKVRNKLDGQYYAIKKILIKGATKTVCMKVLREVKVLAGLQHPNI
+VGYHTAWIEHVHV
+>Q9BQI3_PF00069_380 <unknown description>
+LHIQMQLCELSLWDWIVERNKRGREYVDESACPYVMANVATKIFQELVEGVFYIHNMGIV
+HRDLKPRNIFLHGPDQQVKIGDFGLACTDILQKNTDWTNRNGKRTPTHTSRVGTCLYASP
+EQLEGSEYDAKSDMYSLGVVLLELFQPFGTEMERAEVLTGLRTGQLPESLRKRCPVQAKY
+IQHLTRRNSSQRPSAIQLLQSELF
+>P19525_PF00035_13 <unknown description>
+ELNTYRQKQGVVLKYQELPNSGPPHDRRFTFQVIIDGREFPEGEGRSKKEAKNAAAKLAV
+EIL
+>P19525_PF00035_101 <unknown description>
+YIGLINRIAQKKRLTVNYEQCASGVHGPEGFHYKCKMGQKEYSIGTGSTKQEAKQLAAKL
+AYLQ
+>P19525_PF00069_267 <unknown description>
+FKEIELIGSGGFGQVFKAKHRIDGKTYVIKRVKYNNEKAEREVKALAKLDHVNIVHYNGC
+WDGFDYDPETSDDSLESSDYDPENSKNSSRSKTKCLFIQMEFCDKGTLEQWIEKRRGEKL
+DKVLALELFEQITKGVDYIHSKKLIHRDLKPSNIFLVDTKQVKIGDFGLVTSLKNDGKRT
+RSKGTLRYMSPEQISSQDYGKEVDLYALGLILAELLHVCDTAFETSKFFTDLRDGIISDI
+FDKKEKTLLQKLLSKKPEDRPNTSEILR
+>Q9NZJ5_PF00069_594 <unknown description>
+EPIQCLGRGGFGVVFEAKNKVDDCNYAIKRIRLPNRELAREKVMREVKALAKLEHPGIVR
+YFNAWLE
+>Q9NZJ5_PF00069_881 <unknown description>
+KVYLYIQMQLCRKENLKDWMNGRCTIEERERSVCLHIFLQIAEAVEFLHSKGLMHRDLKP
+SNIFFTMDDVVKVGDFGLVTAMDQDEEEQTVLTPMPAYARHTGQVGTKLYMSPEQIHGNS
+YSHKVDIFSLGLILFELLYPFSTQMERVRTLTDVRNLKFPPLFTQKYPCEYVMVQDMLSP
+SPMERPEAINIIENA
+>Q9P2K8_PF05773_22 <unknown description>
+RQDHELQALEAIYGADFQDLRPDACGPVKEPPEINLVLYPQGLTGEEVYVKVDLRVKCPP
+TYPDVVPEIELKNAKGLSNESVNLLKSRLEELAKKHCGEVMIFELAYHVQSF
+>Q9P2K8_PF00069_330 <unknown description>
+KEKIDKCKKQIQGTETEFNSLVKLSHPNVVRYLAMNLKEQDDSIVVDILVEHISGVSLAA
+HLSHSGPIPVHQLRRYTAQLLSGLDYLHSNSVVHKVLSASNVLVDAEGTVKITDYSISKR
+LADICKEDVFEQTRVRFSDNALPYKTGKKGDVWRLGLLLLSLSQGQECGEYPVTIPSDLP
+ADFQDFLKKCVCLDDKERWSPQQLLKHSFI
+>Q9P2K8_PF00069_590 <unknown description>
+FEELQLLGKGAFGAVIKVQNKLDGCCYAVKRIPINPASRQFRRIKGEVTLLSRLHHENIV
+RYYNAWIER
+>Q9P2K8_PF00069_795 <unknown description>
+VHYLYIQMEYCEKSTLRDTIDQGLYRDTVRLWRLFREILDGLAYIHEKGMIHRDLKPVNI
+FLDSDDHVKIGDFGLATDHLAFSADSKQDDQTGDLIKSDPSGHLTGMVGTALYVSPEVQG
+STKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPKFPEDFDDGEHAKQ
+KSVISWLLNHDPAKRPTATELLKSE
+>Q9P2K8_PF13393_1063 <unknown description>
+MQQHVCETIIRIFKRHGAVQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRIPF
+ARYVARNNILNLKRYCIERVFRPRKLDRFHPKELLECAFDIVTSTTNSFLPTAEIIYTIY
+EIIQEFPALQERNYSIYLNHTMLLKAILLHCGIPEDKLSQVYIILYDAVTEKLTRREVEA
+KFCNLSLSSNSLCRLYKFIEQKGDLQDLMPTINSLIKQKTGIAQLVKYGLKDLEEVVGLL
+KKLGIKLQVLINLGLVYKVQQHNGIIFQFVAFIKRRQRAVPEILAAGGRYDLLIPQFRGP
+QALGPVPTAIGVSIAIDKI
+>Q9P2K8_PF12745_1395 <unknown description>
+SSCDLLVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWSQSQEELQEYCRHHEITYVALV
+SDKEGSHVKVKSFEKERQTEKRVLETELVDHVLQKLRTKVTDERNGREASDNLAVQNLKG
+SFSNASGLFEIHGATVVPIVSVLAPEKLSASTRRRYETQVQTRLQTSLANLHQKSSEIEI
+LAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVCDEIYNIKVEKKVSV
+LFLYSYRDD
+>Q01094_PF02319_129 <unknown description>
+ETSLNLTTKRFLELLSHSADGVVDLNWAAEVLKVQKRRIYDITNVLEGIQLIAKKSKNHI
+QWLG
+>Q01094_PF16421_206 <unknown description>
+LTQDLRQLQESEQQLDHLMNICTTQLRLLSEDTDSQRLAYVTCQDLRSIADPAEQMVMVI
+KAPPETQLQAVDSSENFQISLKSKQGPIDVFLCP
+>Q14209_PF02319_131 <unknown description>
+DTSLGLLTKKFIYLLSESEDGVLDLNWAAEVLDVQKRRIYDITNVLEGIQLIRKKAKNNI
+QWVG
+>Q14209_PF16421_211 <unknown description>
+GQELKELMNTEQALDQLIQSCSLSFKHLTEDKANKRLAYVTYQDIRAVGNFKEQTVIAVK
+APPQTRLEVPDRTEDNLQIYLKSTQGPIEVYLCP
+>O00716_PF02319_180 <unknown description>
+DTSLGLLTKKFIQLLSQSPDGVLDLNKAAEVLKVQKRRIYDITNVLEGIHLIKKKSKNNV
+QWMG
+>O00716_PF16421_259 <unknown description>
+LSKEVTELSQEEKKLDELIQSCTLDLKLLTEDSENQRLAYVTYQDIRKISGLKDQTVIVV
+KAPPETRLEVPDSIESLQIHLASTQGPIEVYLCP
+>Q16254_PF02319_19 <unknown description>
+EKSLGLLTTKFVSLLQEAKDGVLDLKLAADTLAVRQKRRIYDITNVLEGIGLIEKKSKNS
+IQWKG
+>Q16254_PF16421_100 <unknown description>
+LKAEIEELQQREQELDQHKVWVQQSIRNVTEDVQNSCLAYVTHEDICRCFAGDTLLAIRA
+PSGTSLEVPIPEGLNGQKKYQIHLKSVSGPIEVLLVN
+>Q15329_PF02319_52 <unknown description>
+EKSLGLLTTKFVSLLQEAKDGVLDLKAAADTLAVRQKRRIYDITNVLEGIDLIEKKSKNS
+IQWKG
+>Q15329_PF16421_133 <unknown description>
+LKAEIEDLELKERELDQQKLWLQQSIKNVMDDSINNRFSYVTHEDICNCFNGDTLLAIQA
+PSGTQLEVPIPEMGQNGQKKYQINLKSHSGPIHVLLIN
+>O75461_PF02319_65 <unknown description>
+DVSLVYLTRKFMDLVRSAPGGILDLNKVATKLGVRKRRVYDITNVLDGIDLVEKKSKNHI
+RWIG
+>O75461_PF16421_143 <unknown description>
+LQEELSDLSAMEDALDELIKDCAQQLFELTDDKENERLAYVTYQDIHSIQAFHEQIVIAV
+KAPAETRLDVPAPREDSITVHIRSTNGPIDVYLCE
+>Q96AV8_PF02319_143 <unknown description>
+KQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSLGVERRRIYDIVNVLESLHLVSRV
+AKNQYGWHG
+>Q96AV8_PF02319_283 <unknown description>
+KDKSLRIMSQKFVMLFLVSKTKIVTLDVAAKILIEESQDAPDHSKFKTKVRRLYDIANVL
+TSLALIKKVHVTEERGRKPAFKWIG
+>A0AVK6_PF02319_114 <unknown description>
+KEKSLGLLCHKFLARYPNYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRL
+AKNRYTWHG
+>A0AVK6_PF02319_262 <unknown description>
+KDKSLRVMSQKFVMLFLVSTPQIVSLEVAAKILIGEDHVEDLDKSKFKTKIRRLYDIANV
+LSSLDLIKKVHVTEERGRKPAFKWTG
+>Q9H4G0_PF09379_101 <unknown description>
+VTLLDASEYECEVEKHGRGQVLFDLVCEHLNLLEKDYFGLTFCDADSQKNWLDPSKEIKK
+QIR
+>Q9H4G0_PF00373_180 <unknown description>
+PAQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSE
+LRFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLH
+>Q9H4G0_PF09380_292 <unknown description>
+DSEGIDIMLGVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIG
+FKLPNHRSAKRLWKVCIEHHTFFRLVSPE
+>Q9H4G0_PF08736_385 <unknown description>
+GFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERSSSKRYTM
+>Q9H4G0_PF04382_493 <unknown description>
+KQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWERERRLPSSPAS
+>Q9H4G0_PF05902_789 <unknown description>
+KDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKE
+AKLQHPDMLVTKAVVYRET
+>O43491_PF09379_222 <unknown description>
+VTLLDGTEYSCDLEKHAKGQVLFDKVCEHLNLLEKDYFGLLFQESPEQKNWLDPAKEIKR
+QLR
+>O43491_PF00373_300 <unknown description>
+DPSQLTEDITRYFLCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHGSIDLS
+EFQFAPTQTKELEEKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLH
+>O43491_PF09380_413 <unknown description>
+DSEGVDIKLGVCANGLLIYKDRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIG
+FKLPNHRAAKRLWKVCVEHHTFYRLVSPEQ
+>O43491_PF08736_507 <unknown description>
+KFLTLGSKFRYSGRTQAQTRQASTLIDRPAPHFERTSSKRVSRS
+>O43491_PF04382_630 <unknown description>
+MLEELDKAQEDILKHQASISELKRNFMESTPEPRPNEWEKR
+>O43491_PF05902_892 <unknown description>
+KEVPIVQTETKTITYESPQIDGGAGGDSGTLLTAQTITSESVSTTTTTHITKTVKGGISE
+TRIEKRIVITGDGDIDHDQALAQAIREAREQHPDMSVTRVVVHKETE
+>Q9Y2J2_PF09379_114 <unknown description>
+VILLDGSEYTCDVEKRSRGQVLFDKVCEHLNLLEKDYFGLTYRDAENQKNWLDPAKEIKK
+QVR
+>Q9Y2J2_PF00373_193 <unknown description>
+PAQLSEDITRYYLCLQLRDDIVSGRLPCSFVTLALLGSYTVQSELGDYDPDECGSDYISE
+FRFAPNHTKELEDKVIELHKSHRGMTPAEAEMHFLENAKKLSMYGVDLH
+>Q9Y2J2_PF09380_305 <unknown description>
+DSEGVEIMLGVCASGLLIYRDRLRINRFAWPKVLKISYKRNNFYIKIRPGEFEQFESTIG
+FKLPNHRAAKRLWKVCVEHHTFFRL
+>Q9Y2J2_PF08736_398 <unknown description>
+KFLTLGSKFRYSGRTQAQTRRASALIDRPAPYFERSSSKRYTM
+>Q9Y2J2_PF04382_718 <unknown description>
+AQELEKTQDDLMKHQTNISELKRTFLETSTDTAVTNEWEKRLSTSPVR
+>Q9Y2J2_PF05902_973 <unknown description>
+KEVPVVHTETKTITYESSQVDPGTDLEPGVLMSAQTITSETTSTTTTTHITKTVKGGISE
+TRIEKRIVITGDADIDHDQALAQAIKEAKEQHPDMSVTKVVVHKETE
+>Q9HCM4_PF09379_47 <unknown description>
+VSLLDGTDVSVDLPKKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKK
+QVK
+>Q9HCM4_PF00373_127 <unknown description>
+PNNLREELTRYLFVLQLKQDILSGKLDCPFDTAVQLAAYNLQAELGDYDLAEHSPELVSE
+FRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMH
+>Q9HCM4_PF09380_241 <unknown description>
+DGNDYSLGLTPTGVLVFEGDTKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV
+FRLDHPKACKHLWKCAVEHHAFFRLRGPVQ
+>Q9HCM4_PF08736_337 <unknown description>
+GFIRLGSRFRYSGKTEYQTTKTNKARRSTSFERRPSKRYSRR
+>Q9HCS5_PF09379_15 <unknown description>
+VLLLDESKLTLTTQQQGIKKSTKGSVVLDHVFHHVNLVEIDYFGLRYCDRSHQTYWLDPA
+KTLAEHK
+>Q9HCS5_PF00373_105 <unknown description>
+KLKEEITRYQFFLQVKQDVLQGRLPCPVNTAAQLGAYAIQSELGDYDPYKHTAGYVSEYR
+FVPDQKEELEEAIERIHKTLMGQIPSEAELNYLRTAKSLEMYGVDLH
+>Q9HCS5_PF09380_216 <unknown description>
+ENKSEYFLGLTPVGVVVYKNKKQVGKYFWPRITKVHFKETQFELRVLGKDCNETSFFFEA
+RSKTACKHLWKCSVEHHTFFRMPE
+>Q9HCS5_PF08736_311 <unknown description>
+KFGSIRYKHRYSGRTALQMSRDLSIQLPRPDQNVTRSRSKTYPKR
+>Q9H329_PF09379_89 <unknown description>
+VFLLDGTEVSVDLPKHAKGQDLFDQIVYHLDLVETDYFGLQFLDSAQVAHWLDHAKPIKK
+QMK
+>Q9H329_PF00373_169 <unknown description>
+PNNLREEFTRYLFVLQLRHDILSGKLKCPYETAVELAALCLQAELGECELPEHTPELVSE
+FRFIPNQTEAMEFDIFQRWKECRGKSPAQAELSYLNKAKWLEMYGVDMH
+>Q9H329_PF09380_283 <unknown description>
+DGCEYSLGLTPTGILIFEGANKIGLFFWPKITKMDFKKSKLTLVVVEDDDQGREQEHTFV
+FRLDSARTCKHLWKCAVEHHAFFRLRTP
+>Q9H329_PF08736_380 <unknown description>
+FIRLGSRFRFSGRTEYQATHGSRLRRTSTFERKPSKRYPSR
+>Q66K89_PF13912_191 <unknown description>
+RYVCALCHKTFKTGSILKAHMVTHS
+>Q66K89_PF00096_220 <unknown description>
+HECKLCGASFRTKGSLIRHHRRH
+>Q66K89_PF00096_248 <unknown description>
+YKCSKCGKSFRESGALTRHLK
+>Q66K89_PF00096_435 <unknown description>
+HPCPQCSETFPTAATLEAHKRGH
+>Q66K89_PF00096_463 <unknown description>
+FACAQCGKAFPKAYLLKKHQEVH
+>Q66K89_PF00096_519 <unknown description>
+YPCPKCGKRYKTKNAQQVHFRTH
+>P43003_PF00375_51 <unknown description>
+VLLTVTAVIVGTILGFTLRPYRMSYREVKYFSFPGELLMRMLQMLVLPLIISSLVTGMAA
+LDSKASGKMGMRAVVYYMTTTIIAVVIGIIIVIIIHPGKGTKENMHREGKIVRVTAADAF
+LDLIRNMFPPNLVEACFKQFKTNYEKRSFKVPIQANETLVGAVINNVSEAMETLTRITEE
+LVPVPGSVNGVNALGLVVFSMCFGFVIGNMKEQGQALREFFDSLNEAIMRLVAVIMWYAP
+VGILFLIAGKIVEMEDMGVIGGQLAMYTVTVIVGLLIHAVIVLPLLYFLVTRKNPWVFIG
+GLLQALITALGTSSSSATLPITFKCLEENNGVDKRVTRFVLPVGATINMDGTALYEALAA
+IFIAQVNNFELNFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDITLIIA
+VDWFLDRLRTTTNVLGDSLGAGIVEH
+>P43004_PF00375_46 <unknown description>
+LLTLTVFGVILGAVCGGLLRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGL
+SGLDAKASGRLGTRAMVYYMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSL
+DAFLDLIRNLFPENLVQACFQQIQTVTKKVLVAPPPDEEANATSAVVSLLNETVTEVPEE
+TKMVIKKGLEFKDGMNVLGLIGFFIAFGIAMGKMGDQAKLMVDFFNILNEIVMKLVIMIM
+WYSPLGIACLICGKIIAIKDLEVVARQLGMYMVTVIIGLIIHGGIFLPLIYFVVTRKNPF
+SFFAGIFQAWITALGTASSAGTLPVTFRCLEENLGIDKRVTRFVLPVGATINMDGTALYE
+AVAAIFIAQMNGVVLDGGQIVTVSLTATLASVGAASIPSAGLVTMLLILTAVGLPTEDIS
+LLVAVDWLLDRMRTSVNVVGDSFGAGIVYH
+>P43005_PF00375_22 <unknown description>
+LSTVAAVVLGITTGVLVREHSNLSTLEKFYFAFPGEILMRMLKLIILPLIISSMITGVAA
+LDSNVSGKIGLRAVVYYFCTTLIAVILGIVLVVSIKPGVTQKVGEIARTGSTPEVSTVDA
+MLDLIRNMFPENLVQACFQQYKTKREEVKPPSDPEMNMTEESFTAVMTTAISKNKTKEYK
+IVGMYSDGINVLGLIVFCLVFGLVIGKMGEKGQILVDFFNALSDATMKIVQIIMCYMPLG
+ILFLIAGKIIEVEDWEIFRKLGLYMATVLTGLAIHSIVILPLIYFIVVRKNPFRFAMGMA
+QALLTALMISSSSATLPVTFRCAEENNQVDKRITRFVLPVGATINMDGTALYEAVAAVFI
+AQLNDLDLGIGQIITISITATSASIGAAGVPQAGLVTMVIVLSAVGLPAEDVTLIIAVDW
+LLDRFRTMVNVLGDAFGTGIVEK
+>P48664_PF00375_59 <unknown description>
+ILLTVSAVVIGVSLAFALRPYQLTYRQIKYFSFPGELLMRMLQMLVLPLIVSSLVTGMAS
+LDNKATGRMGMRAAVYYMVTTIIAVFIGILMVTIIHPGKGSKEGLHREGRIETIPTADAF
+MDLIRNMFPPNLVEACFKQFKTQYSTRVVTRTMVRTENGSEPGASMPPPFSVENGTSFLE
+NVTRALGTLQEMLSFEETVPVPGSANGINALGLVVFSVAFGLVIGGMKHKGRVLRDFFDS
+LNEAIMRLVGIIIWYAPVGILFLIAGKILEMEDMAVLGGQLGMYTLTVIVGLFLHAGIVL
+PLIYFLVTHRNPFPFIGGMLQALITAMGTSSSSATLPITFRCLEEGLGVDRRITRFVLPV
+GATVNMDGTALYEALAAIFIAQVNNYELNLGQITTISITATAASVGAAGIPQAGLVTMVI
+VLTSVGLPTEDITLIIAVDWFLDRLRTMTNVLGDSIGAAVIEH
+>O00341_PF00375_21 <unknown description>
+ILSVLSVIVGCLLGFFLRTRRLSPQEISYFQFPGELLMRMLKMMILPLVVSSLMSGLASL
+DAKTSSRLGVLTVAYYLWTTFMAVIVGIFMVSIIHPGSAAQKETTEQSGKPIMSSADALL
+DLIRNMFPANLVEATFKQYRTKTTPVVKSPKVAPEEAPPRRILIYGVQEENGSHVQNFAL
+DLTPPPEVVYKSEPGTSDGMNVLGIVFFSATMGIMLGRMGDSGAPLVSFCQCLNESVMKI
+VAVAVWYFPFGIVFLIAGKILEMDDPRAVGKKLGFYSVTVVCGLVLHGLFILPLLYFFIT
+KKNPIVFIRGILQALLIALATSSSSATLPITFKCLLENNHIDRRIARFVLPVGATINMDG
+TALYEAVAAIFIAQVNNYELDFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLP
+TDDITLIIAVDWALDRFRTMINVLGDALAAGIMAH
+>Q96JC9_PF09816_16 <unknown description>
+LRLGESFEKRPRASFHTIRYDFKPASIDTSCEGELQVGKGDEVTITLPHIPGSTPPMTVF
+KGNKRPYQKDCVLIINHDTGEYVLEKLSSSIQVKKTRA
+>Q96CJ1_PF09816_17 <unknown description>
+LKLGESFEKQPRCAFHTVRYDFKPASIDTSSEGYLEVGEGEQVTITLPNIEGSTPPVTVF
+KGSKKPYLKECILIINHDTGECRLEKLSSNITVKKTR
+>Q9HAF1_PF09340_18 <unknown description>
+ELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRGWDRYLTNQKNSNSKNDR
+RNRKFKEAERLFSKSSVTS
+>Q56P03_PF10238_138 <unknown description>
+LYDPEKDNRDQAWVDAQRRGYHGLGPQRSRQQQPVPNSDAVLNCPACMTTLCLDCQRHES
+YKTQYRAMFVMNCSINKEEVLRYKASENRKKRRVHKKMRSNREDAAEKAETDVEEIYHPV
+MCTECSTEVAVYDKDEVFHFFNVLAS
+>P0CF75_PF06407_18 <unknown description>
+KDGSSFHYFQGRFELSGKSRQYPADALEPQPGIGDVKVIEKATKSMLDPAQRSHFYLVTP
+SLVFLCFIFDGLHKALLSVGVSKRSNIVIGNENKETGTLYASKFEDVLPTFTALEMSSIL
+RHCCDLIGIAAGSSDPICTNSLQVQRQFKAMMISIGRPLHSESADLLISYNAGPAIDWIN
+SRPWVGGLMFTFLFGEFESPACELLDQVKVVASKAQMMTYYTVRMFLDQCVDGSTALPAV
+VLEIPVFEQKKPLAKKVLGDFFEFGGVLRHPVIGVLSPQMFPNLATAANYWAKRRNSTFS
+GFEALDIIPGSTITFPVLQMASAQKISRGSDMDPYTLNILRGYGISGFE
+>Q6P2I7_PF06407_74 <unknown description>
+QGRFELSGKNRQYPLDALEPQPSIGDIKDIKKAAKSMLDPAHKSHFHPVTPSLVFLCFIF
+DGLHQALLSVGVSKRSNTVVGNENEERGTPYASRFKDMPNFIALEKSSVLRHCCDLLIGI
+AAGSSDKICTSSLQVQRRFKAMMASIGRLSHGESADLLISCNAESAIGWISSRPWVGELM
+FTLLFGDFESPLHKL
+>Q99848_PF05890_47 <unknown description>
+VNDVNGLKQCLAEFKRDLEWVERLDVTLGPVPEIGGSEAPAPQNKDQKAVDPEDDFQREM
+SFYRQAQAAVLAVLPRLHQLKVPTKRPTDYFAEMAKSDLQMQKIRQKLQTKQAAMERSEK
+AKQLRALRKYGKKVQTEVLQKRQQEKAHMMNAIKKYQKGFSDKLDFLEGDQKPLAQRKKA
+GAKGQQMRKGPSAKRRYKNQKFGFGGKKKGSKWNTRESYDDVSSFRAKTAHGRGLKRPGK
+KGSNKRPGKRTR
+>Q9BY08_PF05241_77 <unknown description>
+IASLWKEYGKADARWVYFDPTIVSVEILTVALDGSLALFLIYAIVKEKYYRHFLQITLCV
+CELYGCWMTFLPEWLTRSPNLNTSNWLYCWLYLFFFNGVWVLIPGLLLWQS
+>Q15125_PF05241_96 <unknown description>
+FLSQLWKEYAKGDSRYILGDNFTVCMETITACLWGPLSLWVVIAFLRQHPLRFILQLVVS
+VGQIYGDVLYFLTEHRDGFQHGELGHPLYFWFYFVFMNALWLVLPGVLVLD
+>O95905_PF07093_14 <unknown description>
+VEYCLFLIPDESRDSDKHKEILQKYIERIITRFAPMLVPYIWQNQPFNLKYKPGKGGVPA
+HMFGVTKFGDNIEDEWFIVYVIKQITKEFPELVARIEDNDGEFLLIEAADFLPKWLDPEN
+STNRVFFCHGELCIIPAPRKSGAESWLPTTPPTIPQALNIITAHSEKILASESIRAAVNR
+RIRGYPEKIQASLHRAHCFLPAGIVAVLKQRPRLVAAAVQAFYLRDPIDLRACRVFKTFL
+PETRIMTSVTFTKCLYAQLVQQRFVPDRRSGYRLPPPSDPQYRAHELGMKLAHGFEILCS
+KCSPHFSDCKKSLVTASPLWASFLESLKKNDYFKGLIEGSAQYRERLEMAENYFQLSVDW
+PESSLAMSPGEEILTLLQTIPFDIEDLKKEAANLPPEDDDQWLDLSPDQLDQLLQEAVGK
+KESESVSKEEKEQNYDLTEVSESMKAFISKVSTHKGAELPREPSEAPITFDADSFLNYFD
+KILGPRPNESDSDDLDDEDFECLDSDDDLDFETHEPGEEASLKGTLDNLKSYMAQMDQEL
+AHTCISKSFTTRNQVEPVSQTTDNNSDEEDSGTGESVMAPVDVD
+>P42892_PF05649_121 <unknown description>
+PCHDFFSYACGGWIKANPVPDGHSRWGTFSNLWEHNQAIIKHLLENSTASVSEAERKAQV
+YYRACMNETRIEELRAKPLMELIERLGGWNITGPWAKDNFQDTLQVVTAHYRTSPFFSVY
+VSADSKNSNSNVIQVDQSGLGLPSRDYYLNKTENEKVLTGYLNYMVQLGKLLGGGDEEAI
+RPQMQQILDFETALANITIPQEKRRDEELIYHKVTAAELQTLAPAINWLPFLNTIFYPVE
+INESEPIVVYDKEYLEQISTLINTTDRCLLNNYMIWNLVRKTSSFLDQRFQDADEKFMEV
+MYGTKKTCLPRWKFCVSDTENNLGFALGPMFVKATFAEDSKSIATEIILEIKKAFEESLS
+TLKWMDEETRKSAKEKADAIYNMIGYP
+>P42892_PF01431_566 <unknown description>
+NAYYSPTKNEIVFPAGILQAPFYTRSSPKALNFGGIGVVVGHELTHAFDDQGREYDKDGN
+LRPWWKNSSVEAFKRQTECMVEQYSNYSVNGEPVNGRHTLGENIADNGGLKAAYRAYQNW
+VKKNGAEHSLPTLGLTNNQLFFLGFAQVWCSVRTPESSHEGLITDPHSPSRFRVIGSLSN
+SKEFSEHFRCPPGSPMNPPHKCEV
+>P0DPD6_PF05649_116 <unknown description>
+PCEDFYQFSCGGWIRRNPLPDGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAEQKTQ
+RFYLSCLQVERIEELGAQPLRDLIEKIGGWNITGPWDQDNFMEVLKAVAGTYRATPFFTV
+YISADSKSSNSNVIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMEELGMLLGGRPTST
+REQMQQVLELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPSMDWLEFLSFLLSPLE
+LSDSEPVVVYGMDYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDRRFESAQEKLLET
+LYGTKKSCVPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMISEIRTAFEEALG
+QLVWMDEKTRQAAKEKADAIYDMIGFP
+>P0DPD6_PF01431_561 <unknown description>
+NAYYLPTKNEIVFPAGILQAPFYARNHPKALNFGGIGVVMGHELTHAFDDQGREYDKEGN
+LRPWWQNESLAAFRNHTACMEEQYNQYQVNGERLNGRQTLGENIADNGGLKAAYNAYKAW
+LRKHGEEQQLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGLVTDPHSPARFRVLGTLSN
+SRDFLRHFGCPVGSPMNPGQLCEV
+>O95672_PF05649_122 <unknown description>
+PCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPGGGPGGAAQRKVR
+AFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAEERPGVAARWDLNRLLYKAQGVYSA
+AALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEDSEKILAAYRVFMERVLSLL
+GADAVEQKAQEILQVEQQLANITVSEHDDLRRDVSSMYNKVTLGQLQKITPHLRWKWLLD
+QIFQEDFSEEEEVVLLATDYMQQVSQLIRSTPHRVLHNYLVWRVVVVLSEHLSPPFREAL
+HELAQEMEGSDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYIL
+GQRLEELDWMDAETRAAARAKLQYMMVMVGYP
+>O95672_PF01431_571 <unknown description>
+NAYYLPNKNQMVFPAGILQPTLYDPDFPQSLNYGGIGTIIGHELTHGYDDWGGQYDRSGN
+LLHWWTEASYSRFLRKAECIVRLYDNFTVYNQRVNGKHTLGENIADMGGLKLAYHAYQKW
+VREHGPEHPLPRLKYTHDQLFFIAFAQNWCIKRRSQSIYLQVLTDKHAPEHYRVLGSVSQ
+FEEFGRAFHCPKDSPMNPAHKCSV
+>Q13011_PF00378_64 <unknown description>
+QKHVLHVQLNRPNKRNAMNKVFWREMVECFNKISRDADCRAVVISGAGKMFTAGIDLMDM
+ASDILQPKGDDVARISWYLRDIITRYQETFNVIERCPKPVIAAVHGGCIGGGVDLVTACD
+IRYCAQDAFFQVKEVDVGLAADVGTLQRLPKVIGNQSLVNELAFTARKMMADEALGSGLV
+SRVFPDKEVMLDAALALAAEISSKSPVAVQSTKVNLLYSRDHSVAESLNYVASWNMSMLQ
+TQDLVKSVQATTENK
+>P40939_PF00378_47 <unknown description>
+GDVAVVRINSPNSKVNTLSKELHSEFSEVMNEIWASDQIRSAVLISSKPGCFIAGADINM
+LAACKTLQEVTQLSQEAQRIVEKLEKSTKPIVAAINGSCLGGGLEVAISCQYRIATKDRK
+TVLGTPEVLLGALPGAGGTQRLPKMVGVPAALDMMLTGRSIRADRAKKMGLVDQLV
+>P40939_PF02737_364 <unknown description>
+LAILGAGLMGAGIAQVSVDKGLKTILKDATLTALDRGQQQVFKGLNDKVKKKALTSFERD
+SIFSNLTGQLDYQGFEKADMVIEAVFEDLSLKHRVLKEVEAVIPDHCIFASNTSALPISE
+IAAVSKRPEKVIGMHYFSPVDKMQLLEIITTEKTSKDTSASAVAVGLKQGKVIIVVKD
+>P40939_PF00725_544 <unknown description>
+GFYTTRCLAPMMSEVIRILQEGVDPKKLDSLTTSFGFPVGAATLVDEVGVDVAKHVAEDL
+GKVFGERFGGGNPELLTQMVSKGFLGRKSGKGFYIY
+>P40939_PF00725_676 <unknown description>
+RLVTRFVNEAVMCLQEGILATPAEGDIGAVFGLGFPPCLGGPFRFVDLYGAQKIVDRLKK
+YEAAYGKQFTPCQLLADHA
+>P55084_PF00108_54 <unknown description>
+VVVVDGVRTPFLLSGTSYKDLMPHDLARAALTGLLHRTSVPKEVVDYIIFGTVIQEVKTS
+NVAREAALGAGFSDKTPAHTVTMACISANQAMTTGVGLIASGQCDVIVAGGVELMSDVPI
+RHSRKMRKLMLDLNKAKSMGQRLSLISKFRFNFLAPELPAVSEFSTSETMGHSADRLAAA
+FAVSRLEQDEYALRSHSLAKKAQDEGLLSDVVPFKVPGKDTVTKDNGIRPSSLEQMAKLK
+PAFIKPYGTVTAANSSFLTDGASAMLIMAEE
+>P55084_PF02803_331 <unknown description>
+YKPKAYLRDFMYVSQDPKDQLLLGPTYATPKVLEKAGLTMNDIDAFEFHEAFSGQILANF
+KAMDSDWFAENYMGRKTKVGLPPLEKFNNWGGSLSLGHPFGATGCRLVMAAANRLRKEGG
+QYGLVAACAAGGQGHAMIVE
+>Q9NTX5_PF00378_54 <unknown description>
+EDNGIGILTLNNPSRMNAFSGVMMLQLLEKVIELENWTEGKGLIVRGAKNTFSSGSDLNA
+VKSLGTPEDGMAVCMFMQNTLTRFMRLPLISVALVQGWALGGGAEFTTACDFRLMTPESK
+IRFVHKEMGIIPSWGGTTRLVEIIGSRQALKVLSGALKLDSKNALNIGMVEEVLQSSDET
+KSLEEAQEWLKQFIQGPPEVIRALKKSVCSGRELYLEEALQNERDLLG
+>Q86YB7_PF00378_40 <unknown description>
+QGITEILMNRPSARNALGNVFVSELLETLAQLREDRQVRVLLFRSGVKGVFCAGADLKER
+EQMSEAEVGVFVQRLRGLMNDIAAFPAPTIAAMDGFALGGGLELALACDLRVAASSAVMG
+LIETTRGLLPGAGGTQRLPRCLGVALAKELIFTGRRLSGTEAHVLGLVNHAVAQNEEGDA
+AYQRARALAQEILPQAPIAVRLGKVAIDRGTEVDIASGMAIEGMCYAQNIPTRDRLEGMA
+AFREKRTPKFVG
+>Q96DC8_PF00378_54 <unknown description>
+DGIRNIVLSNPKKRNALSLAMLKSLQSDILHDADSNDLKVIIISAEGPVFSSGHDLKELT
+EEQGRDYHAEVFQTCSKVMMHIRNHPVPVIAMVNGLAAAAGCQLVASCDIAVASDKSSFA
+TPGVNVGLFCSTPGVALARAVPRKVALEMLFTGEPISAQEALLHGLLSKVVPEAELQEET
+MRIARKIASLSRPVVSLGKATFYKQLPQDLGTAYYLTSQAMVDNLALRDGQEGITAFLQK
+RKPVWS
+>P30084_PF00378_43 <unknown description>
+KNNTVGLIQLNRPKALNALCDGLIDELNQALKTFEEDPAVGAIVLTGGDKAFAAGADIKE
+MQNLSFQDCYSSKFLKHWDHLTQVKKPVIAAVNGYAFGGGCELAMMCDIIYAGEKAQFAQ
+PEILIGTIPGAGGTQRLTRAVGKSLAMEMVLTGDRISAQDAKQAGLVSKICPVETLVEEA
+IQCAEKIASNSKIVVAMAKESVNAAFEMTLTEGSKLEKKLFYSTFATDDRKEGMTAFVEK
+RKANFKDQ
+>Q08426_PF00378_8 <unknown description>
+HNALALIRLRNPPVNAISTTLLRDIKEGLQKAVIDHTIKAIVICGAEGKFSAGADIRGFS
+APRTFGLTLGHVVDEIQRNEKPVVAAIQGMAFGGGLELALGCHYRIAHAEAQVGLPEVTL
+GLLPGARGTQLLPRLTGVPAALDLITSGRRILADEALKLGILDKVVNSDPVEEAIRFAQR
+VSDQPLES
+>Q08426_PF02737_300 <unknown description>
+VGVVGLGTMGRGIVISFARARIPVIAVDSDKNQLATANKMITSVLEKEASKMQQSGHPWS
+GPKPRLTSSVKELGGVDLVIEAVFEEMSLKKQVFAELSAVCKPEAFLCTNTSALDVDEIA
+SSTDRPHLVIGTHFFSPAHVMKLLEVIPSQYSSPTTIATVMNLSKKIKKIGVVVGN
+>Q08426_PF00725_478 <unknown description>
+GFVGNRMLNPYYNQAYFLLEEGSKPEEVDQVLEEFGFKMGPFRVSDLAGLDVGWKSRKGQ
+GLTGPTLLPGTPARKRGNRRYCPIPDVLCELGRFGQKTGKGWYQY
+>Q08426_PF00725_619 <unknown description>
+ILERCLYSLINEAFRILGEGIAASPEHIDVVYLHGYGWPRHKGGPMFYASTVGLPTVLEK
+LQKYYRQNPDIPQLEPSDYLKKLASQGNPPLK
+>P42126_PF00378_54 <unknown description>
+GAGVAVMKFKNPPVNSLSLEFLTELVISLEKLENDKSFRGVILTSDRPGVFSAGLDLTEM
+CGRSPAHYAGYWKAVQELWLRLYQSNLVLVSAINGACPAGGCLVALTCDYRILADNPRYC
+IGLNETQLGIIAPFWLKDTLENTIGHRAAERALQLGLLFPPAEALQVGIVDQVVPEEQVQ
+STALSAIAQWMAIPDHARQLTKAMMRKATASRLVTQRDADVQNFVSFISKDSIQKSLQMY
+LERLK
+>O75521_PF00887_40 <unknown description>
+QKDFENSMNQVKLLKKDPGNEVKLKLYALYKQATEGPCNMPKPGVFDLINKAKWDAWNAL
+GSLPKEAARQNYVDLV
+>O75521_PF00378_147 <unknown description>
+EDGITKIMFNRPKKKNAINTEMYHEIMRALKAASKDDSIITVLTGNGDYYSSGNDLTNFT
+DIPPGGVEEKAKNNAVLLREFVGCFIDFPKPLIAVVNGPAVGISVTLLGLFDAVYASDRA
+TFHTPFSHLGQSPEGCSSYTFPKIMSPAKATEMLIFGKKLTAGEACAQGLVTEVFPDSTF
+QKEVWTRLKAFAKLPPNALRISKEVIRKREREKLHAVNAEECNVLQGRWLSDECTNAVVN
+FL
+>Q16610_PF05782_41 <unknown description>
+VGYAAPPSPPLSRSLPMDHPDSSQHGPPFEGQSQVQPPPSQEATPLQQEKLLPAQLPAEK
+EVGPPLPQEAVPLQKELPSLQHPNEQKEGTPAPFGDQSHPEPESWNAAQHCQQDRSQGGW
+GHRLDGFPPGRPSPDNLNQICLPNRQHVVYGPWNLPQSSYSHLTRQGETLNFLEIGYSRC
+CHCRSHTNRLECAKLVWEEAMSRFCEAEFSVKTRPHWCCTRQGEARFSCFQEEAPQPHYQ
+LRACPSHQPDISSGLELPFPPGVPTLDNIKNICHLRRFRSVPRNLPATDPLQRELLALIQ
+LEREFQRCCRQGNNHTCTWKAWEDTLDKYCDREYAVKTHHHLCCRHPPSPTRDECFARRA
+PYPNYDRDILTIDIGRVTPNLMGHLCGNQRVLTKHKHIPGLIHNMTARCCDLPFPEQACC
+AEEEKLTFINDLCGPRRNIWRDPALCCYLSPGDEQVNCFNINYLRNVALVSGDTENAKGQ
+GEQGSTGGTNISSTSEPKEE
+>O94769_PF00093_103 <unknown description>
+CLVKGITMYNKAVWSPEPCTTCLCSDGRVLCDETMCHPQRCPQTVIPEGECCPVC
+>O94769_PF13855_343 <unknown description>
+PQITSLELTGNSIASIPDEAFNGLPNLERLDLSKNNITSSGIGPKAFKLLKKLMRLNMDG
+NNL
+>O94769_PF13855_415 <unknown description>
+TLEELKVNENNLQAIDEESLSDLNQLVTLELEGNNLSEANVNPLAFKPLKSLAYLRLGKN
+KF
+>O94769_PF13855_487 <unknown description>
+IEELYLENNQIEEITEICFNHTRKINVIVLRYNKIEENRIAPLAWINQENLESIDLSYNK
+L
+>P12724_PF00074_33 <unknown description>
+TRAQWFAIQHISLNPPRCTIAMRAINNYRWRCKNQNTFLRTTFANVVNVCGNQSIRCPHN
+RTLNNCHRSRFRVPLLHCDLINPGAQNISNCTYADRPGRRFYVVACDNRDPRDSPRYPVV
+PVHLD
+>Q19T08_PF15820_101 <unknown description>
+SLSMREDATILPSPTSETVLTVAAFGVISFIVILVVVVIILVGVVSLRFKCRKSKESEDP
+QKPGSSGLSESCSTANGEKDSITLISMKNINMNNGKQSLSAEK
+>Q9BQ95_PF06239_73 <unknown description>
+EDLFGQAPGGERDKASFLQTVQKFAEHSVRKRGHIDFIYLALRKMREYGVERDLAVYNQL
+LNIFPKEVFRPRNIIQRIFVHYPRQQECGIAVLEQMENHGVMPNKETEFLLIQIFGRKSY
+PMLKLVRLKLWFPRFMNVNPFPVPRDLPQDPVELAMFGLRHMEPDLSARVTIYQVPLPKD
+STGAADPPQPHIVG
+>Q9BQ95_PF14784_269 <unknown description>
+SPDQQAALARHNPARPVFVEGPFSLWLRNKCVYYHILRADLLPPEEREVEETPEEWNLYY
+PMQLDLEYVRSGWDNYEFDINEVEEGPVFAMCMAGAHDQATMAKWIQGLQETNPTLAQIP
+VVFRL
+>Q008S8_PF12937_81 <unknown description>
+LPRFISLYIFSFLSPKDLCAAAQVSWPWKFLTEQDCLW
+>Q008S8_PF14252_291 <unknown description>
+MLISSRIPAYEMVMESVKAGVVSVVYEHSVTLESLLYLIEKALDGQKAQSIGIFSDGDSR
+EINLLQGYKIGVKNLLRPEVRDFWEKLGSYVATEEEGGHVDFFVPLGASEAGIEVLSQLS
+QLTGTFFTAPTGIATGSYQHILSDWLGSQWGKAPSSIYFCESKLQTWSS
+>Q008S8_PF00621_570 <unknown description>
+VVRELLQSERKYVQILEIVRDVYVAPLKAALSSNRAILSAANIQIIFCDILQILSLNRQF
+LDNLRDRLQEWGPAHCVGEIVTKFGSQLNTYTNFFNNYPVILKTIEKCREMIPAFRTFLK
+RHDKTIVTKMLSLPELLLYPSRRFEEYLNLLYAVRLHTPAEHVDRGDLTTAIDQIKKYKG
+YI
+>Q9H8V3_PF12738_179 <unknown description>
+LVLCFTGFRKKEELVRLVTLVHHMGGVIRKDFNSKVTHLVANCTQGEKFRVAVSLGTPIM
+KPEW
+>Q9H8V3_PF00533_267 <unknown description>
+KVPPFQDCILSFLGFSDEEKTNMEEMTEMQGGKYLPLGDERCTHLVVEENIVKDLPFEPS
+KKLYVVKQEWFWG
+>Q9H8V3_PF00621_456 <unknown description>
+VAKELYQTESNYVNILATIIQLFQVPLEEEGQRGGPILAPEEIKTIFGSIPDIFDVHTKI
+KDDLEDLIVNWDESKSIGDIFLKYSKDLVKTYPPFVNFFEMSKETIIKCEKQKPRFHAFL
+KINQAKPECGRQSLVELLIRPVQRLPSVALLLNDLKKHTADENPDKSTLEKAIGSLKEVM
+THIN
+>Q8WWZ3_PF00531_128 <unknown description>
+KLDPCHPTVKNWRNFASKWGMSYDELCFLEQRPQSPTLEFLLRNSQRTVGQLMELCRLYH
+RADVEKVLRRW
+>Q92838_PF00229_285 <unknown description>
+KLHPRSGELEVLVDGTYFIYSQVEVYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYN
+TCYTAGVCLLKARQKIAVKMVHADISINMSKHTTFFGAIRL
+>Q96F86_PF12701_4 <unknown description>
+DWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDITELK
+ILE
+>Q96F86_PF16598_103 <unknown description>
+FVKKPASSSSAPQNIPKRTDVKSQDVAVSPQQQQCSKSYVDRHMESLSQSKSFRRRHNSW
+SSSSRHPNQATPKKSGLKNGQMKNKDDECFGD
+>Q96F86_PF09532_199 <unknown description>
+IPDTDFDFEGNLALFDKAAVFEEIDTYERRSGTRSRGIPNERPTRYRHDENILESEPIVY
+RRIIVPHNVSKEFCTDSGLVVPSISYELHKKLLSVAEKHGLT
+>Q96F86_PF03853_304 <unknown description>
+RLEMTGVCASQMALTLLGGPNRLNPKNVHQRPTVALLCGPHVKGAQGISCGRHLANHDVQ
+VILFLPNFVKMLESITNELSLFSKTQGQQVSSLKDLPTSPVDLVINCLDCPENVFLRDQP
+WYKAAVAWANQNRAPVLSIDPPVHEVEQGIDAKWSLALGLP
+>Q6P2E9_PF16529_114 <unknown description>
+GSNKVKIQPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLK
+GFTGSVADLAFAHLNSPQLACLDEAGNLFVWRLALVNGKIQEEILVHIRQPEGTPLNHFR
+RIIWCPFIPEESEDCCEESSPTVALLHEDRAEVWDLDMLRSSHSTWPVDVSQIKQGFIVV
+KGHSTCLSEGALSPDGTVLATASHDGYVKFWQIYIEGQDEPRCLHEWKPHDGRPLSCLLF
+CDNHKKQDPDVPFWRFLITGADQNRELKMWCTVSWTCLQTIRFSPDIFSSVSVPPSLKVC
+LDLSAEYLILSDVQRKVLYVMELLQNQE
+>Q92611_PF01532_137 <unknown description>
+MFVFGYDNYMAHAFPQDELNPIHCRGRGPDRGDPSNLNINDVLGNYSLTLVDALDTLAIM
+GNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHRIITDSKQPFGDMTIKDY
+DNELLYMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDTNNETCTAGAGSLLVEFGIL
+SRLLGDSTFEWVARRAVKALWNLRSNDTGLLGNVVNIQTGHWVGKQSGLGAGLDSFYEYL
+LKSYILFGEKEDLEMFNAAYQSIQNYLRRGREACNEGEGDPPLYVNVNMFSGQLMNTWID
+SLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQLQAPDVLFYPLRPELVE
+STYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVIDKSTEDRMESFFLSETCK
+YLYLLFDEDNPVHKSGTRYMFTTEGHIV
+>Q9BV94_PF01532_42 <unknown description>
+MFYHAYDSYLENAFPFDELRPLTCDGHDTWGSFSLTLIDALDTLLILGNVSEFQRVVEVL
+QDSVDFDIDVNASVFETNIRVVGGLLSAHLLSKKAGVEVEAGWPCSGPLLRMAEEAARKL
+LPAFQTPTGMPYGTVNLLHGVNPGETPVTCTAGIGTFIVEFATLSSLTGDPVFEDVARVA
+LMRLWESRSDIGLVGNHIDVLTGKWVAQDAGIGAGVDSYFEYLVKGAILLQDKKLMAMFL
+EYNKAIRNYTRFDDWYLWVQMYKGTVSMPVFQSLEAYWPGLQSLIGDIDNAMRTFLNYYT
+VWKQFGGLPEFYNIPQGYTVEKREGYPLRPELIESAMYLYRATGDPTLLELGRDAVESIE
+KISKVECGFATIKDLRDHKLDNRMESFFLAETVKYLYLLFDPTNFIHNNGSTFDAVITPY
+GECILGAGGYIFNTEAHPI
+>Q9BZQ6_PF01532_59 <unknown description>
+MFDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLN
+KTKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEYMQWYNDE
+LLQMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAA
+LSRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEY
+LLKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGL
+QVLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATG
+DPYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKE
+DIIFDIEDYIFTTEAHLLP
+>Q9BZQ6_PF02225_688 <unknown description>
+KPSNGCSELTNPEAVMGKIALIQRGQCMFAEKARNIQNAGAIGGIVIDDNEGSSSDTAPL
+FQMAGDGKDTDDIKIPMLFLFSKEGS
+>O60869_PF08523_4 <unknown description>
+SDWDTVTVLRKKGPTAAQAKSKQAILAAQRRGEDVETSKKWAAGQNKQHSITKNTAKLDR
+ETEELHHDRV
+>O60869_PF01381_81 <unknown description>
+IQQGRQSKGLTQKDLATKINEKPQVIADYESGRAIPNNQVLGKIERAIGLKL
+>O43854_PF00008_26 <unknown description>
+CDPNPCENGGICLPGLADGSFSCECPDGFTDP
+>O43854_PF00008_78 <unknown description>
+CTPNPCHNGGTCEISEAYRGDTFIGYVCKCPRGFNGIH
+>O43854_PF12661_128 <unknown description>
+CKNGGICTDLVANYSCECP
+>O43854_PF00754_173 <unknown description>
+QITASSTHRALFGLQKWYPYYARLNKKGLINAWTAAENDRWPWIQINLQRKMRVTGVITQ
+GAKRIGSPEYIKSYKIAYSNDGKTWAMYKVKGTNEDMVFRGNIDNNTPYANSFTPPIKAQ
+YVRLYPQVCRRHCTLRMEL
+>O43854_PF00754_334 <unknown description>
+QITASSIFRTLNMDMFTWEPRKARLDKQGKVNAWTSGHNDQSQWLQVDLLVPTKVTGIIT
+QGAKDFGHVQFVGSYKLAYSNDGEHWTVYQDEKQRKDKVFQGNFDNDTHRKNVIDPPIYA
+RHIRILPWSWYGRITLRSEL
+>P05305_PF00322_49 <unknown description>
+RSKRCSCSSLMDKECVYFCHLDIIWVNTP
+>P20800_PF00322_45 <unknown description>
+RLRRCSCSSWLDKECVYFCHLDIIWVNTP
+>P14138_PF00322_93 <unknown description>
+RSRRCTCFTYKDKECVYYCHLDIIWINTP
+>P25101_PF00001_97 <unknown description>
+GNATLLRIIYQNKCMRNGPNALIASLALGDLIYVVIDLPINVFKLLAGRWPFDHNDFGVF
+LCKLFPFLQKSSVGITVLNLCALSVDRYRAVASWSRVQGIGIPLVTAIEIVSIWILSFIL
+AIPEAIGFVMVPFEYRGEQHKTCMLNATSKFMEFYQDVKDWWLFGFYFCMPLVCTAIFYT
+LMTCEMLNRRNGSLRIALSEHLKQRREVAKTVFCLVVIFALCWFPLHLSRILKKTVYNEM
+DKNRCELLSFLLLMDYIGINLATMNSCINPI
+>P24530_PF00001_118 <unknown description>
+GNSTLLRIIYKNKCMRNGPNILIASLALGDLLHIVIDIPINVYKLLAEDWPFGAEMCKLV
+PFIQKASVGITVLSLCALSIDRYRAVASWSRIKGIGVPKWTAVEIVLIWVVSVVLAVPEA
+IGFDIITMDYKGSYLRICLLHPVQKTAFMQFYKTAKDWWLFSFYFCLPLAITAFFYTLMT
+CEMLRKKSGMQIALNDHLKQRREVAKTVFCLVLVFALCWLPLHLSRILKLTLYNQNDPNR
+CELLSFLLVLDYIGINMASLNSCINPI
+>Q15075_PF01363_1348 <unknown description>
+KWAEDNEVQNCMACGKGFSVTVRRHHCRQCGNIFCAECSAKNALTPSSKKPVRVCDACFN
+DLQ
+>O75530_PF00400_181 <unknown description>
+QCIKHYVGHGNAINELKFHPRDPNLLLSVSKDHALRLWN
+>O75530_PF00400_233 <unknown description>
+EGHRDEVLSADYDLLGEKIMSCGMDHSLKLW
+>Q7L9B9_PF12836_35 <unknown description>
+VNQERLNINTATEEELMTLPGVTRAVARSIVEYREYIGGFKKVEDLALVSGVGATKLEQV
+KFE
+>Q7L9B9_PF12836_136 <unknown description>
+RVNINTATPAQLMSVRGLSEKMALSIVDFRREHGPFRSVEDLVRMDGINAAFLDRIRHQV
+>P68104_PF00009_5 <unknown description>
+KTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLK
+AERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFE
+AGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKKIGYN
+PDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGNASGTTLLEALDCILP
+>P68104_PF03144_260 <unknown description>
+GTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALSEALPGDNVGFNVKNVSVKD
+VRRGNV
+>P68104_PF03143_336 <unknown description>
+EAAGFTAQVIILNHPGQISAGYAPVLDCHTAHIACKFAELKEKIDRRSGKKLEDGPKFLK
+SGDAAIVDMVPGKPMCVESFSDYPPLGRFAVRDMRQTVAVGVIKAV
+>Q05639_PF00009_5 <unknown description>
+KTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLK
+AERERGITIDISLWKFETTKYYITIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFE
+AGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPAYSEKRYDEIVKEVSAYIKKIGYN
+PATVPFVPISGWHGDNMLEPSPNMPWFKGWKVERKEGNASGVSLLEALDTILP
+>Q05639_PF03144_260 <unknown description>
+GTVPVGRVETGILRPGMVVTFAPVNITTEVKSVEMHHEALSEALPGDNVGFNVKNVSVKD
+IRRGNVC
+>Q05639_PF03143_334 <unknown description>
+PQEAAQFTSQVIILNHPGQISAGYSPVIDCHTAHIACKFAELKEKIDRRSGKKLEDNPKS
+LKSGDAAIVEMVPGKPMCVESFSQYPPLGRFAVRDMRQTVAVGVIKNV
+>P24534_PF10587_103 <unknown description>
+LFGSDDEEESEEAKRLREERLAQYESKK
+>P24534_PF00736_141 <unknown description>
+SILLDVKPWDDETDMAKLEECVRSIQADGLVWGSSKLVPVGYGIKKLQIQCVVEDDKVGT
+DMLEEQITAFEDYVQSMDVAAFNKI
+>P29692_PF10587_525 <unknown description>
+LFGSDNEEEDKEAAQLREERLRQYAEKK
+>P29692_PF00736_563 <unknown description>
+SILLDVKPWDDETDMAQLEACVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGT
+DLLEEEITKFEEHVQSVDIAAFNKI
+>P26641_PF02798_3 <unknown description>
+AGTLYTYPENWRAFKALIAAQYSGAQVRVLSAPPHFHFGQTNRTPEFLRKFPAGKVPAFE
+GDDGFCVFESNAIAYYVS
+>P26641_PF00043_108 <unknown description>
+PPASTWVFPTLGIMHHNKQATENAKEEVRRILGLLDAYLKTRTFLVGERVTLADITVVCT
+LLWLYKQVLEPSFRQAFPNTNRWFLTCINQP
+>P26641_PF00647_275 <unknown description>
+KAKDPFAHLPKSTFVLDEFKRKYSNEDTLSVALPYFWEHFDKDGWSLWYSEYRFPEELTQ
+TFMSCNLITGMFQRLDKLRKNAFASVILFGTNNSSSISGVWVFRGQE
+>Q96G04_PF14904_8 <unknown description>
+GTELLLQSFERRFLAARTLRSFPWQSLEAKLRDSSDSELLRDILHKTVKHPVCVKHPPSV
+KYARCFLSELIKKHEAVHTEPLDELYEALAE
+>Q96G04_PF10294_134 <unknown description>
+TGLVTWDAALYLAEWAIENPAVFTNRTVLELGSGAGLTGLAICKMCRPRAYIFSDCHSRV
+LEQLRGNVLLNGLSLEADITAKLDSPRVTVAQLDWDVATVHQLSAFQPDVVIAADVLYCP
+EAIMSLVGVLRRLAACREHQRAPEVYVAFTVRNPE
+>O00418_PF02816_139 <unknown description>
+GRGAMRECFRTKKLSNFLHAQQWKGASNYVAKRYIEPVDRDVYFEDVRLQMEAKLWGEEY
+NRHKPPKQVDIMQMCIIELKDRPGKPLFHLEHYIEGKYIKYNSNSGFVRDDNIRLTPQAF
+SHFTFERSGHQLIVVDIQGVGDLYTDPQIHTETGTDFGDGNLGVRGMALFFYSHACNRIC
+>O00418_PF08238_528 <unknown description>
+LAMVRYHEGGRFCEKGEEWDQESAVFHLEHAANLG
+>O00418_PF08238_590 <unknown description>
+TEENKTKGFDYLLKAAEAGD
+>O00418_PF08238_672 <unknown description>
+AEMLFTGGYGLEKDPQRSGDLYTQAAEA
+>P13639_PF00009_18 <unknown description>
+NIRNMSVIAHVDHGKSTLTDSLVCKAGIIASARAGETRFTDTRKDEQERCITIKSTAISL
+FYELSENDLNFIKQSKDGAGFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCV
+QTETVLRQAIAERIKPVLMMNKMDRALLELQLEPEELYQTFQRIVENVNVIISTYGEGES
+GPMGNIMIDPVLGTVGFGSGLHGWAFTLKQFAEMYVAKFAAKGEGQLGPAERAKKVEDMM
+KKLWGDRYFDPANGKFSKSATSPEGKKLPRTFCQLILDPIFKVFDAIMNFKKEETAKLIE
+KLDIKLDSEDKDKEGKPLLKAVMRRWLPAGDALLQMITIHLP
+>P13639_PF03144_411 <unknown description>
+YAFGRVFSGLVSTGLKVRIMGPNYTPGKKEDLYLKPIQRTILMMGRYVEPIEDVPCGNIV
+GLVGVDQFLVKTGTI
+>P13639_PF14492_503 <unknown description>
+PVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIIEESGEHIIAGAGELHLEICLKDLE
+ED
+>P13639_PF03764_622 <unknown description>
+VSARQELKQRARYLAEKYEWDVAEARKIWCFGPDGTGPNILTDITKGVQYLNEIKDSVVA
+GFQWATKEGALCEENMRGVRFDVHDVTLHADAIHRGGGQIIPTARRCLYASVLTAQ
+>P13639_PF00679_739 <unknown description>
+RLMEPIYLVEIQCPEQVVGGIYGVLNRKRGHVFEESQVAGTPMFVVKAYLPVNESFGFTA
+DLRSNTGGQAFPQCVFDHWQILPGDPFD
+>Q9BUY7_PF13833_34 <unknown description>
+HKGYLSREDFKTAVVMLFGYKPSKIEVDSVMSSINPNTSGIL
+>Q9BUY7_PF13499_95 <unknown description>
+EVRHIFTAFDTYYRGFLTLEDFKKAFRQVAPKLPERTVLEVFREVDRDSDGHVSFRDFEY
+A
+>Q9BSW2_PF13499_54 <unknown description>
+KAQEFFQTCDAEGKGFIARKDMQRLHKELPLSLEELEDVFDALDADGNGYLTPQEFTTG
+>Q9BSW2_PF00071_547 <unknown description>
+KIVFVGNSAVGKTSFLRRFCEDRFSPGMAATVGIDYRVKTLNVDNSQVALQLWDTAGQER
+YRCITQQFFRKADGVIVMYDLTDKQSFLSVRRWLSSVEEAVGDRVPVLLLGNKLDNEKER
+EVPRGLGEQLATENNLIFYECSAYSGHNTKESLLHLARF
+>Q8N7B9_PF13833_64 <unknown description>
+TGCIDFHGLMCTVAKLGMNLTKHDVYNELKCADIDRDGKVNFSDFIKVLTD
+>Q5THR3_PF08976_71 <unknown description>
+RGDELQKAFQLLDTGQNLTVSKSELRRIITDFLMPLTREQFQDVLAQIPLSTSGTVPYLA
+FLSRFG
+>Q5THR3_PF13833_419 <unknown description>
+PDGPITREEFRYILNCMAVKLSDSEFKELMQMLDPGDTGVVNTSMFIDLIE
+>Q5THR3_PF08976_1160 <unknown description>
+ATADRDILARLHKAVTSHYHAITQEFENFDTMKTNTISREEFRAICNRRVQILTDEQFDR
+LWNEMPVNAKGRLKYPDFLSRFSSETAATPMATGDSAVAQR
+>Q5THR3_PF13499_1440 <unknown description>
+RRTFKSYDEAGTGLLSVADFRTVLRQYSINLSEEEFFHILEYYDKTLSSKISYNDFLRAF
+>A8K855_PF13499_106 <unknown description>
+ELLKSFKQLDVNDDGCILHTDLYKFLTKRGEKMTREEVNAIINLADVNADGKFDYIKFCK
+LY
+>Q96RP9_PF00009_45 <unknown description>
+KIRNIGISAHIDSGKTTLTERVLYYTGRIAKMHEVKGKDGVGAVMDSMELERQRGITIQS
+AATYTMWKDVNINIIDTPGHVDFTIEVERALRVLDGAVLVLCAVGGVQCQTMTVNRQMKR
+YNVPFLTFINKLDRMGSNPARALQQMRSKLNHNAAFMQIPMGLEGNFKGIVDLIEERAIY
+FDGDFGQIVRYGEIPAELRAAATDHRQELIECVANSDEQLGEMFLEEKIPSISDLKLAIR
+RATLKRSFTPVFLGSALKNKGVQPLLDAVLEYLP
+>Q96RP9_PF03144_366 <unknown description>
+GQLTYVRSYQGELKKGDTIYNTRTRKKVRLQRLARMHADMMEDVEEVYAGDICALFGIDC
+ASGDTFT
+>Q96RP9_PF14492_446 <unknown description>
+VPDPVISIAMKPSNKNDLEKFSKGIGRFTREDPTFKVYFDTENKETVISGMGELHLEIYA
+QRLEREYGCPCITG
+>Q96RP9_PF03764_521 <unknown description>
+PKVAFRETITAPVPFDFTHKKQSGGAGQYGKVIGVLEPLDPEDYTKLEFSDETFGSNIPK
+QFVPAVEKGFLDACEKGPLSGHKLSGLRFVLQDGAHHMVDSNEISFIRAGEGALKQALAN
+A
+>Q96RP9_PF00679_645 <unknown description>
+ILEPIMAVEVVAPNEFQGQVIAGINRRHGVITGQDGVEDYFTLYADVPLNDMFGYSTELR
+SCTEGKGEYTMEYSRYQPCLPSTQE
+>Q8N7U6_PF13499_565 <unknown description>
+TLLAAFRHYDKKGDGMIDKDELQEACDQANLSLDDKLLDQLFDYCDVDNDGFINYLEFAN
+F
+>Q5JVL4_PF06565_87 <unknown description>
+PAHVAFDKKVLKFDAYFQEDVPMSTEEQYRIRQVNIYYYLEDDSMSVIEPVVENSGILQG
+KLIKRQRLAKNDRGDHYHWKDLNRGINITIYGKTFRVVDCDQFT
+>Q5JVL4_PF06565_232 <unknown description>
+LKQFLTFDKQVLRFYAIWDDTDSMYGECRTYIIHYYLMDDTVEIREVHERNDGRDPFPLL
+MNRQRVPKVLVENAKNFPQCVLEISDQEVLEWYTAKDFIVGKSLTILGRTFFIYDCDPFT
+>Q5JVL4_PF06565_411 <unknown description>
+KMLVNDNKVLRYLAVLESPIPEDKDRRFVFSYFLATDMISIFEPPVRNSGIIGGKYLGRT
+KVVKPYSTVDNPVYYGPSDFFIGAVIEVFGHRFIILDTDEY
+>Q5JST6_PF06565_69 <unknown description>
+PSWVAFDKQVLSFDAYLEEEVLDKSQTNYRIRYYKIYFYPEDDTIQVNEPEVKNSGLLQG
+TSIRRHRITLPPPDEDQFYTVYHFNVGTEVVFYGRTFKIYDCDAFT
+>Q5JST6_PF06565_219 <unknown description>
+LKQFLQYHGKILCFFCLWDDSVSMFGDRRELILHYFLCDDTIEIKELLPHSSGRDALKMF
+LRRSKLPKNCPPRVYQPGQITDRAVLNSYG
+>Q5JST6_PF06565_317 <unknown description>
+GYLFDRYKLGKVDQEFYKDSDLSLGVTINVWGRKVLLYDCDEFT
+>Q5JST6_PF06565_419 <unknown description>
+FKKFMEKDSYGSKSNILRFFAKLVTDKCVDLDRMFVISYYLGDDTISVFEPIERNSGIAG
+GMFLKRSRVKKPGQEVFKSELSEYIKAEELYIGVTVNVNGYLFRLLNADEYT
+>Q9BUP0_PF13499_94 <unknown description>
+DLESMFKLYDAGRDGFIDLMELKLMMEKLGAPQTHLGLKSMIKEVDEDFDGKLSFREFL
+>Q96C19_PF13499_96 <unknown description>
+DMEKMFKQYDAGRDGFIDLMELKLMMEKLGAPQTHLGLKNMIKEVDEDFDSKLSFREFLL
+IF
+>Q7Z2Z2_PF00009_18 <unknown description>
+NIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSREDEQIRGITMKSSAISL
+HYATGNEEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAVEGVCPQTQAVLRQAWLE
+NIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTLFTSKVLEERAERETESQ
+VNPNSEQGEQVYDWSTGLEDTDDSHLYFSPEQGNVVFTSAIDGWGFGIEHFARIYSQKIG
+IKKEVLMKTLWGDYYINMKAKK
+>Q7Z2Z2_PF14492_615 <unknown description>
+TPIVRVAVEPKHPSEMPQLVKGMKLLNQADPCVQILIQETGEHVLVTAGEVHLQRCLDDL
+KERF
+>Q7Z2Z2_PF00679_983 <unknown description>
+AMYTCDIMATGDVLGRVYAVLSKREGRVLQEEMKEGTDMFIIKAVLPVAESFGFADEIRK
+RTSGLASPQLVFSHWEIIPSDP
+>Q8WVE0_PF10237_46 <unknown description>
+ENWQLSQFWYSQETALQLAQEAIAAVGEGGRIACVSAPSVYQKLRELCRENFSIYIFEYD
+KRFAMYGEEFIFYDYNNPLDLPERIAAHSFDIVIADPPYLSEECLRKTSETVKYLTRGKI
+LLCTGAIMEEQAAELLGVKMCTFVPRHTRNLANEFRCYVNYD
+>Q5JPI9_PF13847_81 <unknown description>
+ASVLDIGTGNGVFLVELAKFGFSNITGIDYSPSAIQLSGSIIEKEGLSNIKLKVEDFLNL
+STQLSGFHICIDKGTFDAISLNPDNAIEKRKQYVKSLSRVLKVKGFFLITSCNWTKEELL
+NEFSE
+>Q96AZ1_PF10294_38 <unknown description>
+HVLTITQNFGSRLGVAARVWDAALSLCNYFESQNVDFRGKKVIELGAGTGIVGILAALQG
+GDVTITDLPLALEQIQGNVQANVPAGGQAQVRALSWGIDHHVFPANYDLVLGADIVYLEP
+TFPLLLGTLQHLCRPHGTIYLASKMRKEHGTESFFQHL
+>P0DPD7_PF08241_63 <unknown description>
+LVLGCGNSALSYELFLGGFPNVTSVDYSSVVVAAMQARHAHVPQLRWETMDVRKLDFPSA
+SFDVVLEKGTLDALLAGERDPWTVSSEGVHTVDQVLSEVSRVLVPGGRFI
+>P20827_PF00812_17 <unknown description>
+AADRHTVFWNSSNPKFRNEDYTIHVQLNDYVDIICPHYEDHSVADAAMEQYILYLVEHEE
+YQLCQPQSKDQVRWQCNRPSAKHGPEKLSEKFQRFTPFTLGKEFKEGHSYYYISKPIHQH
+EDRCLRLKVTV
+>O43921_PF00812_34 <unknown description>
+SDRYAVYWNRSNPRFHAGAGDDGGGYTVEVSINDYLDIYCPHYGAPLPPAERMEHYVLYM
+VNGEGHASCDHRQRGFKRWECNRPAAPGGPLKFSEKFQLFTPFSLGFEFRPGHEYYYISA
+TPPNAVDRPCLRLKVYV
+>P52797_PF00812_31 <unknown description>
+NRHAVYWNSSNQHLRREGYTVQVNVNDYLDIYCPHYNSSGVGPGAGPGPGGGAEQYVLYM
+VSRNGYRTCNASQGFKRWECNRPHAPHSPIKFSEKFQRYSAFSLGYEFHAGHEYYYISTP
+THNLHWKCLRMKVFV
+>P52798_PF00812_25 <unknown description>
+SLRHVVYWNSSNPRLLRGDAVVELGLNDYLDIVCPHYEGPGPPEGPETFALYMVDWPGYE
+SCQAEGPRAYKRWVCSLPFGHVQFSEKIQRFTPFSLGFEFLPGETYYYISVPTPESSGQC
+LRLQVSV
+>P52803_PF00812_28 <unknown description>
+VADRYAVYWNSSNPRFQRGDYHIDVCINDYLDVFCPHYEDSVPEDKTERYVLYMVNFDGY
+SACDHTSKGFKRWECNRPHSPNGPLKFSEKFQLFTPFSLGFEFRPGREYFYISSAIPDNG
+RRSCLKLKVFV
+>P98172_PF00812_29 <unknown description>
+AKNLEPVSWSSLNPKFLSGKGLVIYPKIGDKLDIICPRAEAGRPYEYYKLYLVRPEQAAA
+CSTVLDPNVLVTCNRPEQEIRFTIKFQEFSPNYMGLEFKKHHDYYITSTSNGSLEGLENR
+EGGVCRTRTMKIIMKV
+>P52799_PF00812_30 <unknown description>
+LEPIYWNSSNSKFLPGQGLVLYPQIGDKLDIICPKVDSKTVGQYEYYKVYMVDKDQADRC
+TIKKENTPLLNCAKPDQDIKFTIKFQEFSPNLWGLEFQKNKDYYIISTSNGSLEGLDNQE
+GGVCQTRAMKILMKV
+>Q15768_PF00812_30 <unknown description>
+LEPVYWNSANKRFQAEGGYVLYPQIGDRLDLLCPRARPPGPHSSPNYEFYKLYLVGGAQG
+RRCEAPPAPNLLLTCDRPDLDLRFTIKFQEYSPNLWGHEFRSHHDYYIIATSDGTREGLE
+SLQGGVCLTRGMKVLLRV
+>Q8N6R0_PF08241_53 <unknown description>
+LVIGCGNSELSEQLYDVGYRDIVNIDISEVVIKQMKECNATRRPQMSFLKMDMTQMEFPD
+ASFQVVLDKGTLDAVLTDEEEKTLQQVDRMLAEVGRVLQVGGRYL
+>Q8N6R0_PF01564_477 <unknown description>
+MIAGLALLRNPELLLEIPLALLVVGLGGGSLPLFVHDHFPKSCIDAVEIDPSMLEVATQW
+FGFSQSDRMKVHIADGLDYIASLAGGGEARPCYDVIMFDVDSKDPTLGMSCPPPAFVEQS
+FLQKVKSILTPEGVFIL
+>O43281_PF14604_12 <unknown description>
+ALYDNTAESPQELSFRRGDVLRVLQREGAGGLDGWCLCSLHGQQGIVPANRV
+>O43281_PF12026_372 <unknown description>
+YEGIPMAEEYDYVHLKGMDKAQGSRPPDQACTGDPELPERGMPAPQEALSPGEPLVVSTG
+DLQLLYFYAGQCQSHYSALQAAVAALMSSTQANQPPRLFVPHSKRVVVAAHRLVFVGDTL
+GRLAASAPLRAQVRAAGTALGQALRATVLAVKGAALGYPSSPAIQEMVQCVTELAGQALQ
+FTTLL
+>P43897_PF00889_117 <unknown description>
+VLVEVNCETDFVSRNLKFQLLVQQVALGTMMHCQTLKDQPSAYSKGFLNSSELSGLPAGP
+DREGSLKDQLALAIGKLGENMILKRAAWVKVPSGFYVGSYVHGAMQSPSLHKLVLGKYGA
+LVICETSEQKTNLEDVGRRLGQHVVGMAPLSV
+>P49411_PF00009_58 <unknown description>
+KPHVNVGTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVE
+YSTAARHYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLARQIGVE
+HVVVYVNKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEGRDPELGLK
+SVQKLLDAVDTYIP
+>P49411_PF03144_275 <unknown description>
+GTVVTGTLERGILKKGDECELLGHSKNIRTVVTGIEMFHKSLERAEAGDNLGALVRGLKR
+EDLRRGLV
+>P49411_PF03143_348 <unknown description>
+SIKPHQKVEAQVYILSKEEGGRHKPFVSHFMPVMFSLTWDMACRIILPPEKELAMPGEDL
+KFNLILRQPMILEKGQRFTLRDGNRTIGTGLVTN
+>Q8IUX8_PF07645_94 <unknown description>
+DVNECGMKPRPCQHRCVNTHGSYKCFCLSGHM
+>Q8IUX8_PF07645_174 <unknown description>
+DIDECASGKVICPYNRRCVNTFGSYYCKCHIGFE
+>Q8IUX8_PF07645_219 <unknown description>
+DINECTMDSHTCSHHANCFNTQGSFKCKCKQGYKGNG
+>Q8IUX8_PF00629_402 <unknown description>
+CSFNHGICDWKQDREDDFDWNPADRDNAIGFYMAVPALAGHKKDIGRLKLLLPDLQPQSN
+FCLLFDYRLAGDKVGKLRVFVKNSNNALAWEKTTSEDEKWKTGKIQLYQGTDATKSIIFE
+AERGKGKTGEIAVDGVLLVSGLC
+>Q9UHF1_PF07546_28 <unknown description>
+RRVCAVRAHGDPVSESFVQRVYQPFLTTCDGHRACSTYRTIYRTAYRRSPGLAPARPRYA
+CCPGWKR
+>Q9UHF1_PF07974_107 <unknown description>
+CQPPCRNGGSCVQPGRCRCPAGWRGDTC
+>Q9UHF1_PF07645_137 <unknown description>
+DVDECSARRGGCPQRCVNTAGSYWCQCWEGHSLSADGTLC
+>Q99944_PF07546_36 <unknown description>
+GVCSKQTLVVPLHYNESYSQPVYKPYLTLCAGRRICSTYRTMYRVMWREVRREVQQTHAV
+CCQGWK
+>Q99944_PF00008_114 <unknown description>
+CAKPCLNGGVCVRPDQCECAPGWGGKH
+>Q99944_PF07645_144 <unknown description>
+DVDECRTSITLCSHHCFNTAGSFTCGCPHDLVLGVDGRTC
+>Q63HQ2_PF00041_37 <unknown description>
+PPLDIKLGALNCTAFSIQWKMPRHPGSPILGYTVFYSEVGADKSLQEQLHSVPLSRDIPT
+TEEVIGDLKPGTEYRVSIAAYSQAGKGRLS
+>Q63HQ2_PF00041_144 <unknown description>
+APQQPHVIVVSDSEVALSWKPGASEGSAPIQYYSVEFIRPDFDKKWTSIHERIQMDSMVI
+KGLDPDTNYQFAVRAMNSHGPSPRS
+>Q63HQ2_PF00054_415 <unknown description>
+FRAEAEDGLLLYCGENEHGRGDFMSLAIIRRSLQFRFNCGTGVAIIVSETKIKLGGWHTV
+MLYRDGLNGLLQLNNGTPVTGQSQGQYSKITFRTPLYLGGAPSAYWLVRATGTNRGFQGC
+VQSLAVNGRRI
+>Q63HQ2_PF00008_569 <unknown description>
+CDEASCIHGGTCTAIKADSYICLCPLGFKGRH
+>Q63HQ2_PF00054_641 <unknown description>
+FRPDSGDGVLLYSYDTGSKDFLSINLAGGHVEFRFDCGSGTGVLRSEDPLTLGNWHELRV
+SRTAKNGILQVDKQKIVEGMAEGGFTQIKCNTDIFIGGVPNYDDVKKNSGVLKPFSGSIQ
+KIILNDRTI
+>Q63HQ2_PF00008_788 <unknown description>
+CVRAPCAHGGSCRPRKEGYDCDCPLGFEGL
+>Q63HQ2_PF02210_860 <unknown description>
+FKTTAKDGLLLWRGDSPMRPNSDFISLGLRDGALVFSYNLGSGVASIMVNGSFNDGRWHR
+VKAVRDGQSGKITVDDYGARTGKSPGMMRQLNINGALYVGGMKEIALHTNRQYMRGLVGC
+ISHFTL
+>P00533_PF01030_57 <unknown description>
+NCEVVLGNLEITYVQRNYDLSFLKTIQEVAGYVLIALNTVERIPLENLQIIRGNMYYENS
+YALAVLSNYDANKTGLKELPMRNLQEILHGAVRFSNNPALCNVESIQWRDI
+>P00533_PF00757_185 <unknown description>
+GSCQKCDPSCPNGSCWGAGEENCQKLTKIICAQQCSGRCRGKSPSDCCHNQCAAGCTGPR
+ESDCLVCRKFRDEATCKDTCPPLMLYNPTTYQMDVNPEGKYSFGATCVKKCPRNYVVTDH
+GSCVRACGADSYEMEEDGVRKCKKCEGPCRKVCN
+>P00533_PF01030_361 <unknown description>
+NCTSISGDLHILPVAFRGDSFTHTPPLDPQELDILKTVKEITGFLLIQAWPENRTDLHAF
+ENLEIIRGRTKQHGQFSLAVVSLNITSLGLRSLKEISDGDVIISGNKNLCYANTINWKKL
+>P00533_PF14843_505 <unknown description>
+VCHALCSPEGCWGPEPRDCVSCRNVSRGRECVDKCNLLEGEPREFVENSECIQCHPECLP
+QAMNITCTGRGPDNCIQCAHYIDGPHCVKTCPAGVMGENNTLVWKYADAGHVCHLCHPNC
+TYGCTGPGLEGC
+>P00533_PF07714_713 <unknown description>
+KKIKVLGSGAFGTVYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNP
+HVCRLLGICLTSTVQLITQLMPFGCLLDYVREHKDNIGSQYLLNWCVQIAKGMNYLEDRR
+LVHRDLAARNVLVKTPQHVKITDFGLAKLLGAEEKEYHAEGGKVPIKWMALESILHRIYT
+HQSDVWSYGVTVWELMTFGSKPYDGIPASEISSILEKGERLPQPPICTIDVYMIMVKCWM
+IDADSRPKFRELI
+>P01133_PF07645_356 <unknown description>
+DVNECAFWNHGCTLGCKNTPGSYYCTCPVGFVLLPDGKRC
+>P01133_PF00058_524 <unknown description>
+NKIYFAHTALKWIERANMDGSQRERLIEEGVDVPEGLAVDW
+>P01133_PF00058_568 <unknown description>
+RFYWTDRGKSLIGRSDLNGKRSKIITKENISQPRGIAVHP
+>P01133_PF00058_611 <unknown description>
+RLFWTDTGINPRIESSSLQGLGRLVIASSDLIWPSGITID
+>P01133_PF00058_654 <unknown description>
+DKLYWCDAKQSVIEMANLDGSKRRRLTQNDVGHPFAVAVF
+>P01133_PF14670_745 <unknown description>
+CLYQNGGCEHICKKRLGTAWCSCREGFMKASDGKTC
+>P01133_PF07645_870 <unknown description>
+DIDECEMGVPVCPPASSKCINTEGGYVCRCSEGYQGDGIHC
+>P01133_PF07645_912 <unknown description>
+DIDECQLGEHSCGENASCTNTEGGYTCMCA
+>P01133_PF00008_981 <unknown description>
+DGYCLHDGVCMYIEALDKYACNCVVGYIGER
+>Q9GZT9_PF01753_21 <unknown description>
+CELCGKMENLLRCSRCRSSFYCCKEHQRQDWKKHKLVC
+>Q9GZT9_PF13640_299 <unknown description>
+MVACYPGNGTGYVRHVDNPNGDGRCVTCIYYLNKDWDAKVSGGILRIFPEGKAQFADIEP
+KFDRLLFFWSDRRNPHEVQPAYATRYAITVWY
+>Q96KS0_PF13640_283 <unknown description>
+MVACYPGNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEP
+LFDRLLIFWSDRRNPHEVKPAYATRYAITVWY
+>Q9H6Z9_PF13640_121 <unknown description>
+MVACYPGNGTGYVRHVDNPNGDGRCITCIYYLNKNWDAKLHGGILRIFPEGKSFIADVEP
+IFDRLLFFWSDRRNPHEVQPSYATRYAMTVWY
+>P17813_PF00100_363 <unknown description>
+CADDAMTLVLKKELVAHLKCTITGLTFWDPSCEAEDRGDKFVLRSAYSSCGMQVSASMIS
+NEAVVNILSSSSPQRKKVHCLNMDSLSFQLGLYLSPHFLQASNTIEPGQQSFVQVRVSPS
+VSEFLLQLDSCHLDLGPE
+>P18146_PF11928_135 <unknown description>
+GRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPASSSSAPSPAASSASASQSPPLSCAV
+PSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPP
+>P18146_PF00096_338 <unknown description>
+YACPVESCDRRFSRSDELTRHIRIH
+>P18146_PF00096_368 <unknown description>
+FQCRICMRNFSRSDHLTTHIRTH
+>P18146_PF00096_396 <unknown description>
+FACDICGRKFARSDERKRHTKIH
+>P18146_PF11914_429 <unknown description>
+SVVASSATSSLSSYPSPVATSYPSPVTTSYPSP
+>P18146_PF11914_452 <unknown description>
+SPVTTSYPSPATTSYPSPVPTSFSSPGSSTYPSPVHSGFPSPSVATTYSSVPPAFPAQVS
+SFPSSAVTNSFSASTGLSD
+>P11161_PF11928_94 <unknown description>
+GKFSIDPQYPGASCYPEGIINIVSAGILQGVTSPASTTASSSVTSASPNPLATGPLGVCT
+MSQTQPDLDHLYSPPPPPPPYSGCAGDLYQDPSAFLSAATTSTSSSLAYPP
+>P11161_PF00096_340 <unknown description>
+YPCPAEGCDRRFSRSDELTRHIRIH
+>P11161_PF00096_370 <unknown description>
+FQCRICMRNFSRSDHLTTHIRTH
+>P11161_PF00096_398 <unknown description>
+FACDYCGRKFARSDERKRHTKIH
+>Q06889_PF11928_87 <unknown description>
+GKFAFDSPSNWCQDNIISLMSAGILGVPPASGALSTQTSTASMVQPPQGDVEAMYPALPP
+YSNCGDLYSEPVSFHDPQGNPGLAYSP
+>Q06889_PF00096_276 <unknown description>
+ACPAEGCDRRFSRSDELTRHLRIH
+>Q06889_PF00096_305 <unknown description>
+FQCRICMRSFSRSDHLTTHIRTH
+>Q06889_PF00096_333 <unknown description>
+FACEFCGRKFARSDERKRHAKIH
+>Q8N3D4_PF10358_13 <unknown description>
+KRAAKFQFVACYHELVLECTKKWQPDKLVVVWTRRNRRICSKAHSWQPGIQNPYRGTVVW
+MVPENVDISVTLYRDPHVDQYEAKEWTFIIENESKGQRKVLATAEVDLARHAGPVPVQVP
+VRLRLKPKSVKVVQAELSLTLSGVLLREG
+>Q8N3D4_PF00307_1039 <unknown description>
+SSQSLLEWCQEVTTGYRGVRITNFTTSWRNGLAFCAILHRFYPDKIDYASLDPLNIKQNN
+KQAFDGFAALGVSRLLEPADMVLLSVPDKLIVMTYLCQIR
+>Q8N3D4_PF12130_1365 <unknown description>
+AELQALEQEQRQIDGRAAEVEMQLRSLMESGANKLQEEVLIQEWFTLVNKKNALIRRQDQ
+LQLLMEEQDLERRFELLSRELRAMLAIEDWQKTSAQQHREQLLLEELVSLVNQRDELVRD
+LDHKERIALEEDER
+>Q8NDI1_PF10358_13 <unknown description>
+KHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQPGIKNPYRGVVVW
+PVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSINMKQYASPMPTQT
+DVKLKFKPLSKKVVSAALQFSLSCIFLREGK
+>Q8NDI1_PF00307_411 <unknown description>
+SQSLLVWCKEVTKNYRGVKITNFTTSWRNGLSFCAILHHFRPDLIDYKSLNPQDIKENNK
+KAYDGFASIGISRLLEPSDMVLLAIPDKLTVMTYLYQIRAHFS
+>Q8NDI1_PF12130_1005 <unknown description>
+GELAALENEQKQIDTRAALVEKRLRYLMDTGRNTEEEEAMMQEWFMLVNKKNALIRRMNQ
+LSLLEKEHDLERRYELLNRELRAMLAIEDWQKTEAQKRREQLLLDELVALVNKRDALVRD
+LDAQEKQAEEEDEH
+>Q9H4M9_PF16880_24 <unknown description>
+GLRQLYAQKLLPLEEHYRFHEFHSPALEDADFD
+>Q9H4M9_PF00350_61 <unknown description>
+VLLVGQYSTGKTTFIRHLIEQDFPGMRIGPEPTTDSFIAVMHGPTEGVVPGNALVVDPRR
+PFRKLNAFGNAFLNRFMCAQLPNPVLDSISIIDTPGILSGEKQRISRGYDFAAVLEWFAE
+RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKIRVVLNKA
+>Q9H4M9_PF18150_288 <unknown description>
+NAALRKLNDLIKRARLAKVHAYIISSLKKEMPNVFGKESKKKELVNNLGEIYQKIEREHQ
+ISPGDFPSLRKMQELLQTQDFSKFQALKPKLLDTVDDMLANDIARLM
+>Q9H4M9_PF12763_446 <unknown description>
+PTYDEIFYTLSPVNGKITGANAKKEMVKSKLPNTVLGKIWKLADVDKDGLLDDEEFALAN
+HLIKVKLEGHELPADLPPHLVPPSKR
+>Q9NZN4_PF16880_24 <unknown description>
+ALKELYRTKLLPLEEHYRFGAFHSPALEDADFD
+>Q9NZN4_PF00350_61 <unknown description>
+VLVAGQYSTGKTSFIQYLLEQEVPGSRVGPEPTTDCFVAVMHGDTEGTVPGNALVVDPDK
+PFRKLNPFGNTFLNRFMCAQLPNQVLESISIIDTPGILSGAKQRVSRGYDFPAVLRWFAE
+RVDLIILLFDAHKLEISDEFSEAIGALRGHEDKIRVVLNK
+>Q9NZN4_PF18150_289 <unknown description>
+AALRKLNDLVKRARLVRVHAYIISYLKKEMPSVFGKENKKKQLILKLPVIFAKIQLEHHI
+SPGDFPDCQKMQELLMAHDFTKFHSLKPKLLEALDEMLTHDIAKLM
+>Q9NZN4_PF12763_449 <unknown description>
+DKSKYDEIFYNLAPADGKLSGSKAKTWMVGTKLPNSVLGRIWKLSDVDRDGMLDDEEFAL
+ASHLIEAKLEGHGLPANLPRRLVPPSKR
+>Q9NZN3_PF16880_24 <unknown description>
+GLKKLYKSKLLPLEEHYRFHEFHSPALEDADFD
+>Q9NZN3_PF00350_61 <unknown description>
+VLLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMQGDMEGIIPGNALVVDPKK
+PFRKLNAFGNAFLNRFVCAQLPNPVLESISVIDTPGILSGEKQRISRGYDFAAVLEWFAE
+RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKMRVVLNKA
+>Q9NZN3_PF18150_288 <unknown description>
+NAALRKLNDLIKRARLAKVHAYIISSLKKEMPSVFGKDNKKKELVNNLAEIYGRIEREHQ
+ISPGDFPNLKRMQDQLQAQDFSKFQPLKSKLLEVVDDMLAHDIAQLM
+>Q9NZN3_PF12763_447 <unknown description>
+MYDEIFYTLSPVDGKITGANAKKEMVRSKLPNSVLGKIWKLADIDKDGMLDDDEFALANH
+LIKVKLEGHELPNELPAHLLPPSKRKV
+>Q9H223_PF16880_27 <unknown description>
+GLRSLYLRKVLPLEEAYRFHEFHSPALEDADFE
+>Q9H223_PF00350_64 <unknown description>
+ILLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMYGETEGSTPGNALVVDPKK
+PFRKLSRFGNAFLNRFMCSQLPNQVLKSISVIDSPGILSGEKQRISRGYDFCQVLQWFAE
+RVDRIILLFDAHKLDISDEFSEAIKAFRGQDDKIRVVLNK
+>Q9H223_PF18150_292 <unknown description>
+AAVRKLNDLIKRARLAKVHAYIISYLKKEMPSVFGKENKKRELISRLPEIYIQLQREYQI
+SAGDFPEVKAMQEQLENYDFTKFHSLKPKLIEAVDNMLSNKISPLM
+>Q9H223_PF12763_450 <unknown description>
+VYDELFYTLSPINGKISGVNAKKEMVTSKLPNSVLGKIWKLADCDCDGMLDEEEFALAKH
+LIKIKLDGYELPSSLPPHLVPPSHR
+>Q9NZC4_PF02198_37 <unknown description>
+FFGGQWHEIHPQYWTKYQVWEWLQHLLDTNQLDANCIPFQEFDINGEHLCSMSLQEFTRA
+AGTAGQLLYSNLQHLKWN
+>Q9NZC4_PF00178_208 <unknown description>
+HLWEFIRDILLNPDKNPGLIKWEDRSEGVFRFLKSEAVAQLWGKKKNNSSMTYEKLSRAM
+RYYYKREILERVDGRRLVYKF
+>Q9H9B1_PF13637_774 <unknown description>
+RSPLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLEAVKYLI
+>Q9H9B1_PF12796_833 <unknown description>
+DPKDAEGSTCLHLAAKKGHYEVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVDLVKLL
+LSKGSDINIRD
+>Q9H9B1_PF12796_910 <unknown description>
+LHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRDSDVTLKN
+KEGETPLQCASLNSQ
+>Q9H9B1_PF05033_1014 <unknown description>
+IARGYERIPIPCVNAVDSEPCPSNYKYVSQNCVTSPMNIDRNITHLQYCVCIDDCSSSNC
+MCGQLSMRCWYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNR
+>Q9H9B1_PF00856_1137 <unknown description>
+GWGVRSLQDIPPGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNVS
+RFINHHCEPNLVPVRVFMAHQDLRFPRIAFFSTRLIEAGEQLGFDYG
+>Q96KQ7_PF12796_655 <unknown description>
+YLSVKQGELQKVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAV
+DKQQRTPLMEAVVNNHLEVARYMVQRG
+>Q96KQ7_PF12796_750 <unknown description>
+DGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIEVIRMLLTRGA
+DVTLTD
+>Q96KQ7_PF12796_820 <unknown description>
+ICLHWASFTGSAAIAEVLLNARCDLHAVNYHGDTPLHIAARESYHDCVLLFLSRGANPEL
+R
+>Q96KQ7_PF05033_927 <unknown description>
+ARGYENVPIPCVNGVDGEPCPEDYKYISENCETSTMNIDRNITHLQHCTCVDDCSSSNCL
+CGQLSIRCWYDKDGRLLQEFNKIEPPLIFECNQACSCWRNCKNR
+>Q96KQ7_PF00856_1049 <unknown description>
+GWGVRALQTIPQGTFICEYVGELISDAEADVREDDSYLFDLDNKDGEVYCIDARYYGNIS
+RFINHLCDPNIIPVRVFMLHQDLRFPRIAFFSSRDIRTGEELGFDYG
+>O14681_PF07264_63 <unknown description>
+SEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTARIIGDPSLHGDVWSWLEFFL
+TSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLLQA
+LFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKGIEMHQRLSNIERNWPYY
+FGFGLPLAFLTA
+>Q14232_PF01008_16 <unknown description>
+EDPDMASAVAAIRTLLEFLKRDKGETIQGLRANLTSAIETLCGVDSSVAVSSGGELFLRF
+ISLASLEYSDYSKCKKIMIERGELFLRRISLSRNKIADLCHTFIKDGATILTHAYSRVVL
+RVLEAAVAAKKRFSVYVTESQPDLSGKKMAKALCHLNVPVTVVLDAAVGYIMEKADLVIV
+GAEGVVENGGIINKIGTNQMAVCAKAQNKPFYVVAESFKFVRLFPLNQQDVPDKFKYKAD
+TLKVAQTGQDLKEEHPWVDYTAPSLITLLFTDLGVLTP
+>P49770_PF01008_29 <unknown description>
+RSSEEMARETLGLLRQIITDHRWSNAGELMELIRREGRRMTAAQPSETTVGNMVRRVLKI
+IREEYGRLHGRSDESDQQESLHKLLTSGGLNEDFSFHYAQLQSNIIEAINELLVELEGTM
+ENIAAQALEHIHSNEVIMTIGFSRTVEAFLKEAARKRKFHVIVAECAPFCQGHEMAVNLS
+KAGIETTVMTDAAIFAVMSRVNKVIIGTKTILANGALRAVTGTHTLALAAKHHSTPLIVC
+APMFKLSPQFPNEEDSFHKFVAPEEVLPFTEGDILEKVSVHCPVFDYVPPELITLFISNI
+GGNAP
+>Q9UI10_PF01008_219 <unknown description>
+VSGSNARCIALLRALQQVIQDYTTPPNEELSRDLVNKLKPYMSFLTQCRPLSASMHNAIK
+FLNKEITSVGSSKREEEAKSELRAAIDRYVQEKIVLAAQAISRFAYQKISNGDVILVYGC
+SSLVSRILQEAWTEGRRFRVVVVDSRPWLEGRHTLRSLVHAGVPASYLLIPAASYVLPEV
+SKVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELD
+DPDDLQCKRGEHVALANWQNHASLRLLNLVYDVTPPELVDLVITELGMIP
+>Q13144_PF00132_365 <unknown description>
+GTVIGSNCFITNSVIGPGCHIGDNVV
+>Q13144_PF02020_642 <unknown description>
+HLEALAAIEDFFLEHEALGISMAKVLMAFYQLEILAEETILSWFSQRDTTDKGQQLRKNQ
+QLQRFIQWLKEAEEESSED
+>Q9NR50_PF00483_5 <unknown description>
+AVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVVTTRDVQKALC
+AEFKMKMKPDIVCIPDDADMGTADSLRYIYPKLKTDVLVLSCDLITDVALHEVVDLFRAY
+DASLAM
+>Q8N140_PF15412_89 <unknown description>
+DARFLVMASDLGKEKAKQLNSDMNFFNQLAFCDFLFLFVGLNWMEGDPDK
+>Q8N140_PF08743_239 <unknown description>
+PVSYFEFVIDPNSFSRTVENIFYVSFIVRDGFARIRLDEDRLPILEPMNVNQMGEGNDSS
+CHGRKQGVISLTLQEWKNIVAAFEISEAMI
+>Q8N9N8_PF01176_25 <unknown description>
+QQIVRVLRTPGNNLHEVETAQGQRFLVSMPSKYRKNIWIKRGDFLIVDPIEEGEKVKAEI
+SF
+>O60739_PF01253_29 <unknown description>
+DYIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEYGEVIQLQGD
+QRKNICQFLLEVGI
+>P41567_PF01253_29 <unknown description>
+DYIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEYGEVIQLQGD
+QRKNICQFLVEIG
+>Q9BY44_PF08662_216 <unknown description>
+KSFFKADKVTMLWNKKATAVLVIASTDVDKTGASYYGEQTLHYIATNGESAVVQLPKNGP
+IYDVVWNSSSTEFCAVYGFMPAKATIFNLKCDPVFDFGTGPRNAAYYSPHGHILVLAGFG
+NLRGQMEVWDVKNYKLISKPVASDSTYFAWCPDGEHILTATCAPRLRVNNGYKIWHYTGS
+ILHKYDVPSNAELWQV
+>P41214_PF17832_2 <unknown description>
+FAKAFRVKSNTAIKGSDRRKLRADVTTAFPTLGTDQVSELVPGKEELNIVKLYAHKGDAV
+TVYVSGGNPILFELEKNLYPTVYTLWSYP
+>P41214_PF01253_490 <unknown description>
+PIDITLAQRASNKKVTVVRNLEAYGLDPYSVAAILQQRCQASTTVNPAPGAKDSLQVQIQ
+GNQVHHLGWLLLEEYQLP
+>Q14152_PF01399_366 <unknown description>
+TRIGLINDMVRFNVLQYVVPEVKDLYNWLEVEFNPLKLCERVTKVLNWVREQPEKEPELQ
+QYVPQLQNNTILRLLQQVSQIYQSIEFSRLTSLVPFVDAFQLERAIVDAARHCDLQVRID
+HTSRTLSFG
+>P55884_PF00076_207 <unknown description>
+IHKIFSKFGKITNDFYPEEDGKTKGYIFLEYASPAHAVDAVKNADGYKLDK
+>P55884_PF08662_507 <unknown description>
+RNLFNVVDCKLHWQKNGDYLCVKVDRTPKGTQGVVTNFEIFRMREKQVPVDVVEMKETII
+AFAWEPNGSKFAVLHGEAPRISVSFYHVKNNGKIELIKMFDKQQANTIFWSPQGQFVVLA
+GLRSMNGALAFVDTSDCTVMNIAEHYMASDVEWDPTGRYVVTSVSWWSHKVDNAYWLWTF
+QGRLLQKNNKDRFCQL
+>Q99613_PF05470_31 <unknown description>
+YGKQPLLLSEDEEDTKRVVRSAKDKRFEELTNLIRTIRNAMKIRDVTKCLEEFELLGKAY
+GKAKSIVDKEGVPRFYIRILADLEDYLNELWEDKEGKKKMNKNNAKALSTLRQKIRKYNR
+DFESHITSYKQNPEQSADEDAEKNEEDSEGSSDEDEDEDGVSAATFLKKKSEAPSGESRK
+FLKKMDDEDEDSEDSEDDEDWDTGSTSSDSDSEEEEGKQTALASRFLKKAPTTDEDKKAA
+EKKREDKAKKKHDRKSKRLDEEEEDNEGGEWERVRGGVPLVKEKPKMFAKGTEITHAVVI
+KKLNEILQARGKKGTDRAAQIELLQLLVQIAAENNLGEGVIVKIKFNIIASLYDYNPNLA
+TYMKPEMWGKCLDCINELMDILFANPNIFVGENILEESENLHNADQPLRVRGCILTLVER
+MDEEFTKIMQNTDPHSQEYVEHLKDEAQVCAIIERVQRYLEEKGTTEEVCRIYLLRILHT
+YYKFDYKAHQRQLTPPEGSSKSEQDQAENEGEDSAVLMERLCKYIYAKDRTDRIRTCAIL
+CHIYHHALHSRWYQARDLMLMSHLQDNIQHADPPVQILYNRTMVQLGICAFRQGLTKDAH
+NALLDIQSSGRAKELLGQGLLLRSLQERNQEQEKVERRRQVPFHLHINLELLECVYLVSA
+MLLEIPYMAAHESDA
+>Q99613_PF01399_713 <unknown description>
+QFHHQLRVGERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEA
+DKVRTMLVRKIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELM
+ASLDQPTQTVVMHR
+>O15371_PF05091_4 <unknown description>
+FMTPVIQDNPSGWGPCAVPEQFRDMPYQPFSKGDRLGKVADWTGATYQDKRYTNKYSSQF
+GGGSQYAYFHEEDESSFQLVDTARTQKTAYQRNRMRFAQRNLRRDKDRRNMLQFNLQILP
+KSAKQKERERIRLQKKFQKQFGVRQKWDQKSQKPRDSSVEVRSDWEVKEEMDFPQLMKMR
+YLEVSEPQDIECCGALEYYDKAFDRITTRSEKPLRSIKRIFHTVTTTDDPVIRKLAKTQG
+NVFATDAILATLMSCTRSVYSWDIVVQRVGSKLFFDKRDNSDFDLLTVSETANEPPQDEG
+NSFNSPRNLAMEATYINHNFSQQCLRMGKERYNFPNPNPFVEDDMDKNEIASVAYRYRRW
+KLGDDIDLIVRCEHDGVMTGANGEVSFINIKTLNEWDSRHCNGVDWRQKLDSQRGAVIAT
+ELKNNSYKLARWTCCALLAGSEYLKLGYVSRYHVKDSSRHVILGTQQFKPNEFASQINLS
+VENAWGILRCVIDICMKLEEGKYLILKDPNKQVIRVYS
+>P60228_PF09440_5 <unknown description>
+DLTTRIAHFLDRHLVFPLLEFLSVKEIYNEKELLQGKLDLLSDTNMVDFAMDVYKNLYSD
+DIPHALREKRTTVVAQLKQLQAETEPIVKMFEDPETTRQMQSTRDGRMLFDYLADKHGFR
+QEYLDTLYRYAKFQ
+>P60228_PF01399_291 <unknown description>
+PITEFVECLYVNFDFDGAQKKLRECESVLVNDFFLVACLEDFIENARLFIFETFCRIHQC
+ISINMLADKLNMTPEEAERWIVNLIRNARLDAKIDSKLGHVVMG
+>O00303_PF01398_89 <unknown description>
+GRVVRLHPVILASIVDSYERRNEGAARVIGTLLGTVDKHSVEVTNCFSVPHNESEDEVAV
+DMEFAKNMYELHKKVSPNELILGWYATGHDITEHSVLIHEYYSREAP
+>O00303_PF13012_244 <unknown description>
+TERIGVDLIMKTCFSPNRVIGLSSDLQQVGGASARIQDALSTVLQYAEDVLSGKVSADNT
+VGRFLMSLVNQVPKIVPDDFETMLNSNINDLLMVTYLANLTQSQIALNEKL
+>O75821_PF12353_58 <unknown description>
+EVINGNIKTVTEYKIDEDGKKFKIVRTFRIETRKASKAVARRKNWKKFGNSEFDPPGPNV
+ATTTVSDDVSMTFITSKEDLNCQEEEDPMNKLKGQKIVSCRICKGDHWTTRCPYKDTL
+>O75821_PF00076_241 <unknown description>
+IRVTNLSEDTRETDLQELFRPFGSISRIYLAKDKTTGQSKGFAFISFHRREDAARAIAGV
+SGF
+>O15372_PF01398_34 <unknown description>
+SAVKQVQIDGLVVLKIIKHYQEEGQGTEVVQGVLLGLVVEDRLEITNCFPFPQHTEDDAD
+FDEVQYQMEMMRSLRHVNIDHLHVGWYQSTYYGSFVTRALLDSQFSYQHAIE
+>O15372_PF19445_157 <unknown description>
+TAQGSLSLKAYRLTPKLMEVCKEKDFSPEALKKANITFEYMFEEVPIVIKNSHLINVLMW
+ELEKKSAVADKHELLSLASSNHLGKNLQLLMDRVDEMSQDIVKYNTYMRNTSKQQQQKHQ
+YQQRRQQENMQRQSRGEPPLPEEDLSKLFKPPQPPARMDSLLIAGQINTYCQNIKEFTAQ
+NLGKLFMAQALQEY
+>Q13347_PF00400_6 <unknown description>
+LQGHERSITQIKYNREGDLLFTVAKDPIVNVW
+>Q13347_PF00400_43 <unknown description>
+ERLGTYMGHTGAVWCVDADWDTKHVLTGSADNSCRLWD
+>Q13347_PF00400_280 <unknown description>
+RVKGHFGPINSVAFHPDGKSYSSGGEDGYVRI
+>O75822_PF08597_12 <unknown description>
+DSWDADAFSVEDPVRKVGGGGTAGGDRWEGEDEDEDVKDNWDDDDDEKKEEAEVKPEVKI
+SEKKKIAEKIKEKERQQKKRQEEIKKRLEEPEEPKVLTPEEQLADKLRLKKLQEESDLEL
+AKETFGVNNAVYGIDAMNPSSRDDFTEFGKLLKDKITQYEKSLYYASFLEVLVRDVCISL
+EIDDLKKITNSLTVLCSEKQKQEKQSKAKKKKKGVVPGGGLKATMKDDLADYGGYDGGYV
+QDYEDFM
+>Q9UBQ5_PF10075_62 <unknown description>
+QTTVTAQILLKALTNLPHTDFTLCKCMIDQAHQEERPIRQILYLGDLLETCHFQAFWQAL
+DENMDLLEGITGFEDSVRKFICHVVGITYQHIDRWLLAEMLGDLSDSQLKVWMSKYGWSA
+DESGQIFICSQEESIKPKN
+>Q9Y262_PF10255_152 <unknown description>
+QRFESYYNYCNLFNYILNADGPAPLELPNQWLWDIIDEFIYQFQSFSQYRCKTAKKSEEE
+IDFLRSNPKIWNVHSVLNVLHSLVDKSNINRQLEVYTSGGDPESVAGEYGRHSLYKMLGY
+FSLVGLLRLHSLLGDYYQAIKVLENIELNKKSMYSRVPECQVTTYYYVGFAYLMMRRYQD
+AIRVFANILLYIQRTKSMFQRTTYKYEMINKQNEQMHALLAIALTMYPMRIDESIHLQLR
+EKYGDKMLRMQKGDPQVYEELFSYSCPKFLSPVVPNYDNVHPNYHKEPFLQQLKVFSDEV
+QQQAQLSTIRSFLKLYTTMPVAKLAGFLDLTEQEFRIQLLVFKHKMKNLVWTSGISALDG
+EFQSASEVDFYIDKDMIHIADTKVARRYGDFFIRQIHKF
+>Q7L2H7_PF01399_237 <unknown description>
+IHDLLTIFVSAKLASYVKFYQNNKDFIDSLGLLHEQNMAKMRLLTFMGMAVENKEISFDT
+MQQELQIGADDVEAFVIDAVRTKMVYCKIDQTQRKVVVS
+>Q7L2H7_PF18005_340 <unknown description>
+RTFGKQQWQQLYDTLNAWKQNLNKVKNS
+>B5ME19_PF05470_31 <unknown description>
+YGKQPLLLSEDEEDTKRVVRSAKDKRFEELTNLIRTIRNAMKIRDVTKCLEEFELLGKAY
+GKAKSIVDKEGVPRFYIRILADLEDYLNELWEDKEGKKKMNKNNAKALSTLRQKIRKYNR
+DFESHITSYKQNPEQSADEDAEKNEEDSEGSSDEDEDEDGVSAATFLKKKSEAPSGESRK
+FLKKMDDEDEDSEDSEDDEDWDTGSTSSDSDSEEEEGKQTALASRFLKKAPTTDEDKKAA
+EKKREDKAKKKHDRKSKRLDEEEEEDNEGGEWERVRGGVPLVKEKPKMFAKGTEITHAVV
+IKKLNEILQARGKKGTDRAAQIELLQLLVQIAAENNLGEGVIVKIKFNIIASLYDYNPNL
+ATYMKPEMWGKCLDCINELMDILFANPNIFVGENILEESENLHNADQPLRVRGCILTLVE
+RMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCAIIERVQRYLEEKGTTEEVCRIYLLRILH
+TYYKFDYKAHQRQLTPPEGSSKSEQDQAENEGEDSAVLMERLCKYIYAKDRTDRIRTCAI
+LCHIYHHALHSRWYQARDLMLMSHLQDNIQHADPPVQILYNRTMVQLGICAFRQGLTKDA
+HNALLDIQSSGRAKELLGQGLLLRSLQERNQEQEKVERRRQVPFHLHINLELLECVYLVS
+AMLLEIPYMAAHESDA
+>B5ME19_PF01399_714 <unknown description>
+QFHHQLRVGERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEA
+DKVRTMLVRKIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELM
+ASLDQPTQTVVMHR
+>Q53HC9_PF00400_226 <unknown description>
+AHGQLVRDLDFNPNKQYYLASCGDDCKVKFWD
+>Q53HC9_PF00400_340 <unknown description>
+IATYEEHEDSVYAVDWSSADPWLFASLSYDGRLVI
+>Q9NVF9_PF01633_107 <unknown description>
+DCVLVRVYGERTELLVDRENEVRNFQLLRAHSCAPKLYCTFQNGLCYEYMQGVALEPEHI
+REPRLFRLIALEMAKIHTIHANGSLPKPILWHKMHNYFTLVKNEINPSLSADVPKVEVLE
+RELAWLKEHLSQLESPVVFCHNDLLCKNIIYDSIKGHVRFIDYEYAGYNYQAFDIGNHFN
+EFAGVNEVDY
+>P19957_PF10511_31 <unknown description>
+GQDTVKGRVPFNGQDPV
+>P19957_PF10511_55 <unknown description>
+GQDKVKAQEPVKGPVST
+>P19957_PF00095_72 <unknown description>
+KPGSCPIILIRCAMLNPPNRCLKDTDCPGIKKCCEGSCGMACFVP
+>Q15717_PF00076_22 <unknown description>
+LIVNYLPQNMTQDELRSLFSSIGEVESAKLIRDKVAGHSLGYGFVNYVTAKDAERAINTL
+NGLRLQSKTIK
+>Q15717_PF00076_108 <unknown description>
+LYISGLPRTMTQKDVEDMFSRFGRIINSRVLVDQTTGLSRGVAFIRFDKRSEAEEAITSF
+NGHKPPG
+>Q15717_PF00076_246 <unknown description>
+IFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAMAIASL
+NGYRLGDKI
+>Q12926_PF00076_41 <unknown description>
+LIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTL
+NGLRLQTKTIK
+>Q12926_PF00076_127 <unknown description>
+LYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAEEAIKGL
+NGQKPPG
+>Q12926_PF00076_278 <unknown description>
+IFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASL
+NGYRLGDRVL
+>Q14576_PF00076_41 <unknown description>
+LIVNYLPQNMTQDEFKSLFGSIGDIESCKLVRDKITGQSLGYGFVNYSDPNDADKAINTL
+NGLKLQTKTIK
+>Q14576_PF00076_127 <unknown description>
+LYVSGLPKTMSQKEMEQLFSQYGRIITSRILVDQVTGVSRGVGFIRFDKRIEAEEAIKGL
+NGQK
+>Q14576_PF00076_286 <unknown description>
+IFVYNLSPEADESVLWQLFGPFGAVTNVKVIRDFTTNKCKGFGFVTMTNYDEAAMAIASL
+NGYRLGERVL
+>P26378_PF00076_48 <unknown description>
+LIVNYLPQNMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTL
+NGLRLQTKTIK
+>P26378_PF00076_134 <unknown description>
+LYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRFDKRIEAEEAIKGL
+NGQKPS
+>P26378_PF00076_285 <unknown description>
+IFVYNLSPDSDESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASL
+NGYRLGDRVL
+>P32519_PF12310_2 <unknown description>
+AAVVQQNDLVFEFASNVMEDERQLGDPAIFPAVIVEHVPGADILNSYAGLACVEEPNDMI
+TESSLDVAEEEIIDDDDDDITLTVEASCHDGDETIETIEAAEALLNMDSP
+>P32519_PF00178_210 <unknown description>
+LWEFLLALLQDKATCPKYIKWTQREKGIFKLVDSKAVSRLWGKHKNKPDMNYETMGRALR
+YYYQRGILAKVEGQRLVYQF
+>Q15723_PF12310_4 <unknown description>
+AVVDSGGTILELSSNGVENQEESEKVSEYPAVIVEPVPSARLEQGYAAQVLVYDDETYMM
+QDVAEEQEVETENVETVEASVHSSNAHCTDKTIEAAEALLHMESP
+>Q15723_PF00178_210 <unknown description>
+LWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGKHKNKPDMNYETMGRALR
+YYYQRGILAKVEGQRLVYQF
+>P78545_PF02198_49 <unknown description>
+MSLEGTEKASWLGEQPQFWSKTQVLDWISYQVEKNKYDASAIDFSRCDMDGATLCNCALE
+ELRLVFGPLGDQLHAQLRDLTSS
+>P78545_PF00178_274 <unknown description>
+HLWEFIRDILIHPELNEGLMKWENRHEGVFKFLRSEAVAQLWGQKKKNSNMTYEKLSRAM
+RYYYKREILERVDGRRLVYKF
+>Q99607_PF12310_2 <unknown description>
+AITLQPSDLIFEFASNGMDDDIHQLEDPSVFPAVIVEQVPYPDLLHLYSGLELDDVHNGI
+ITDGTLCMTQDQILEGSFLLTDDNEATSHTMSTAEVLLNMESP
+>Q99607_PF00178_211 <unknown description>
+LWEFLLALLQDRNTCPKYIKWTQREKGIFKLVDSKAVSKLWGKQKNKPDMNYETMGRALR
+YYYQRGILAKVEGQRLVYQF
+>Q9UKW6_PF02198_37 <unknown description>
+EHQTACDSYWTSVHPEYWTKRHVWEWLQFCCDQYKLDTNCISFCNFNISGLQLCSMTQEE
+FVEAAGLCGEYLYFILQNIRTQ
+>Q9UKW6_PF00178_164 <unknown description>
+HLWEFVRDLLLSPEENCGILEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRAL
+RYYYKTGILERVDRRLVYKF
+>P0C7U0_PF13855_85 <unknown description>
+NLTYLNLTKNEIGYIEDGAFSGQFNLQVLQLGYNRLRNLTEGMLRGLGKLEYLYLQANLI
+>P19419_PF00178_7 <unknown description>
+LWQFLLQLLREQGNGHIISWTSRDGGEFKLVDAEEVARLWGLRKNKTNMNYDKLSRALRY
+YYDKNIIRKVSGQKFVYKFV
+>P41970_PF00178_7 <unknown description>
+LWQFLLQLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLSRALRYY
+YDKNIIKKVIGQKFVYKFV
+>P28324_PF00178_7 <unknown description>
+LWQFLLQLLQKPQNKHMICWTSNDGQFKLLQAEEVARLWGIRKNKPNMNYDKLSRALRYY
+YVKNIIKKVNGQKFVYKFV
+>O00472_PF10390_11 <unknown description>
+EEQRYGLSCGRLGQDNITVLHVKLTETAIRALETYQSHKNLIPFRPSIQFQGLHGLVKIP
+KNDPLNEVHNFNFYLSNVGKDNPQGSFDCIQQTFSSSGASQLNCLGFIQDKITVCATNDS
+YQMTRERMTQAEEESRNRSTKVIKPGGPYVGKRVQIRKAPQAVSDTVPERKRSTPMNPAN
+TIRKTHSSSTISQRPYRDRVIHLLALKAYKKPELLARLQKDGVNQKDKNSLGAILQQVAN
+LNSKDLSYTLKDYVFKELQRDWPGYSEIDRRSLESVLSRKL
+>O00472_PF07303_532 <unknown description>
+YIAIVSYEQRQNYKDDFNAEYDEYRALHARMETVARRFIKLDAQRKRLSPGSKEYQNVHE
+EVLQEYQKIKQSSPNYHEEKYRCEYLHNKLAHIKRLIGEFDQ
+>Q9HB65_PF10390_20 <unknown description>
+ARTSLLLLRLNDAALRALQECQRQQVRPVIAFQGHRGYLRLPGPGWSCLFSFIVSQCCQE
+GAGGSLDLVCQRFLRSGPNSLHCLGSLRERLIIWAAMDS
+>Q9HB65_PF07303_291 <unknown description>
+YRAIHSAEQQHAYEQDFETDYAEYRILHARVGTASQRFIELGAEIKRVRRGTPEYKVLED
+KIIQEYKKFRKQYPSYREEKRRCEYLHQKLSHIKGLILEFE
+>P55199_PF10390_7 <unknown description>
+DRSYGLSCGRVSDGSKVSVFHVKLTDSALRAFESYRARQDSVSLRPSIRFQGSQGHISIP
+QPDCPAEARTFSFYLSNIGRDNPQGSFDCIQQYVSSHGEVHLDCLGSIQDKITVCATDDS
+YQKARQSMAQAEEETRSRSAIVIKAGGRYLGKKVQFRKPAPGATDAVPSRKRATPINLAS
+AIRKSGASAVSGGSGVSQRPFRDRVLHLLALRPYRKAELLLRLQKDGLTQADKDALDGLL
+QQVANMSAKDGTCTLQDCMYKDVQKDWPGYSEGDQQLLKRVLVRKL
+>P55199_PF07303_513 <unknown description>
+YAAISSSEQRQSYKNDFNAEYSEYRDLHARIERITRRFTQLDAQLRQLSQGSEEYETTRG
+QILQEYRKIKKTNTNYSQEKHRCEYLHSKLAHIKRLIAEYDQ
+>Q8N336_PF04727_119 <unknown description>
+VEKLRREAYDSDNPQHEEMLLKLWKFLKPNTPLESRISKQWCEIGFQGDDPKTDFRGMGL
+LGLYNLQYFAERDATAAQQVLSDSLHPKCRDITKEEISKFSKAEWEKKRMDKAIGYSFAI
+VGINITDLAYNLLVSGALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIME
+FNRV
+>Q8IZ81_PF04727_113 <unknown description>
+ESVRKRPYDSDNLQHEELLMKLWNLLMPTKKLNARISKQWAEIGFQGDDPKTDFRGMGIL
+GLINLVYFSENYTSEAHQILSRSNHPKLGYSYAIVGINLTEMAYSLLKSEALKFHLYNLV
+PGIPTMEHFHQFYCYLVYEFDKFWFEEEPESIMYFN
+>Q96FG2_PF04727_160 <unknown description>
+AQCGLDSQDPVHGRVLQTIYKKLTGSKFDCALHGNHWEDLGFQGANPATDLRGAGFLALL
+HLLYLVMDSKTLPMAQEIFRLSRHHIQQFPFCLMSVNITHIAIQALREECLSRECNRQQK
+VIPVVNSFYAATFLHLAHVWRTQRKTISDSGFV
+>Q92556_PF11841_115 <unknown description>
+TFAQEFINLDGISLLTQMVESGTERYQKLQKIMKPCFGDMLSFTLTAFVELMDHGIVSWD
+TFSVAFIKKIASFVNKSAIDISILQRSLAILESMVLNSHDLYQKVAQEITIGQLIPHLQG
+SDQEIQTYTIAVINALFLKAPDERRQEMANILAQKQLRSIILTHVI
+>Q92556_PF04727_304 <unknown description>
+LLEDRMMTKMDPQDQAQRDIIFELRRIAFDAESEPNNSSGSMEKRKSMYTRDYKKLGFIN
+HVNPAMDFTQTPPGMLALDNMLYFAKHHQDAYIRIVLENSSREDKHECPFGRSSIELTKM
+LCEILKVGELPSETCNDFHPMFFTHDRSFEEFFCICIQLLNKTWKEMRATSEDFNKVM
+>Q92556_PF16457_548 <unknown description>
+IKQQRLNRLVEGTCFRKLNARRRQDKFWYCRLSPNHKVLHYGDLEESPQGEVPHDSLQDK
+LPVADIKAVVTGKDCPHMKEKGALKQNKEVLELAFSILYDSNCQLNFIAPDKHEYCIWTD
+GLNALLG
+>Q96JJ3_PF11841_115 <unknown description>
+TFATEFINMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSITFIK
+QIAGYVSQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTY
+AIALINALFLKAPEDKRQDMANAFAQKHLRSIILNHVI
+>Q96JJ3_PF04727_296 <unknown description>
+LLEERMMTKMDPNDQAQRDIIFELRRIAFDAESDPSNAPGSGTEKRKAMYTKDYKMLGFT
+NHINPAMDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTK
+MLCEILQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVM
+>Q96JJ3_PF16457_541 <unknown description>
+IKQQRLNRLCEGSSFRKIGNRRRQERFWYCRLALNHKVLHYGDLDDNPQGEVTFESLQEK
+IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWID
+GLSALLG
+>Q96BJ8_PF11841_116 <unknown description>
+FAREVISRNGLQILGTIIEDGDDLGEVLALSLRAFSELMEHGVVSWETLSIPFVRKVVCY
+VNMNLMDASVPPLALGLLESVTLSSPALGQLVKSEVPLDRLLVHLQVMNQQLQTKAMALL
+TALLQGASPVERKHMLDYLWQRNLRQFIYKNII
+>Q96BJ8_PF04727_293 <unknown description>
+LEPRMRTPLDPYSQEQREQLQVLRQAAFEVEGESSGAGLSADRRRSLCAREFRKLGFSNS
+NPAQDLERVPPGLLALDNMLYFSRNAPSAYSRFVLENSSREDKHECPFARGSIQLTVLLC
+ELLRVGEPCSETAQDFSPMFFGQDQSFHELFCVGIQLLNKTWKEMRATQEDFDKVM
+>Q96BJ8_PF16457_535 <unknown description>
+IRQQRLLRLCEGTLFRKISSRRRQDKLWFCCLSPNHKLLQYGDMEEGASPPTLESLPEQL
+PVADMRALLTGKDCPHVREKGSGKQNKDLYELAFSISYDRGEEEAYLNFIAPSKREFYLW
+TDGLSALLG
+>P08246_PF00089_30 <unknown description>
+IVGGRRARPHAWPFMVSLQLRGGHFCGATLIAPNFVMSAAHCVANVNVRAVRVVLGAHNL
+SRREPTRQVFAVQRIFENGYDPVNLLNDIVILQLNGSATINANVQVAQLPAQGRRLGNGV
+QCLAMGWGLLGRNRGIASVLQELNVTVVTSLCRRSNVCTLVRGRQAGVCFGDSGSPLVCN
+GLIHGIASFVRGGCASGLYPDAFAPVAQFVNWI
+>Q14241_PF08711_28 <unknown description>
+KYLKKLSTLPITVDILAETGVGKTVNSLRKHEHVGSFARDLVAQWKKLV
+>Q14241_PF06881_566 <unknown description>
+IFEVGGVPYSVLEPVLERCTPDQLYRIEEYNHVLIEETDQLWKVHCHRDFKEERPEEYES
+WREMYLRLQDAREQRLRVLTKNIQFAHANKPKGRQAKM
+>Q8IYF1_PF08711_29 <unknown description>
+KYLQKLSALPMTADILAETGIRKTVKRLRKHQHVGDFARDLAARWKKLV
+>Q8IYF1_PF06881_544 <unknown description>
+LSDVGEVPYWVLEPVLEGWRPDQLYRRKKDNHALVRETDELRRNHCFQDFKEEKPQENKT
+WREQYLRLPDAPEQRLRVMTTNIRSARGNNPNGREAKM
+>Q15370_PF00240_10 <unknown description>
+HKTTIFTDAKESSTVFELKRIVEGILKRPPDEQRLYKDDQLLDDGKTLGECGFTS
+>Q15369_PF03931_18 <unknown description>
+YVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEVNFREIPSHVLSKVCMYF
+TYK
+>P60002_PF05129_2 <unknown description>
+GRRKSKRKPPPKKKMTGTLETQFTCPFCNHEKSCDVKMDRARNTGVISCTVCLEEFQTPI
+TYLSEPVDVYSDWIDACE
+>Q9BW60_PF01151_24 <unknown description>
+LMGSPLLMTSILLTYVYFVLSLGPRIMANRKPFQLRGFMIVYNFSLVALSLYIVYEFLMS
+GWLSTYTWRCDPVDYSNSPEALRMVRVAWLFLFSKFIELMDTVIFILRKKDGQVTFLHVF
+HHSVLPWSWWWGVKIAPGGMGSFHAMINSSVHVIMYLYYGLSAFGPVAQPYLWWKKHMTA
+IQLIQFVLVSLHISQYYFMSSCNYQYPVIIHLIWMYGTIFFMLFSNFWYHSYTKGKR
+>Q9NXB9_PF01151_31 <unknown description>
+MLDSYLPTFFLTVMYLLSIWLGNKYMKNRPALSLRGILTLYNLGITLLSAYMLAELILST
+WEGGYNLQCQDLTSAGEADIRVAKVLWWYYFSKSVEFLDTIFFVLRKKTSQITFLHVYHH
+ASMFNIWWCVLNWIPCGQSFFGPTLNSFIHILMYSYYGLSVFPSMHKYLWWKKYLTQAQL
+VQFVLTITHTMSAVVKPCGFPFGCLIFQSSYMLTLVILFLNFYVQTYRKKPMKK
+>Q9HB03_PF01151_31 <unknown description>
+EEYWATSFPIALIYLVLIAVGQNYMKERKGFNLQGPLILWSFCLAIFSILGAVRMWGIMG
+TVLLTGGLKQTVCFINFIDNSTVKFWSWVFLLSKVIELGDTAFIILRKRPLIFIHWYHHS
+TVLVYTSFGYKNKVPAGGWFVTMNFGVHAIMYTYYTLKAANVKPPKMLPMLITSLQILQM
+FVGAIVSILTYIWRQDQGCHTTMEHLFWSFILYMTYFILFAHFFCQTYIRPKVKA
+>Q9GZR5_PF01151_42 <unknown description>
+LMQSPWPTLSISTLYLLFVWLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGS
+YNAGYSYICQSVDYSNNVHEVRIAAALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYH
+HCTMFTLWWIGIKWVAGGQAFFGAQLNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTML
+QLIQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYIRTYKEPKKPK
+>Q9NYP7_PF01151_28 <unknown description>
+LLDNYIPTFICSVIYLLIVWLGPKYMRNKQPFSCRGILVVYNLGLTLLSLYMFCELVTGV
+WEGKYNFFCQGTRTAGESDMKIIRVLWWYYFSKLIEFMDTFFFILRKNNHQITVLHVYHH
+ASMLNIWWFVMNWVPCGHSYFGATLNSFIHVLMYSYYGLSSVPSMRPYLWWKKYITQGQL
+LQFVLTIIQTSCGVIWPCTFPLGWLYFQIGYMISLIALFTNFYIQTYNKKGA
+>Q9H5J4_PF01151_25 <unknown description>
+WMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAY
+MVYILMTKGLKQSVCDQGFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYH
+HITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQIT
+QMLMGCVVNYLVFCWMQHDQCHSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKMRK
+>A1L3X0_PF01151_30 <unknown description>
+LMSSPLPQTILLGFYVYFVTSLGPKLMENRKPFELKKAMITYNFFIVLFSVYMCYEFVMS
+GWGIGYSFRCDIVDYSRSPTALRMARTCWLYYFSKFIELLDTIFFVLRKKNSQVTFLHVF
+HHTIMPWTWWFGVKFAAGGLGTFHALLNTAVHVVMYSYYGLSALGPAYQKYLWWKKYLTS
+LQLVQFVIVAIHISQFFFMEDCKYQFPVFACIIMSYSFMFLLLFLHFWYRAYTKGQ
+>O95163_PF04762_1 <unknown description>
+MRNLKLFRTLEFRDIQGPGNPQCFSLRTEQGTVLIGSEHGLIEVDPVSREVKNEVSLVAE
+GFLPEDGSGRIVGVQDLLDQESVCVATASGDVILCSLSTQQLECVGSVASGISVMSWSPD
+QELVLLATGQQTLIMMTKDFEPILEQQIHQDDFGESKFITVGWGRKETQFHGSEGRQAAF
+QMQMHESALPWDDHRPQVTWRGDGQFFAVSVVCPETGARKVRVWNREFALQSTSEPVAGL
+GPALAWKPSGSLIASTQDKPNQQDIVFFEKNGLLHGHFTLPFLKDEVKVNDLLWNADSSV
+LAVWLEDLQREESSIPKTCVQLWTVGNYHWYLKQSLSFSTCGKSKIVSLMWDPVTPYRLH
+VLCQGWHYLAYDWHWTTDRSVGDNSSDLSNVAVIDGNRVLVTVFRQTVVPPPMCTYQLLF
+PHPVNQVTFLAHPQKSNDLAVLDASNQISVYKCGDCPSADPTVKLGAVGGSGFKVCLRTP
+HLEKRYKIQFENNEDQDVNPLKLGLLTWIEEDVFLAVSHSEFSPRSVIHHLTAASSEMDE
+EHGQLNVSSSAAVDGVIISLCCNSKTKSVVLQLADGQIFKYLWESPSLAIKPWKNSGGFP
+VRFPYPCTQTELAMIGEEECVLGLTDRCRFFINDIEVASNITSFAVYDEFLLLTTHSHTC
+QCFCLRDASFKTLQAGLSSNHVSHGEVLRKVERGSRIVTVVPQDTKLVLQMPRGNLEVVH
+HRALVLAQIRKWLDKLMFKEAFECMRKLRINLNLIYDHNPKVFLGNVETFIKQIDSVNHI
+NLFFTELKEEDVTKTMYPAPVTSSVYLSRDPDGNKIDLVCDAMRAVMESINPHKYCLSIL
+TSHVKKTTPELEIVLQKVHELQGNAPSDPDAVSAEEALKYLLHLVDVNELYDHSLGTYDF
+DLVLMVAEKSQKDPKEYLPFLNTLKKMETNYQRFTIDKYLKRYEKAIGHLSKCG
+>Q6IA86_PF00400_51 <unknown description>
+VTNLNGHTARVNCIQWICKQDGSPSTELVSGGSDNQVIHW
+>Q6IA86_PF00400_198 <unknown description>
+QKVLSLCGHEDWIRGVEWAAFGRDLFLASCSQDCLIRIWK
+>Q6IA86_PF00400_381 <unknown description>
+EIVISGHFDGVQDLVWDPEGEFIITVGTDQTTRL
+>Q6IA86_PF00400_605 <unknown description>
+KQVQNLVFHSLTVTQMAFSPNEKFLLAVSRDRTWSLWK
+>Q6IA86_PF00400_665 <unknown description>
+TSVHSRIIWSCDWSPDSKYFFTGSRDKKVVVW
+>Q9H9T3_PF04055_107 <unknown description>
+NICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPFLQTRHRIEQLKQLGHSVDKVE
+FIVMGGTFMALPEEYRDYFIRNLHDALSGHTSNNIYEAVKYSERSLTKCIGITIETRPDY
+CMKRHLSDMLTYGCTRLEIGVQSVYEDVARDTNRGHTVKAVCESFHLAKDSGFKVVAHMM
+PDLPNVGL
+>Q9H9T3_PF16199_312 <unknown description>
+PDGLKLYPTLVIRGTGLYELWKSGRYKSYSPSDLVELVARILALVPPWTRVYRVQRDIPM
+PLVSSGVEHGNLRELALARMK
+>Q9H9T3_PF00583_398 <unknown description>
+CRDVRTREVGIQEIHHKVRPYQVELVRRDYVANGGWETFLSYEDPDQDILIGLLRLRKCS
+EETFRFELGGGVSIVRELHVYGSVVPVSSRDPTKFQHQGFGMLLMEEAERIAREEHGSGK
+IAVISGVGTRNYYRKIGY
+>Q96EB1_PF05625_48 <unknown description>
+SIAGTRPSVRNGQLLVSTGLPALDQLLGGGLAVGTVLLIEEDKYNIYSPLLFKYFLAEGI
+VNGHTLLVASAKEDPANILQELPAPLLDDKCKKEFDEDVYNHKTPESNIKMKIAWRYQLL
+PKMEIGPVSSSRFGHYYDASKRMPQELIEASNWHGFFLPEKISSTLKVEPCSLTPGYTKL
+LQFIQNIIYEEGFDGSNPQKKQRNILRIGIQNLGSPLWGDDICCAENGGNSHSLTKFLYV
+LRGLLRTSLSACIITMPTHLIQNKAIIARVTTLSDVVVGLESFIGSERETNPLYKDYHGL
+IHIRQIPRLNNLICDESDVKDLAFKLKRKLFTIERLHLPPDLSDTVSRSSKM
+>Q8TE02_PF10483_9 <unknown description>
+GGLVLLRDSVEWEGRSLLKALVKKSALCGEQVHILGCEVSEEEFREGFDSDINNRLVYHD
+FFRDPLNWSKTEEAFPGGPLGALRAMCKRTDPVPVTIALDSLSWLLLRLPCTTLCQVLHA
+VSHQDSCPGDSSSVGKVSVLGLLHEELHGPGPVGALSSLAQTEVTLGGTMGQA
+>Q8TE02_PF10483_223 <unknown description>
+SDPHIPPVDPTTHLTFNLHLSKKEREARDSLILPFQFSSEKQQALLRPRPGQATSHIFYE
+>Q0PNE2_PF09807_2 <unknown description>
+FVELNNLLNTTPDRAEQGKLTLLCDAKTDGSFLVHHFLSFYLKANCKVCFVALIQSFSHY
+SIVGQKLGVSLTMARERGQLVFLEGLKSAVDVVFQAQKEPHPLQFLREANAGNLKPLFEF
+VREALKPVDSGEARWTYPVLLVDDLSVLLSLGMGAVAVLDFIHYCRATVCWELKGNMVVL
+VHDSGDAEDEENDILLNGLSHQSHLILRAEGLATGFCRDVHGQLRILWRRPSQPAVHRDQ
+SFTYQYKIQD
+>Q8WYP5_PF16687_1 <unknown description>
+MRDLRAQVTSGLLPFPEVTLQALGEDEITLESVLRGKFAAGKNGLACLACGPQLEVVNSI
+TGERLSAYRFSGVNEQPPVVLAVKEFSWQKRTGLLIGLEETEGSVLCLYDLGISKVVKAV
+VLPGRVTAIEPIINHGGASASTQHLHPSLRWLFGVAAVVTDVGQILLVDLCLDDLSCNQN
+EVEASDLEVLTGIPAEVPHIRESVMRQGRHLCFQLVSPTGTAVSTLSYISRTNQLAVGFS
+DGYLALWNMKSMKREYYIQLESGQVPVYAVTFQEPENDPRNCCYLWAVQSTQDSEGDVLS
+LHLLQLAFGNRKCLASGQILYEGLEYCEERYTLDLTGGMFPLRGQTSNTKLLGCQSIEKF
+RSHGDREEGVNEALSPDTSVSVFTWQVNIYGQGKPSVYLGLFDINRWYHAQMPDSLRSGE
+YLHNCSYFALWSLESVVSRTSPHGILDILVHERSLNRGVPPSYPPPEQFFNPSTYNFDAT
+CLLNSGVVH
+>Q8WYP5_PF13934_722 <unknown description>
+CLMIDGLVSQLGERIEKLWKRDEGGTGKYPPASLHAVLDMYLLDGVTEAAKHSITIYLLL
+DIMYSFPNKTDTPIESFPTVFAISWGQVKLIQGFWLIDHNDYESGLDLLFHPATAKPLSW
+QHSKIIQAFMSQGEHRQALRYIQTMKPTVSSGNDVILHLTVLLFNRCMVEAWNFLRQHCN
+RLNIEELLKHMYEVCQEMGLMEDLLKLPFTDTEQECLVKFLQS
+>Q00013_PF00595_72 <unknown description>
+IQFEKVTEEPMGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEINGTNVTNHSVD
+QLQKAMKETKGMISLKV
+>Q00013_PF00018_183 <unknown description>
+GLKFATGDIIQIINKDDSNWWQGRVEGSSKESAGLIPS
+>Q00013_PF00625_281 <unknown description>
+KRKTLVLIGASGVGRSHIKNALLSQNPEKFVYPVPYTTRPPRKSEEDGKEYHFISTEEMT
+RNISANEFLEFGSYQGNMFGTKFETVHQIHKQNKIAILDIEPQTLKIVRTAELSPFIVFI
+APTDQGTQTEALQQLQKDSEAIRSQYAHYFDLSLVNNGVDETLKKLQEAFDQ
+>O00423_PF03451_188 <unknown description>
+KMFLRGRPVTMYMPKDQVDSYSLEAKVELPTKRLKLEWVYGYRGRDCRNNLYLLPTGETV
+YFIASVVVLYNV
+>O00423_PF00400_262 <unknown description>
+QLQRHYAGHNDDVKCLAVHPDRITIATGQVAGTSKDGKQLPPHVRIWD
+>O00423_PF00400_632 <unknown description>
+MRYSPDGNFLAIGSHDNCIYIY
+>O00423_PF00400_665 <unknown description>
+VGKCSGHSSFITHLDWSVNSQFLVSNSGDYEILYW
+>O00423_PF00400_776 <unknown description>
+PSHIYGGHSSHVTNVDFLCEDSHLISTGGKDTSIMQW
+>O95834_PF03451_22 <unknown description>
+KMFLRGRPVPMMIPDELAPTYSLDTRSELPSCRLKLEWVYGYRGRDCRANLYLLPTGEIV
+YFVASVAVLYS
+>O95834_PF00400_96 <unknown description>
+QRQRHYLGHNDDIKCLAIHPDMVTIATGQVAGTTKEGKPLPPHVRIWD
+>O95834_PF00400_287 <unknown description>
+QAVLGAHDGGVFGLCALRDGTLVSGGGRDRRVVLW
+>O95834_PF00400_374 <unknown description>
+QGHVEELWGLATHPSRAQFVTCGQDKLVHLWS
+>O95834_PF00400_459 <unknown description>
+GNEQISVVSFSPDGAYLAVGSHDNLVYVY
+>O95834_PF00400_499 <unknown description>
+LGKCSGHSSFITHLDWAQDSSCFVTNSGDYEILYWD
+>O95834_PF00400_610 <unknown description>
+LSHKYGGHSSHVTNVAFLWDDSMALTTGGKDTSVLQW
+>Q32P44_PF03451_217 <unknown description>
+KMFLRGRPITMYIPSGIRSLEELPSGPPPETLSLDWVYGYRGRDSRSNLFVLRSGEVVYF
+IACVVVLYR
+>Q32P44_PF00400_298 <unknown description>
+QRHYRGHTDCVRCLAVHPDGVRVASGQTAGVDKDGKPLQPVVHIWD
+>Q32P44_PF00400_593 <unknown description>
+IQGHTDELWGLCTHPSQNRFLTCGHDRQLCLWD
+>Q32P44_PF00400_724 <unknown description>
+GHSSFITHLDWSKDGNFIMSNSGDYEILYWD
+>Q32P44_PF00400_831 <unknown description>
+PSRMYGGHGSHVTSVRFTHDDSHLVSLGGKDASIFQW
+>Q9HC35_PF03451_228 <unknown description>
+KMFMRGRPITMFIPSDVDNYDDIRTELPPEKLKLEWAYGYRGKDCRANVYLLPTGKIVYF
+IASVVVLFN
+>Q9HC35_PF00400_300 <unknown description>
+RTQRHYLGHTDCVKCLAIHPDKIRIATGQIAGVDKDGRPLQPHVRVWD
+>Q9HC35_PF00400_503 <unknown description>
+KQIKAHDGSVFTLCQMRNGMLLTGGGKDRKIILWD
+>Q9HC35_PF00400_587 <unknown description>
+EVQGHTDELWGLATHPFKDLLLTCAQDRQVCLWN
+>Q9HC35_PF00400_717 <unknown description>
+CTGHSSYITHLDWSPDNKYIMSNSGDYEILYWD
+>Q9HC35_PF00400_826 <unknown description>
+PSHKYSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWK
+>Q05BV3_PF03451_4 <unknown description>
+RSAPSCHLRLEWVYGYRGHQCRNNLYYTAAKEIVYFVAGVGVVYS
+>Q05BV3_PF00400_54 <unknown description>
+QKFYRGHSDDIISLALHPERVLVATGQVGKEPYICIWD
+>Q05BV3_PF00400_316 <unknown description>
+PFLIMQGHCEGELWALAVHPTKPLAVTGSDDRSVRIWS
+>Q05BV3_PF12894_381 <unknown description>
+LALGMKDGSFTVLRVRDMTEVVHIKDRKEAIHELKYSPDGTYLAVGCNDSSVDIYGVAQR
+YKKVGECLGSLSFITHLDWS
+>Q05BV3_PF00400_555 <unknown description>
+KFRKYIGHSAHVTNVRWSHDYQWVISIGGADHSVFQWK
+>Q05BV3_PF03451_664 <unknown description>
+ATSKRRERAPGNSIRLHFVHGYRGYDCRSNLFYTQIGEIVYHVAAVGVIYNR
+>Q05BV3_PF00400_718 <unknown description>
+NTQRFYLGHDDDILCLTIHPLKDYVATGQVGRDPSIHIWD
+>Q05BV3_PF00400_762 <unknown description>
+KPLSILKGHHQYGVSAVDFSADGKRLASVGIDDSHTVVLWD
+>Q05BV3_PF00400_994 <unknown description>
+QGHMEGEVWGLATHPYLPICATVSDDKTLRIWD
+>Q05BV3_PF03451_1352 <unknown description>
+QPEKLQTNNVGKKKRPIEDLVLELIFGYRGRDCRNNVHYLNDGDDIIYHTASVGILHNV
+>Q05BV3_PF00400_1698 <unknown description>
+NGHVDGPIWGLATHPSRDFFLSAAEDGTVRLWD
+>Q05BV3_PF12894_1749 <unknown description>
+VCYSPEGDMVAIGMKNGEFIILLVSSLKIWGKKRDRRCAIHDIRFSPDSRYLAVGSSENS
+VDFYDLTLGPTLNRISYCKD
+>Q05BV3_PF00400_1938 <unknown description>
+FLGHSPHVTNIRFTSGDRHVVSAGGDDCSLFVWK
+>Q6ZMW3_PF03451_4 <unknown description>
+RTAPRCQLRLEWVYGYRGHQCRNNLYYTAGKEVVYFVAGVGVVYN
+>Q6ZMW3_PF00400_54 <unknown description>
+QKFFLGHNDDIISLALHPDKTLVATGQVGKEPYICIWD
+>Q6ZMW3_PF00400_104 <unknown description>
+VHTHGVACLAFDSDGQRLASVGLDAKNTVCIWD
+>Q6ZMW3_PF00400_315 <unknown description>
+KPMLILQGHCEGELWALALHPKKPLAVTGSDDRSVRLWS
+>Q6ZMW3_PF12894_373 <unknown description>
+AFSPDGSQLALGMKDGSFIVLRVRDMTEVVHIKDRKEVIHEMKFSPDGSYLAVGSNDGPV
+DVYAVAQRYKKIGECSKSLSFITHIDWS
+>Q6ZMW3_PF00400_555 <unknown description>
+KFRKYVGHSAHVTNVRWSHDFQWVLSTGGADHSVFQW
+>Q6ZMW3_PF03451_668 <unknown description>
+KREKAPEDSLKLQFIHGYRGYDCRNNLFYTQAGEVVYHIAAVAVVYNR
+>Q6ZMW3_PF00400_720 <unknown description>
+QRLYLGHDDDILSLTIHPVKDYVATGQVGRDAAIHVWD
+>Q6ZMW3_PF00400_994 <unknown description>
+QGHMEGEVWGLAAHPLLPICATVSDDKTLRIW
+>Q6ZMW3_PF00400_1232 <unknown description>
+KKYVGHSAHVTNVRWLHNDSVLLTVGGADTALMIW
+>Q6ZMW3_PF03451_1342 <unknown description>
+APQPEKLQKNNITKKKKLVEELALDHVFGYRGFDCRNNLHYLNDGADIIFHTAAAGIVQN
+>Q6ZMW3_PF00400_1683 <unknown description>
+DGHMEGEIWGLATHPSKDLFISASNDGTARIWD
+>Q6ZMW3_PF12894_1735 <unknown description>
+AYSPDGEMVAIGMKNGEFVILLVNSLKVWGKKRDRKSAIQDIRISPDNRFLAVGSSEHTV
+DFYDLTQGTNLNRIGY
+>Q6ZMW3_PF00400_1923 <unknown description>
+YFGHSAHVTNIRFSYDDKYVVSTGGDDCSVFVW
+>Q5T6L9_PF13910_133 <unknown description>
+LERALGDVFLLIGKECPFLLRDLLSSEELAQVFSQSVMNVLKVFVGSPCGLNLRNVLWHG
+FASPEEIPPKYCSMMILLTAG
+>Q6PCB8_PF00047_73 <unknown description>
+EKNITLERPSNVNLTCQFTTSGDLNAVNVTWKKDGEQLENNYLVSATGSTLYTQYRFTII
+NSKQMGSYSCFFREEKEQ
+>Q6PCB8_PF07679_161 <unknown description>
+LHGKNKPLISYVGDSTVLTCKCQNCFPLNWTWYSSNGSVKVPVGVQMNKYVINGTYANET
+KLKITQLLEEDGESYWCRALFQLGESEEHIELVV
+>Q8N766_PF13360_48 <unknown description>
+SPGSKKLVVATEKNVIAALNSRTGEILWRHVDKGTAEGAVDAMLLHGQDVITVSNGGRIM
+RSWETNIGGLNWEITLDSGSFQALGLVGLQESVRYIAVLKKTTLALHHLSSGHLKWVEHL
+PESDSIHYQMVYSYGSGVVWALGVVPFSHVNIVKFNVEDGE
+>Q8N766_PF07774_787 <unknown description>
+SENWVVYQYWNTKARRNEFTVLELYEGTEQYNATAFSSLDRPQLPQVLQQSYIFPSSISA
+MEATITERGITSRHLLIGLPSGAILSLPKALLDPRRPEIPTEQSREENLIPYSPDVQIHA
+ERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVLKDDYDYVLIS
+SVLFGLVFATMITKRLAQVKLLNRAW
+>Q15006_PF14559_99 <unknown description>
+AMERYDDAIQLYDRILQEDPTNTAARKRKIAIRKAQGKNVEAIRELNEYLEQFVGDQEAW
+HEL
+>Q9P0I2_PF01956_5 <unknown description>
+ELLLDSNIRLWVVLPIVIITFFVGMIRHYVSILLQSDKKLTQEQVSDSQVLIRSRVLREN
+GKYIPKQSFLTRKYYFNNPEDGFFKKTKRKVVPPSPMTDPTMLTDMMKGNVTNVLPMILI
+GGWINMTFSGFVTTKVPFPLTLRFKPMLQQGIELLTLDASWVSSASWYFLNVFGLRSIYS
+LILGQDN
+>Q5J8M3_PF06417_58 <unknown description>
+QETDRILVEKRCWDIALGPLKQIPMNLFIMYMAGNTISIFPTMMVCMMAWRPIQALMAIS
+ATFKMLESSSQKFLQGLVYLIGNLMGLALAVYKCQSMGLLPTHASDWLAFIE
+>Q8N4V1_PF10270_12 <unknown description>
+IGLFALAHAAFSAAQHRSYMRLTEKEDESLPIDIVLQTLLAFAVTCYGIVHIAGEFKDMD
+ATSELKNKTFDTLRNHPS
+>Q9BV81_PF07019_25 <unknown description>
+VLDYCRTSVSALSGATAGILGLTGLYGFIFYLLASVLLSLLLILKAGRRWNKYFKSRRPL
+FTGGLIGGLFTYVLFWTFLY
+>Q9NPA0_PF09430_57 <unknown description>
+KPQDWISAARVLVDGEEHVGFLKTDGSFVVHDIPSGSYVVEVVSPAYRFDPVRVDITSKG
+KMRARYVNYIKTSEVVRLPYPLQMKSSGPPSYFIKRESWGWTDFLMNPMVMM
+>O43402_PF03665_4 <unknown description>
+VKLTTQAYCKMVLHGAKYPHCAVNGLLVAEKQKPRKEHLPLGGPGAHHTLFVDCIPLFHG
+TLALAPMLEVALTLIDSWCKDHSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFSDTA
+LIMVDNTKFTMDCVAPTIHVYEHHENRWRCRDPHHDYCEDWPEAQRISASLLDSRSYETL
+VDFDNHLDDIRNDWTNPEI
+>Q9Y3B6_PF03665_3 <unknown description>
+EVEISALAYVKMCLHAARYPHAAVNGLFLAPAPRSGECLCLTDCVPLFHSHLALSVMLEV
+ALNQVDVWGAQAGLVVAGYYHANAAVNDQSPGPLALKIAGRIAEFFPDAVLIMLDNQKLV
+PQPRVPPVIVLENQGLRWVPKDKNLVMWRDWEESRQMVGALLEDRAHQHLVDFDCHLDDI
+RQDWTNQRL
+>P50402_PF03020_3 <unknown description>
+NYADLSDTELTTLLRRYNIPHGPVVGSTRRLYEKKIFEY
+>Q96AY2_PF02732_269 <unknown description>
+LLGALQTMECRCVIEAQAVPCSVTWRRRAGPSEDREDWVEEPTVLVLLRAEAFVSMIDNG
+KQGSLDSTMKGKETLQGFVTDITAKTAGKALSLVIVDQEKCFSAQNPPRRGKQGANKQTK
+KQQQRQPEASIGSMVSRVDAEEALVDLQLHTEAQAQIVQSWKELADFTCAF
+>A4GXA9_PF02732_93 <unknown description>
+LMEALEALGCECRIEPQRPARSLRWTRASPDPCPRSLPPEVWAAGEQELLLLLEPEEFLQ
+GVATLTQISGPTHWVPWISPETTARPHLAVIGLDAYLWSRQHVSRGTQQPESPKVAGAEV
+AVSWPEVEEALVLLQLWANLDVLLVASWQELSRHVCA
+>Q96A84_PF07546_34 <unknown description>
+RNWCSYVVTRTISCHVQNGTYLQRVLQNCPWPMSCPGSSYRTVVRPTYKVMYKIVTAREW
+RCCPGHSG
+>Q96A84_PF01391_214 <unknown description>
+GSRGPMGMRGPPGPQGPPGSPGRAGAVGTPGERGPPGPPGPPGPPGPPAPVGPP
+>Q96A84_PF01391_291 <unknown description>
+PQGPTGPPGPPGPMGPPGPPGPTGVPGSPGHIGPPGPTGPKGISGHPGEKGERG
+>Q96A84_PF01391_315 <unknown description>
+VPGSPGHIGPPGPTGPKGISGHPGEKGERGLRGEPGPQGSAGQRGEPGPKGDPGEK
+>Q9Y6C2_PF07546_57 <unknown description>
+RNWCAYVVTRTVSCVLEDGVETYVKYQPCAWGQPQCPQSIMYRRFLRPRYRVAYKTVTDM
+EWRCCQGYGG
+>Q9Y6C2_PF01391_814 <unknown description>
+GPAGEAGPPGPPGLQGPPGPAGPPGSPGKDGQEGPIGPPGPQGEQGVEGAPAAP
+>Q9Y6C2_PF00386_872 <unknown description>
+AFSAALSLPRSEPGTVPFDRVLLNDGGYYDPETGVFTAPLAGRYLLSAVLTGHRHEKVEA
+VLSRSNQGVARVDSGGYEPEGLENKPVAESQPSPGTLGVFSLILPLQAGDTVCVDLVMGQ
+LAHSEEPLTIFSGALL
+>Q9BXX0_PF07546_45 <unknown description>
+KNWCAYIVNKNVSCSVLEGSESFIQAQYNCAWNQMPCPSALVYRVNFRPRYVTRYKTVTQ
+LEWRCCPGFRG
+>Q9BXX0_PF00386_908 <unknown description>
+FSAGLTQKPFPSDGGVVLFNKVLVNDGDVYNPSTGVFTAPYDGRYLITATLTPERDAYVE
+AVLSVSNASVAQLHTAGYRREFLEYHRPPGALHTCGGPGAFHLIVHLKAGDAVNVVVTGG
+KLAHTDFDEMYSTFSGV
+>Q9NT22_PF07546_58 <unknown description>
+LCAYVVHRNVTCILQEGAESYVKAEYRQCRWGPKCPGTVTYRTVLRPKYKVGYKTVTDLA
+WRCCPGFTG
+>P54849_PF00822_1 <unknown description>
+MLVLLAGIFVVHIATVIMLFVSTIANVWLVSNTVDASVGLWKNCTNISCSDSLSYASEDA
+LKTVQAFMILSIIFCVIALLVFVFQLFTMEKGNRFFLSGATTLVCWLCILVGVSIYTSHY
+ANRDGTQYHHGYSYILGWICFCFSFIIGVLY
+>P54851_PF00822_1 <unknown description>
+MLVLLAFIIAFHITSAALLFIATVDNAWWVGDEFFADVWRICTNNTNCTVINDSFQEYST
+LQAVQATMILSTILCCIAFFIFVLQLFRLKQGERFVLTSIIQLMSCLCVMIAASIYTDRR
+EDIHDKNAKFYPVTREGSYGYSYILAWVAFACTFISGMMY
+>P54852_PF00822_1 <unknown description>
+MSLLLLVVSALHILILILLFVATLDKSWWTLPGKESLNLWYDCTWNNDTKTWACSNVSEN
+GWLKAVQVLMVLSLILCCLSFILFMFQLYTMRRGGLFYATGLCQLCTSVAVFTGALIYAI
+HAEEILEKHPRGGSFGYCFALAWVAFPLALVSGIIY
+>Q9H4I9_PF10161_37 <unknown description>
+GSGRSLVPSRSVIVTRSGAILPKPVKMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHD
+IFVPEDDDDDD
+>Q7Z589_PF03735_17 <unknown description>
+KRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSISTERHRAEVRRAVNDERL
+TTIAH
+>Q04741_PF00046_193 <unknown description>
+KRIRTAFSPSQLLRLERAFEKNHYVVGAERKQLAGSLSLSETQVKVWFQNRRTKYKR
+>Q04743_PF00046_155 <unknown description>
+KRIRTAFSPSQLLRLEHAFEKNHYVVGAERKQLAHSLSLTETQVKVWFQNRRTKFKR
+>Q8N8S7_PF00568_4 <unknown description>
+QSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVINCA
+IPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHA
+>Q8N8S7_PF08776_532 <unknown description>
+LDYDRLKQDILDEMRKELTKLKEELIDAIRQELSK
+>Q9NRM1_PF15362_213 <unknown description>
+PYYSEEMFEQDFEKPKEEDPPKAESPGTEPTANSTVTETNSTQPNPKGSQGGNDTSPTGN
+STPGLNTGNNPPAQNGIGPLPAVNASGQGGPGSQIPWRPSQPNIRENHPYPNIRNFPSGR
+QWYFTGTVMGHRQNRPFYRNQQVQRGPRWNFFAWERKQVARPGNPVYHKAYPPTSRGNYP
+NYAGNPANLRRKPQGPNKHPVGTTVAPLGPKPGPVVRNEKIQNPKEKPLGPKEQIIVPTK
+NPTSPWRNSQQYEVNKSNYKLPHSEGYMPVPNFNSVDQHENSYYPRGDSRKVPNSDGQTQ
+SQNLPKGIVLGSRRMPYESETNQSELKHSSYQPAVYPEEIPSPAKEHFPAGRNTWDHQEI
+SPPFKEDPGRQEEHLPHPSHGSRGSVFYPEYNPYDPRENSPYLRGNTWDERDDSPNTMGQ
+KESPLYPINTPDQKEIVPYNEEDPVDPTGDEVFPGQNRWGEELSFKGGPTVRHYEGEQYT
+SNQPKEYLPYSLDNPSKPREDFYYSEFYPWSPDENFPSYNTASTMPPPIESRGYYVNNAA
+GPEESTLFPSRNSWDHRIQAQGQRERRPYFNRNIWDQATHLQKAPARPPDQKGNQPYYSN
+TPAGLQKNPIWHEGENLNYGMQITRMNSPEREHSSFPNFIPPSYPSGQKEAHLFHLSQRG
+SCCAGSSTGPKDNPLALQDYTPSYGLAPGENQDTSPLYTDGSHTKQTRDIISPTSILPGQ
+RNSSEKRESQNPFRDDVSTLRRNTPCSIKNQLGQKEIMPFPEASSLQSKNTPCLKNDLGG
+DGNNILEQVFEDNQLNERTVDLTPEQLVIGTPDEGSNPEGIQSQVQENESERQQQRPSNI
+LHLPCFGSKLAKHHSSTTGTPSSDGRQSPFDGDSITPTENPNTLVELATEEQFKSINVDP
+L
+>Q8NFI3_PF03644_135 <unknown description>
+YLDDRFIQGSVVQTPYAFYHWQCIDVFVYFSHHTVTIPPVGWTNTAHRHGVCVLGTFITE
+WNEGGRLCEAFLAGDERSYQAVADRLVQITQFFRFDGWLINIENSLSLAAVGNMPPFLRY
+LTTQLHRQVPGGLVLWYDSVVQSGQLKWQDELNQHNRVFFDSCDGFFTNYNWREEHLERM
+LGQAGERRADVYVGVDVFARGNVVGGRFDTDKSLELIRKHGFSVALFAPGWVYECLEKKD
+FFQNQDKFWGRLERYLPTHSICSLPFVTSFCLGMGA
+>O14682_PF00651_36 <unknown description>
+LNLLRQQRLFTDVLLHAGNRTFPCHRAVLAACSRYFEAMFSGGLKESQDSEVNFDNSIHP
+EVLELLLDYAYSSRVIINEENAESLLEAGDMLEFQDIRDACAEFLEKN
+>O14682_PF07707_149 <unknown description>
+CLGMLLLSDAHQCTKLYELSWRMCLSNFQTIRKNEDFLQLPQDMVVQLLSSEELETEDER
+LVYESAINWISYDLKKRYCYLPELLQTVRLALLPAIYLMENV
+>O14682_PF01344_329 <unknown description>
+PRKEFSACAIGCKVYITGGRGSENGVSKDVWVYDTLHEEWSKAAPM
+>O14682_PF01344_377 <unknown description>
+ARFGHGSAELKHCLYVVGGHTAATGCLPASPSVSLKQVEHYDPTINKWTMVAPLR
+>O14682_PF01344_483 <unknown description>
+RYTAAAVLGNQIFIMGGDTEFSACSAYKFNSETYQWTKVG
+>O14682_PF01344_528 <unknown description>
+RMSCHAVASGNKLYVVGGYFGIQRCKTLDCYDPTLDVWNSITT
+>O94919_PF01223_63 <unknown description>
+ERFATLYSTRDRIPVYSAFRAPRPAPGGAEQRWLVEPQIDDPNSNLEEAINEAEAITSVN
+SLGSKQALNTDYLDSDYQRGQLYPFSLSSDVQVATFTLTNSAPMTQSFQERWYVNLHSLM
+DRALTPQCGSGEDLYILTGTVPSDYRVKDKVAVPEFVWLAACCAVP
+>P21128_PF01033_22 <unknown description>
+ESCASRCNEKFNRDAACQCDRRCLWHGNCCEDYEHLCTE
+>P21128_PF01033_88 <unknown description>
+TSCQGRCYEAFDKHHQCHCNARCQEFGNCCKDFESLCSD
+>P21128_PF09412_140 <unknown description>
+EIQSISEKIYRADTNKAQKEDIVLNSQNCISPSETRNQVDRCPKPLFTYVNEKLFSKPTY
+AAFINLLNNYQRATGHGEHFSAQELAEQDAFLREIMKTAVMKELYSFLHHQNRYGSEQEF
+VDDLKNMWFGLYSRGNEEGDSSGFEHVFSGEVKKGKVTGFHNWIRFYLEEKEGLVDYYSH
+IYDGPWDSYPDVLAMQFNWDGYYKEVGSAFIGSSPEFEFALYSLCFIARPGKVCQLSLGG
+YPLAVRTYTWDKSTYGNGKKYIATAYI
+>Q8N8Q3_PF04493_39 <unknown description>
+DPAFSGLQRVGGVDVSFVKGDSVRACASLVVLSFPELEVVYEESRMVSLTAPYVSGFLAF
+REVPFLLELVQQLREKEPGLMPQVLLVDGNGVLHHRGFGVACHLGVLTDLPCVGVAKKLL
+QVDGLENNALHKEKIRLLQTRGDSFPLLGDSGTVLGMALRSHDRSTRPLYISVGHRMSLE
+AAVRLTCCCCRFRIPEPVRQAD
+>Q9H0I2_PF13864_243 <unknown description>
+GHVPHYLLERRDLWRREAEARKQSQPDPAMPPGHTRMPENQRLETLTKLLQSQSQLLREL
+VLLPAGADSLRAQSHRAELDRKLVQVEEAIKIFSRPK
+>Q8TC29_PF13864_152 <unknown description>
+GVTPEYICKRNEEIKKAQEDYDRYIQENLKKAAMKRLSDEEREAVLQGLKKNWEEVHKEF
+QSLSVFIDSIPKKIRKQRLEEEMKQLEHDIGIIEKHK
+>Q03111_PF03366_28 <unknown description>
+FTHDWMVFVRGPEQCDIQHFVEKVVFWLHDSFPKPRRVCKEPPYKVEESGYAGFIMPIEV
+HFKNKEEPRKVCFTYDLFLN
+>Q03111_PF17793_495 <unknown description>
+YTDELVELHRRLMALRERNVLQQIVNLIEETGHFNVTNTTFDFDLFSLDETTVRKLQSCL
+E
+>A6NNW6_PF00113_275 <unknown description>
+SMPLLMVSLVSCGKSSSGKLNLMKEVICIPHPELTTKQGVEMLMEMQKHINKIIEMPSPP
+KAETKKGHDGSKRGQQQITGKMSHLGCLTINCDSIEQPLLLIQEICANLGLELGTNLHLA
+INCAGHELMDYNKGKYEVIMGTYKNAAEMVDLYVDLINKYPSIIALIDPFRKEDSEQWDS
+IYHALGSRCYIIAGTASKSISKLLEQGNISIPKSNGLIIKHTNQTTMSDLVEITNLIDSK
+KHITVFGSTEGESSDDSLVDLAVGLGVRFIKLGGLSRGERVTKYNRLLTIEEELVQNGT
+>P06733_PF03952_3 <unknown description>
+ILKIHAREIFDSRGNPTVEVDLFTSKGLFRAAVPSGASTGIYEALELRDNDKTRYMGKGV
+SKAVEHINKTIAPALVSKKLNVTEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCKAG
+AVEKGVPLYRHI
+>P06733_PF00113_143 <unknown description>
+VILPVPAFNVINGGSHAGNKLAMQEFMILPVGAANFREAMRIGAEVYHNLKNVIKEKYGK
+DATNVGDEGGFAPNILENKEGLELLKTAIGKAGYTDKVVIGMDVAASEFFRSGKYDLDFK
+SPDDPSRYISPDQLADLYKSFIKDYPVVSIEDPFDQDDWGAWQKFTASAGIQVVGDDLTV
+TNPKRIAKAVNEKSCNCLLLKVNQIGSVTESLQACKLAQANGWGVMVSHRSGETEDTFIA
+DLVVGLCTGQIKTGAPCRSERLAKYNQLLRIEEELGSKAKFAGRNFRN
+>P13929_PF03952_4 <unknown description>
+QKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKGRYLGKGVL
+KAVENINNTLGPALLQKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCKAGA
+AEKGVPLYRHI
+>P13929_PF00113_143 <unknown description>
+LILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMRIGAEVYHHLKGVIKAKYGK
+DATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKVVIGMDVAASEFYRNGKYDLDFK
+SPDDPARHITGEKLGELYKSFIKNYPVVSIEDPFDQDDWATWTSFLSGVNIQIVGDDLTV
+TNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLAQSNGWGVMVSHRSGETEDTFIA
+DLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDKAIFAGRKFRN
+>Q7L5Y1_PF02746_35 <unknown description>
+SAAYVVIETDAEDGIKGCGITFTLGKGTEVVVCAVNALAHHVLNKDLKDIVGDFRGFYRQ
+LTSDGQLRWIGPEKGVVHLATAAVLNAVWDLWAKQEGKPVWKLL
+>Q7L5Y1_PF13378_206 <unknown description>
+QLCAQALKDGWTRFKVKVGADLQDDMRRCQIIRDMIGPEKTLMMDANQRWDVPEAVEWMS
+KLAKFKPLWIEEPTSPDDILGHATISKALVPLGIGIATGEQCHNRVIFKQLLQAKALQFL
+QIDSCRLGSVNENLSVLLMAKKFEIPVCPHAGGVGLCELVQHLIIFDYISVSASLENRVC
+EYVDHLHEHFKYPVMIQRASYMPPKDPGYSTEMKEE
+>P09104_PF03952_3 <unknown description>
+IEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGKGV
+LKAVDHINSTIAPALISSGLSVVEQEKLDNLMLELDGTENKSKFGANAILGVSLAVCKAG
+AAERELPLYRHI
+>P09104_PF00113_143 <unknown description>
+LILPVPAFNVINGGSHAGNKLAMQEFMILPVGAESFRDAMRLGAEVYHTLKGVIKDKYGK
+DATNVGDEGGFAPNILENSEALELVKEAIDKAGYTEKIVIGMDVAASEFYRDGKYDLDFK
+SPTDPSRYITGDQLGALYQDFVRDYPVVSIEDPFDQDDWAAWSKFTANVGIQIVGDDLTV
+TNPKRIERAVEEKACNCLLLKVNQIGSVTEAIQACKLAQENGWGVMVSHRSGETEDTFIA
+DLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEELGDEARFAGHNFRN
+>Q8TC92_PF00076_144 <unknown description>
+VFVGGLPENATEEIIQEVFEQCGDITAIRKSKKNFCHIRFAEEFMVDKAIYLSGYR
+>Q16206_PF00076_101 <unknown description>
+VFVGGLPENGTEQIIVEVFEQCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRI
+>P14625_PF02518_96 <unknown description>
+NKEIFLRELISNASDALDKIRLISLTDENALSGNEELTVKIKCDKEKNLLHVTDTGVGMT
+REELVKNLGTIAKSGTSEFLNKMTEAQEDGQSTSELIGQFGVGFYSAFLVADKVIVTSKH
+NNDTQHIWESDSNEFSVIADPRGNTLGRGTTITLVLKEE
+>P14625_PF00183_257 <unknown description>
+DYLELDTIKNLVKKYSQFINFPIYVWSSKTETVEEPMEEEEAAKEEKEESDDEAAVEEEE
+EEKKPKTKKVEKTVWDWELMNDIKPIWQRPSKEVEEDEYKAFYKSFSKESDDPMAYIHFT
+AEGEVTFKSILFVPTSAPRGLFDEYGSKKSDYIKLYVRRVFITDDFHDMMPKYLNFVKGV
+VDSDDLPLNVSRETLQQHKLLKVIRKKLVRKTLDMIKKIADDKYNDTFWKEFGTNIKLGV
+IEDHSNRTRLAKLLRFQSSHHPTDITSLDQYVERMKEKQDKIYFMAGSSRKEAESSPFVE
+RLLKKGYEVIYLTEPVDEYCIQALPEFDGKRFQNVAKEGVKFDESEKTKESREAVEKEFE
+PLLNWMKDKALKDKIEKAVVSQRLTESPCALVASQYGWSGNMERIMKAQAYQTGKDISTN
+YYASQKKTFEINPRHPLIRDMLRRIKEDEDDKTVLDLAVVLFETATLRSGYLLPDTKAYG
+DRIERMLRLSLNIDPDAKVEEEPEEEPEETAEDTTED
+>P22413_PF01033_106 <unknown description>
+KSCKGRCFERTFGNCRCDAACVELGNCCLDYQETCIE
+>P22413_PF01033_147 <unknown description>
+WTCNKFRCGEKRLTRSLCACSDDCKDKGDCCINYSSVCQGE
+>P22413_PF01663_212 <unknown description>
+TLLFSLDGFRAEYLHTWGGLLPVISKLKKCGTYTKNMRPVYPTKTFPNHYSIVTGLYPES
+HGIIDNKMYDPKMNASFSLKSKEKFNPEWYKGEPIWVTAKYQGLKSGTFFWPGSDVEING
+IFPDIYKMYNGSVPFEERILAVLQWLQLPKDERPHFYTLYLEEPDSSGHSYGPVSSEVIK
+ALQRVDGMVGMLMDGLKELNLHRCLNLILISDHGMEQGSCKKYIYLNKYLGDVKNIKVIY
+GPAARLRPSDVPDKYYSFNYEGIARNLSCREPNQHFKPYLKHFLPKRLHFAKSDRIEPLT
+FYLDPQWQLALNPSERKYCGSGFHGSD
+>P22413_PF01223_673 <unknown description>
+LLSQHQFMSGYSQDILMPLWTSYTVDRNDSFSTEDFSNCLYQDFRIPLSPVHKCSFYKNN
+TKVSYGFLSPPQLNKNSSGIYSEALLTTNIVPMYQSFQVIWRYFHDTLLRKYAEERNGVN
+VVSGPVFDFDYDGRCDSLENLRQKRRVIRNQEILIPTHFFIVLTSCKDTSQTPLHCENLD
+TLAFILPHRTD
+>Q13822_PF01033_58 <unknown description>
+SCKGRCFELQEAGPPDCRCDNLCKSYTSCCHDFDELCLK
+>Q13822_PF01033_102 <unknown description>
+ECTKDRCGEVRNEENACHCSEDCLARGDCCTNYQVVCKG
+>Q13822_PF01663_166 <unknown description>
+LIIFSVDGFRASYMKKGSKVMPNIEKLRSCGTHSPYMRPVYPTKTFPNLYTLATGLYPES
+HGIVGNSMYDPVFDATFHLRGREKFNHRWWGGQPLWITATKQGVKAGTFFWSVVIPHERR
+ILTILQWLTLPDHERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKIVGQLMDGLKQ
+LKLHRCVNVIFVGDHGMEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRSKFSNNAKYDP
+KAIIANLTCKKPDQHFKPYLKQHLPKRLHYANNRRIEDIHLLVERRWHVARKPLDVYKKP
+SGKCFFQGDHGFD
+>Q13822_PF01223_612 <unknown description>
+ILYHTDFESGYSEIFLMPLWTSYTVSKQAEVSSVPDHLTSCVRPDVRVSPSFSQNCLAYK
+NDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWNYFQRVLVKKYASERNGV
+NVISGPIFDYDYDGLHDTEDKIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSV
+SSFILPHRPDNEESCNSSEDESKWVEELMKMHTARVRDIEHLTSLDFFRKTSR
+>O14638_PF01033_53 <unknown description>
+SCRKKCFDASFRGLENCRCDVACKDRGDCCWDFEDTCVE
+>O14638_PF01033_97 <unknown description>
+MCNKFRCGETRLEASLCSCSDDCLQRKDCCADYKSVCQGE
+>O14638_PF01663_161 <unknown description>
+VILFSMDGFRAEYLYTWDTLMPNINKLKTCGIHSKYMRAMYPTKTFPNHYTIVTGLYPES
+HGIIDNNMYDVNLNKNFSLSSKEQNNPAWWHGQPMWLTAMYQGLKAATYFWPGSEVAING
+SFPSIYMPYNGSVPFEERISTLLKWLDLPKAERPRFYTMYFEEPDSSGHAGGPVSARVIK
+ALQVVDHAFGMLMEGLKQRNLHNCVNIILLADHGMDQTYCNKMEYMTDYFPRINFFYMYE
+GPAPRIRAHNIPHDFFSFNSEEIVRNLSCRKPDQHFKPYLTPDLPKRLHYAKNVRIDKVH
+LFVDQQWLAVRSKSNTNCGGGNHGY
+>O14638_PF01223_624 <unknown description>
+LLYHREYVSGFGKAMRMPMWSSYTVPQLGDTSPLPPTVPDCLRADVRVPPSESQKCSFYL
+ADKNITHGFLYPPASNRTSDSQYDALITSNLVPMYEEFRKMWDYFHSVLLIKHATERNGV
+NVVSGPIFDYNYDGHFDAPDEITKHLANTDVPIPTHYFVVLTSCKNKSHTPENCPGWLDV
+LPFIIPHRPTNVESCPEGKPEALWVEERFTAHIARVRDVELLTGLDFYQ
+>Q9Y6X5_PF01663_28 <unknown description>
+LLLVSFDGFRADYLKNYEFPHLQNFIKEGVLVEHVKNVFITKTFPNHYSIVTGLYEESHG
+IVANSMYDAVTKKHFSDSNDKDPFWWNEAVPIWVTNQLQENRSSAAAMWPGTDVPIHDTI
+SSYFMNYNSSVSFEERLNNITMWLNNSNPPVTFATLYWEEPDASGHKYGPEDKENMSRVL
+KKIDDLIGDLVQRLKMLGLWENLNVIITSDHGMTQCSQDRLINLDSCIDHSYYTLIDLSP
+VAAILPKINRTEVYNKLKNCSPHMNVYLKEDIPNRFYYQHNDRIQPIILVADEGWTIVLN
+ESSQKLGDHGYD
+>Q9UJA9_PF01663_30 <unknown description>
+VLLVSFDGFRWDYLYKVPTPHFHYIMKYGVHVKQVTNVFITKTYPNHYTLVTGLFAENHG
+IVANDMFDPIRNKSFSLDHMNIYDSKFWEEATPIWITNQRAGHTSGAAMWPGTDVKIHKR
+FPTHYMPYNESVSFEDRVAKIIEWFTSKEPINLGLLYWEDPDDMGHHLGPDSPLMGPVIS
+DIDKKLGYLIQMLKKAKLWNTLNLIITSDHGMTQCSEERLIELDQYLDKDHYTLIDQSPV
+AAILPKEGKFDEVYEALTHAHPNLTVYKKEDVPERWHYKYNSRIQPIIAVADEGWHILQN
+KSDDFLLGNHGYD
+>Q6UWR7_PF01663_26 <unknown description>
+LLVFLLDGFRSDYISDEALESLPGFKEIVSRGVKVDYLTPDFPSLSYPNYYTLMTGRHCE
+VHQMIGNYMWDPTTNKSFDIGVNKDSLMPLWWNGSEPLWVTLTKAKRKVYMYYWPGCEVE
+ILGVRPTYCLEYKNVPTDINFANAVSDALDSFKSGRADLAAIYHERIDVEGHHYGPASPQ
+RKDALKAVDTVLKYMTKWIQERGLQDRLNVIIFSDHGMTDIFWMDKVIELNKYISLNDLQ
+QVKDRGPVVSLWPAPGKHSEIYNKLSTVEHMTVYEKEAIPSRFYYKKGKFVSPLTLVADE
+GWFITENREMLPFWMNSTGRREGWQRGWHGYD
+>Q6UWV6_PF01663_33 <unknown description>
+LLLVSFDGFRWNYDQDVDTPNLDAMARDGVKARYMTPAFVTMTSPCHFTLVTGKYIENHG
+VVHNMYYNTTSKVKLPYHATLGIQRWWDNGSVPIWITAQRQGLRAGSFFYPGGNVTYQGV
+AVTRSRKEGIAHNYKNETEWRANIDTVMAWFTEEDLDLVTLYFGEPDSTGHRYGPESPER
+REMVRQVDRTVGYLRESIARNHLTDRLNLIITSDHGMTTVDKRAGDLVEFHKFPNFTFRD
+IEFELLDYGPNGMLLPKEGRLEKVYDALKDAHPKLHVYKKEAFPEAFHYANNPRVTPLLM
+YSDLGYVIHGRINVQFNNGEHGFD
+>O43768_PF04667_32 <unknown description>
+AEEAKLKAKYPSLGQKPGGSDFLMKRLQKGQKYFDSGDYNMAKAKMKNKQLPSAGPDKNL
+VTGDHIPTPQDLPQ
+>Q8IYW4_PF01417_14 <unknown description>
+YSDAEIKVREATSNDPWGPSSSLMLDISDLTFNTISLSEIMNMLWHRLNDHGKNWRHVYK
+SLTLMDYLIKNGSKKVIQHCREGFCNLQTLKDFQHIDEAGKDQGYYIREKSKQVITLLMD
+EPL
+>P98073_PF01390_59 <unknown description>
+RATFKITSGVTYNPNLQDKLSVDFKVLAFDLQQMIDEIFLSSNLKNEYKNSRVLQFENGS
+IIVVFDLFFAQWVSDENVKEELIQGLEANKSSQLVTFHID
+>P98073_PF00057_184 <unknown description>
+CLPGSSPCTDALTCIKADLFCDGEVNCPDGSDEDNKMC
+>P98073_PF00431_225 <unknown description>
+CDGRFLLTGSSGSFQATHYPKPSETSVVCQWIIRVNQGLSIKLSFDDFNTYYTDILDIYE
+GVGSSKILRASIWETNPGTIRIFSNQVTATFLIESDESDYVGFNATY
+>P98073_PF00629_347 <unknown description>
+CNFEDGFCFWVQDLNDDNEWERIQGSTFSPFTGPNFDHTFGNASGFYISTPTGPGGRQER
+VGLLSLPLDPTLEPACLSFWYHMYGENVHKLSINISNDQNMEKTVFQKEGNYGDNWNYGQ
+VTLNETVKFKVAFNAFKNKILSDIALDDISLTYGICN
+>P98073_PF00431_524 <unknown description>
+CGGPFELWEPNTTFSSTNFPNSYPNLAFCVWILNAQKGKNIQLHFQEFDLENINDVVEIR
+DGEEADSLLLAVYTGPGPVKDVFSTTNRMTVLLITNDVLARGGFKANF
+>P98073_PF00057_642 <unknown description>
+PCKADHFQCKNGECVPLVNLCDGHLHCEDGSDEADC
+>P98073_PF15494_696 <unknown description>
+QSIWHTACAENWTTQISNDVCQLLGLGSGNSSKPIFPTDGGPFVKLNTAPDGHLILTPSQ
+QCLQDSLIRLQCNHKSCGK
+>P98073_PF00089_785 <unknown description>
+IVGGSNAKEGAWPWVVGLYYGGRLLCGASLVSSDWLVSAAHCVYGRNLEPSKWTAILGLH
+MKSNLTSPQTVPRLIDEIVINPHYNRRRKDNDIAMMHLEFKVNYTDYIQPICLPEENQVF
+PPGRNCSIAGWGTVVYQGTTANILQEADVPLLSNERCQQQMPEYNITENMICAGYEEGGI
+DSCQGDSGGPLMCQENNRWFLAGVTSFGYKCALPNRPGVYARVSRFTEWI
+>P49961_PF01150_41 <unknown description>
+KALPENVKYGIVLDAGSSHTSLYIYKWPAEKENDTGVVHQVEECRVKGPGISKFVQKVNE
+IGIYLTDCMERAREVIPRSQHQETPVYLGATAGMRLLRMESEELADRVLDVVERSLSNYP
+FDFQGARIITGQEEGAYGWITINYLLGKFSQKTRWFSIVPYETNNQETFGALDLGGASTQ
+VTFVPQNQTIESPDNALQFRLYGKDYNVYTHSFLCYGKDQALWQKLAKDIQVASNEILRD
+PCFHPGYKKVVNVSDLYKTPCTKRFEMTLPFQQFEIQGIGNYQQCHQSILELFNTSYCPY
+SQCAFNGIFLPPLQGDFGAFSAFYFVMKFLNLTSEKVSQEKVTEMMKKFCAQPWEEIKTS
+YAGVKEKYLSEYCFSGTYILSLLLQGYHFTADSWEHIHFIGKIQGSDAGWTLGYMLNLTN
+MIPAEQPLST
+>Q9Y5L3_PF01150_38 <unknown description>
+LKYGIVLDAGSSHTSMFIYKWPADKENDTGIVGQHSSCDVPGGGISSYADNPSGASQSLV
+GCLEQALQDVPKERHAGTPLYLGATAGMRLLNLTNPEASTSVLMAVTHTLTQYPFDFRGA
+RILSGQEEGVFGWVTANYLLENFIKYGWVGRWFRPRKGTLGAMDLGGASTQITFETTSPA
+EDRASEVQLHLYGQHYRVYTHSFLCYGRDQVLQRLLASALQTHGFHPCWPRGFSTQVLLG
+DVYQSPCTMAQRPQNFNSSARVSLSGSSDPHLCRDLVSGLFSFSSCPFSRCSFNGVFQPP
+VAGNFVAFSAFFYTVDFLRTSMGLPVATLQQLEAAAVNVCNQTWAQLQARVPGQRARLAD
+YCAGAMFVQQLLSRGYGFDERAFGGVIFQKKAADTAVGWALGYMLNLTNLIPA
+>O75355_PF01150_56 <unknown description>
+KYGIVLDAGSSRTTVYVYQWPAEKENNTGVVSQTFKCSVKGSGISSYGNNPQDVPRAFEE
+CMQKVKGQVPSHLHGSTPIHLGATAGMRLLRLQNETAANEVLESIQSYFKSQPFDFRGAQ
+IISGQEEGVYGWITANYLMGNFLEKNLWHMWVHPHGVETTGALDLGGASTQISFVAGEKM
+DLNTSDIMQVSLYGYVYTLYTHSFQCYGRNEAEKKFLAMLLQNSPTKNHLTNPCYPRDYS
+ISFTMGHVFDSLCTVDQRPESYNPNDVITFEGTGDPSLCKEKVASIFDFKACHDQETCSF
+DGVYQPKIKGPFVAFAGFYYTASALNLSGSFSLDTFNSSTWNFCSQNWSQLPLLLPKFDE
+VYARSYCFSANYIYHLFVNGYKFTEETWPQIHFEKEVGNSSIAWSLGYMLSLTNQIPAES
+PL
+>Q9Y227_PF01150_83 <unknown description>
+NNPNVNYGIVVDCGSSGSRVFVYCWPRHNGNPHDLLDIRQMRDKNRKPVVMKIKPGISEF
+ATSPEKVSDYISPLLNFAAEHVPRAKHKETPLYILCTAGMRILPESQQKAILEDLLTDIP
+VHFDFLFSDSHAEVISGKQEGVYAWIGINFVLGRFEHIEDDDEAVVEVNIPGSESSEAIV
+RKRTAGILDMGGVSTQIAYEVPKTVSFASSQQEEVAKNLLAEFNLGCDVHQTEHVYRVYV
+ATFLGFGGNAARQRYEDRIFANTIQKNRLLGKQTGLTPDMPYLDPCLPLDIKDEIQQNGQ
+TIYLRGTGDFDLCRETIQPFMNKTNETQTSLNGVYQPPIHFQNSEFYGFSEFYYCTEDVL
+RMGGDYNAAKFTKAAKDYCATKWSILRERFDRGLYASHADLHRLKYQCFKSAWMFEVFHR
+GFSFPVNYKSLKTALQVYDKEVQWTLGAILYRTRFLPL
+>O75356_PF01150_46 <unknown description>
+STLYGIMFDAGSTGTRIHVYTFVQKMPGQLPILEGEVFDSVKPGLSAFVDQPKQGAETVQ
+GLLEVAKDSIPRSHWKKTPVVLKATAGLRLLPEHKAKALLFEVKEIFRKSPFLVPKGSVS
+IMDGSDEGILAWVTVNFLTGQLHGHRQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYL
+TSFEMFNSTYKLYTHSYLGFGLKAARLATLGALETEGTDGHTFRSACLPRWLEAEWIFGG
+VKYQYGGNQEGEVGFEPCYAEVLRVVRGKLHQPEEVQRGSFYAFSYYYDRAVDTDMIDYE
+KGGILKVEDFERKAREVCDNLENFTSGSPFLCMDLSYITALLKDGFGFADSTVLQLTKKV
+NNIETGWALGATFHLLQS
+>O75354_PF01150_98 <unknown description>
+HEVFYGIMFDAGSTGTRVHVFQFTRPPRETPTLTHETFKALKPGLSAYADDVEKSAQGIR
+ELLDVAKQDIPFDFWKATPLVLKATAGLRLLPGEKAQKLLQKVKKVFKASPFLVGDDCVS
+IMNGTDEGVSAWITINFLTGSLKTPGGSSVGMLDLGGGSTQIAFLPRVEGTLQASPPGYL
+TALRMFNRTYKLYSYSYLGLGLMSARLAILGGVEGQPAKDGKELVSPCLSPSFKGEWEHA
+EVTYRVSGQKAAASLHELCAARVSEVLQNRVHRTEEVKHVDFYAFSYYYDLAAGVGLIDA
+EKGGSLVVGDFEIAAKYVCRTLETQPQSSPFSCMDLTYVSLLLQEFGFPRSKVLKLTRKI
+DNVETSWALGAIFHYIDSLNR
+>Q9NQZ7_PF01150_78 <unknown description>
+EDPNLNYGLVVDCGSSGSRIFVYFWPRHNGNPHDLLDIKQMRDRNSQPVVKKIKPGISAM
+ADTPEHASDYLRPLLSFAAAHVPVKKHKETPLYILCTAGMRLLPERKQLAILADLVKDLP
+LEFDFLFSQSQAEVISGKQEGVYAWIGINFVLGRFDHEDESDAEATQELAAGRRRTVGIL
+DMGGASLQIAYEVPTSTSVLPAKQEEAAKILLAEFNLGCDVQHTEHVYRVYVTTFLGFGG
+NFARQRYEDLVLNETLNKNRLLGQKTGLSPDNPFLDPCLPVGLTDVVERNSQVLHVRGRG
+DWVSCGAMLSPLLARSNTSQASLNGIYQSPIDFNNSEFYGFSEFFYCTEDVLRIGGRYHG
+PTFAKAAQDYCGMAWSVLTQRFKNGLFSSHADEHRLKYQCFKSAWMYQVLHEGFHFPYDY
+PNLRTAQLVYDREVQWTLGAILYKTRFLPL
+>Q5MY95_PF01150_37 <unknown description>
+LPTDIKFGIVFDAGSSHTSLFLYQWLANKENGTGVVSQALACQVEGPGISSYTSNAAQAG
+ESLQGCLEEALVLIPEAQHRKTPTFLGATAGMRLLSRKNSSQARDIFAAVTQVLGRSPVD
+FWGAELLAGQAEGAFGWITVNYGLGTLVKYSFTGEWIQPPEEMLVGALDMGGASTQITFV
+PGGPILDKSTQADFRLYGSDYSVYTHSYLCFGRDQMLSRLLVGLVQSRPAALLRHPCYLS
+GYQTTLALGPLYESPCVHATPPLSLPQNLTVEGTGNPGACVSAIRELFNFSSCQGQEDCA
+FDGVYQPPLRGQFYAFSNFYYTFHFLNLTSRQPLSTVNATIWEFCQRPWKLVEASYPGQD
+RWLRDYCASGLYILTLLHEGYGFSEETWPSLEFRKQAGGVDIGWTLGYMLNLTGMIPAD
+>Q9NPA8_PF10163_13 <unknown description>
+RAAINQKLIETGERERLKELLRAKLIECGWKDQLKAHCKEVIKEKGLEHVTVDDLVAEIT
+PKGRALVPDSVKKELLQRIRTFL
+>Q5NDL2_PF04577_336 <unknown description>
+CQNTGLFRAFAQHVLHRLNITQEGPKDGKIRVTILARSTEYRKILNQNELVNALKTVSTF
+EVQIVDYKYRELGFLDQLRITHNTDIFIGMHGAGLTHLLFLPDWAAV
+>O95936_PF00907_269 <unknown description>
+VYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFNINGLNPTAHYNVFVEVVLADPNHWR
+FQGGKWVTCGKADNNMQGNKMYVHPESPNTGSHWMRQEISFGKLKLTNNKGANNNNTQMI
+VLQSLHKYQPRLHIVEVTEDGVEDLNEPSKTQTFTFSETQFIAVTAYQNTDITQLKIDHN
+PFAKGFRD
+>O95936_PF16176_482 <unknown description>
+QIVPGGRYGVQSFFPEPFVNTLPQARYYNGERTVPQTNGLLSPQQSEEVANPPQRWLVTP
+VQQPGTNKLDISSYESEYTSSTLLPYGIKSLPLQTSHALGYYPDPTFPAMAGWGGRGSYQ
+RKMAAGLPWTSRTSPTVFSEDQLSKEKVKEEIGSSWIETPPSIKSLDSNDSGVYTSACKR
+RRLSPSNSSNENSPSIKCEDINAEEYSKDTSKGMGGYYAFYT
+>Q9UBC2_PF12763_33 <unknown description>
+RVGASEAALFLKKSGLSDIILGKIWDLADPEGKGFLDKQGFYVALRLV
+>Q9UBC2_PF12763_126 <unknown description>
+EEKAKFDGIFESLLPINGLLSGDKVKPVLMNSKLPLDVLGRVWDLSDIDKDGHLDRDEFA
+VAMHLVYRALEKEPVPSALPPSLIPPSKRK
+>Q9UBC2_PF12763_279 <unknown description>
+FDEIFLKTDLDLDGYVSGQEVKEIFMHSGLTQNLLAHIWALADTRQTGKLSKDQFALAMY
+FIQQKVSKGIDPPQVLSPDMVPP
+>Q09472_PF02135_338 <unknown description>
+IQQQLVLLLHAHKCQRREQANGEVRQCNLPHCRTMKNVLNHMTHCQSGKSCQVAHCASSR
+QIISHWKNCTRHDCPVC
+>Q09472_PF02172_567 <unknown description>
+IRKQWHEDITQDLRNHLVHKLVQAIFPTPDPAALKDRRMENLVAYARKVEGDMYESANNR
+AEYYHLLAEKIYKIQKELEE
+>Q09472_PF00439_1068 <unknown description>
+QDPESLPFRQPVDPQLLGIPDYFDIVKSPMDLSTIKRKLDTGQYQEPWQYVDDIWLMFNN
+AWLYNRKTSRVYKYCS
+>Q09472_PF06001_1156 <unknown description>
+VMQSLGYCCGRKLEFSPQTLCCYGKQLCTIPRDATYYSYQ
+>Q09472_PF08214_1306 <unknown description>
+VNDFLRRQNHPESGEVTVRVVHASDKTVEVKPGMKARFVDSGEMAESFPYRTKALFAFEE
+IDGVDLCFFGMHVQEYGSDCPPPNQRRVYISYLDSVHFFRPKCLRTAVYHEILIGYLEYV
+KKLGYTTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAVSERIVHDYKD
+IFKQATEDRLTSAKELPYFEGDFWPNVLEESIKELEQEEEERKREENTSNESTDVTKGDS
+KNAKKKNNKKTSKNKSSLSRGNKKKPGMPNVSNDLSQKLYATMEKHKEVFFVIRLIAGPA
+ANSL
+>Q09472_PF00569_1665 <unknown description>
+RFVYTCNECKHHVETRWHCTVCEDYDLCITCYNTKNHDHKM
+>Q09472_PF02135_1735 <unknown description>
+IQRCIQSLVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPICKQLIALCCYHAK
+HCQENKCPVPFC
+>Q09472_PF09030_1992 <unknown description>
+QPPWSQGGLPQPQQLQSGMPRPAMMSVAQHGQPLNMAPQPGLGQVGISPLKPGTVSQQAL
+QNLLRTLRSPSSPLQQQQVLSILHANPQLLAAFIKQRAAKYANSNPQ
+>Q14507_PF00074_31 <unknown description>
+REFIKLHYLSPSREFKEYKCDVLMREKEALKGKSFHMFIYSLWFKIQRACINEKGSDRYR
+NAYVWAPGALKVLECHWEKYNNRYTESRSFSYIEFHCG
+>P56851_PF00074_31 <unknown description>
+REFMKQHYLSPSREFREYKCDVLMRENEALKDKSSHMFIYISWYKIEHICTSDNWMDRFR
+NAYVWVQNPLKVLKCHQENSKNSYTESRSFNYIEFHCSMD
+>Q96L91_PF15790_1 <unknown description>
+MHHGTGPQNVQHQLQRSRACPGSEGEEQPAHPNPPPSPAAPFAPSASPSAPQSPSYQIQQ
+LMNRSPATGQNVNITLQSVGPVVGGNQQITLAPLPLPSPTSPGFQFSAQPRRFEHGSPSY
+IQVTSPLSQQVQTQSPTQPSPGPGQALQNVRAGAPGPGLGLCSSSPTGGFVDASVLVRQI
+SLSPSSGGHFVFQDGSGLTQIAQGAQVQLQHPGTPITVRERRPSQPHTQSGGTIHHLGPQ
+SPAAAGGAGLQPLASPSHITTANLPPQISSIIQGQLVQQQQVLQGPPLPRPLGFERTPGV
+LLPGAGGAAGFGMTSPPPPTSPSRTAVPPGLSSLPLTSVGNTGMKKVPKKLEEIPPASPE
+MAQMRKQCLDYHYQEMQALKEVFKEYLIELFFLQHFQGNMMDFLAFKKKHYAPLQAYLRQ
+NDLDIEEEEEEEEEEEEKSEVINDEQQALA
+>Q96L91_PF07529_767 <unknown description>
+QEAPRPKSHWDYLLEEMQWMATDFAQERRWKVAAAKKLVRTVVRHHEEKQLREERGKKEE
+QSRLRRIA
+>Q96L91_PF00176_1072 <unknown description>
+KNLNGILADEAGLGKTVQIIAFFAHLACNEGNWGPHLVVVRSCNILKWELELKRWCPGLK
+ILSYIGSHRELKAKRQEWAEPNSFHVCITSYTQFFRGLTAFTRVRWKCLVIDEMQRVKGM
+TERHWEAVFTLQSQQRLLLIDSPLHNTFLELWTMVHFLVPGISRPYLSSPLRAPSEESQD
+YYHKVVIRLHRVTQPFILRRTKRDVEKQLTKKYEHVLKCRLSNRQKALYEDVILQPGTQE
+ALKSGHFVNVLSILVRLQRICNHPGLV
+>Q96L91_PF00271_1863 <unknown description>
+KLEALAILLQKLKSEGRRVLILSQMILMLDILEMFLNFHYLTYVRIDENASSEQRQELMR
+SFNRDRRIFCAILSTHSRTTGINLVEADTVVFYDNDLNPVMDAKAQEWCDRIGR
+>A6NDY0_PF00076_149 <unknown description>
+VYVGNVDYGGSAEELEAHFSRCGEVHRVTILCDKFSGHPKGYAYIEFATKGSVQAAVELD
+QSLFRGRVIK
+>Q99814_PF00989_93 <unknown description>
+KALEGFIAVVTQDGDMIFLSENISKFMGLTQVELTGHSIFDFTHPCDHEEIRENLSLKNG
+SGFGKKSKDMS
+>Q99814_PF08447_255 <unknown description>
+TYCDDRITELIGYHPEELLGRSAYEFYHALDSENMTKSHQNLCTKGQVVSGQYRMLAKHG
+GYVWLETQGTVIYNPRNLQPQCIMCVN
+>Q99814_PF11413_517 <unknown description>
+TQTDFNELDLETLAPYIPMDGEDFQLSPICPEE
+>Q99814_PF08778_833 <unknown description>
+SYLLPELTRYDCEVNVPVLGSSTLLQGGDLLRALDQA
+>P11171_PF09379_214 <unknown description>
+VSLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLAIWDNATSKTWLDSAKEIKK
+QVR
+>P11171_PF00373_293 <unknown description>
+PAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGVDYVSD
+FKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLH
+>P11171_PF09380_407 <unknown description>
+EGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEQYESTIGFK
+LPSYRAAKKLWKVCVEHHTFFRLTS
+>P11171_PF08736_499 <unknown description>
+KFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRASRS
+>P11171_PF04382_667 <unknown description>
+MLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFR
+>P11171_PF05902_753 <unknown description>
+KDVPIVHTETKTITYEAAQTDDNSGDLDPGVLLTAQTITSETPSSTTTTQITKTVKGGIS
+ETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETE
+>P16452_PF00868_8 <unknown description>
+KSCDFQAARNNEEHHTKALSSRRLFVRRGQPFTIILYFRAPVRAFLPALKKVALTAQTGE
+QPSKINRTQATFPISSLGDRKWWSAVVEERDAQSWTISVTTPADAVIGHYSLLLQV
+>P16452_PF01841_232 <unknown description>
+QTQATQEGALLNKRRGSVPILRQWLTGRGRPVYDGQAWVLAAVACTVLRCLGIPARVVTT
+FASAQGTGGRLLIDEYYNEEGLQNGEGQRGRIWIFQTSTECWMTRPALPQGYDGWQIL
+>P16452_PF00927_477 <unknown description>
+LLLKAPSSLPLRGDAQISVTLVNHSEQEKAVQLAIGVQAVHYNGVLAAKLWRKKLHLTLS
+ANLEKIITIGLFFSNFERNPPENTFLRLTAMATHSESNLSCFA
+>P16452_PF00927_588 <unknown description>
+PHLAIKMPEKAEQYQPLTASVSLQNSLDAPMEDCVISILGRGLIHRERSYRFRSVWPENT
+MCAKFQFTPTHVGLQRLTVEVDCNMFQNLTNYKSVTV
+>Q9H2F5_PF10513_8 <unknown description>
+ARALDASKPLPVFRCEDLPDLHEYASINRAVPQMPTGMEKEEESEHHLQRAISAQQVYGE
+KRDNMVIPVPEAESNIAYYESIYPGEFKMPKQLIHIQPFSLDAEQPDYDLDSEDEVFVNK
+LKKKMDICPLQFEEMIDRLEK
+>Q9H2F5_PF06752_582 <unknown description>
+AFTAEQYQQHQQQLALMQKQQLAQIQQQQANSNSSTNTSQGFVSKTLDSASAQFAASALV
+TSEQLMGFKMKDDVVLGIGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSALLQPSN
+ITQTSSSHSALSHQVTAANSATTQVLIGNNIRLTVPSSVATVNSIAPINARHIPRTLSAV
+PSSALKLAAAANCQVSKVPSSSSVDSVPRENHESEKPALNNIADNTVAMEVT
+>Q52LR7_PF10513_8 <unknown description>
+ARALDAAKPLPIYRGKDMPDLNDCVSINRAVPQMPTGMEKEEESEHHLQRAISAQQVFRE
+KKESMVIPVPEAESNVNYYNRLYKGEFKQPKQFIHIQPFNLDNEQPDYDMDSEDETLLNR
+LNRKMEIKPLQFEIMIDRLEK
+>Q52LR7_PF06752_578 <unknown description>
+ITEEQFQTHQQQLVQMQRQQLAQLQQKQQSQHSSQQTHPKAQGSSTSDCMSKTLDSASAH
+FAASAVVSAPVPSRSEVAKEQNTGHNNINGVVQPSGTSKTLYSTNMALSSSPGISAVQLV
+RTVGHTTTNHLIPALCTSSPQTLPMNNSCLTNAVHLNNVSVVSPVNVHINTRTSAPSPTA
+LKLATVAASMDRVPKVTPSSAISSIARENHEPERLGLNGIAETTVAMEVT
+>P16422_PF18635_29 <unknown description>
+CENYKLAVNCFVNNNRQCQCTSVGAQNTVICSK
+>P16422_PF00086_87 <unknown description>
+ALQNNDGLYDPDCDESGLFKAKQCNGTSMCWCVNTAGVRRTDKDTE
+>Q9UNN8_PF16497_5 <unknown description>
+LLPILLLSGWAFCSQDASDGLQRLHMLQISYFRDPYHVWYQGNASLGGHLTHVLEGPDTN
+TTIIQLQPLQEPESWARTQSGLQSYLLQFHGLVRLVHQERTLAFPLTIRCFLGCELPPEG
+SRAHVFFEVAVNGSSFVSFRPERALWQADTQVTSGVVTFTLQQLNAYNRTRYELREFLED
+TCVQYVQK
+>Q9UM22_PF00811_89 <unknown description>
+KRLFEYILLYKDGVMFQIDQATKQCSKMTLTQPWDPLDIPQNSTFEDQYSIGGPQEQITV
+QEWSDRKSARSYETWIGIYTVKDCYPVQETFTINYSVILSTRFFDIQLGIKDPSVFTPPS
+TC
+>P21709_PF01404_28 <unknown description>
+VTLMDTSKAQGELGWLLDPPKDGWSEQQQILNGTPLYMYQDCPMQGRRDTDHWLRSNWIY
+RGEEASRVHVELQFTVRDCKSFPGGAGPLGCKETFNLLYMESDQDVGIQLRRPLFQKVTT
+VAADQSFTIRDLVSGSVKLNVERCSLGRLTRRGLYLAFHNPGACVALVSVRVFYQRC
+>P21709_PF07699_274 <unknown description>
+GEACVACPSGSYRMDMDTPHCLTCPQQSTAESEGAT
+>P21709_PF00041_334 <unknown description>
+SAPRNLSFSASGTQLSLRWEPPADTGGRQDVRYSVRCSQCQGTAQDGGPCQPCGVGVHFS
+PGARGLTTPAVHVNGLEPYANYTFNVEAQNGVSGL
+>P21709_PF14575_548 <unknown description>
+IVAVIFGLLLGAALLLGILVFRSRRAQRQRQQRQRDRATDVDREDKLWLKPYVDLQAYED
+PAQGALDFTRELDP
+>P21709_PF07714_626 <unknown description>
+VDTVIGEGEFGEVYRGTLRLPSQDCKTVAIKTLKDTSPGGQWWNFLREATIMGQFSHPHI
+LHLEGVVTKRKPIMIITEFMENGALDAFLREREDQLVPGQLVAMLQGIASGMNYLSNHNY
+VHRDLAARNILVNQNLCCKVSDFGLTRLLDDFDGTYETQGGKIPIRWTAPEAIAHRIFTT
+ASDVWSFGIVMWEVLSFGDKPYGEMSNQEVMKSIEDGYRLPPPVDCPAPLYELMKNCWAY
+DRARRPHFQKLQAHL
+>P21709_PF00536_914 <unknown description>
+PYRTVSEWLESIRMKRYILHFHSAGLDTMECVLELTAEDLTQMGITLPGHQKRILCSIQG
+FK
+>P29317_PF01404_29 <unknown description>
+VVLLDFAAAGGELGWLTHPYGKGWDLMQNIMNDMPIYMYSVCNVMSGDQDNWLRTNWVYR
+GEAERIFIELKFTVRDCNSFPGGASSCKETFNLYYAESDLDYGTNFQKRLFTKIDTIAPD
+EITVSSDFEARHVKLNVEERSVGPLTRKGFYLAFQDIGACVALLSVRVYYKKC
+>P29317_PF00041_330 <unknown description>
+SAPHYLTAVGMGAKVELRWTPPQDSGGREDIVYSVTCEQCWPESGECGPCEASVRYSEPP
+HGLTRTSVTVSDLEPHMNYTFTVEARNGVS
+>P29317_PF00041_438 <unknown description>
+EPPKVRLEGRSTTSLSVSWSIPPPQQSRVWKYEVTYRKKGDSNSYNVRRTEGFSVTLDDL
+APDTTYLVQVQALTQEGQGAGS
+>P29317_PF14575_538 <unknown description>
+IGGVAVGVVLLLVLAGVGFFIHRRRKNQRARQSPEDVYFSKSEQLKPLKTYVDPHTYEDP
+NQAVLKFTTEIHP
+>P29317_PF07714_614 <unknown description>
+TRQKVIGAGEFGEVYKGMLKTSSGKKEVPVAIKTLKAGYTEKQRVDFLGEAGIMGQFSHH
+NIIRLEGVISKYKPMMIITEYMENGALDKFLREKDGEFSVLQLVGMLRGIAAGMKYLANM
+NYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEATYTTSGGKIPIRWTAPEAISYRK
+FTSASDVWSFGIVMWEVMTYGERPYWELSNHEVMKAINDGFRLPTPMDCPSAIYQLMMQC
+WQQERARRPKFADIVSIL
+>P29317_PF00536_904 <unknown description>
+VPFRTVSEWLESIKMQQYTEHFMAAGYTAIEKVVQMTNDDIKRIGVRLPGHQKRIAYSLL
+GLK
+>P29320_PF01404_31 <unknown description>
+NLLDSKTIQGELGWISYPSHGWEEISGVDEHYTPIRTYQVCNVMDHSQNNWLRTNWVPRN
+SAQKIYVELKFTLRDCNSIPLVLGTCKETFNLYYMESDDDHGVKFREHQFTKIDTIAADE
+SFTQMDLGDRILKLNTEIREVGPVNKKGFYLAFQDVGACVALVSVRVYFKKC
+>P29320_PF07699_269 <unknown description>
+MCQACRPGFYKALDGNMKCAKCPPHSSTQEDGSMN
+>P29320_PF00041_327 <unknown description>
+SSPRNVISNINETSVILDWSWPLDTGGRKDVTFNIICKKCGWNIKQCEPCSPNVRFLPRQ
+FGLTNTTVTVTDLLAHTNYTFEIDAVNGVS
+>P29320_PF00041_445 <unknown description>
+KDRTSRNSISLSWQEPEHPNGIILDYEVKYYEKQEQETSYTILRARGTNVTISSLKPDTI
+YVFQIRARTAAGYGTNS
+>P29320_PF14575_545 <unknown description>
+IAISAAVAIILLTVVIYVLIGRFCGYKSKHGADEKRLHFGNGHLKLPGLRTYVDPHTYED
+PTQAVHEFAKELDA
+>P29320_PF07714_622 <unknown description>
+SIDKVVGAGEFGEVCSGRLKLPSKKEISVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPN
+IIRLEGVVTKSKPVMIVTEYMENGSLDSFLRKHDAQFTVIQLVGMLRGIASGMKYLSDMG
+YVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRGGKIPIRWTSPEAIAYRKF
+TSASDVWSYGIVLWEVMSYGERPYWEMSNQDVIKAVDEGYRLPPPMDCPAALYQLMLDCW
+QKDRNNRPKFEQIVSIL
+>P29320_PF07647_908 <unknown description>
+VDITTFRTTGDWLNGVWTAHCKEIFTGVEYSSCDTIAKISTDDMKKVGVTVVGPQKKIIS
+SIKALE
+>P54764_PF01404_31 <unknown description>
+VTLLDSRSVQGELGWIASPLEGGWEEVSIMDEKNTPIRTYQVCNVMEPSQNNWLRTDWIT
+REGAQRVYIEIKFTLRDCNSLPGVMGTCKETFNLYYYESDNDKERFIRENQFVKIDTIAA
+DESFTQVDIGDRIMKLNTEIRDVGPLSKKGFYLAFQDVGACIALVSVRVFYKKC
+>P54764_PF00041_330 <unknown description>
+SAPLNLISNVNETSVNLEWSSPQNTGGRQDISYNVVCKKCGAGDPSKCRPCGSGVHYTPQ
+QNGLKTTKVSITDLLAHTNYTFEIWAVNGVS
+>P54764_PF00041_445 <unknown description>
+ALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYEKDQNERSYRIVRTAARNTDIKGLN
+PLTSYVFHVRARTAAGYGDFS
+>P54764_PF14575_550 <unknown description>
+LVSVSGSVVLVVILIAAFVISRRRSKYSKAKQEADEEKHLNQGVRTYVDPFTYEDPNQAV
+REFAKEIDA
+>P54764_PF07714_621 <unknown description>
+IKIEKVIGVGEFGEVCSGRLKVPGKREICVAIKTLKAGYTDKQRRDFLSEASIMGQFDHP
+NIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTVIQLVGMLRGIGSGMKYLSDM
+SYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYTTRGGKIPIRWTAPEAIAYRK
+FTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEGYRLPPPMDCPIALHQLMLDC
+WQKERSDRPKFGQIVNML
+>P54764_PF07647_909 <unknown description>
+EFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHVNQEDLARIGITAITHQNKILSS
+VQAMR
+>Q15375_PF01404_34 <unknown description>
+LLLDSKAQQTELEWISSPPNGWEEISGLDENYTPIRTYQVCQVMEPNQNNWLRTNWISKG
+NAQRIFVELKFTLRDCNSLPGVLGTCKETFNLYYYETDYDTGRNIRENLYVKIDTIAADE
+SFTQGDLGERKMKLNTEVREIGPLSKKGFYLAFQDVGACIALVSVKVYYKKC
+>Q15375_PF07699_271 <unknown description>
+QKGDTCEPCGRGFYKSSSQDLQCSRCPTHSFSDKEGSS
+>Q15375_PF00041_333 <unknown description>
+SAPQNLIFNINQTTVSLEWSPPADNGGRNDVTYRILCKRCSWEQGECVPCGSNIGYMPQQ
+TGLEDNYVTVMDLLAHANYTFEVEAVNGVS
+>Q15375_PF00041_451 <unknown description>
+KERVLQRSVELSWQEPEHPNGVITEYEIKYYEKDQRERTYSTVKTKSTSASINNLKPGTV
+YVFQIRAFTAAGYGNYS
+>Q15375_PF14575_558 <unknown description>
+IAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHFKFPGTKTYIDPETYEDP
+NRAVHQFAKELDA
+>Q15375_PF07714_633 <unknown description>
+IKIERVIGAGEFGEVCSGRLKLPGKRDVAVAIKTLKVGYTEKQRRDFLCEASIMGQFDHP
+NVVHLEGVVTRGKPVMIVIEFMENGALDAFLRKHDGQFTVIQLVGMLRGIAAGMRYLADM
+GYVHRDLAARNILVNSNLVCKVSDFGLSRVIEDDPEAVYTTTGGKIPVRWTAPEAIQYRK
+FTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEGYRLPAPMDCPAGLHQLMLDC
+WQKERAERPKFEQIVGI
+>Q15375_PF00536_923 <unknown description>
+TTFCSVGEWLQAIKMERYKDNFTAAGYNSLESVARMTIEDVMSLGITLVGHQKKIMSSIQ
+TMR
+>P29322_PF01404_33 <unknown description>
+NLLDTSTIHGDWGWLTYPAHGWDSINEVDESFQPIHTYQVCNVMSPNQNNWLRTSWVPRD
+GARRVYAEIKFTLRDCNSMPGVLGTCKETFNLYYLESDRDLGASTQESQFLKIDTIAADE
+SFTGADLGVRRLKLNTEVRSVGPLSKRGFYLAFQDIGACLAILSLRIYYKKC
+>P29322_PF00041_330 <unknown description>
+SAPVNLISSVNGTSVTLEWAPPLDPGGRSDITYNAVCRRCPWALSRCEACGSGTRFVPQQ
+TSLVQASLLVANLLAHMNYSFWIEAVNGVS
+>P29322_PF00041_439 <unknown description>
+APSQVVVIRQERAGQTSVSLLWQEPEQPNGIILEYEIKYYEKDKEMQSYSTLKAVTTRAT
+VSGLKPGTRYVFQVRARTSAGCGRFS
+>P29322_PF14575_546 <unknown description>
+ICLTLITGLVVLLLLLICKKRHCGYSKAFQDSDEEKMHYQNGQAPPPVFLPLHHPPGKLP
+EPQFYAEPHTYEEPGRAGRSFTREIEA
+>P29322_PF07714_635 <unknown description>
+IHIEKIIGSGDSGEVCYGRLRVPGQRDVPVAIKALKAGYTERQRRDFLSEASIMGQFDHP
+NIIRLEGVVTRGRLAMIVTEYMENGSLDTFLRTHDGQFTIMQLVGMLRGVGAGMRYLSDL
+GYVHRDLAARNVLVDSNLVCKVSDFGLSRVLEDDPDAAYTTTGGKIPIRWTAPEAIAFRT
+FSSASDVWSFGVVMWEVLAYGERPYWNMTNRDVISSVEEGYRLPAPMGCPHALHQLMLDC
+WHKDRAQRPRFSQIVSVL
+>P29322_PF00536_934 <unknown description>
+TVGDWLDSIRMGRYRDHFAAGGYSSLGMVLRMNAQDVRALGITLMGHQKKILGSIQTMR
+>Q5JZY3_PF01404_37 <unknown description>
+ILLDSKASQAELGWTALPSNGWEEISGVDEHDRPIRTYQVCNVLEPNQDNWLQTGWISRG
+RGQRIFVELQFTLRDCSSIPGAAGTCKETFNVYYLETEADLGRGRPRLGGSRPRKIDTIA
+ADESFTQGDLGERKMKLNTEVREIGPLSRRGFHLAFQDVGACVALVSVRVYYKQC
+>Q5JZY3_PF00041_339 <unknown description>
+SAPRDLQYSLSRSPLVLRLRWLPPADSGGRSDVTYSLLCLRCGREGPAGACEPCGPRVAF
+LPRQAGLRERAATLLHLRPGARYTVRVAALNGVS
+>Q5JZY3_PF00041_464 <unknown description>
+RVEPQSVSLSWREPIPAGAPGANDTEYEIRYYEKGQSEQTYSMVKTGAPTVTVTNLKPAT
+RYVFQIRAAS
+>Q5JZY3_PF14575_570 <unknown description>
+VVTISALLVLGSVMSVLAIWRRPCSYGKGGGDAHDEEELYFHFKVPTRRTFLDPQSCGDL
+LQAVHLFAKELDA
+>Q5JZY3_PF07714_646 <unknown description>
+TLERSLGGGRFGELCCGCLQLPGRQELLVAVHMLRDSASDSQRLGFLAEALTLGQFDHSH
+IVRLEGVVTRGSTLMIVTEYMSHGALDGFLRRHEGQLVAGQLMGLLPGLASAMKYLSEMG
+YVHRGLAARHVLVSSDLVCKISGFGRGPRDRSEAVYTTMSGRSPALWAAPETLQFGHFSS
+ASDVWSFGIIMWEVMAFGERPYWDMSGQDVIKAVEDGFRLPPPRNCPNLLHRLMLDCWQK
+DPGERPRFSQIHSIL
+>Q5JZY3_PF00536_934 <unknown description>
+SFGSVGAWLEALDLCRYKDSFAAAGYGSLEAVAEMTAQDLVSLGISLAEHREALLSGISA
+L
+>P54762_PF01404_20 <unknown description>
+ETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQVCNVFEPNQNNWLLTTFINR
+RGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSVIATKKSAFWSEAPYLKVDT
+IAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDYGACMSLLSVRVFFKKC
+>P54762_PF00041_326 <unknown description>
+PRNVISIVNETSIILEWHPPRETGGRDDVTYNIICKKCRADRRSCSRCDDNVEFVPRQLG
+LTECRVSISSLWAHTPYTFDIQAINGVS
+>P54762_PF00041_441 <unknown description>
+HQVSATMRSITLSWPQPEQPNGIILDYEIRYYEKEHNEFNSSMARSQTNTARIDGLRPGM
+VYVVQVRARTVAGYGKFS
+>P54762_PF14575_542 <unknown description>
+IAGSAAAGVVFVVSLVAISIVCSRKRAYSKEAVYSDKLQHYSTGRGSPGMKIYIDPFTYE
+DPNEAVREFAKEIDV
+>P54762_PF07714_620 <unknown description>
+KIEEVIGAGEFGEVYKGRLKLPGKREIYVAIKTLKAGYSEKQRRDFLSEASIMGQFDHPN
+IIRLEGVVTKSRPVMIITEFMENGALDSFLRQNDGQFTVIQLVGMLRGIAAGMKYLAEMN
+YVHRDLAARNILVNSNLVCKVSDFGLSRYLQDDTSDPTYTSSLGGKIPVRWTAPEAIAYR
+KFTSASDVWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLPPPMDCPAALHQLMLD
+CWQKDRNSRPRFAEIVNTL
+>P54762_PF00536_912 <unknown description>
+AFTTVDDWLSAIKMVQYRDSFLTAGFTSLQLVTQMTSEDLLRIGITLAGHQKKILNSIHS
+MR
+>P29323_PF01404_21 <unknown description>
+ETLMDSTTATAELGWMVHPPSGWEEVSGYDENMNTIRTYQVCNVFESSQNNWLRTKFIRR
+RGAHRIHVEMKFSVRDCSSIPSVPGSCKETFNLYYYEADFDSATKTFPNWMENPWVKVDT
+IAADESFSQVDLGGRVMKINTEVRSFGPVSRSGFYLAFQDYGGCMSLIAVRVFYRKC
+>P29323_PF07699_268 <unknown description>
+VCRGCPSGTFKANQGDEACTHCPINSRTTSEGATN
+>P29323_PF00041_326 <unknown description>
+SAPQAVISSVNETSLMLEWTPPRDSGGREDLVYNIICKSCGSGRGACTRCGDNVQYAPRQ
+LGLTEPRIYISDLLAHTQYTFEIQAVNGVTDQSPFS
+>P29323_PF00041_437 <unknown description>
+SAVSIMHQVSRTVDSITLSWSQPDQPNGVILDYELQYYEKELSEYNATAIKSPTNTVTVQ
+GLKAGAIYVFQVRARTVAGYGRYS
+>P29323_PF14575_544 <unknown description>
+IIGSSAAGLVFLIAVVVIAIVCNRRGFERADSEYTDKLQHYTSGHMTPGMKIYIDPFTYE
+DPNEAVREFAKEID
+>P29323_PF07714_622 <unknown description>
+KIEQVIGAGEFGEVCSGHLKLPGKREIFVAIKTLKSGYTEKQRRDFLSEASIMGQFDHPN
+VIHLEGVVTKSTPVMIITEFMENGSLDSFLRQNDGQFTVIQLVGMLRGIAAGMKYLADMN
+YVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDTSDPTYTSALGGKIPIRWTAPEAIQYR
+KFTSASDVWSYGIVMWEVMSYGERPYWDMTNQDVINAIEQDYRLPPPMDCPSALHQLMLD
+CWQKDRNHRPKFGQIVNTL
+>P29323_PF00536_912 <unknown description>
+YTSFNTVDEWLEAIKMGQYKESFANAGFTSFDVVSQMMMEDILRVGVTLAGHQKKILNSI
+QVMR
+>P54753_PF01404_40 <unknown description>
+ETLMDTKWVTSELAWTSHPESGWEEVSGYDEAMNPIRTYQVCNVRESSQNNWLRTGFIWR
+RDVQRVYVELKFTVRDCNSIPNIPGSCKETFNLFYYEADSDVASASSPFWMENPYVKVDT
+IAPDESFSRLDAGRVNTKVRSFGPLSKAGFYLAFQDQGACMSLISVRAFYKKC
+>P54753_PF07699_281 <unknown description>
+ESQCRPCPPGSYKAKQGEGPCLPCPPNSRTTSPAAS
+>P54753_PF00041_341 <unknown description>
+SPPRGVISNVNETSLILEWSEPRDLGGRDDLLYNVICKKCHGAGGASACSRCDDNVEFVP
+RQLGLTERRVHISHLLAHTRYTFEVQAVNGVS
+>P54753_PF00041_456 <unknown description>
+VPTLRLHSSSGSSLTLSWAPPERPNGVILDYEMKYFEKSEGIASTVTSQMNSVQLDGLRP
+DARYVVQVRARTVAGYGQYS
+>P54753_PF14575_560 <unknown description>
+IVGSATAGLVFVVAVVVIAIVCLRKQRHGSDSEYTEKLQQYIAPGMKVYIDPFTYEDPNE
+AVREFAKEIDV
+>P54753_PF07714_634 <unknown description>
+KIEEVIGAGEFGEVCRGRLKQPGRREVFVAIKTLKVGYTERQRRDFLSEASIMGQFDHPN
+IIRLEGVVTKSRPVMILTEFMENCALDSFLRLNDGQFTVIQLVGMLRGIAAGMKYLSEMN
+YVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDPSDPTYTSSLGGKIPIRWTAPEAIAYR
+KFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVINAVEQDYRLPPPMDCPTALHQLMLD
+CWVRDRNLRPKFSQIVNTL
+>P54753_PF00536_924 <unknown description>
+YTTFTTVGDWLDAIKMGRYKESFVSAGFASFDLVAQMTAEDLLRIGVTLAGHQKKILSSI
+QDMR
+>P54760_PF01404_18 <unknown description>
+ETLLNTKLETADLKWVTFPQVDGQWEELSGLDEEQHSVRTYEVCDVQRAPGQAHWLRTGW
+VPRRGAVHVYATLRFTMLECLSLPRAGRSCKETFTVFYYESDADTATALTPAWMENPYIK
+VDTVAAEHLTRKRPGAEATGKVNVKTLRLGPLSKAGFYLAFQDQGACMALLSLHLFYKKC
+>P54760_PF07699_261 <unknown description>
+AAEGNTKCRACAQGTFKPLSGEGSCQPCPANSHSNTIGS
+>P54760_PF00041_325 <unknown description>
+SAPRSVVSRLNGSSLHLEWSAPLESGGREDLTYALRCRECRPGGSCAPCGGDLTFDPGPR
+DLVEPWVVVRGLRPDFTYTFEVTALNGV
+>P54760_PF00041_435 <unknown description>
+PAVSDIRVTRSSPSSLSLAWAVPRAPSGAVLDYEVKYHEKGAEGPSSVRFLKTSENRAEL
+RGLKRGASYLVQVRARSEAGYGPF
+>P54760_PF14575_541 <unknown description>
+IAGTAVVGVVLVLVVIVVAVLCLRKQSNGREAEYSDKHGQYLIGHGTKVYIDPFTYEDPN
+EAVREFAKEIDV
+>P54760_PF07714_616 <unknown description>
+KIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRREFLSEASIMGQFEHPN
+IIRLEGVVTNSMPVMILTEFMENGALDSFLRLNDGQFTVIQLVGMLRGIASGMRYLAEMS
+YVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGGKIPIRWTAPEAIAFR
+KFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLPPPPDCPTSLHQLMLD
+CWQKDRNARPRFPQVVSAL
+>P54760_PF00536_908 <unknown description>
+AFGSVGEWLRAIKMGRYEESFAAAGFGSFELVSQISAEDLLRIGVTLAGHQKKILASVQH
+MK
+>Q9H6B9_PF12697_101 <unknown description>
+LFLHGFPENWFSWRYQLREFQSRFHVVAVDLRGYGPSDAPRDVDCYTIDLLLVDIKDVIL
+GLGYSKCILVAHDWGALLAWHFSIYYPSLVERMVVVSGAPMSVYQDYSLHHISQFFRSHY
+MFLFQLPWLPEKLLSMSDFQILKTTLTHRKTGIPCLTPSELEAFLYNFSQPGGLTGPLNY
+YRNLFRNFPLEPQELTTPTLLLWGEKDTYLELGLVEAIGSRFVPGRLEAHILPGIGHWIP
+QSNPQE
+>Q8IUS5_PF00561_94 <unknown description>
+PLMLLLHGFPEFWYSWRYQLREFKSEYRVVALDLRGYGETDAPIHRQNYKLDCLITDIKD
+ILDSLGYSKCVLIGHDWGGMIAWLIAICYPEMVMKLIVINFPHPNVFTEYILRHPAQ
+>P58107_PF00681_74 <unknown description>
+LLEAQAATGGLVDLARGQLLPVSKALQQGLVGLELKEKL
+>P58107_PF00681_151 <unknown description>
+LEVQLATGGLVDPAQGVLVAPEPACHQGLLDRETWHKL
+>P58107_PF00681_318 <unknown description>
+LLEAQAATHTLVDPITGQRLWVDEAVRAGLVSPELHEQL
+>P58107_PF00681_394 <unknown description>
+LLDAQLATGGLVCPARRLRLPLEAALRCGCLDEDTQRQL
+>P58107_PF00681_605 <unknown description>
+YLQGTGCIAGLLLPGSQERLSIYEARCKGLLRPGTALIL
+>P58107_PF00681_643 <unknown description>
+LLEAQAATGFIIDPKANKGHSVEEALRAAVIGPDVFAKL
+>P58107_PF00681_719 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDQMLNLIL
+>P58107_PF00681_931 <unknown description>
+AVGGVRLLPSGQRLSLYQAMRQKLLGPRVALAL
+>P58107_PF00681_963 <unknown description>
+LLEAQAATGTIMDPHSPESLSVDEAVRRGVVGPELYGRL
+>P58107_PF00681_1039 <unknown description>
+LLEAQVATGGIIDPTSHHHLPMPVAIQRGYVDQEMETAL
+>P58107_PF00681_1279 <unknown description>
+LLEAQVASGFLVDPLNNQRLSVEDAVKVGLVGRELSEQL
+>P58107_PF00681_1355 <unknown description>
+LLQVQLATGGVVDPVHGVHLPQAAACRLGLLDTQTSQVL
+>P58107_PF00681_1567 <unknown description>
+LEGGNFIAGVLIQGTQERMSIPEALRRHILRPGTALVL
+>P58107_PF00681_1604 <unknown description>
+LLEAQAATGFIIDPVENRKLTVEEAFKAGMFGKETYVKL
+>P58107_PF00681_1680 <unknown description>
+LLEAQIATGGIIDPVHSHRVPVDVAYRCGYFDEEMNRIL
+>P58107_PF00681_1892 <unknown description>
+YLEGSGCIAGVTVPSTREVMSLHEASRKELIPAAFATW
+>P58107_PF00681_1930 <unknown description>
+LLEAQAATGFLLDPCTRQKLSVDEAVDVGLVNEELRERL
+>P58107_PF00681_2006 <unknown description>
+LLEVQVATGGVIDPQHHHRLPLETAYRRGCLHKDIY
+>P58107_PF00681_2219 <unknown description>
+YLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_2262 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_2338 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>P58107_PF00681_2755 <unknown description>
+YLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_2798 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_2874 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYQRGYFDEEMNRVL
+>P58107_PF00681_3286 <unknown description>
+YLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_3329 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_3405 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>P58107_PF00681_3820 <unknown description>
+YLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_3863 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_3939 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>P58107_PF00681_4354 <unknown description>
+YLEGTSCIAGVLVPAKDQPGHQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_4397 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_4473 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>P58107_PF00681_4887 <unknown description>
+YLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVL
+>P58107_PF00681_4930 <unknown description>
+LLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKL
+>P58107_PF00681_5006 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>O95278_PF00686_19 <unknown description>
+ELLVVGSRPELGRWEPRGAVRLRPAGTAAGDGALALQEPGLWLGEVELAAEEAAQDGAEP
+GRVDTFWYKFLKREPGGELSWEGNGPHH
+>O95278_PF00782_166 <unknown description>
+LGSCPRQVEHVTIKLKHELGITAVMNFQTEWDIVQNSSGCNRYPEPMTPDTMIKLYREEG
+LAYIWMPTPDMSTEGRVQMLPQAVCLLHALLEKGHIVYVHCNAGVGRSTAAVCGWLQYVM
+GWNLRKVQYFLMAKRPAV
+>Q7L775_PF18658_21 <unknown description>
+EWTQRYLVVEPPEGDGALCLVCRRLIVATRERDVRRHYEAEHEYYERYVADGERAAL
+>Q9Y6I3_PF01417_17 <unknown description>
+YSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMIWKRLNDHGKNWRHVYK
+AMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQGVNVREKAKQLVALLRD
+EDRL
+>Q9Y6I3_PF02809_183 <unknown description>
+EEELQLQLALAMSKEEA
+>Q9Y6I3_PF02809_208 <unknown description>
+EDDAQLQLALSLSREEH
+>Q9Y6I3_PF02809_234 <unknown description>
+DDLRLQMAIEESKRE
+>O95208_PF01417_17 <unknown description>
+YSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMVWKRLNDHGKNWRHVYK
+ALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQGINVREKSKQLVALLKD
+EERL
+>Q9H201_PF01417_17 <unknown description>
+YSEAEIKVREATSNDPWGPPSSLMSEIADLTFNTVAFTEVMGMLWRRLNDSGKNWRHVYK
+ALTLLDYLLKTGSERVAHQCRENLYTIQTLKDFQYIDRDGKDQGVNVREKVKQVMALLKD
+EERL
+>Q9H201_PF02809_209 <unknown description>
+EEELQLQLALAMSREEA
+>Q9H201_PF02809_236 <unknown description>
+DEDLQLQLALRLSRQEH
+>Q14677_PF01417_21 <unknown description>
+YSEIESKVREATNDDPWGPSGQLMGEIAKATFMYEQFPELMNMLWSRMLKDNKKNWRRVY
+KSLLLLAYLIRNGSERVVTSAREHIYDLRSLENYHFVDEHGKDQGINIRQKVKELVEFAQ
+DDDRL
+>A6NHQ4_PF15223_306 <unknown description>
+TLPTTSTFSLLNCFPCPPALVVGEDGDLKPASSLRLQGDSKPPPAHPLWRWQMGGPAVPE
+PPGLKF
+>P19235_PF09067_37 <unknown description>
+SKAALLAARGPEELLCFTERLEDLVCFWEEAASAGVGPGNYSFSYQLEDEPWKLCRLHQA
+PTARGAVRFWCSLPTADTSSFVPLELRVTAASGAPRYHRVIHIN
+>P19235_PF00041_158 <unknown description>
+ESGHVVLRWLPPPETPMTSHIRYEVDVSAGNGAGSVQRVEILEGRTECVLSNLRGRTRYT
+FAVRARMA
+>P01588_PF00758_31 <unknown description>
+RLICDSRVLERYLLEAKEAENITTGCAEHCSLNENITVPDTKVNFYAWKRMEVGQQAVEV
+WQGLALLSEAVLRGQALLVNSSQPWEPLQLHVDKAVSGLRSLTTLLRALGAQKEAISPPD
+AASAAPLRTITADTFRKLFRVYSNFLRGKLKLYTGEACRT
+>O95925_PF00095_30 <unknown description>
+PRRCPKIREECEFQERDVCTKDRQCQDNKKCCVFSCGKKCLD
+>O95925_PF00014_76 <unknown description>
+VCEMPKETGPCLAYFLHWWYDKKDNTCSMFVYGGCQGNNNNFQSKANCLNTCK
+>P42566_PF12763_32 <unknown description>
+GRVLASDAAAFLKKSGLPDLILGKIWDLADTDGKGILNKQEFFVALRLVACAQN
+>P42566_PF12763_127 <unknown description>
+EDKAKYDAIFDSLSPVNGFLSGDKVKPVLLNSKLPVDILGRVWELSDIDHDGMLDRDEFA
+VAMFLVYCALEKEPVPMSLPPALVPPSKRK
+>P42566_PF12763_222 <unknown description>
+PAEKAKYDEIFLKTDKDMDGFVSGLEVREIFLKTGLPSTLLAHIWSLCDTKDCGKLSKDQ
+FALAFHLISQKLIKGIDPPHVLTPEMIPPSDRA
+>Q12929_PF08416_64 <unknown description>
+QYRVEHLTTFVLDRKDAMITVDDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLESKN
+ELENFPLNTIQHCQAVMHSCSYDSVLALVCKEPTQNKPDLHLFQCDEVKANLISEDIESA
+ISDSKGGKQKR
+>Q12929_PF00018_539 <unknown description>
+KYDFVARNNSELSVLKDDILEILDDRKQWWKVRNASGDSGFVP
+>Q12929_PF18016_719 <unknown description>
+INITYDSTPEDVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRTVCPEGARVYSQIT
+>Q9C0D9_PF01066_49 <unknown description>
+APNLITFSGFLLVVFNFLLMAYFDPDFYASAPGHKHVPDWVWIVVGILNFVAYTLDGVDG
+KQARRTNSSTPLGELFDHGLD
+>Q99645_PF13855_152 <unknown description>
+FNRIKKINKNDFASLSDLKRIDLTSNLISEIDEDAFRKLPQLRELVLRDNKI
+>Q9NQ60_PF15339_53 <unknown description>
+PANEKNGNYYKDIKQYVFTTQNPNGTESEISVRATTDLNFALKNDKTVNATTYEKSTIEE
+ETTTSEPSHKNIQRSTPNVPAFWTMLAKAINGTAVVMDDKDQLFHPIPESDVNATQGENQ
+PDLEDLKIKIMLGISLMTLLLFVVLLAFCSATLYKLRHLSYKSCESQYSVNPELATMSYF
+HPSEGVSDTSFSKSAESS
+>O75616_PF01926_115 <unknown description>
+RVVLLGAPNAGKSTLSNQLLGRKVFPVSRKVHTTRCQALGVITEKETQVILLDTPGIISP
+GKQKRHHLELSLLEDPWKSMESADLVVVLVDVSDKWTRNQLSPQLLRCLTKYSQIPSVLV
+MNK
+>Q9NZ08_PF17900_60 <unknown description>
+PVHYDLLIHANLTTLTFWGTTKVEITASQPTSTIILHSHHLQISRATLRKGAGERLSEEP
+LQVLEHPRQEQIALLAPEPLLVGLPYTVVIHYAGNLSETFHGFYKSTYRTKEGELRILAS
+TQFEPTAARMAFPCFDEPAFKASFSIKIRREPRHLAISNMPLVKSVTVAEGLIEDHFDVT
+VKMSTYL
+>Q9NZ08_PF01433_281 <unknown description>
+YALDAAVTLLEFYEDYFSIPYPLPKQDLAAIPDFQSGAMENWGLTTYRESALLFDAEKSS
+ASSKLGITMTVAHELAHQWFGNLVTMEWWNDLWLNEGFAKFMEFVSVSVTHPELKVGDYF
+FGKCFDAMEVDALNSSHPVSTPVENPAQIREMFDDVSYDKGACILNMLREYLSADAFKSG
+IVQYLQKHSYKNTKNEDLWDSMASICPTDGVKGMDGFCSRSQHSSSSSHWHQEGVDVKTM
+MNTW
+>Q9NZ08_PF11838_597 <unknown description>
+WIKFNVGMNGYYIVHYEDDGWDSLTGLLKGTHTAVSSNDRASLINNAFQLVSIGKLSIEK
+ALDLSLYLKHETEIMPVFQGLNELIPMYKLMEKRDMNEVETQFKAFLIRLLRDLIDKQTW
+TDEGSVSERMLRSQLLLLACVHNYQPCVQRAEGYFRKWKESNGNLSLPVDVTLAVFAVGA
+QSTEGWDFLYSKYQFSLSSTEKSQIEFALCRTQNKEKLQWLLDESFKGDKIKTQEFPQIL
+TLIGRNPVGYPLAWQFLRKNWNKLVQKFELGSSSIAHMVMGTTNQFSTRTRLEEVKGFFS
+SLKENGSQLRCVQQTIETIE
+>Q6P179_PF17900_75 <unknown description>
+PLHYDLFVHPNLTSLDFVASEKIEVLVSNATQFIILHSKDLEITNATLQSEEDSRYMKPG
+KELKVLSYPAHEQIALLVPEKLTPHLKYYVAMDFQAKLGDGFEGFYKSTYRTLGGETRIL
+AVTDFEPTQARMAFPCFDEPLFKANFSIKIRRESRHIALSNMPKVKTIELEGGLLEDHFE
+TTVKMSTYL
+>Q6P179_PF01433_298 <unknown description>
+YALQASLKLLDFYEKYFDIYYPLSKLDLIAIPDFAPGAMENWGLITYRETSLLFDPKTSS
+ASDKLWVTRVIAHELAHQWFGNLVTMEWWNDIWLKEGFAKYMELIAVNATYPELQFDDYF
+LNVCFEVITKDSLNSSRPISKPAETPTQIQEMFDEVSYNKGACILNMLKDFLGEEKFQKG
+IIQYLKKFSYRNAKNDDLWSSLSNS
+>Q6P179_PF11838_620 <unknown description>
+WVKFNVDSNGYYIVHYEGHGWDQLITQLNQNHTLLRPKDRVGLIHDVFQLVGAGRLTLDK
+ALDMTYYLQHETSSPALLEGLSYLESFYHMMDRRNISDISENLKRYLLQYFKPVIDRQSW
+SDKGSVWDRMLRSALLKLACDLNHAPCIQKAAELFSQWMESSGKLNIPTDVLKIVYSVGA
+QTTAGWNYLLEQYELSMSSAEQNKILYALSTSKHQEKLLKLIELGMEGKVIKTQNLAALL
+HAIARRPKGQQLAWDFVRENWTHLLKKFDLGSYDIRMIISGTTAHFSSKDKLQEVKLFFE
+SLEAQGSHLDIFQTVLETI
+>P04626_PF01030_52 <unknown description>
+GCQVVQGNLELTYLPTNASLSFLQDIQEVQGYVLIAHNQVRQVPLQRLRIVRGTQLFEDN
+YALAVLDNGDPLNNTTPVTGASPGGLRELQLRSLTEILKGGVLIQRNPQLCYQDTILWKD
+I
+>P04626_PF00757_190 <unknown description>
+RACHPCSPMCKGSRCWGESSEDCQSLTRTVCAGGCARCKGPLPTDCCHEQCAAGCTGPKH
+SDCLACLHFNHSGICELHCPALVTYNTDTFESMPNPEGRYTFGASCVTACPYNYLSTDVG
+SCTLVCPLHNQEVTAEDGTQRCEKCSKPCARVCY
+>P04626_PF01030_366 <unknown description>
+GCKKIFGSLAFLPESFDGDPASNTAPLQPEQLQVFETLEEITGYLYISAWPDSLPDLSVF
+QNLQVIRGRILHNGAYSLTLQGLGISWLGLRSLRELGSGLALIHHNTHLCFVHTVPWDQ
+>P04626_PF14843_511 <unknown description>
+CHQLCARGHCWGPGPTQCVNCSQFLRGQECVEECRVLQGLPREYVNARHCLPCHPECQPQ
+NGSVTCFGPEADQCVACAHYKDPPFCVARCPSGVKPDLSYMPIWKFPDEEGACQPCPINC
+THSCVDLDDKGC
+>P04626_PF07714_722 <unknown description>
+KVKVLGSGAFGTVYKGIWIPDGENVKIPVAIKVLRENTSPKANKEILDEAYVMAGVGSPY
+VSRLLGICLTSTVQLVTQLMPYGCLLDHVRENRGRLGSQDLLNWCMQIAKGMSYLEDVRL
+VHRDLAARNVLVKSPNHVKITDFGLARLLDIDETEYHADGGKVPIKWMALESILRRRFTH
+QSDVWSYGVTVWELMTFGAKPYDGIPAREIPDLLEKGERLPQPPICTIDVYMIMVKCWMI
+DSECRPRFRELVSE
+>P21860_PF01030_56 <unknown description>
+CEVVMGNLEIVLTGHNADLSFLQWIREVTGYVLVAMNEFSTLPLPNLRVVRGTQVYDGKF
+AIFVMLNYNTNSSHALRQLRLTQLTEILSGGVYIEKNDKLCHMDTIDWRDI
+>P21860_PF00757_182 <unknown description>
+SCPPCHEVCKGRCWGPGSEDCQTLTKTICAPQCNGHCFGPNPNQCCHDECAGGCSGPQDT
+DCFACRHFNDSGACVPRCPQPLVYNKLTFQLEPNPHTKYQYGGVCVASCPHNFVVDQTSC
+VRACPPDKMEVDKNGLKMCEPCGGLCPKACE
+>P21860_PF01030_353 <unknown description>
+NCTKILGNLDFLITGLNGDPWHKIPALDPEKLNVFRTVREITGYLNIQSWPPHMHNFSVF
+SNLTTIGGRSLYNRGFSLLIMKNLNVTSLGFRSLKEISAGRIYISANRQLCYHHSLNWTK
+>P21860_PF14843_499 <unknown description>
+VCDPLCSSGGCWGPGPGQCLSCRNYSRGGVCVTHCNFLNGEPREFAHEAECFSCHPECQP
+MEGTATCNGSGSDTCAQCAHFRDGPHCVSSCPHGVLGAKGPIYKYPDVQNECRPCHENCT
+QGCKGPELQDC
+>P21860_PF07714_711 <unknown description>
+KLKVLGSGVFGTVHKGVWIPEGESIKIPVCIKVIEDKSGRQSFQAVTDHMLAIGSLDHAH
+IVRLLGLCPGSSLQLVTQYLPLGSLLDHVRQHRGALGPQLLLNWGVQIAKGMYYLEEHGM
+VHRNLAARNVLLKSPSQVQVADFGVADLLPPDDKQLLYSEAKTPIKWMALESIHFGKYTH
+QSDVWSYGVTVWELMTFGAEPYAGLRLAEVPDLLEKGERLAQPQICTIDVYMVMVKCWMI
+DENIRPTFKELANE
+>Q15303_PF01030_55 <unknown description>
+NCEVVMGNLEITSIEHNRDLSFLRSVREVTGYVLVALNQFRYLPLENLRIIRGTKLYEDR
+YALAIFLNYRKDGNFGLQELGLKNLTEILNGGVYVDQNKFLCYADTIHWQDI
+>Q15303_PF00757_186 <unknown description>
+CGRCHKSCTGRCWGPTENHCQTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSGPKDTD
+CFACMNFNDSGACVTQCPQTFVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVDSSSCV
+RACPSSKMEVEENGIKMCKPCTDICPKAC
+>Q15303_PF01030_358 <unknown description>
+NCTKINGNLIFLVTGIHGDPYNAIEAIDPEKLNVFRTVREITGFLNIQSWPPNMTDFSVF
+SNLVTIGGRVLYSGLSLLILKQQGITSLQFQSLKEISAGNIYITDNSNLCYYHTINWTTL
+>Q15303_PF14843_502 <unknown description>
+VCNHLCSSDGCWGPGPDQCLSCRRFSRGRICIESCNLYDGEFREFENGSICVECDPQCEK
+MEDGLLTCHGPGPDNCTKCSHFKDGPNCVEKCPDGLQGANSFIFKYADPDRECHPCHPNC
+TQGCNGPTSHDC
+>Q15303_PF07714_719 <unknown description>
+KRVKVLGSGAFGTVYKGIWVPEGETVKIPVAIKILNETTGPKANVEFMDEALIMASMDHP
+HLVRLLGVCLSPTIQLVTQLMPHGCLLEYVHEHKDNIGSQLLLNWCVQIAKGMMYLEERR
+LVHRDLAARNVLVKSPNHVKITDFGLARLLEGDEKEYNADGGKMPIKWMALECIHYRKFT
+HQSDVWSYGVTIWELMTFGGKPYDGIPTREIPDLLEKGERLPQPPICTIDVYMVMVKCWM
+IDADSRPKFKELAAE
+>Q96RT1_PF13855_47 <unknown description>
+TLEELYLDANQIEELPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGI
+>Q96RT1_PF13855_231 <unknown description>
+QLTYLDVSKNNIEMVEEGISTCENLQDLLLSSNSLQQLPETIGSLKNITTLKIDENQL
+>Q96RT1_PF13855_346 <unknown description>
+NITVLFLHSNKLETLPEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQ
+>Q96RT1_PF00595_1328 <unknown description>
+KDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGYSFINIE
+HGQAVSLLKTFQNTVELII
+>O15083_PF10174_150 <unknown description>
+LQAQLKELQRENDLLRKELDIKDSKLGSSMNSIKTFWSPELKKERVLRKEEAARMSVLKE
+QMRVSHEENQHLQLTIQALQDELRTQRDLNHLLQQESGNRGAEHFTIELTEENFRRLQAE
+HDRQAKELFLLRKTLEEMELRIETQKQTLNARDESIKKLLEMLQSKGLPSKSLEDDNERT
+RRMAEAESQVSHLEVILDQKEKENIHLREELHRRSQLQPEPAKTKALQTVIEMKDTKIAS
+LERNIRDLEDEIQMLKANGVLNTEDREEEIKQIEVYKSHSKFMKTKIDQLKQELSKKESE
+LLALQTKLETLSNQNSDCKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKESFLNKK
+TKQLQDLTEEKGTLAGEIRDMKDMLEVKERKINVLQKKIENLQEQLRDKDKQLTNLKDRV
+KSLQTDSSNTDTALATLEEALSEKERIIERLKEQRERDDRERLEEIESFRKENKDLKEKV
+NALQAELTEKESSLIDLKEHASSLASAGLKRDSKLKSLEIAIEQKKEECSKLEAQLKKAH
+NIEDDSRMNPEFADQIKQLDKEASYYRDECGKAQAEVDRLLEILKEVENEKNDKDKKIAE
+LESLTLRHMKDQNKKVANLKHNQQLEKKKNAQLLEEVRRREDSMADNSQHLQIEELMNAL
+EKTRQELDATKARLASTQQSLAEKEAHLANLRIERRKQLEEILEMKQEALLAAISEKDAN
+IALLELSASKKKKTQEEVMALKREKDRLVHQLKQQTQNRMKL
+>Q2NKX8_PF00176_97 <unknown description>
+FEHQKEGIAFLYSLYRDGRKGGILADDMGLGKTVQIIAFLSGMFDASLVNHVLLIMPTNL
+INTWVKEFIKWTPGMRVKTFHGPSKDERTRNLNRIQQRNGVIITTYQMLINNWQQLSSFR
+GQEFVWDYVILDEAHKIKTSSTKSAICARAIPASNRLLLTGTPIQNNLQELWSLFDFACQ
+GSLLGTLKTFKMEYENPITRAREKDATPGEKALGFKISENLMAIIKPYFLRRTKEDVQKK
+KSSNPEARLNEKNPDVDAICEMPSLSRKNDLIIWIRLVPLQEEIYRKFVSLDHIKELLME
+TRSPLAELGVLKKLCDHPRL
+>Q2NKX8_PF00271_463 <unknown description>
+IFLMDLLKRLRDEGHQTLVFSQSRQILNIIERLLKNRHFKTLRIDGTVTHLLEREKRINL
+FQQNKDYSVFLLTTQVGGVGLTLTAATRVVIFDPSWNPATDAQAVDRVYRIG
+>P07992_PF03834_100 <unknown description>
+SIIVSPRQRGNPVLKFVRNVPWEFGDVIPDYVLGQSTCALFLSLRYHNLHPDYIHGRLQS
+LGKNFALRVLLVQVDVKDPQQALKELAKMCILADCTLILAWSPEEAGRYLETYK
+>P07992_PF14520_239 <unknown description>
+LTTVKSVNKTDSQTLLTTFGSLEQLIAASREDLALCPGLGPQKARRLF
+>P18074_PF06733_72 <unknown description>
+YCSRTVPEIEKVIEELRKLLNFYEKQEGEKLPFLGLALSSRKNLCIHPEVTPLRFGKDVD
+GKCHSLTASYVRAQYQHDTSLPHCRFYEEFDAHGREVPLPAGIYNLDDLKALGRRQGWCP
+YFLARYSILHANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNIDNVCIDSMSVNL
+TRRTL
+>P18074_PF06777_272 <unknown description>
+RIKETDEQRLRDEYRRLVEGLREASAARETDAHLANPVLPDEVLQEAVPGSIRTAEHFLG
+FLRRLLEYVKWRLRVQHVVQESPPAFLSGLAQRVCIQRKPLRFCAERLRSLLHTLEITDL
+ADFSPLTLLANFATLVSTYAKG
+>P18074_PF13307_524 <unknown description>
+LLEMSAVVPDGIVAFFTSYQYMESTVASWYEQGILENIQRNKLLFIETQDGAETSVALEK
+YQEACENGRGAILLSVARGKVSEGIDFVHHYGRAVIMFGVPYVYTQSRILKARLEYLRDQ
+FQIRENDFLTFDAMRHAAQCVGRAIRGKTDYGLMVFADKRFARGDKRGKLPRWIQE
+>P19447_PF13625_76 <unknown description>
+LWVAPDGHIFLEAFSPVYKYAQDFLVAIAEPVCRPTHVHEYKLTAYSLYAAVSVGLQTSD
+ITEYLRKLSKTGVPDGIMQFIKLCTVSYGKVKLVLKHNRYFVESCHPDVIQHLLQDPVIR
+ECRLRN
+>P19447_PF04851_315 <unknown description>
+VLRPYQEKSLRKMFGNGRARSGVIVLPCGAGKSLVGVTAACTVRKRCLVLGNSAVSVEQW
+KAQFKMWSTIDDSQICRFTSDAKDKPIGCSVAISTYSMLGHTTKRSWEAERVMEWLKTQE
+WGLMILDEVHTIPAKMFRRVLTIVQAHCKLGLTATL
+>P19447_PF16203_496 <unknown description>
+LQNNGYIAKVQCAEVWCPMSPEFYREYVAIKTKKRILLYTMNPNKFRACQFLIKFHERRN
+DKIIVFADNVFALKEYAIRLNKPYIYGPTSQGERMQILQNFKHNPKINTIFISKVGDTSF
+DLPEANVLIQISSHGGSRRQEAQRLGRVLRAKKGMVAEEYNAFFYSLVSQDTQEMAYSTK
+RQRFLVDQGYSFKVITKLAGMEEEDLAFSTKEEQQQLLQKVLAATDLDAEEEVVAGEFGS
+RSS
+>P28715_PF00752_1 <unknown description>
+MGVQGLWKLLECSGRQVSPEALEGKILAVDISIWLNQALKGVRDRHGNSIENPHLLTLFH
+RLCKLLFFRIRPIFVFDGDAPLLKKQTLVKRRQRKD
+>P28715_PF00867_780 <unknown description>
+GIPYIQAPMEAEAQCAILDLTDQTSGTITDDSDIWLFGARHVYRNFFNKNKFVEYYQYVD
+FHNQLGLDRNKLINLAYLLGSD
+>Q03468_PF00176_510 <unknown description>
+YQQTGVRWLWELHCQQAGGILGDEMGLGKTIQIIAFLAGLSYSKIRTRGSNYRFEGLGPT
+VIVCPTTVMHQWVKEFHTWWPPFRVAILHETGSYTHKKEKLIRDVAHCHGILITSYSYIR
+LMQDDISRYDWHYVILDEGHKIRNPNAAVTLACKQFRTPHRIILSGSPMQNNLRELWSLF
+DFIFPGKLGTLPVFMEQFSVPITMGGYSNASPVQVKTAYKCACVLRDTINPYLLRRMKSD
+VKMSLSLPDKNEQVLFCRLTDEQHKVYQNFVDSKEVYRILNGEMQIFSGLIALRKICNHP
+DLF
+>Q03468_PF00271_847 <unknown description>
+LLKIWHKQGQRVLLFSQSRQMLDILEVFLRAQKYTYLKMDGTTTIASRQPLITRYNEDTS
+IFVFLLTTRVGGLGVNLTGANRVVIYDPDWNPSTDTQARERAWRIG
+>Q13216_PF00400_97 <unknown description>
+VHRYSVETVQWYPHDTGMFTSSSFDKTLKVWD
+>Q13216_PF00400_176 <unknown description>
+GSCSHILQGHRQEILAVSWSPRYDYILATASADSRVKLWD
+>Q13216_PF00400_242 <unknown description>
+TAHNGKVNGLCFTSDGLHLLTVGTDNRMRLWN
+>Q13216_PF00400_325 <unknown description>
+EQITMLKGHYKTVDCCVFQSNFQELYSGSRDCNILAW
+>P24390_PF00810_28 <unknown description>
+SCAGISGKSQVLFAVVFTARYLDLFTNYISLYNTCMKVVYIACSFTTVWLIYSKFKATYD
+GNHDTFRVEFLVVPTAILAFLVNHDFTPLEILWTFSIYLESVAILPQLFMVSKTGEAETI
+TSHYLFALGVYRTLYLFNWIWR
+>P33947_PF00810_28 <unknown description>
+SCAGISGKSQLLFALVFTTRYLDLFTSFISLYNTSMKVIYLACSYATVYLIYLKFKATYD
+GNHDTFRVEFLVVPVGGLSFLVNHDFSPLEILWTFSIYLESVAILPQLFMISKTGEAETI
+TTHYLFFLGLYRALYLVNWIWR
+>O43731_PF00810_29 <unknown description>
+CKGISGKSQILFALVFTTRYLDLFTNFISIYNTVMKVVFLLCAYVTVYMIYGKFRKTFDS
+ENDTFRLEFLLVPVIGLSFLENYSFTLLEILWTFSIYLESVAILPQLFMISKTGEAETIT
+THYLFFLGLYRALYLANWIR
+>Q15884_PF04103_100 <unknown description>
+LGLLQLILGCCMVALSFGALSLSSSPQVKNSCPFWAGSSVILSGIIGLTTWKRPMILLVN
+LFVLLSVVCVLLNLAGFILGCQGAQFVSSVPRCDLVDLGEGKICFCCEEFQPAKCTDKEN
+ALKLFPVQPCSAVHLLLKKVLFALCALNALTTTVCLVAAAL
+>O60320_PF04103_34 <unknown description>
+ALGATQMALGCLIVAVSFAALALTTSARVRHSCPFWAGFSVLLSGLIGVVSWKRPLSLVI
+TFFMLLSAVCVMLNLAGSILSCQNAQLVNSLEGCQLIKFDSVEVCVCCELQHQSSGCSNL
+GETLKLNPLQENCNAVRLTLKDLLFSVCALNVLSTIVCALATAMCC
+>P81408_PF04103_36 <unknown description>
+TLGLVQVLLGILVVTFSMVASSVTTTESIKRSCPSWAGFSLAFSGVVGIVSWKRPFTLVI
+SFFSLLSVLCVMLSMAGSVLSCKNAQLARDFQQCSLEGKVCVCCPSVPLLRPCPESGQEL
+KVAPNSTCDEARGALKNLLFSVCGLTICAAIICTLSAIVCC
+>P62495_PF03463_18 <unknown description>
+KKLIKSLEAARGNGTSMISLIIPPKDQISRVAKMLADEFGTASNIKSRVNRLSVLGAITS
+VQQRLKLYNKVPPNGLVVYCGTIVTEEGKEKKVNIDFEPFKPINTSLYLCDNKFHTEALT
+>P62495_PF03464_145 <unknown description>
+KFGFIVIDGSGALFGTLQGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRK
+VAETAVQLFISGDKVNVAGLVLAGSADFKTELSQSDMFDQRLQSKVLKLVDISYGGENGF
+NQAIELSTEVLSN
+>P62495_PF03465_280 <unknown description>
+FIQEKKLIGRYFDEISQDTGKYCFGVEDTLKALEMGAVEILIVYENLDIMRYVLHCQGTE
+EEKILYLTPEQEKDKSHFTDKETGQEHELIESMPLLEWFANNYKKFGATLEIVTDKSQEG
+SQFVKGFGGIGGILRYRV
+>P15170_PF07145_64 <unknown description>
+AFSRQLNVNAKPFVPNVH
+>P15170_PF00009_210 <unknown description>
+KEHVNVVFIGHVDAGKSTIGGQIMYLTGMVDKRTLEKYEREAKEKNRETWYLSWALDTNQ
+EERDKGKTVEVGRAYFETEKKHFTILDAPGHKSFVPNMIGGASQADLAVLVISARKGEFE
+TGFEKGGQTREHAMLAKTAGVKHLIVLINKMDDPTVNWSNERYEECKEKLVPFLKKVGFN
+PKKDIHFMPCSGLTGANLKE
+>P15170_PF03144_452 <unknown description>
+GTVVLGKLESGSICKGQQLVMMPNKHNVEVLGILSDDVETDTVAPGENLKIRLKGIEEEE
+ILPGFILC
+>P15170_PF03143_529 <unknown description>
+RTFDAQIVIIEHKSIICPGYNAVLHIHTCIEEVEITALICLVDKKSGEKSKTRPRFVKQD
+QVCIARLRTAGTICLETFKDFPQMGRFTLRDEGKTIAIGKVLKL
+>Q8IYD1_PF07145_47 <unknown description>
+AFSRKLNVNAKPFVPNVH
+>Q8IYD1_PF00009_201 <unknown description>
+KEHVNVVFIGHVDAGKSTIGGQIMFLTGMVDKRTLEKYEREAKEKNRETWYLSWALDTNQ
+EERDKGKTVEVGRAYFETERKHFTILDAPGHKSFVPNMIGGASQADLAVLVISARKGEFE
+TGFEKGGQTREHAMLAKTAGVKHLIVLINKMDDPTVNWSIERYEECKEKLVPFLKKVGFS
+PKKDIHFMPCSGLTGANIKE
+>Q8IYD1_PF03143_520 <unknown description>
+RTFDVQIVIIEHKSIICPGYNAVLHIHTCIEEVEITALISLVDKKSGEKSKTRPRFVKQD
+QVCIARLRTAGTICLETFKDFPQMGRFTLRDEGKTIAIGKVLKL
+>A0A1W2PQ73_PF00178_43 <unknown description>
+QLWHFILELLQKEEYQGVIAWQGDYGEFVIKDPDEVARLWGIRKCKPHMNYDKLSRALRY
+YYNKRILHKTKGKRFTYKF
+>P50548_PF00178_28 <unknown description>
+QLWHFILELLRKEEYQGVIAWQGDYGEFVIKDPDEVARLWGVRKCKPQMNYDKLSRALRY
+YYNKRILHKTKGKRFTYKF
+>Q14534_PF13450_128 <unknown description>
+IVGAGVLGSALAAVLSRDGRKVTVIER
+>Q14534_PF08491_277 <unknown description>
+HAPLTVVADGLFSKFRKSLVSNKVSVSSHFVGFLMKNAPQFKANHAELILANPSPVLIYQ
+ISSSETRVLVDIRGEMPRNLREYMVEKIYPQIPDHLKEPFLEATDNSHLRSMPASFLPPS
+SVKKRGVLLLGDAYNMRHPLTGGGMTVAFKDIKLWRKLLKGIPDLYDDAAIFEAKKSFYW
+ARKTSHSFVVNILAQALYELFSATDDSLHQLRKACFLYFKLGGECVAGPVGLLSVLSPNP
+LVLIGHFFAVAIYAVYFCFKSEPWITKPRALL
+>O76062_PF01222_56 <unknown description>
+VLWSPRALLLWLAWLGLQAALYLLPARKVAEGQELKDKSRLRYPINGFQALVLTALLVGL
+GMSAGLPLGALPEMLLPLAFVATLTAFIFSLFLYMKAQVAPVSALAPGGNSGNPIYDFFL
+GRELNPRICFFDFKYFCELRPGLIGWVLINLALLMKEAELRGSPSLAMWLVNGFQLLYVG
+DALWHEEAVLTTMDITHDGFGFMLAFGDMAWVPFTYSLQAQFLLHHPQPLGLPMASVICL
+INATGYYIFRGANSQKNTFRKNPSDPRVAGLETISTATGRKLLVSGWWGMVRHPNYLGDL
+IMALAWSLPCGVSHLLPYFYLLYFTALLVHREARDERQCLQKYGLAWQEYCRRVPYRIMP
+YIY
+>Q9UKR5_PF03694_8 <unknown description>
+LRSWLVMVSIIAMGNTLQSFRDHTFLYEKLYTGKPNLVNGLQARTFGIWTLLSSVIRCLC
+AIDIHNKTLYHITLWTFLLALGHFLSELFVYGTAAPTIGVLAPLMVASFSI
+>Q969X5_PF13850_5 <unknown description>
+FRRFDIYRKVPKDLTQPTYTGAIISICCCLFILFLFLSELTGFITTEVVNELYVDDPDKD
+SGGKIDVSLNISLPNLHCELVGLDIQDEMGRHE
+>Q969X5_PF07970_109 <unknown description>
+LNNGAGCRFEGQFSINKVPGNFHVSTHSATAQPQNPDMTHVIHKLSFGDTLQVQNIHGAF
+NALGGADRLTSNPLASHDYILKIVPTVYEDKSGKQRYSYQYTVANKEYVAYSHTGRIIPA
+IWFRYDLSPITVKYTERRQPLYRFITTICAIIGGTFTVAGILD
+>Q96RQ1_PF13850_13 <unknown description>
+VKELDAFPKVPESYVETSASGGTVSLIAFTTMALLTIMEFSVYQDTWMKYEYEVDKDFSS
+KLRINIDITVAMKCQYVGADVLDLAE
+>Q96RQ1_PF07970_164 <unknown description>
+SQSPNACRIHGHLYVNKVAGNFHITVGKAIPHPRGHAHLAALVNHESYNFSHRIDHLSFG
+ELVPAIINPLDGTEKIAIDHNQMFQYFITVVPTKLHTYKISADTHQFSVTERERIINHAA
+GSHGVSGIFMKYDLSSLMVTVTEEHMPFWQFFVRLCGIVGGIFSTTGM
+>Q9Y282_PF13850_7 <unknown description>
+LKQFDAYPKTLEDFRVKTCGGATVTIVSGLLMLLLFLSELQYYLTTEVHPELYVDKSRGD
+KLKINIDVLFPHMPCAYLSIDAMDVAGEQQ
+>Q9Y282_PF07970_145 <unknown description>
+CYGAEAEDIKCCNTCEDVREAYRRRGWAFKNPDTIEQCRREGFSQKMQEQKNEGCQVYGF
+LEVNKVAGNFHFAPGKSFQQSHVHVHDLQSFGLDNINMTHYIQHLSFGEDYPGIVNPLDH
+TNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQFSVTRHEKVANGLLGDQGLPGVFVL
+YELSPMMVKLTEKHRSFTHFLTGVCAIIGGMFTVAGLID
+>P11308_PF02198_116 <unknown description>
+PNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLPDVNILLFQNIDGKELCKMTKDD
+FQRLTPSYNADILLSHLHYLRE
+>P11308_PF00178_312 <unknown description>
+QLWQFLLELLSDSSNSSCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRY
+YYDKNIMTKVHGKRYAYKF
+>P84090_PF01133_2 <unknown description>
+SHTILLVQPTKRPEGRTYADYESVNECMEGVCKMYEEHLKRMNPNSPSITYDISQLFDFI
+DDLADLSCLVYRADTQTYQPYNKDWIKEKIYVLLRRQA
+>Q8IV48_PF02037_77 <unknown description>
+NRMSKEELRAKLSEFKLETRGVKDVLKKRLKNY
+>Q8IV48_PF00929_132 <unknown description>
+IIDFEATCEEGNPPEFVHEIIEFPVVLLNTHTLEIEDTFQQYVRPEINTQLSDFCISLTG
+ITQDQVDRADTFPQVLKKVIDWMKLKELGTKYKYSLLTDGSWDMSKFLNIQCQLSRLKYP
+PFAKKWINIRKSYGNFYKVPRSQTKLTIMLEKLGMDYDGRPHCGLDDSKNIARI
+>A8K979_PF00929_38 <unknown description>
+IVIDFESTCWNDGKHHHSQEIIEFPAVLLNTSTGQIDSEFQAYVQPQEHPILSEFCMELT
+GIKQAQVDEGVPLKICLSQFCKWIHKIQQQKNIIFATGISEPSASEVKLCAFVTWSDWDL
+GVCLEYECKRKQLLKPVFLNSWIDLRATYKLFYRRKPKGLSGALQEVGIEFSGREHSGLD
+DSRNTAL
+>A8K979_PF06839_595 <unknown description>
+PLCKCGRRSKRLVVSNNGPNHGKVFYCCPIGKYQENRKCCGYFKWEQT
+>O43414_PF00929_147 <unknown description>
+LVLDFEATCDKPQIHPQEIIEFPILKLNGRTMEIESTFHMYVQPVVHPQLTPFCTELTGI
+IQAMVDGQPSLQQVLERVDEWMAKEGLLDPNVKSIFVTCGDWDLKVMLPGQCQYLGLPVA
+DYFKQWINLKKAYSFAMGCWPKNGLLDMNKGLSLQHIGRPHSGIDDCKNIANI
+>Q5W0A0_PF14977_474 <unknown description>
+DGKLILYPNKNVYQILFPDGTGQIHYPSGNLAMLILYAKMKKFTYIILEDSLEGRIRALI
+NNSGNATFYDENSDIWLNLSSNLGYYFPKDKRQKAWNWWNLNIHVHAPPVQPISLKINEY
+IQVQIRSQDKIIFCFTYEQKQICLNLGTRYKFVIPEVLSEMKKKTILEAEPGPTAQKIRV
+LLGKMNRLLNYATTPDLENF
+>Q5RHP9_PF15257_299 <unknown description>
+HLSSDNPDFRDEIKVYQQHCGGENLCVYKGKLLEKETFQFISKRHHGFPFSLTFFLNGMQ
+VNRLSSCCEYKHRKGSRLGGKRGYFGFVCVERSSPCYKCIIAMGLDKKPSLP
+>A6NGS2_PF15039_1 <unknown description>
+MELWRQLNQAGLVPPGLGPPPQALREVSPVEIPGQTLRTAGADTGGACDSLLWIREELGN
+LRRVDVQLLGQLCSLGLEMGALREELVTILEEEEESSKEEEEDQEPQRKQE
+>Q6P6B1_PF15140_94 <unknown description>
+ATDQSGSTEKTQPGEGLEESGPPQPGGKEDAPAAEGKKKDAGAGTEAESLKGNAEAQPLG
+PEAKGQPLQAAVEKDSLRAVEVTENPQTAAEMKPLGTTENVLTLQIAGELQPQGTVGKDE
+QAPLLETISKENESPEILEGSQFVETAEEQQLQATLGKEEQPQLLERIPKENVT
+>Q7L0X2_PF14977_423 <unknown description>
+NELLEKHYKHGSKFLTSFPDGTTQIFYPSGNLAIIRVPNKVNGFTCIVQEDMPTNPAILA
+VLDSSGRSSCYHPNGNVWVYINILGGQYSDQAGNRIRAWNWSNSITSSPFVSFKPVFLAL
+NRYIGVRILEQDKISITFLAMGQQARISVGTKVKLPNPEEIPILRYVSGDDLLLLASLIK
+IRRLFHKLEGCVNFPSSQVWEKL
+>Q96DZ1_PF07915_111 <unknown description>
+SSCSYRIESYWTYEVCHGKHIRQYHEEKETGQKINIHEYYLGNMLAKNLLFEKEREAEEK
+EKSNEIPTKNIEGQMTPYYPVGMGNGTPC
+>Q96DZ1_PF07915_342 <unknown description>
+SYCFRGGVGWWKYEFCYGKHVHQYHEDKDSGKTSVVVGTWNQEEHIEWAKKNTARAYHLQ
+DDGTQTVRMVSHFYGNGDIC
+>O75477_PF01145_27 <unknown description>
+KIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITTFRSVQTTLQTDEVKNVPCGTSGGVMI
+YIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFNKIHHELNQFCSAHTLQEVYIELFDQI
+DENLKQALQKDLNLMAPGLTIQAVRVTKPKIPEAIRRNFELMEAEKTKLLIAAQKQKVVE
+KEA
+>O94905_PF01145_25 <unknown description>
+KIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYKSVQTTLQTDEVKNVPCGTSGGVMI
+YFDRIEVVNFLVPNAVYDIVKNYTADYDKALIFNKIHHELNQFCSVHTLQEVYIELFDQI
+DENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIRRNYELMESEKTKLLIAAQKQKVVE
+KEA
+>Q96PL5_PF07686_42 <unknown description>
+LGGTAELLCPLSLWPGTVPKEVRWLRSPFPQRSQAVHIFRDGKDQDEDLMPEYKGRTVLV
+RDAQEGSVTLQILDVRLEDQGSYRCLIQVGNLSKEDTVILQV
+>Q96PL5_PF13765_240 <unknown description>
+VTLDPDTAHPKLILSEDQRCVRLGDRRQPVPDNPQRFDFVVSILGSEYF
+>Q96PL5_PF00622_293 <unknown description>
+HYWEVYVGDKTKWILGVCSESVSRKGKVTASPANGHWLLRQSRGNEYEALTSPQTSFRLK
+EPPRCVGIFLDYEAGVISFYNVTNKSHIFTFTHNFSGPLRPFF
+>Q7Z2K6_PF04389_185 <unknown description>
+NVVVKLEPRDGAQHAVLANCHFDSVANSPGASDDAVSCSVMLEVLRVLSTSSEALHHAVI
+FLFNGAEENVLQASHGFITQHPWASLIRAFINLEAAGVGGKELVFQTGPENPWLVQAYVS
+AAKHPFASVVAQEVFQSGIIPSDTDFRIYRDFGNIPGIDLAFIENGYIYHTKYDTADRIL
+TDSIQRAGDNILAV
+>O75460_PF00069_575 <unknown description>
+DVLGHGAEGTIVYRGMFDNRDVAVKRILPECFSFADREVQLLRESDEHPNVIRYFCTEKD
+RQFQYIAIELCAATLQEYVEQKDFAHLGLEPITLLQQTTSGLAHLHSLNIVHRDLKPHNI
+LISMPNAHGKIKAMISDFGLCKKLAVGRHSFSRRSGVPGTEGWIAPEMLSEDCKENPTYT
+VDIFSAGCVFYYVISEGSHPFGKSLQRQANILLGACSLDCLHPEKHEDVIARELIEKMIA
+MDPQKRPSAKHVLKHPFF
+>O75460_PF06479_838 <unknown description>
+QLQFFQDVSDRIEKESLDGPIVKQLERGGRAVVKMDWRENITVPLQTDLRKFRTYKGGSV
+RDLLRAMRNKKHHYRELPAEVRETLGSLPDDFVCYFTSRFPHLLAHTYRAMELCSHERLF
+QPYY
+>Q76MJ5_PF00069_523 <unknown description>
+KDVLGRGAGGTFVFRGQFEGRAVAVKRLLRECFGLVRREVQLLQESDRHPNVLRYFCTER
+GPQFHYIALELCRASLQEYVENPDLDRGGLEPEVVLQQLMSGLAHLHSLHIVHRDLKPGN
+ILITGPDSQGLGRVVLSDFGLCKKLPAGRCSFSLHSGIPGTEGWMAPELLQLLPPDSPTS
+AVDIFSAGCVFYYVLSGGSHPFGDSLYRQANILTGAPCLAHLEEEVHDKVVARDLVGAML
+SPLPQPRPSAPQVLAHPFF
+>Q76MJ5_PF06479_787 <unknown description>
+QLQFFQDVSDWLEKESEQEPLVRALEAGGCAVVRDNWHEHISMPLQTDLRKFRSYKGTSV
+RDLLRAVRNKKHHYRELPVEVRQALGQVPDGFVQYFTNRFPRLLLHTHRAMRSCASESLF
+LPY
+>Q96HE7_PF04137_61 <unknown description>
+LFPRLQKLLESDYFRYYKVNLKRPCPFWNDISQCGRRDCAVKPCQSDEVPDGIKSASYKY
+SEEANNLIEECEQAERLGAVDESLSEETQKAVLQWTKHDDSSDNFCEADDIQSPEAEYVD
+LLLNPERYTGYKGPDAWKIWNVIYEENCFKPQTIKRPLNPLASGQGTSEENTFYSWLEGL
+CVEKRAFYRLISGLHASINVHLSARYLLQETWLEKKWGHNITEFQQRFDGILTEGEGPRR
+LKNLYFLYLIELRALSKVLPFFERPDFQLFTGNKIQDEENKMLLLEILHEIKSFPLHFDE
+NSFFAGDKKEAHKLKEDFRLHFRNISRIMDCVGCFKCRLWGKLQTQGLGTALKILFSEKL
+IANMPESGPSYEFHLTRQEIVSLFNAFGRISTSVKE
+>Q86YB8_PF04137_56 <unknown description>
+KIFPKIKKLQERDYFRYYKVNLKRPCPFWAEDGHCSIKDCHVEPCPESKIPVGIKAGHSN
+KYLKMANNTKELEDCEQANKLGAINSTLSNQSKEAFIDWARYDDSRDHFCELDDERSPAA
+QYVDLLLNPERYTGYKGTSAWRVWNSIYEENCFKPRSVYRPLNPLAPSRGEDDGESFYTW
+LEGLCLEKRVFYKLISGLHASINLHLCANYLLEETWGKPSWGPNIKEFKHRFDPVETKGE
+GPRRLKNLYFLYLIELRALSKVAPYFERSIVDLYTGNAEEDADTKTLLLNIFQDTKSFPM
+HFDEKSMFAGDKKGAKSLKEEFRLHFKNISRIMDCVGCDKCRLWGKLQTQGLGTALKILF
+SEKEIQKLPENSPSKGFQLTRQEIVALLNAFGRLSTSIR
+>Q96DN0_PF13848_64 <unknown description>
+FQDLEIPAVPILHSMVQKFPGVSFGISTDSEVLTHYNITGNTICLFRLVDNEQLNLEDED
+IESIDATKLSRFIEINSLHMVTEYNPVTVIGLFNSVIQIHLLLIMNKASPEYEENMHRYQ
+KAAKLFQGKILFILVDSGMKENGKVISFFKLKESQLPALAIYQTLDDEWDTLPTAEVSVE
+HVQNFCD
+>P30040_PF07912_33 <unknown description>
+LHTKGALPLDTVTFYKVIPKSKFVLVKFDTQYPYGEKQDEFKRLAENSASSDDLLVAEVG
+ISDYGDKLNMELSEKYKLDKESYPVFYLFRDGDFENPVPYTGAVKVGAIQRWLKGQGVYL
+GMP
+>P30040_PF07749_156 <unknown description>
+GCLPVYDALAGEFIRASGVEARQALLKQGQDNLSSVKETQKKWAEQYLKIMGKILDQGED
+FPASEMTRIARLIEKNKMSDGKKEELQKSLNILTAF
+>Q9BS26_PF00085_32 <unknown description>
+TSLDTENIDEILNNADVALVNFYADWCRFSQMLHPIFEEASDVIKEEFPNENQVVFARVD
+CDQHSDIAQRYRISKYPTLKLFRNGMMMKREYRGQRSVKALADYIR
+>Q9BS26_PF13848_167 <unknown description>
+FEQKDSDNYRVFERVANILHDDCAFLSAFGDVSKPERYSGDNIIYKPPGHSAPDMVYLGA
+MTNFDVTYNWIQDKCVPLVREITFENGEELTEEGLPFLILFHMKEDTESLEIFQNEVARQ
+LISEKGTINFLHADCDKFRHPLLHIQKTPADCPVIAIDSFRHMYVFGDFKDVLIPGKLKQ
+FVFD
+>P0DP91_PF13843_609 <unknown description>
+TPTEILELFLDDEVIELIVKYSNLYACSKGVHLGLTSSEFKCFLGIIFLSGYVSVPRRRM
+FWEQRTDVHNVLVSAAMRRDRFETIFSNLHVADNANLDPVDKFSKLRPLISKLNERCMKF
+VPNETYFSFDEFMVPYFGRHGCKQFIRGKPIRFGYKFWCGATCLGYICWFQPYQGKNPNT
+KHEEYGVGASLVLQFSEALTEAHPGQYHFVFNNFFTSIALLDKLSSMGHQATGTVRKDHI
+DRVPLESDVALKKKERGTFDYRIDGKGNIVCRWNDNSVVTVASSGAGIHPLCLVSRYSQK
+LKKKIQVQQPNMIKVYNQFMGGVDRADENIDKYRASIRGKKWYSSPLLFCFELVLQNAW
+>P11474_PF00105_78 <unknown description>
+LCLVCGDVASGYHYGVASCEACKAFFKRTIQGSIEYSCPASNECEITKRRRKACQACRFT
+KCLRVGMLK
+>P11474_PF00104_227 <unknown description>
+TLCDLFDREIVVTISWAKSIPGFSSLSLSDQMSVLQSVWMEVLVLGVAQRSLPLQDELAF
+AEDLVLDEEGARAAGLGELGAALLQLVRRLQALRLEREEYVLLKALALANSDSVHIEDAE
+AVEQLREALHEALLEYEAGRAGPGGGAERRRAGRLLLTLPLLRQTAGK
+>P62508_PF00105_127 <unknown description>
+LCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFM
+KCLKVGMLK
+>P62508_PF00104_266 <unknown description>
+TTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSLLQSAWMEILILGVVYRSLSFEDELV
+YADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMKLEKEEFVTLKAIALANSDSMHIEDV
+EAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMTLPLLRQTSTKAVQHFYNIKL
+>Q9UJM3_PF09027_7 <unknown description>
+AAQEIRVPLKTGFLHNGRAMGNMRKTYWSSRSEFKNNFLNIDPITMAYSLNSSAQERL
+>Q9UJM3_PF11555_315 <unknown description>
+RPPKVPPREPLSPSNSRTPSPKSLPSYLNGVMPPTQSFAPDPKYVSSKALQRQN
+>B6SEH8_PF00429_308 <unknown description>
+VTIYNTTQPRQKRALGLILAGMGAAIGMIAPWGGFTYHDVTLRNLSRQIDNIAKSTRDSI
+SKLKASIDSLANVVMNNRLALDYLLAEQGGVCAVISKSCCIYVNNSGAIEEDIKKIYDEV
+T
+>B6SEH9_PF00429_308 <unknown description>
+VTIYNTTQPRQKRALGLILAGMGAAIGMIAPWGGFTYHDVTLRNLSRQIDNIAKSTRDSI
+SKLKASIDSLANVVMDNRLALDYLLAEQGGVCAVINKSCCVYVNNSGAIEEDIKKIYDEA
+TWLHDFGKGGASARAIWEAVKSALPSLNWFVPLLGPATVILLLFLFGPCFFNLLIKCV
+>Q8TE68_PF08416_35 <unknown description>
+QYPVNHLVTFCLGEDDGVHTVEDASRKLAVMDSQGRVWAQEMLLRVSPDHVTLLDPASKE
+ELESYPLGAIVRCDAVMPPGRSRSLLLLVCQEPERAQPDVHFFQGLRLGAELIREDIQGA
+LHNYRSGRGE
+>Q8TE68_PF00018_487 <unknown description>
+YDFQARNSSELSVKQRDVLEVLDDSRKWWKVRDPAGQEGYVP
+>Q8TE68_PF18016_626 <unknown description>
+PEPQLSPGSDASEVRAWLQAKGFSSGTVDALGVLTGAQLFSLQKEELRAVSPEEGARVYS
+QV
+>Q9H6S3_PF08416_51 <unknown description>
+QYHVQHLATFIMDKSEAITSVDDAIRKLVQLSSKEKIWTQEMLLQVNDQSLRLLDIESQE
+ELEDFPLPTVQRSQTVLNQLRYPSVLLLVCQDSEQSKPDVHFFHCDEVEAELVHEDIESA
+LADCRLGKKMR
+>Q9H6S3_PF00018_500 <unknown description>
+LYDFTARNANELSVLKDEVLEVLEDGRQWWKLRSRSGQAGYVPC
+>Q9H6S3_PF18016_621 <unknown description>
+SQPLTYESGPDEVRAWLEAKAFSPRIVENLGILTGPQLFSLNKEELKKVCGEEGVRVYSQ
+LT
+>Q8TE67_PF08416_28 <unknown description>
+QHRVEHLMTCKQGSQRVQGPEDALQKLFEMDAQGRVWSQDLILQVRDGWLQLLDIETKEE
+LDSYRLDSIQAMNVALNTCSYNSILSITVQEPGLPGTSTLLFQCQEVGAERLKTSLQKAL
+EEELEQRP
+>Q8TE67_PF00018_457 <unknown description>
+VLYEFEARNPRELTVVQGEKLEVLDHSKRWWLVKNEAGRSGYIPS
+>Q8TE67_PF18016_524 <unknown description>
+MLRLSSRPEEVTDWLQAENFSTATVRTLGSLTGSQLLRIRPGELQMLCPQEAPRILSRLE
+>Q96AP7_PF07686_40 <unknown description>
+LQAVEGGEVVLPAWYTLHGEVSSSQPWEVPFVMWFFKQKEKEDQVLSYINGVTTSKPGVS
+LVYSMPSRNLSLRLEGLQEKDSGPYSCSVNVQDKQGKSRGH
+>Q96AP7_PF13927_155 <unknown description>
+PPSCRLQGVPHVGANVTLSCQSPRSKPAVQYQWDRQLPSFQTFFAPALDVIRGSLSLTNL
+SSSMAGVYVCKAHN
+>Q5FWF5_PF13878_604 <unknown description>
+QLIIDAGQKRFGAVSCNVCGMLYTASNPEDETQHLLFHN
+>Q5FWF5_PF13880_763 <unknown description>
+EPAICGISRIWVFSMMRRKKIASRMIECLRSNFIYGSYLSKEEIAFSDPTPDGKLFATQY
+CGTGQFLVY
+>Q56NI9_PF13878_374 <unknown description>
+QLIIDAGQKHFGATVCKSCGMIYTASNPEDEMQHVQHHH
+>Q56NI9_PF13880_529 <unknown description>
+EPAVCGISRIWVFRLKRRKRIARRLVDTLRNCFMFGCFLSTDEIAFSDPTPDGKLFATKY
+CNTPNFLVY
+>Q9H501_PF08159_759 <unknown description>
+DARFQAMYTSHLFNLDPSDPNFKKTKAME
+>Q9NQ30_PF00219_28 <unknown description>
+CPQHCDSSECKSSPRCKRTVLDDCGCCRVCAAGRGETCYRTVSGMDGMKCGPGLRC
+>Q96BH3_PF00040_29 <unknown description>
+CVFPFTYKGSVYFTCTHIHSLSPWCATRAVYNGQWKYC
+>Q96BH3_PF00040_74 <unknown description>
+CIFPFIYRGKAYNSCISQGSFLGSLWCSVTSVFDEKQQWKFC
+>Q96BH3_PF00040_129 <unknown description>
+CIFPSIYRNNVVSDCMEDESNKLWCPTTENMDKDGKWSFC
+>Q96BH3_PF00040_182 <unknown description>
+CHFPFNYKNKNYFNCTNEGSKENLVWCATSYNYDQDHTWVYC
+>Q14674_PF03568_1722 <unknown description>
+GNTLLLTRLEKDSPPVSVQIPTGQNKLHLRSVLNEFDAIQKAQKENSSCTDKREWWTGRL
+ALDHRMEVLIASLEKSVLGCWKGLLLPSSEEPGPAQEASRLQELLQDCGWKYPDRTLLKI
+MLSGAGALTPQDIQALAYGLCPTQPERAQELLNEAVGRLQGLTVPSNSHLVLVLDKDLQK
+LPWESMPSLQALPVTRLPSFRFLLSYSIIKEYGASPVLSQGVDPRSTFYVLNPHNNLSST
+EEQFRANFSSEAGWRGVVGEVPRPEQVQEALTKHDLYIYAGHGAGARFLDGQAVLRLSCR
+AVALLFGCSSAALAVRGNLEGAGIVLKYIMAGCPLFLGNLWDVTDRDI
+>Q6ZVH7_PF12796_8 <unknown description>
+VAAKDGDVATLERLLEAGALGPGITDALGAGLVHHATRAGHLDCVKFLVQRAQLPGNQRA
+HNGATPAHDAAATGSLAELCWLVREGGCGL
+>Q6ZVH7_PF12796_108 <unknown description>
+LHLAARFGHPVLVEWLLHEGHSATLETREGARPLHHAAVSGDLTCLKLLTAAHGSSVNRR
+TRSGASPLYLACQEGHLHLAQFLVKDCGADVHLR
+>Q6ZVH7_PF12796_243 <unknown description>
+LHFAARGGHTPILDRLLLMGTPILRDSWGGTPLHDAAENGQMECCQTLVSHHVDPSLRDE
+DGYTAADLAEYHGHRDCAQYLRE
+>B1AK53_PF12796_8 <unknown description>
+QAARQGELDVLRSLHAAGLLGPSLRDPLDALPVHHAARAGKLHCLRFLVEEAALPAAARA
+RNGATPAHDASATGHLACLQWLLSQGGCRVQDKD
+>B1AK53_PF12796_108 <unknown description>
+LHLAARFGHPEVVNWLLHHGGGDPTAATDMGALPIHYAAAKGDFPSLRLLVEHYPEGVNA
+QTKNGATPLYLACQEGHLEVTQYLVQECGADPHAR
+>B1AK53_PF12796_210 <unknown description>
+LHAAAQMGHSPVIVWLVSCTDVSLSEQDKDGATAMHFAASRGHTKVLSWLLLHGGEISAD
+LWGGTPLHDAAENGELECCQILVVNGAELDVRD
+>B1AK53_PF02205_648 <unknown description>
+PTGDNSELLAEIKAGKSLKPTPQSKG
+>P03372_PF02159_42 <unknown description>
+VYLDSSKPAVYNYPEGAAYEFNAAAAANAQVYGQTGLPYGPGSEAAAFGSNGLGGFPPLN
+SVSPSPLMLLHPPPQLSPFLQPHGQQVPYYLENEPSGYTVREAGPPAFYRPNSDNRRQGG
+RERLASTNDKGSMAMESAKE
+>P03372_PF00105_184 <unknown description>
+YCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKSCQACRLR
+KCYEVGMMK
+>P03372_PF00104_336 <unknown description>
+PFSEASMMGLLTNLADRELVHMINWAKRVPGFVDLTLHDQVHLLECAWLEILMIGLVWRS
+MEHPGKLLFAPNLLLDRNQGKCVEGMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLN
+SGVYTFLSSTLKSLEEKDHIHRVLDKITDTLIHLMAKAGLTLQQQHQRLAQLLLILSHIR
+HMSNKGMEHLYSMKC
+>P03372_PF12743_552 <unknown description>
+PTSRGGASVEETDQSHLATAGSTSSHSLQKYYITGEAEGFPATV
+>Q92731_PF12497_12 <unknown description>
+SPSSYNCSQSILPLEHGSIYIPSSYVDSHHEYPAMTFYSPAVMNYSIPSNVTNLEGGPGR
+QTTSPNVLWPTPGHLSPLVVHRQLSHLYAEPQKSPWCEARSLEHTLPVNRETLK
+>Q92731_PF00105_148 <unknown description>
+FCAVCSDYASGYHYGVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLR
+KCYEVGMVK
+>Q92731_PF00104_285 <unknown description>
+PSAPFTEASMMMSLTKLADKELVHMISWAKKIPGFVELSLFDQVRLLESCWMEVLMMGLM
+WRSIDHPGKLIFAPDLVLDRDEGKCVEGILEIFDMLLATTSRFRELKLQHKEYLCVKAMI
+LLNSSMYPLVTATQDADSSRKLAHLLNAVTDALVWVIAKSGISSQQQSMRLANLLMLLSH
+VRHASNKGMEHLLNMK
+>Q96DF8_PF09751_34 <unknown description>
+KQRVLDEEEYIEGLQTVIQRDFFPDVEKLQAQKEYLEAEENGDLERMRQIAIKFGSALGK
+MSREPPPPYVTPATFETPEVHAGTGVVGNKPRPRGRGLEDGEAGEEEEKEPLPSLDVFLS
+RYTSEDNASFQEIMEVAKERSRARHAWLYQAEEEFEKRQKDNLELPSAEHQAIESSQASV
+ETWKYKAKNSLMYYPEGVPDEEQLFKKPRQVVHKNTRFLRDPFSQALSRCQLQQAAALNA
+QHKQGKVGPDGKELIPQESPRVGGFGFVATPSPAPGVNESPMMTWGEVENTPLRVEGSET
+PYVDRTPGPAFKILEPGRRERLGLKMANEAAAKNRAKKQEALRRVTENLASLTPKGLSPA
+MSPALQRL
+>Q86US8_PF10374_639 <unknown description>
+VDQILWKNAFYQVIEKFRQLVKDPNVENPEQIRNRLLELLDEGSDFFDSLLQKLQVTYKF
+KLEDYMDGLAIRSKPLRKTVKYALISAQRCMICQGDIARYREQA
+>Q86US8_PF10373_751 <unknown description>
+ARSWYLKAQHIAPKNGRPYNQLALLAVYTRRKLDAVYYYMRSLAASNPILTAKESLMSLF
+EETKRKAEQMEKKQHEEFDLSPDQWRKGKKSTFRHVGDDTTRLEIWIHPSHPRSSQGTES
+GKDSEQENGLGSLSPSDLNKRFILSFLHAHGKLFTRIGMETFPAVAEKVLKEFQVLLQHS
+PSPIGSTRMLQLMTINMFAVHNSQLKDCFSEECRSVIQEQAAALGLAMFSLLVRRCTCLL
+KESAKAQLSSPEDQDDQDDIKVSSFVPDLKELLPSVKVWSDWMLGYPDTWNPPPTSLDLP
+SHVAVDVWSTLADFCNILTAVNQSEVPLYKDPDDDLTLLILEEDRLLSGFVPLL
+>Q86US8_PF13638_1249 <unknown description>
+VPDTNGFIDHLASLARLLESRKYILVVPLIVINELDGLAKGQETDHRAGGYARVVQEKAR
+KSIEFLEQRFESRDSCLRALTSRGNELESIAFRSEDITGQLGNNDDLILSCCLHYCKDKA
+KDFMPASKEEPIRLLREVVLLTDDRNLRVKALTRNVPVRD
+>P23141_PF00135_24 <unknown description>
+PPVVDTVHGKVLGKFVSLEGFAQPVAIFLGIPFAKPPLGPLRFTPPQPAEPWSFVKNATS
+YPPMCTQDPKAGQLLSELFTNRKENIPLKLSEDCLYLNIYTPADLTKKNRLPVMVWIHGG
+GLMVGAASTYDGLALAAHENVVVVTIQYRLGIWGFFSTGDEHSRGNWGHLDQVAALRWVQ
+DNIASFGGNPGSVTIFGESAGGESVSVLVLSPLAKNLFHRAISESGVALTSVLVKKGDVK
+PLAEQIAITAGCKTTTSAVMVHCLRQKTEEELLETTLKMKFLSLDLQGDPRESQPLLGTV
+IDGMLLLKTPEELQAERNFHTVPYMVGINKQEFGWLIPMQLMSYPLSEGQLDQKTAMSLL
+WKSYPLVCIAKELIPEATEKYLGGTDDTVKKKDLFLDLIADVMFGVPSVIVARNHRDAGA
+PTYMYEFQYRPSFSSDMKPKTVIGDHGDELFSVFGAPFLKEGASEEEIRLSKMVMKFWAN
+FARNGNPNGEGLPHWPEYNQKEGYLQIGANTQAAQKLKDKEVAFW
+>O00748_PF00135_31 <unknown description>
+SPIRTTHTGQVLGSLVHVKGANAGVQTFLGIPFAKPPLGPLRFAPPEPPESWSGVRDGTT
+HPAMCLQDLTAVESEFLSQFNMTFPSDSMSEDCLYLSIYTPAHSHEGSNLPVMVWIHGGA
+LVFGMASLYDGSMLAALENVVVVIIQYRLGVLGFFSTGDKHATGNWGYLDQVAALRWVQQ
+NIAHFGGNPDRVTIFGESAGGTSVSSLVVSPISQGLFHGAIMESGVALLPGLIASSADVI
+STVVANLSACDQVDSEALVGCLRGKSKEEILAINKPFKMIPGVVDGVFLPRHPQELLASA
+DFQPVPSIVGVNNNEFGWLIPKVMRIYDTQKEMDREASQAALQKMLTLLMLPPTFGDLLR
+EEYIGDNGDPQTLQAQFQEMMADSMFVIPALQVAHFQCSRAPVYFYEFQHQPSWLKNIRP
+PHMKADHGDELPFVFRSFFGGNYIKFTEEEEQLSRKMMKYWANFARNGNPNGEGLPHWPL
+FDQEEQYLQLNLQPAVGRALKAHRLQFW
+>Q6UWW8_PF00135_33 <unknown description>
+QPEVDTTLGRVRGRQVGVKGTDRLVNVFLGIPFAQPPLGPDRFSAPHPAQPWEGVRDAST
+APPMCLQDVESMNSSRFVLNGKQQIFSVSEDCLVLNVYSPAEVPAGSGRPVMVWVHGGAL
+ITGAATSYDGSALAAYGDVVVVTVQYRLGVLGFFSTGDEHAPGNQGFLDVVAALRWVQEN
+IAPFGGDLNCVTVFGGSAGGSIISGLVLSPVAAGLFHRAITQSGVITTPGIIDSHPWPLA
+QKIANTLACSSSSPAEMVQCLQQKEGEELVLSKKLKNTIYPLTVDGTVFPKSPKELLKEK
+PFHSVPFLMGVNNHEFSWLIPRGWGLLDTMEQMSREDMLAISTPVLTSLDVPPEMMPTVI
+DEYLGSNSDAQAKCQAFQEFMGDVFINVPTVSFSRYLRDSGSPVFFYEFQHRPSSFAKIK
+PAWVKADHGAEGAFVFGGPFLMDESSRLAFPEATEEEKQLSLTMMAQWTHFARTGDPNSK
+ALPPWPQFNQAEQYLEINPVPRAGQKFREAWMQFW
+>Q5XG92_PF00135_26 <unknown description>
+RPQVVTKYGTLQGKQMHVGKTPIQVFLGVPFSRPPLGILRFAPPEPPEPWKGIRDATTYP
+PGCLQESWGQLASMYVSTRERYKWLRFSEDCLYLNVYAPARAPGDPQLPVMVWFPGGAFI
+VGAASSYEGSDLAAREKVVLVFLQHRLGIFGFLSTDDSHARGNWGLLDQMAALRWVQENI
+AAFGGDPGNVTLFGQSAGAMSISGLMMSPLASGLFHRAISQSGTALFRLFITSNPLKVAK
+KVAHLAGCNHNSTQILVNCLRALSGTKVMRVSNKMRFLQLNFQRDPEEIIWSMSPVVDGV
+VIPDDPLVLLTQGKVSSVPYLLGVNNLEFNWLLPYIMKFPLNRQAMRKETITKMLWSTRT
+LLNITKEQVPLVVEEYLDNVNEHDWKMLRNRMMDIVQDATFVYATLQTAHYHRDAGLPVY
+LYEFEHHARGIIVKPRTDGADHGDEMYFLFGGPFATGLSMGKEKALSLQMMKYWANFART
+GNPNDGNLPCWPRYNKDEKYLQLDFTTRVGMKLKEKKMAFW
+>Q6NT32_PF00135_31 <unknown description>
+PQRNTRLGWIQGKQVTVLGSPVPVNVFLGVPFAAPPLGSLRFTNPQPASPWDNLREATSY
+PNLCLQNSEWLLLDQHMLKVHYPKFGVSEDCLYLNIYAPAHADTGSKLPVLVWFPGGAFK
+TGSASIFDGSALAAYEDVLVVVVQYRLGIFGFFTTWDQHAPGNWAFKDQVAALSWVQKNI
+EFFGGDPSSVTIFGESAGAISVSSLILSPMAKGLFHKAIMESGVAIIPYLEAHDYEKSED
+LQVVAHFCGNNASDSEALLRCLRTKPSKELLTLSQKTKSFTRVVDGAFFPNEPLDLLSQK
+AFKAIPSIIGVNNHECGFLLPMKEAPEILSGSNKSLALHLIQNILHIPPQYLHLVANEYF
+HDKHSLTEIRDSLLDLLGDVFFVVPALITARYHRDAGAPVYFYEFRHRPQCFEDTKPAFV
+KADHADEVRFVFGGAFLKGDIVMFEGATEEEKLLSRKMMKYWATFARTGNPNGNDLSLWP
+AYNLTEQYLQLDLNMSLGQRLKEPRVDFW
+>P10768_PF00756_23 <unknown description>
+SVELNCKMKFAVYLPPKAETGKCPALYWLSGLTCTEQNFISKSGYHQSASEHGLVVIAPD
+TSPRGCNIKGEDESWDFGTGAGFYVDATEDPWKTNYRMYSYVTEELPQLINANFPVDPQR
+MSIFGHSMGGHGALICALKNPGKYKSVSAFAPICNPVLCPWGKKAFSGYLGTDQSKWKAY
+DATHLVKSYPGSQLDILIDQGKDDQFLLDGQLLPDNFIAACTEKKIPVVFRLQEGYDHSY
+YFIATFITDHIR
+>Q8N693_PF00046_140 <unknown description>
+RRRRTAFTQFQLQELENFFDESQYPDVVARERLAARLNLTEDRVQVWFQNRRAKWKR
+>Q9BSJ8_PF17047_135 <unknown description>
+DVEKAEWLNKIVAQVWPFLGQYMEKLLAETVAPAVRGSNPHLQTFTFTRVELGEKPLRII
+GVKVHPGQRKEQILLDLNISYVGDVQIDVEVKKYFCKAGVKGMQLHGVLRVILEPLIGDL
+PFVGAVSMFFIRRPTLDINWTGMTNLLDIPGLSSLSDTMIMDSIAAFLVLPNRLLVPLV
+>Q9BSJ8_PF00168_329 <unknown description>
+GIIRIHLLAARGLSSKDKYVKGLIEGKSDPYALVRLGTQTFCSRVIDEELNPQWGETYEV
+MVHEVPGQEIEVEVFDKDPDKDDFLGRMKLDVGKVLQASVLDDWFPL
+>Q9BSJ8_PF00168_479 <unknown description>
+ILVVYLDRAQDLPLKKGNKEPNPMVQLSIQDVTQESKAVYSTNCPVWEEAFRFFLQDPQS
+QELDVQVKDDSRALTLGALTLPLARLLTAPELILDQWFQ
+>Q9BSJ8_PF00168_648 <unknown description>
+VLRIHVLEAQDLIAKDRFLGGLVKGKSDPYVKLKLAGRSFRSHVVREDLNPRWNEVFEVI
+VTSVPGQELEVEVFDKDLDKDDFLGRCKVRLTTVLNSGFLDEWLTL
+>Q9BSJ8_PF00168_800 <unknown description>
+LSIYMERAEDLPLRKGTKHLSPYATLTVGDSSHKTKTISQTSAPVWDESASFLIRKPHTE
+SLELQVRGEGTGVLGSLSLPLSELLVADQLCLDRWFT
+>Q9BSJ8_PF00168_991 <unknown description>
+IVHGCRSLRQNGRDPPDPYVSLLLLPDKNRGTKRRTSQKKRTLSPEFNERFEWELPLDEA
+QRRKLDVSVKSNSSFMSRERELLGKVQLDLAETDLSQGVARWYDL
+>A0FGR9_PF17047_114 <unknown description>
+DVERVEWANKIISQTWPYLSMIMESKFREKLEPKIREKSIHLRTFTFTKLYFGQKCPRVN
+GVKAHTNTCNRRRVTVDLQICYIGDCEISVELQKIQAGVNGIQLQGTLRVILEPLLVDKP
+FVGAVTVFFLQKPHLQINWTGLTNLLDAPGINDVSDSLLEDLIATHLVLPNRVTVPV
+>A0FGR9_PF00168_307 <unknown description>
+VIRVHLLEAEQLAQKDNFLGLRGKSDPYAKVSIGLQHFRSRTIYRNLNPTWNEVFEFMVY
+EVPGQDLEVDLYDEDTDRDDFLGSLQICLGDVMTNRVVDEWFV
+>A0FGR9_PF00168_469 <unknown description>
+RAKKLSRFARNKVSKDPSSYVKLSVGKKTHTSKTCPHNKDPVWSQVFSFFVHNVATERLH
+LKVLDDDQECALGMLEVPLCQIL
+>A0FGR9_PF00168_771 <unknown description>
+LSVLINGCRNLTPCTSSGADPYVRVYLLPERKWACRKKTSVKRKTLEPLFDETFEFFVPM
+EEVKKRSLDVAVKNSRPLGSHRRKELGKVLIDLSKEDLIKGFSQWYEL
+>Q9NY74_PF15350_77 <unknown description>
+YETPKRALKMDSLSSSFSSPNDPDGQNDIFWDQNSPLTKQLGKGRKKQIYTTDSDEISHI
+VNRIAPQDEKPTTNSMLDMWIGETAIPCTPSVAKGKSRAKISCTKLKTQSQEEELMKLAK
+QFDKNMEELDVIQEQNKRNYDFTQMISETEILSNYKDNIQMWSLHNIVPEIDNATKKPIK
+GNTKISVANNQNSSQKPFDQIAEAAFNAIFDGSTQKCSGQLSQELPEAFWSTSNTTFVKT
+NALKEEKIITNETLVIEKLSNKTPRSLSSQVDTPIMTKSCVTSCTKEPETSNKYIDAFTT
+SDFEDDWENLLGSEPFAMQNIDMPELFPSKTAHVTDQKEICTFNSKTVKNTSRANTSPDA
+RLGDSKVLQDLSSKTYDRELIDAEYRFSPNSNKSNKLSTGNKMKFENSSNKIVIQDEIQN
+CIVTSNLTKIKEDILTNSTEASERKSALNTRYSNEQKNKCILNQSIKAPVNTDLFGSANL
+GSKTSVSNPNQTSASKVGSFFDDWNDPSFANEIIKACHQLDNTWEADDVDDDLLYQACDD
+IERLTQQQDIRKDSKTSESICEINNNSEHGAK
+>Q9NY74_PF15350_648 <unknown description>
+KLTQQQDIRKDSKTSESICEINNNSEHGAKNMFAISKQGSNLVQSKHLNPGSISVQTSLT
+NSSQIDKPMKMEKGEMYGNSPRFLGATNLTMYSKISNCQINNLHVSYTNTDVPIQVNSSK
+LVLPGSSSLNVTSDHMNTEITTYKKKLSTNQPCHKTVTDEAQSNLNTTVGFSKFTFTRMK
+NSQILSQFNQNCITGSMSDTKITQGVEKKKGVNPLLEEAVGQQSLVKLSESLKQSSKEEE
+EKNRKCSPEEIQRKRQEALVRRMAKA
+>P13804_PF01012_22 <unknown description>
+TLVIAEHANDSLAPITLNTITAATRLGGEVSCLVAGTKCDKVAQDLCKVAGIAKVLVAQH
+DVYKGLLPEELTPLILATQKQFNYTHICAGASAFGKNLLPRVAAKLEVAPISDIIAIKSP
+DTFVRTIYAGNALCTVKCDEKVKVFSVRGTSFDAAATSGGSASS
+>P13804_PF00766_211 <unknown description>
+ELTGAKVVVSGGRGLKSGENFKLLYDLADQLHAAVGASRAAVDAGFVPNDMQVGQTGKIV
+APELYIAVGISGAIQHLAGMK
+>P38117_PF01012_29 <unknown description>
+VVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKEVIAVSCGPAQCQETIRTALAMGADRGIH
+VEVPPAEAERLGPLQVARVLAKLAEKEKVDLVLLGKQAIDDDCNQTGQMTAGFLDWPQGT
+FASQVTLEGDKLKVEREIDGGLETLRLKLPAVVTADLRLNEPRYATLPNIMKAKKKKIEV
+IKPG
+>Q16134_PF01946_65 <unknown description>
+FAEEADVVIVGAGPAGLSAAVRLKQLAVAHEKDIRVCLVEKAAQIGAHTLSG
+>Q16134_PF05187_512 <unknown description>
+GQISFDLLSSVALSGTNHEHDQPAHLTLRDDSIPVNRNLSIYDGPEQRFCPAGVYEFVPV
+EQGDGFRLQINAQNCVHCKTCDIKDPSQNINWVVPEGGGGPAYN
+>Q6IPR1_PF05347_10 <unknown description>
+EVLKLYKNLLYLGRDYPKGADYFKKRLKNIFLKNKDVKNPEKIKELIAQGEFVM
+>O95571_PF00753_36 <unknown description>
+FTYLLGDRESREAVLIDPVLETAPRDAQLIKELGLRLLYAVNTHCHADHITGSGLLRSLL
+PGCQSVISRLSGAQADLHIEDGDSIRFGRFALETRASPGHTPGCVTFVLNDHSMAFTGDA
+LLIRGCGRTDFQQGCAKTLYHSVHEKIFTLPGDCLIYPAH
+>Q8IXQ9_PF06325_113 <unknown description>
+VVRGKSVLDLGSGCGATAIAAKMSGASRILANDIDPIAGMAITLNCELNRLNPFPILIQN
+ILNLEQDKWDLVV
+>P14921_PF02198_98 <unknown description>
+SGFTKEQQRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMNGAALCALGKDCF
+LELAPDFVGDILWEHLEILQKE
+>P14921_PF19525_192 <unknown description>
+AYPESRYTSDYFISYGIEHAQCVPPSEFSEPSFITESYQTLHPISSEELLSLKYENDYPS
+VILRDPLQTDTLQNDYFAIKQEVVTPDNMCMGRTSRGKLGGQDSFESIESYDSCDRLTQS
+WSSQSSFNSLQRVPSYDSFDSEDYPAALPNHKPKGTFKDYVRDRADLNKDKPVIPAAALA
+GYTG
+>P14921_PF00178_380 <unknown description>
+QLWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRWGKRKNKPKMNYEKLSRGLRY
+YYDKNIIHKTAGKRYVYRFV
+>P15036_PF02198_88 <unknown description>
+SGFKKEQRRLGIPKNPWLWSEQQVCQWLLWATNEFSLVNVNLQRFGMNGQMLCNLGKERF
+LELAPDFVGDILWEHLEQMIKE
+>P15036_PF19525_273 <unknown description>
+PKDHDSPENGADSFESSDSLLQSWNSQSSLLDVQRVPSFESFEDDCSQSLCLNKPTMSFK
+DYIQERSDPVEQGKPVIPAAVLAGFTG
+>P15036_PF00178_364 <unknown description>
+QLWQFLLELLSDKSCQSFISWTGDGWEFKLADPDEVARRWGKRKNKPKMNYEKLSRGLRY
+YYDKNIIHKTSGKRYVYRFV
+>P50549_PF04621_1 <unknown description>
+MDGFYDQQVPYMVTNSQRGRNCNEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE
+AQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSEISSACSQEQPFKFSYGEKCLYN
+VSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNSTHTPKPDRAFPAHLPPSQSIPDSSY
+PMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHNT
+MVGSAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQ
+FYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRG
+>P50549_PF00178_336 <unknown description>
+QLWQFLVALLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRY
+YYEKGIMQKVAGERYVYKFV
+>O00321_PF00178_242 <unknown description>
+QLWQFLLELLHDGARSSCIRWTGNSREFQLCDPKEVARLWGERKRKPGMNYEKLSRGLRY
+YYRRDIVRKSGGRKYTYRF
+>Q6ZN32_PF00178_40 <unknown description>
+QLWHFILELLQKEEFRHVIAWQQGEYGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALR
+YYYNKRILHKTKGKRFTYKF
+>P41162_PF00178_36 <unknown description>
+QLWHFILELLQKEEFRHVIAWQQGEYGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALR
+YYYNKRILHKTKGKRFTYKF
+>P43268_PF04621_7 <unknown description>
+AGYLDQQVPYTFSSKSPGNGSLREALIGPLGKLMDPGSLPPLDSEDLFQDLSHFQETWLA
+EAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHHGEQCLY
+SSAYDPPRQIAIKSPAPGALGQSPLQPFPRAEQRNFLRSSGTSQPHPGHGYLGEHSSVFQ
+QPLDICHSFTSQGGGREPLPAPYQHQLSEPCPPYPQQSFKQEYHDPLYEQAGQPAVDQGG
+VNGHRYPGAGVVIKQEQTDFAYDSDVTGCASMYLHTEGFSGPSPGDGAMGYGYEKPLRPF
+PDDVCVVPEKFEGDIKQEGVGAFREGPPYQRRG
+>P43268_PF00178_342 <unknown description>
+QLWQFLVALLDDPTNAHFIAWTGRGMEFKLIEPEEVARLWGIQKNRPAMNYDKLSRSLRY
+YYEKGIMQKVAGERYVYKFV
+>P41161_PF04621_1 <unknown description>
+MDGFYDQQVPFMVPGKSRSEECRGRPVIDRKRKFLDTDLAHDSEELFQDLSQLQEAWLAE
+AQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHSPSSELSSCSHEQALGANYGEKCLYNY
+CAYDRKPPSGFKPLTPPTTPLSPTHQNPLFPPPQATLPTSGHAPAAGPVQGVGPAPAPHS
+LPEPGPQQQTFAVPRPPHQPLQMPKMMPENQYPSEQRFQRQLSEPCHPFPPQPGVPGDNR
+PSYHRQMSEPIVPAAPPPPQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYC
+VDSEVPNCQSSYMRGGYFSSSHEGFSYEKDPRLYFDDTCVVPERLEGKVKQEPTMYREGP
+PYQRRG
+>P41161_PF00178_369 <unknown description>
+QLWQFLVTLLDDPANAHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRY
+YYEKGIMQKVAGERYVYKFV
+>P41212_PF02198_40 <unknown description>
+ALRMEEDSIRLPAHLRLQPIYWSRDDVAQWLKWAENEFSLRPIDSNTFEMNGKALLLLTK
+EDFRYRSPHSGDVLYELLQHILKQ
+>P41212_PF00178_341 <unknown description>
+LWDYVYQLLSDSRYENFIRWEDKESKIFRIVDPNGLARLWGNHKNRTNMTYEKMSRALRH
+YYKLNIIRKEPGQRLLFRFM
+>Q9Y603_PF02198_47 <unknown description>
+LRIQPALWSREDVLHWLRWAEQEYSLPCTAEHGFEMNGRALCILTKDDFRHRAPSSGDVL
+YELLQYIKTQ
+>Q9Y603_PF00178_226 <unknown description>
+LWDYVYQLLLDTRYEPYIKWEDKDAKIFRVVDPNGLARLWGNHKNRVNMTYEKMSRALRH
+YYKLNIIKKEPGQKLLFRF
+>Q9NYK6_PF06937_1 <unknown description>
+MNEEEQFVNIDLNDDNICSVCKLGTDKETLSFCHICFELNIEGVPKSDLLHTKSLRGHKD
+CFEKYHLIANQGCPRSKLSKSTYEEVKTILSKKINWIVQYAQNKDLDSDSECSKNPQHHL
+FNFRHKPEEKLLPQFDSQVPKYSAKWIDGSAGGISNCTQRILEQRENTDFGLSMLQDSGA
+TLCRNSVLWPHSHNQAQKKEETISSPEANVQTQHPHYSREELNSMTLGEVEQLNAKLLQQ
+IQEVFEELTHQVQEKDSLASQLHVRHVAIEQLLKNCSKLPCLQVGRTGMKS
+>Q9H8M9_PF14851_13 <unknown description>
+EMALLSNILAAYSFVSENPERAALYFVSGVCIGLVLTLAALVIRISCHTDCRRRPGKKFL
+QDRESSSDSSDSEDGSEDTVSDLSVRRHRRFERTLNKNVFTSAEELERAQRLEERERIIR
+EIWMNGQPEVPGTRSLNRYY
+>Q9NVM1_PF14851_7 <unknown description>
+DMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISWAPRPRPRGPAQRR
+DPRSSTLEPEDDDEDEEDTVTRLGPDDTLPGPELSAEPDGPLNVNVFTSAEELERAQRLE
+ERERILREIWRTGQPDLLGTGTLG
+>P58658_PF02140_75 <unknown description>
+LQCPRHSTISVQSAFYGQDYQMCSSQKPASQREDSLTCVAATTFQKVLDECQNQRACHLL
+VNSRVFGPDLCPGSSKYLLVSFKC
+>P58658_PF02140_176 <unknown description>
+LHCHESKFLNIYSATYGRRTQERDICSSKAERLPPFDCLSYSALQVLSRRCYGKQRCKII
+VNNHHFGSPCLPGVKKYLTVTYAC
+>P58658_PF14851_301 <unknown description>
+DGILVSNSLAAFAYIRAHPERAALLFVSSVCIGLALTLCALVIRESCAKDFRDLQLGREQ
+LVPGSDKVEEDSEDEEEEEDPSESDFPGELSGFCRTSYPIYSSIEAAELAERIERREQII
+QEIWMNSGLDTSLPRNMGQFY
+>Q9BZE7_PF05250_5 <unknown description>
+KQMEVVTKGTGFRRRPKTITYTPGTCELLRVMMKESKLTNIQQRHIMDIMKRGDALPLQC
+SPTSSQRVLPSKQIASPIYLPPILAARPHLRPANMCQANGAYSREQFKPQATRDLEKEKQ
+RLQNIFATGKDMEERKRKAPPARQKAPAPELDRFEELVKEIQERKEFLADMEALGQGKQY
+RGIILAEISQKLREMEDIDHRRSEELRKG
+>P22794_PF05399_5 <unknown description>
+MEHTGHYLHLAFLMTTVFSLSPGTKANYTRLWANSTSSWDSVIQNKTGRNQNENINTNPI
+TPEVDYKGNSTNMPETSHIVALTSKSEQELYIPSVVSNSPSTVQSIENTSKSHGEIFKKD
+VCAENNNNMAMLICLIIIAVLFLICTFLFLSTVVLANKVSSLRRSKQVGKRQPRSNGDFL
+ASGLWPAESDTWKRTKQLTGPNLVMQSTGVLTATRERKDEEGTEKLT
+>Q96CN4_PF00566_119 <unknown description>
+HFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLGQEV
+LFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPSMAE
+LGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDIFMY
+EGLEIVFRVGLALLQVNQAELM
+>Q9UI08_PF00568_6 <unknown description>
+QSICQARASVMVYDDTSKKWVPIKPGQQGFSRINIYHNTASNTFRVVGVKLQDQQVVINY
+SIVKGLKYNQATPTFHQWRDARQVYGLNFASKEEATTFSNAM
+>Q9UI08_PF08776_379 <unknown description>
+AFDLDRMKQEILEEVVRELHKVKEEIIDAIRQELS
+>Q92817_PF17902_404 <unknown description>
+PLPQRRNPPQQPLHVDSICDWDSGEVQLLQGERYKLVDNTDPHAWVVQGPGGETKRAPAA
+CFCIP
+>Q92817_PF00681_1686 <unknown description>
+ISILEPETGKDMSPYEAYKRGIIDRGQYLQL
+>Q92817_PF00681_1858 <unknown description>
+LLEAQAATGGIVDLLSRERYSVHKAMERGLIE
+>Q92817_PF00681_1896 <unknown description>
+LLNAQKAFTGIEDPVTKKRLSVGEAVQKGWMPRE
+>Q92817_PF00681_1935 <unknown description>
+LQVQHLTGGLIDPKRTGRIPIQQALLSGMISEELAQLL
+>P49640_PF00046_184 <unknown description>
+RRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKR
+>Q03828_PF00046_189 <unknown description>
+RRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKR
+>Q01844_PF00076_363 <unknown description>
+IYVQGLNDSVTLDDLADFFKQCGVVKMNKRTGQPMIHIYLDKETGKPKGDATVSYEDPPT
+AKAAVEWFDGKDFQGSKLK
+>Q01844_PF00641_518 <unknown description>
+RAGDWQCPNPGCGNQNFAWRTECNQCKAPKP
+>Q86VI1_PF06046_188 <unknown description>
+FQGLDLLFEALGQAVEAAAGAAGKLAREDPALLVAAVRVAEVETGRTTPLGQVPRDWRQR
+CLRALQEGLEQAHFGSPLLPAPGALPGWLEALRVALPVELATAEALVAPCCPPQYNVVQL
+WAHTLHSGLRRSLQNLLAGPELEAADAFALLHWALHVYLGQEMMGSLELGPEADVSQLEP
+LLTLENIEQLEATFVANIQASVSQWLQNALDGEVAEWGREHGPNTDPSGSYYSPMPAIVL
+QILEENIRVASLVSESLQQRVHGMALSELGTFLRSFSDALIRFSRDHFRGKSMAPHYVPY
+LLAALNHKSALSSSVSVLQLDGAPSGALAPVEAALDELQRRIYRLVLEALQAELQPLFAD
+LPSRQWLSSPELLQSVCERTGRFCRDFWRVRNPTVQLLLAEAERAVVLQYLSALMQGRLV
+CRGADERTQAAERLRHDAAQLQQLFLSLGLEENAHCAPVLLALRELLNLRDPALLGLEVA
+GLRQQFPDVSEDHVSALLGLRGDLSREQHLAALSSLQAALPPSPRASRRVLFSL
+>Q17RC7_PF06046_180 <unknown description>
+MDVCLLYDGLAAEIGAIVRETLDSDGVDAAALAELARVVSAEEEAHPSPPDDGDFLRTPR
+RWRQHWEEAVRRSAQERVRRPGAGWAFGEAEGASGLAQLLAELGGLVRRDLQKVRQEVQP
+AYAAAGFPAWEVYLRAFHSAVAQRLQELARDARGCEQLYILLDWAANVYGSPDFLGAPGL
+ALPAEPLPPLLAPDVWARLESDYTSFLEAKIASCFDSILQLEQSHWAAAEVPEVLQGLYQ
+APLSMDVHMLVAEHVKAAGAISAELEATTLRICTRALGLFVPRFEKAFLASEAVSEPHLG
+AYINACEELRTSLLSRFPGTQEELEKPLVTATCSFQKHLLQGLQRELQPLFRVVCTRDWL
+TQDWLHPLMDKVVTFAGHLQRVARPRAQETLQEVHRFVVREYLARALRPRERFRGMERMH
+GSQKMSLDAQAISDTFQGLGSEATWLDQAIQCVAEILGETYKDDIQRHLETLIRSYPDIR
+RDHILAILALRRLGRQRNQHLLQHTQDLLRAAAGAAGAEAPRGRVLFEE
+>A0A1B0GW35_PF15277_33 <unknown description>
+DRYYLCVSVTKKEEVKIVMVKHYRIGLDEKYEVTKKWSLNDLQMIDGKEADTDNPFFDLH
+FKKVYSLEAYSCASKYAFARTVNKLNH
+>Q9Y2D4_PF04091_465 <unknown description>
+YKKVVGQFPFQDIELEKQPFPKKFPFSEFVPKVYNQIKEFIYACLKFSEDLHLSSTEVDD
+MIRKSTNLLLTRTLSNSLQNVIKRKNIGLTELVQIIINTTHLEKSCKYLEEFITNITNVL
+PETVHTTKLYGTTTFKDARHAAEEEIYTNLNQKIDQFLQLADYDWMTGDLGNKASDYLVD
+LIAFLRSTFAVFTHLPGKVAQTACMSACKHLATSLMQLLLEAEVRQLTLGALQQFNLDVR
+ECEQFARSGPVPGFQEDTLQLAFIDLRQLLDLFIQWDWSTYLADYGQPNCKYLRVNPVTA
+LTLLEKM
+>Q8NHP7_PF01612_145 <unknown description>
+KFGAAILHIKKQNVLSVAAEGANVCRHGKLCWLQVATNCRVYLFDIFLLGSRAFHNGLQM
+ILEDKRILKVIHDCRWLSDCLSHQYGILLNNVFDTQVADVLQFSMETGGYLPNCITTLQE
+SLIKHLQVAPKYLSFLEKRQK
+>Q9NVH0_PF01612_97 <unknown description>
+SELEDFPVLGIDCEWVNLEGKASPLSLLQMASPSGLCVLVRLPKLICGGKTLPRTLLDIL
+ADGTILKVGVGCSEDASKLLQDYGLVVRGCLDLRYLAMRQRNNLLCNGLSLKSLAETVLN
+FPLDKSLLLRCSNWDAETLTEDQVIYAARDAQISVALFLHL
+>Q9UQ84_PF00752_1 <unknown description>
+MGIQGLLQFIKEASEPIHVRKYKGQVVAVDTYCWLHKGAIACAEKLAKGEPTDRYVGFCM
+KFVNMLLSHGIKPILVFDGCTLPSKKEVERSRRERRQA
+>Q9UQ84_PF00867_141 <unknown description>
+GVDCLVAPYEADAQLAYLNKAGIVQAIITEDSDLLAFGCKKVILKMDQFGNGLEIDQARL
+GMCRQLGDVFTEEKFRYMCILSGCD
+>Q9H790_PF09810_71 <unknown description>
+SPMERFHLKYLYVTDLATQNWCELQTAYGKELPGFLAPEKAAVLDTGASIHLARELELHD
+LVTVPVTTKEDAWAIKFLNILLLIPTLQSEGHIREFPVFGEGEGVLLVGVIDELHYTAKG
+E
+>Q9H790_PF09810_285 <unknown description>
+PVIDILKIEYIHQETATVLGTEIVAFKEKEVRAKVQHYMAYWMGHREPQGVDVEEAWKCR
+TCTYADICEWR
+>Q9NV70_PF15277_31 <unknown description>
+KKKKNCFLCATVTTERPVQVKVVKVKKSDKGDFYKRQIAWALRDLAVVDAKDAIKENPEF
+DLHFEKIYKWVASSTAEKNAFISCIWKLNQR
+>Q9NV70_PF09763_184 <unknown description>
+NAEAFAEKLSRELQVLDGANIQSIMASEKQVNILMKLLDEALKEVDQIELKLSSYEEMLQ
+SVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEGDLASSRGIEA
+CTNAADALLQCMNVALRPGHDLLLAVKQQQQRFSDLRELFARRLASHLNNVFVQQGHDQS
+STLAQHSVELTLPNHHPFHRDLLRYAKLMEWLKSTDYGKYEGLTKNYMDYLSRLYEREIK
+DFFEVAKIKMTGTTKESKKFATLPRKESAVKQETESLHGSSGKLTGSTSSLNKLSVQSSG
+NRRSQSSSLLDMGNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQDFISKFFKLQQHQ
+SMPGTMAEAEDLDGGTLSRQHNCGTPLPVSSEKDMIRQMMIKIFRCIEPELNNLIALGDK
+IDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISNQIRQMEEVKI
+SKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFVNVEKVANESQKT
+PRDVVMMENFHHIFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYSLGQPLEKLNHFFE
+GVEARVAQGIREEEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYKKVDKHLCEEENLL
+QVVWHSMQDEFIRQYKHFEGLIARCYPG
+>Q96KP1_PF01833_8 <unknown description>
+PLVTGISPNEGIPWTKVTIRGENLGTGPTDLIGLTICGHNCLLTAEWMSASKIVCRVGQA
+KNDKGDIIVTTKSGGRGTSTVS
+>Q96KP1_PF15469_133 <unknown description>
+NPLGIEIEKSKFSQKDLEMLFHGMSADFTSENFSAAWYLIENHSNTSFEQLKMAVTNLKR
+QANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQKLENVLNRASNT
+ADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIERNIQKGDYDVVINDYEKAKSL
+FGKTEVQVFKKYYAEVETRIEALRELLLDKLLETPSTLHDQKRYIRYLSDLHASGDPAWQ
+CIGAQHKWILQLMHSCKEGYVKDLKGNPGLHSPMLDLDNDTRPSVLGHLSQTASLKRGSS
+FQSGRDDTWRYKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFSETAEKSGQIERS
+KNVRQRQNDFKKMIQEVMHSLVKLTRGALLPLSIRDGEAKQYGGWEVKCELSGQWLAHAI
+QTVRLTHESLTALEIPNDLLQTIQDLILDLRVRCVMATLQHTAEEIKRLAEKEDWIVDNE
+GLTSLPCQFEQCIVCSLQSLKGVLECKPGEASVFQQPKTQEEVCQLSINIMQVFIYCLEQ
+LSTKPDADIDTTHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCYLERHTFLNIAEH
+FEKHNFQGIEKITQVSMASLKELDQRLFENYIELKADPIVGSLEPGIYAGYFDWKDCLPP
+TGVRNYLKEALVNIIAVHAEVFTISKELVPRVLSKVIEAVSEELSRLMQCVSSFSKNGAL
+QARLEICALRDTVAVYLTPESKSSFKQALEALPQLSSGADKKLLEELLNKFKSSMHLQLT
+CF
+>O60645_PF06046_178 <unknown description>
+GSTQGLSDELAKQLWMVLQRSLVTVRRDPTLLVSVVRIIEREEKIDRRILDRKKQTGFVP
+PGRPKNWKEKMFTILERTVTTRIEGTQADTRESDKMWLVRHLEIIRKYVLDDLIVAKNLM
+VQCFPPHYEIFKNLLNMYHQALSTRMQDLASEDLEANEIVSLLTWVLNTYTSTEMMRNVE
+LAPEVDVGTLEPLLSPHVVSELLDTYMSTLTSNIIAWLRKALETDKKDWVKETEPEADQD
+GYYQTTLPAIVFQMFEQNLQVAAQISEDLKTKVLVLCLQQMNSFLSRYKDEAQLYKEEHL
+RNRQHPHCYVQYMIAIINNCQTFKESIVSLKRKYLKNEVEEGVSPSQPSMDGILDAIAKE
+GCSGLLEEVFLDLEQHLNELMTKKWLLGSNAVDIICVTVEDYFNDFAKIKKPYKKRMTAE
+AHRRVVVEYLRAVMQKRISFRSPEERKEGAEKMVREAEQLRFLFRKLASGFGEDVDGYCD
+TIVAVAEVIKLTDPSLLYLEVSTLVSKYPDIRDDHIGALLAVRGDASRDMKQTIMETLEQ
+GPAQASPSYVPLF
+>Q96A65_PF04048_45 <unknown description>
+GRLEEAYEKCDRDLDELIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLL
+HCKRDELRKLWIEGIEHKHVLNLLDEIENIKQVPQKLEQ
+>O00471_PF07393_90 <unknown description>
+QVAFQHFQELDEHISYVATKVCHLGDQLEGVNTPRQRAVEAQKLMKYFNEFLDGELKSDV
+FTNSEKIKEAADIIQKLHLIAQELPFDRFSEVKSKIASKYHDLECQLIQEFTSAQRRGEI
+SRMREVAAVLLHFKGYSHCVDVYIKQCQEGAYLRNDIFEDAGILCQRVNKQVGDIFSNPE
+TVLAKLIQNVFEIKLQSFVKEQLEECRKSDAEQYLKNLYDLYTRTTNLSSKLMEFNLGTD
+KQTFLSKLIKSIFISYLENYIEVETGYLKSRSAMILQRYYDSKNHQKRSIGTGGIQDLKE
+RIRQRTNLPLGPSIDTHGETFLSQEVVVNLLQETKQAFERCHRLSDPSDLPRNAFRIFTI
+LVEFLCIEHIDYALETGLAGIPSSDSRNANLYFLDVVQQANTIFHLFDKQFNDHLMPLIS
+SSPKLSECLQKKKEIIEQMEMKLDTGIDRTLNCMIGQMKHILAAEQKKTDFKPEDENNVL
+IQYTNACVKVCAYVRKQVEKIKNSMDGKNVDTVLMELGVRFHRLIYEHLQQYSYSCMGGM
+LAICDVAEYRKCAKDFKIPMVLHLFDTLHALCNLLVVAPDNLKQVCSGEQLANLDKNILH
+SFVQLRADYRSARLARH
+>Q8TAG9_PF04091_456 <unknown description>
+YKIVISKFPFQDPDLEKQSFPKKFPMSQSVPHIYIQVKEFIYASLKFSESLHRSSTEIDD
+MLRKSTNLLLTRTLSSCLLNLIRKPHIGLTELVQIIINTTHLEQACKYLEDFITNITNIS
+QETVHTTRLYGLSTFKDARHAAEGEIYTKLNQKIDEFVQLADYDWTMSEPDGRASGYLMD
+LINFLRSIFQVFTHLPGKVAQTACMSACQHLSTSLMQMLLDSELKQISMGAVQQFNLDVI
+QCELFASSEPVPGFQGDTLQLAFIDLRQLLDLFMVWDWSTYLADYGQPASKYLRVNPNTA
+LTLLEKM
+>Q9UPT5_PF03081_314 <unknown description>
+IHCVSAFVKLAQSEYQLLADIIPEHHQKKTFDSLIQDALDGLMLEGENIVSAARKAIVRH
+DFSTVLTVFPILRHLKQTKPEFDQVLQGTAASTKNKLPGLITSMETIGAKALEDFADNIK
+NDPDKEYNMPKDGTVHELTSNAILFLQQLLDFQETAGAMLASQETSSSATSYSSEFSKRL
+LSTYICKVLGNLQLNLLSKSKVYEDPALSAIFLHNNYNYILKSLEKSELIQLVAVTQKTA
+ERSYREHIEQQIQTYQRSWLKVTDYIAEKNLPVFQPGVKLRDKERQIIKERFKGFNDGLE
+ELCKIQKAWAIPDTEQRDRIRQAQKTIVKETYGAFLQKFGSVPFTKNPEKYIKYGVEQVG
+DMID
+>Q8IYI6_PF08700_17 <unknown description>
+LESGGFEARLYVKQLSQQSDGDRDLQEHRQRIQALAEETAQNLKRNVYQNYRQFIETARE
+ISYLESEMYQLSHLLTEQKSSLESI
+>Q8IYI6_PF16528_335 <unknown description>
+EWIQELPEDLDVCIAQRDFEGAVDLLDKLNHYLEDKPSPPPVKELRAKVEERVRQLTEVL
+VFELSPDRSLRGGPKATRRAVSQLIRLGQCTKACELFLRNRAAAVHTAIRQLRIEGATLL
+YIHKLCHVFFTSLLETAREFEIDFAGTDSGCYSAFVVWARSAMGMFVDAFSKQVFDSKES
+LSTAAECVKVAKEHCQQLGDIGLDLT
+>Q9Y2C4_PF01223_62 <unknown description>
+LEQFGFPLTGTEARCYTNHALSYDQAKRVPRWVLEHISKSKIMGDADRKHCKFKPDPNIP
+PTFSAFNEDYVGSGWSRGHMAPAGNNKFSSKAMAETFYLSNIVPQDFDNNSGYWNRIEMY
+CRELTERFEDVWVVSGPLTLPQTRGDGKKIVSYQVIGEDNVAVPSHLYKVILARRSSVST
+EPLALGAFVVPNEAIGFQPQLTEFQVSLQDLEKLSGLVFFPHLD
+>Q9Y2C4_PF18026_302 <unknown description>
+LDFQEFTLYLSTRKIEGARSVLRLEKIMENLKNAEIEPDDYFMSRYEKK
+>Q9Y3B2_PF14382_8 <unknown description>
+CIPGERLCNLEEGSPGSGTYTRHGYIFSSLAGCLM
+>Q9Y3B2_PF10447_95 <unknown description>
+LKNSFRGTIRKEDVRATEKDKVEIYKSFRPGDIVLAKVISL
+>Q13868_PF14382_26 <unknown description>
+LVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSVERV
+>Q13868_PF15985_169 <unknown description>
+GVLVQVSPSLVKRQKTHFHDLPCGASVILGNNGFIWIYPT
+>Q9NQT5_PF15985_197 <unknown description>
+GLLFKVTLGLIRKLLAPDCEIIQEVGKLYPLEIVFGMNGRIWVKAKTI
+>Q9NPD3_PF01138_21 <unknown description>
+ELRKIQARMGVFAQADGSAYIEQGNTKALAVVYGPHEIRGSRARALPDRALVNCQYSSAT
+FSTGERKRRPHGDRKSCEMGLQLRQTFEAAILTQLHPRSQIDIYVQVLQADGGTYAACVN
+AATLAVLDAGIP
+>Q9NPD3_PF03725_156 <unknown description>
+FVCACSAGFVDGTALADLSHVEEAAGGPQLALALLPASGQIALLEMDARLHEDHLERVLE
+AAAQ
+>Q9NQT4_PF01138_28 <unknown description>
+LRHFACEQNLLSRPDGSASFLQGDTSVLAGVYGPAEVKVSKEIFNKATLEVILRPKIGLP
+GVAEKSRERLIRNTCEAVVLGTLHPRTSITVVLQVVSDAGSLLACCLNAACMALVDAGVP
+>Q9NQT4_PF03725_151 <unknown description>
+LFCGVACALDSDGTLVLDPTSKQEKEARAVLTFALDSVERKLLMSSTKGLYSDTELQQCL
+AAAQ
+>Q5RKV6_PF01138_36 <unknown description>
+LRPVYARAGLLSQAKGSAYLEAGGTKVLCAVSGPRQAEGGERGGGPAGAGGEAPAALRGR
+LLCDFRRAPFAGRRRRAPPGGCEERELALALQEALEPAVRLGRYPRAQLEVSALLLEDGG
+SALAAALTAAALALADAGVE
+>Q15024_PF01138_32 <unknown description>
+YRCVEVETDVVSNTSGSARVKLGHTDILVGVKAEMGTPKLEKPNEGYLEFFVDCSASATP
+EFEGRGGDDLGTEIANTLYRIFNNKSSVDLKTLCISPREHCWVLYVDVLLLECGGNLFDA
+ISIAVKAALFNTRIP
+>Q15024_PF03725_197 <unknown description>
+VPCIVTLCKIGYRHVVDATLQEEACSLASLLVSVTSKGVVTCMRKVGKGSLDPESIFEMM
+ETGKR
+>Q96B26_PF01138_31 <unknown description>
+EFRTTTVNIGSISTADGSALVKLGNTTVICGVKAEFAAPSTDAPDKGYVVPNVDLPPLCS
+SRFRSGPPGEEAQVASQFIADVIENSQIIQKEDLCISPGKLVWVLYCDLICLDYDGNILD
+ACTFALLAALKNVQLP
+>Q96B26_PF03725_193 <unknown description>
+PVATSFAVFDDTLLIVDPTGEEEHLATGTLTIVMDEEGKLCCLHKPGGSGLTGAKLQDCM
+SRA
+>Q06265_PF01138_32 <unknown description>
+YRNIRISFGTDYGCCIVELGKTRVLGQVSCELVSPKLNRATEGILFFNLELSQMAAPAFE
+PGRQSDLLVKLNRLMERCLRNSKCIDTESLCVVAGEKVWQIRVDLHLLNHDGNIIDAASI
+AAIVALCHFRRP
+>Q06265_PF03725_189 <unknown description>
+HMPICVSFAFFQQGTYLLVDPNEREERVMDGLLVIAMNKHREICTIQSSGGIMLLKDQVL
+RCSKIA
+>Q01780_PF08066_44 <unknown description>
+VAVTKASGGLPQFGDEYDFYRSFPGFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKV
+TELEDKFDLLVDANDVILERVGILLDEASG
+>Q01780_PF01612_289 <unknown description>
+HFISSLDELVELNEKLLNCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIIDTLELRSD
+MYILNESLTDPAIVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLGRHSLDHLLK
+LYCNVDSNKQYQLADWRIRPLPEEMLSYARDDTHYLLYIYDKMRLEM
+>Q01780_PF00570_506 <unknown description>
+QLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKIAEELPKEPQGIIACCNPVPPLVRQQ
+INEMHLL
+>Q16394_PF03016_111 <unknown description>
+KNGFKVYVYPQQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQY
+VHNLRSKVQSLHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNF
+DVSIPLFSKDHPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGE
+DVVLLTTCKHGKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEA
+LQAACVPVMLSNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRS
+>Q16394_PF09258_480 <unknown description>
+FTAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATAVPVVVI
+EGESKVMSSRFLPYDNIITDAVLSLDEDTVLSTTEVDFAFTVWQSFPERIVGYPARSHFW
+DNSKERWGYTSKWTNDYSMVLTGAAIYHKYYHYLYSHYLPASLKNMVDQLANCEDILMNF
+LVSAVTKLPPIKVTQKKQYKETMMGQTSRASRWADPDHFAQRQSCMNTFASWFGYMPLIH
+SQMRLDPVLF
+>Q93063_PF03016_101 <unknown description>
+KNKIKVYIYALKKYVDDFGVSVSNTISREYNELLMAISDSDYYTDDINRACLFVPSIDVL
+NQNTLRIKETAQAMAQLSRWDRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTW
+TYRQGYDVSIPVYSPLSAEVDLPEKGPGPRQYFLLSSQVGLHPEYREDLEALQVKHGESV
+LVLDKCTNLSEGVLSVRKRCHKHQVFDYPQVLQEATFCVVLRGARLGQAVLSDVLQAGCV
+PVVIADSYILPFSEVLDWKRASVVVPEEKMSDVYSILQSI
+>Q93063_PF09258_456 <unknown description>
+FTAIVLTYDRVESLFRVITEVSKVPSLSKLLVVWNNQNKNPPEDSLWPKIRVPLKVVRTA
+ENKLSNRFFPYDEIETEAVLAIDDDIIMLTSDELQFGYEVWREFPDRLVGYPGRLHLWDH
+EMNKWKYESEWTNEVSMVLTGAAFYHKYFNYLYTYKMPGDIKNWVDAHMNCEDIAMNFLV
+ANVTGKAVIKVTPRKKFKCPECTAIDGLSLDQTHMVERSECINKFASVFGTMPLKVVEHR
+ADPVLY
+>Q92935_PF03016_96 <unknown description>
+LKVFVYPAVGTISETHRRILASIEGSRFYTFSPAGACLLLLLSLDAQTGECSSMPLQWNR
+GRNHLVLRLHPAPCPRTFQLGQAMVAEASPTVDSFRPGFDVALPFLPEAHPLRGGAPGQL
+RQHSPQPGVALLALEEERGGWRTADTGSSACPWDGRCEQDPGPGQTQRQETLPNATFCLI
+SGHRPEAASRFLQALQAGCIPVLLSPRWELPFSEVIDWTKAAIVADERLPLQVLAALQ
+>Q92935_PF09258_419 <unknown description>
+FSALIWVGPPGQPPLKLIQAVAGSQHCAQILVLWSNERPLPSRWPETAVPLTVIDGHRKV
+SDRFYPYSTIRTDAILSLDARSSLSTSEVDFAFLVWQSFPERMVGFLTSSHFWDEAHGGW
+GYTAERTNEFSMVLTTAAFYHRYYHTLFTHSLPKALRTLADEAPTCVDVLMNFIVAAVTK
+LPPIKVPYGKQRQEAAPLAPGGPGPRPKPPAPAPDCINQIAAAFGHMPLLSSRLRLDPVL
+F
+>Q9UBQ6_PF09258_66 <unknown description>
+FTLIMQTYNRTDLLLKLLNHYQAVPNLHKVIVVWNNIGEKAPDELWNSLGPHPIPVIFKQ
+QTANRMRNRLQVFPELETNAVLMVDDDTLISTPDLVFAFSVWQQFPDQIVGFVPRKHVST
+SSGIYSYGSFEMQAPGSGNGDQYSMVLIGASFFNSKYLELFQRQPAAVHALIDDTQNCDD
+IAMNFIIAKHIGKTSGIFVKPVNMDNLEKETNSGYSGMWHRAEHALQRSYCINKLVNIYD
+SMPLRYSNIMISQFGF
+>O43909_PF03016_191 <unknown description>
+TSGFPVYVYDSDQFVFGSYLDPLVKQAFQATARANVYVTENADIACLYVILVGEMQEPVV
+LRPAELEKQLYSLPHWRTDGHNHVIINLSRKSDTQNLLYNVSTGRAMVAQSTFYTVQYRP
+GFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEARSFEEEMEGD
+PPADYDDRIIATLKAVQDSKLDQVLVEFTCKNQPKPSLPTEWALCGEREDRLELLKLSTF
+ALIITPGDPRLVISSGCATRLFEALEVGAVPVVLGEQVQLPYQDMLQWNEAALVVPKPRV
+TEVHFLLRSL
+>O43909_PF09258_663 <unknown description>
+FTVVMLTYEREEVLMNSLERLNGLPYLNKVVVVWNSPKLPSEDLLWPDIGVPIMVVRTEK
+NSLNNRFLPWNEIETEAILSIDDDAHLRHDEIMFGFRVWREARDRIVGFPGRYHAWDIPH
+QSWLYNSNYSCELSMVLTGAAFFHKYYAYLYSYVMPQAIRDMVDEYINCEDIAMNFLVSH
+ITRKPPIKVTSRWTFRCPGCPQALSHDDSHFHERHKCINFFVKVYGYMPLLYTQFRVDSV
+LF
+>O00167_PF00702_269 <unknown description>
+RVFVWDLDETIIIFHSLLTGTFASRYGKDTTTSVRIGLMMEEMIFNLADTHLFFNDLEDC
+DQIHVDDVSSDDNGQDLSTYNFSADGFHSSAPGANLCLGSGVHGGVDWMRKLAFRYRRVK
+EMYNTYKNNVGGLIGTPKRETWLQLRAELEALTDLWLTHSLKALNLINSRPNCVNVLVTT
+TQLIPALAKVLLYGLGSVFPIENIYSATKTGKESCFERIMQRFGRKAVYVVIGDGVEEEQ
+GAKK
+>O95677_PF00702_370 <unknown description>
+RVFVWDLDETIIVFHSLLTGSYAQKYGKDPPMAVTLGLRMEEMIFNLADTHLFFNDLEEC
+DQVHIDDVSSDDNGQDLSTYSFATDGFHAAASSANLCLPTGVRGGVDWMRKLAFRYRRVK
+ELYNTYKNNVGGLLGPAKRDAWLQLRAEIEGLTDSWLTNALKSLSIISTRSNCINVLVTT
+TQLIPALAKVLLYSLGGAFPIENIYSATKIGKESCFERIMQRFGRKVVYVVIGDGVEEEQ
+AAKKH
+>Q5T1H1_PF00008_183 <unknown description>
+CSGHGKCLSEAWSKTYSCHCQPPFSGKY
+>Q5T1H1_PF00008_685 <unknown description>
+CASHPCKNGATCIDQPGNYFCQCVPPF
+>Q5T1H1_PF12661_742 <unknown description>
+CEHNSTCKDLHLSYQCVCLSDW
+>Q5T1H1_PF00008_775 <unknown description>
+CKMNPCKNNSTCTDLYKSYRCECTSGWTGQ
+>Q5T1H1_PF12661_899 <unknown description>
+CQDYGDCEDMVNNFRCICRPGF
+>Q5T1H1_PF00008_932 <unknown description>
+CSSEPCKNNGTCVDLTNRFFCNCEPEYHGP
+>Q5T1H1_PF00008_1008 <unknown description>
+CLSEPCLHDGVCIDGINHYTCDCKSGFFGT
+>Q5T1H1_PF00008_1083 <unknown description>
+CTSIPCMNEGFCQKSAHGFTCICPRGYTGAY
+>Q5T1H1_PF00008_1127 <unknown description>
+NSVICLNGGICVDGPGHTFDCRCLPGFSGQ
+>Q5T1H1_PF12661_1170 <unknown description>
+CLHGADCEDHINGYVCKCQPGW
+>Q5T1H1_PF02210_1911 <unknown description>
+FQTFSSYGLLLYVKQDSNLVDGFFIQLFIENGTLKYHFYCPGEAKFKSINTTVRVDNGQK
+YTLLIRQELDPCNAELTILGRNTQICESINHVLGKPLPKSGSVFIGGFPDLHGKIQMPVP
+VKNFTGCIEVIEINN
+>Q5T1H1_PF00008_2103 <unknown description>
+CQQDVCHNGGTCHAIFLSSGIVSFQCDCPLHFTGR
+>Q5T1H1_PF02210_2179 <unknown description>
+IKTNSLNGTILYSNGNNCGKQFLHLFLVEGRPSVKYGCGNSQNILTVSANYSINTNAFTP
+ITIRYTTPVGSPGVVCMIEMTADGKPPVQKKDTEISHASQAYFESMFLGHIPANVQIHKK
+AGPVYGFRGCILDLQVNNK
+>Q5T1H1_PF02210_2460 <unknown description>
+NLIFFTGQKGHGLNGDDFLAVGLLNGSVVYSYNLGSGIASIRSEPLNLSLGVHTVHLGKF
+FQEGWLKVDDHKNKSIIAPGRLVGLNVFSQFYVGGYSEYTPDLLPNGADFKNGFQGCIFT
+LQV
+>Q5T1H1_PF00008_2614 <unknown description>
+CSLMKCGNGGTCIESGTSVYCNCTTGWKGS
+>Q5T1H1_PF00008_2652 <unknown description>
+CDPEHDPPHHCSRGATCISLPHGYTCFCPLGTTGIY
+>Q5T1H1_PF02210_2724 <unknown description>
+FQPLAADGILFYAAQHLKAQSGDFLCISLVNSSVQLRYNLGDRTIILETLQKVTINGSTW
+HIIKAGRVGAEGYLDLDGINVTEKASTKMSSLDTNTDFYIGGVSSLNLVNPMAIENEPVG
+FQGCIRQVIINNQ
+>Q5T1H1_PF12661_2884 <unknown description>
+CRNGGECTVNGTTFSCRCLPDW
+>Q5T1H1_PF02210_2986 <unknown description>
+FSTTKTEGLIVWMGIAQNEENDFLAIGLHNQTLKIAVNLGERISVPMSYNNGTFCCNKWH
+HVVVIQNQTLIKAYINNSLILSEDIDPHKNFVALNYDGICYLGGFEYGRKVNIVTQEIFK
+TNFVGKIKDVVF
+>Q92800_PF11616_39 <unknown description>
+KALYVANFAKVQEKTQILNEEWKKLRVQPV
+>Q92800_PF18118_159 <unknown description>
+HGEEEMIPGSVLISDAVFLELVDALNQYSDEEEEGHNDTSDGKQDDSKEDLPVTRKRKRH
+AIEGNKKSSKKQFPNDMIFSAIASMFPENGVPDDMKERYRELTE
+>Q92800_PF18264_560 <unknown description>
+GCRCKTQCNTKQCPCYLAVRECDPDLCLTCGA
+>Q92800_PF00856_624 <unknown description>
+GWGTFIKESVQKNEFISEYCGELISQDEADRRGKVYDKYMSSFLFNLNNDFVVDATRKGN
+KIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAGEELFFDY
+>Q15910_PF11616_39 <unknown description>
+KSMFSSNRQKILERTEILNQEWKQRRIQPV
+>Q15910_PF18118_158 <unknown description>
+HGDRECGFINDEIFVELVNALGQYNDDDDDDDGDDPEEREEKQKDLEDHRDDKESRPPRK
+FPSDKIFEAISSMFPDKGTAEELKEKYKELTE
+>Q15910_PF18264_564 <unknown description>
+GCRCKAQCNTKQCPCYLAVRECDPDLCLTCGA
+>Q15910_PF00856_628 <unknown description>
+GWGIFIKDPVQKNEFISEYCGEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGN
+KIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRAIQTGEELFFDY
+>P15311_PF09379_9 <unknown description>
+VTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWYFGLHYVDNKGFPTWLKLDKKVSAQ
+>P15311_PF00373_93 <unknown description>
+LIQDITQKLFFLQVKEGILSDEIYCPPETAVLLGSYAVQAKFGDYNKEVHKSGYLSSERL
+IPQRVMDQHKLTRDQWEDRIQVWHAEHRGMLKDNAMLEYLKIAQDLEMYGINYF
+>P15311_PF09380_210 <unknown description>
+NKKGTDLWLGVDALGLNIYEKDDKLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVF
+YAPRLRINKRILQLCMGNHELYMRRRKPD
+>P15311_PF00769_337 <unknown description>
+KEQMMREKEELMLRLQDYEEKTKKAERELSEQIQRALQLEEERKRAQEEAERLEADRMAA
+LRAKEELERQAVDQIKSQEQLAAELAEYTAKIALLEEARRRKEDEVEEWQHRAKEAQDDL
+VKTKEELHLVMTAPPPPPPPVYEPVSYHVQESLQDEGAEPTGYSAELSSEGIRDDRNEEK
+RITEAEKNERVQRQLLTLSSELSQARDENKRTHNDIIHNENMRQGRDKYKTLRQIRQGNT
+KQRIDEFEAL
+>Q5T9C2_PF10358_6 <unknown description>
+KKKKFKFQTTFTLEELTAVPFVNGVLFCKVRLLDGGDFVSLSSREEVQENCVRWRKRFTF
+VCKMSANPATGLLDPCVFRVSVRKELKGGKAYSKLGFADLNLAEFAGSGSTVRCCLLEGY
+DTKNTRQDNSILKVTIGMFLLSGDPCF
+>Q5T8I3_PF10358_3 <unknown description>
+KKKKFKFKVDFELEELSSVPFVNGVLFCKMRLLDGGSFTAESSREVVQANCVRWRKKFSF
+MCKMSASAATGILDPCIYRVSVRKELKGGKAYAKLGFADLNLAEFAGSGNTTRRCLLEGY
+DTKNTRQDNSILKVLISMQLMSGDPCF
+>Q969W3_PF15434_74 <unknown description>
+RKRRRNGNEEDNHLPPQTKRSSRNPVFQDSWDTETVPVMRNSKENRLRGNKAFCASSGSD
+SGGSSSSSSSSINSPDRASGPEGSLSQTMAGSSPNTPQPVPEQSALCQGLYFHINQTLRE
+AHFHSLQHRGRPLT
+>O95990_PF06625_27 <unknown description>
+ELIKPKKLLNPVKASRSHQELHRELLMNHRRGLGVDSKPELQRVLEHRRRNQLIKKKKEE
+LEAKRLQCPFEQELLRRQQRLNQLEKPPEKEEDHAPEFIKVRENLRR
+>Q9H098_PF06625_188 <unknown description>
+ELIRPQKLINPVKTSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKRKRDQVIKQKEEE
+AQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRR
+>P50502_PF18253_2 <unknown description>
+DPRKVNELRAFVKMCKQDPSVLHTEEMRFLREWVESMGGKVP
+>P50502_PF17830_315 <unknown description>
+EILSDPEVLAAMQDPEVMVAFQDVAQNPANMSKYQSNPKVMNLISKL
+>Q70Z53_PF09725_104 <unknown description>
+TDLDVIRENHRFLWNEEDEMDMTWEKRLAKKYYDKLFKEYCIADLSKYKENKFGFRWRVE
+KEVISGKGQFFCGNKYCDKKEGLKSWEVNFGYIEHGEKRNALVKLRLCQECSIKLN
+>Q9BQ89_PF14161_5 <unknown description>
+TLSPGAPSAPALPCRLRTRVPGYLLRGPADGGARKPSAVERLEADKAKYVKSLHVANTRQ
+EPVQPLLSKQPLFSPETRRTVLTPSRRALPGP
+>Q9BQ89_PF14160_183 <unknown description>
+GLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSAGPG
+SSEGGCSRRSSVTVEERARERVPYGVSVVERNARVIKWLYGLRQA
+>Q8TC76_PF14161_14 <unknown description>
+PVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAKYVKSQEVINAKQE
+PVKPAVLAKPPVCPAAKRALGSPTLKVFGNHAKTESGVQRENLKL
+>Q8TC76_PF14160_254 <unknown description>
+PSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDIISLNFRSASM
+ISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQA
+>Q1W6H9_PF14161_14 <unknown description>
+RLLPRDPAATRDPDAARPARRSAVERLAADRAKYVRG
+>Q1W6H9_PF14160_195 <unknown description>
+RGLQRSQSDLSSRYSAALAESDTFFQYCGLDPEVVEALGRENFTAGSDCVTLKVRSVSVA
+TSGSGFSRHSGGDDEGLQEEELIEQVPSTTSVIERNARIIKWLYTCKKA
+>Q8TAY7_PF14161_12 <unknown description>
+GRTPSAVERLEADKAKYVKTHQVIARRQEP
+>Q8TAY7_PF14160_143 <unknown description>
+PEAAGKRALCPTCSLPLSEKERFFNYCGLERALVEVLGAERFSPQSWGADASPQAGTSPP
+PGSGDASDWTSSDRGVDSPGGAGGGGGSEAAGSARDRRPPVSVVERNARVIQWLYGCQRA
+>Q96PZ2_PF13365_370 <unknown description>
+ATCFVFKGLFILTCRHVIDSIVGDGIEPSKWATIIGQCVRVTFGYEELKDKETNYFFVEP
+WFEIHNEELDYAVLKLKENGQQVPMELYNGITPVPLSGLIHIIGHPYGEKKQIDACAVIP
+QGQRAKKCQERVQSKKAESPEYVHMYTQRSFQKIVHNPDVITYDTEFFFGASGSPVFDSK
+GSLVAM
+>Q6SJ93_PF13365_475 <unknown description>
+ATCFVFNGGYIFTCRHVVHLMVGKNTHPSLWPDIISKCAKVTFTYTEFCPTPDNWFSIEP
+WLKVSNENLDYAILKLKENGNAFPPGLWRQISPQPSTGLIYLIGHPEGQIKKIDGCTVIP
+LNERLKKYPNDCQDGLVDLYDTTSNVYCMFTQRSFLSEVWNTHTLSYDTCFSDGSSGSPV
+FNASGKLVAL
+>Q9NRY5_PF05334_81 <unknown description>
+RWGYWGSWGKSILSSASATVATVGQGISNVIEKAETSLGIPGPSEISTEVKYVAGETNAK
+ENENSSPVAGAFGVFSTISTAVQSTGKSVISGGLDALEFIGKKTMDVIAEGDPGFKRTKG
+LMNRNATLSQVLREAKEKEEIRTSNEVTVETDKKTHYGLLFDEFQGL
+>Q9C073_PF15388_86 <unknown description>
+VRRTFSLDTILSSYLLGQWPRDADGAFTCCTNDKATQTPLSWQELEGERASSCAHKRSAS
+WGSTDHRKEISKLKQQLQRTKLSRSGKEKERGSPLLGDHAVRGALRASPPSFPSGSPVLR
+LSPCLHRSLEGLNQELEEVFVKEQGEEELLRILDIPDGHRAPAPPQSGSCDHPLLLLEPG
+NLASSPSMSLASPQPCGLASHEEHRGAAEELASTPNDKASSPGHPAFLEDGSPSPVLAFA
+ASPRPNHSYIFKREPPEGCEKVRVFEEATSPGPDLAFLTSCPDKNKVHFNPTGSAFCPVN
+LMKPLFPGMGFI
+>Q6P1L5_PF15388_215 <unknown description>
+IRRTSSLDTLAAPYLAGHWPRDSHGQAAPCMRDKATQTESAWAEEYSEKKKGSHKRSASW
+GSTDQLKEIAKLRQQLQRSKHSSRHHRDKERQSPFHGNHAAINQCQAPVPKSALIPVIPI
+TKSTGSRFRNSVEGLNQEIEIIIKETGEKEEQLIPQDIPDGHRAPPPLVQRSSSTRSIDT
+QTPGGADRGSNNSSRSQSVSPTSFLTISNEGSEESPCSADDLLVDPRDKENGNNSPLPKY
+ATSPKPNNSYMFKREPPEGCERVKVFEECSPKQLHEIPAFYCPDKNKVNFIPKSGSAFCL
+VSILKPLLPTPDLT
+>Q9NWS6_PF13289_143 <unknown description>
+MVLTTNYDNLLEAFGRRQNKPMESLDLKDKTKVLEWARGHMKYGVLHIHGLYTDPCGVVL
+DPSGYKDVTQDAEVMEVLQNLYRTKSFLFVGCGETLRDQIFQALFLYSVPNKVDLEHYML
+VLKENE
+>Q9BPY3_PF13289_158 <unknown description>
+LVLTTNFDNLLELYAADQGKQLESLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVL
+HPAGYQNVLRNTEVMREIQKLYENKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFML
+VRRGDVDEFKKLREN
+>Q86V42_PF15067_47 <unknown description>
+VSIHIIADPGESQPLQEAIDNVLAWIHPDLPLFRVSERRASRRRRKPPKGAQPALAVVLF
+LQEEYGEEQILQLHRTLQQPPWRHHHTEQVHGRFLPYLPCSQDFFTLAPGTPLWAIRPVH
+YGKEIVRFTVYCRYDNYADSLRFYQLILRRSPSQKKADFCIFPIFSNLDVDIQFSLKRLP
+CDQCPVPTDSSVLEFRVRDIGELVPLLPNPCSPISEGRWQTEDHDGNKILLQ
+>Q9H5Z6_PF15067_10 <unknown description>
+MTVHLLANSGHGSLLQRTLDQLLDCICPEVRLFQVSERASPVKYCEKSHSKRSRFPGMSV
+LLFLHESPGEDRLFRVLDSLQHSPWQCYPTQDTRGRLCPYFFANQEFYSLDSQLPIWGVR
+QVHCGSEILRVTLYCSFDNYEDAIRLYEMILQREATLQKSNFCFFVLYASKSFALQLSLK
+QLPPGMSVDPKESSVLQFKVQEIGQLVPLLPNPCMPISSTRWQTQDYDGNKILLQ
+>Q6UXB0_PF15010_86 <unknown description>
+MLPKSRRALTIQEIAALARSSLHGISQVVKDHVTKPTAMAQGRVAHLIEWKGWSKPSDSP
+AALESAFSSYSDLSEGEQEARFAAGVAEQFAIAEAKLRAWSSVDGEDSTDDSYDEDFAGG
+MDTDMAGQLPLGPHLQDLFTGHRFSRPVRQGSVEPESDCSQTVSPDTLCSSLCSLEDGLL
+GSPARLASQLLGDELLLAKLPPSRESAFRSLGPLEAQDSLYNSPLTESCLSPAEEEPAPC
+KDCQPLCPPLTGSWERQRQASDLASSGVVSLDE
+>Q86XD5_PF15010_67 <unknown description>
+ILPKLKRNSNAYGIGALAKSSFSGISRSMKDHVTKPTAMGQGRVAHMIEWQGWGKTPAVQ
+PQHSHESVRRDTDAYSDLSDGEKEARFLAGVMEQFAISEATLMAWSSMDGEDMSVNSTQE
+PLGCNYSDNYQELMDSQDALAQAPMDGWPHSYVSQGMYCLGSSDAWEASDQSLIASPATG
+SYLGPAFDDSQPSLHEMGPSQPASGYSALEPPPLLGGDTDWAPGVGAVDLARGPAEEEKR
+PLAPEEEEDAGCRDLESLSPREDPEMSTALSRKVSDVTSSGVQSFDE
+>Q96AQ9_PF15010_73 <unknown description>
+SRPGNYNVAALATSSLVGVVQSIKDHITKPTAMARGRVAHLIEWKGWSAQPAGWELSPAE
+DEHYCCLPDELREARFAAGVAEQFAITEATLSAWSSLDEEELHPENSPQG
+>Q9P2D6_PF12394_111 <unknown description>
+LSLDLHFTDGDYSADDLNALQLISSRTLKLHFSPHRGLHHHVNVMFDYFHLSVVSVTVHA
+SL
+>Q9P2D6_PF05057_1245 <unknown description>
+EDGVHLIVCVHGLDGNSADLRLVKTYIELGLPGGRIDFLMSERNQNDTFADFDSMTDRLL
+DEIIQYIQIYSLTVSKISFIGHSLGNLIIRSVLTRPRFKYYLNKLHTFLSLSGPHLGTLY
+NSSALVNTGLWFMQKWKKSGSLLQLTCRDHSDPRQTFLYKLSNKAGLHYFKNVVLVGSLQ
+DRYVPYHSARIEMCK
+>Q49AJ0_PF12394_111 <unknown description>
+LKVDLHFTDSEQQLRDVAGAPMVSSRTLGLHFHPRNGLHHQVPVMFDYFHLSVISVTVHA
+A
+>Q49AJ0_PF05057_1137 <unknown description>
+DGIHLVVCVHGLDGNSADLRLVKTFIELGLPGGKLDFLMSEKNQMDTFADFDTMTDRLLD
+EIIQHIQLYNLSISRISFIGHSLGNIIIRSVLTRPRFRYYLNKLHTFLSLSGPHLGTLYN
+NSTLVSTGLWLMQKLKKSGSLLQLTFRDNADLRKCFLYQLSQKTGLQYFKNVVLVASPQD
+RYVPFHSARIEMCK
+>P00488_PF00868_58 <unknown description>
+WDTNKVDHHTDKYENNKLIVRRGQSFYVQIDFSRPYDPRRDLFRVEYVIGRYPQENKGTY
+IPVPIVSELQSGKWGAKIVMREDRSVRLSIQSSPKCIVGKFRMYVAV
+>P00488_PF01841_311 <unknown description>
+RYGQCWVFAGVFNTFLRCLGIPARIVTNYFSAHDNDANLQMDIFLEEDGNVNSKLTKDSV
+WNYHCWNEAWMTRPDLPVGFGGWQAVD
+>P00488_PF00927_519 <unknown description>
+VDMDFEVENAVLGKDFKLSITFRNNSHNRYTITAYLSANITFYTGVPKAEFKKETFDVTL
+EPLSFKKEAVLIQAGEYMGQLLEQASLHFFVTARINETRDVLAKQ
+>P00488_PF00927_631 <unknown description>
+PEIIIKVRGTQVVGSDMTVTVEFTNPLKETLRNVWVHLDGPGVTRPMKKMFREIRPNSTV
+QWEEVCRPWVSGHRKLIASMSSDSLRHVYGELDVQIQ
+>P05160_PF00084_39 <unknown description>
+YYTFKSFYFPMSIDKKLSFFCLAGYTTESGRQEEQTTCTTEGWSPEPRC
+>P05160_PF00084_91 <unknown description>
+CTKPDLSNGYISDVKLLYKIQENMRYGCASGYKTTGGKDEEVVQCLSDGWSSQPTC
+>P05160_PF00084_153 <unknown description>
+CLAPELYNGNYSTTQKTFKVKDKVQYECATGYYTAGGKKTEEVECLTYGWSLTPKC
+>P05160_PF00084_213 <unknown description>
+CSSLRLIENGYFHPVKQTYEEGDVVQFFCHENYYLSGSDLIQCYNFGWYPESPVC
+>P05160_PF00084_274 <unknown description>
+CPPPPLPINSKIQTHSTTYRHGEIVHIECELNFEIHGSAEIRCEDGKWTEPPKC
+>P05160_PF00084_336 <unknown description>
+CEEPPFIENGAANLHSKIYYNGDKVTYACKSGYLLHGSNEITCNRGKWTLPPEC
+>P05160_PF00084_396 <unknown description>
+CKHPPVVMNGAVADGILASYATGSSVEYRCNEYYLLRGSKISRCEQGKWSSPPVC
+>P05160_PF00084_524 <unknown description>
+CTSPPLIKHGVIISSTVDTYENGSSVEYRCFDHHFLEGSREAYCLDGMWTTPPLC
+>Q96BN6_PF12516_114 <unknown description>
+LLYEQKLSVHTKSLQEECQQWTASFPHLRILGRQIITPSEGYRLYPRSPSAVSASYETTL
+SQERDS
+>Q8WW52_PF10223_66 <unknown description>
+DALEVTWYHAANSKKAMTAALNSNITVLEADVNVEGLGTANETGVPIMAHPPTIYSDNTL
+EQWLDAVLGSSQKGIKLDFKNIKAVGPSLDLLRQLTEEGKVRRPIWINADILKGPNMLIS
+TEVNATQFLALVQEKYPKATLSPGWTTFYMSTSPNRTYTQAMVEKMHELVGGVPQRVTFP
+VRSSMVRAAWPHFSWLLSQSERYSLTLWQAASDPMSVEDLLYVRDNTAVHQVYYDIFEPL
+>Q8WW52_PF10223_338 <unknown description>
+DGLNVEWLVPDVQGSGKTATMTLPDTEGMILLNTGLEGTVAENPVPIVHTPSGNILTLES
+CLQQLATHPGHWGIHLQIAEPAALRPSLALLARLSSLGLLHWPVWVGAKISHGSFSVPGH
+VAGRELLTAVAEVFPHVTVAPGWPEEVLGSGYREQLLTDMLELCQGLWQPVSFQMQAMLL
+GHSTAGAIGRLLASSPRATVTVEHNPAGGDYASVRTALLAARAVDRTRVYYRLPQGY
+>Q6UXP7_PF10223_29 <unknown description>
+DGAEITWYHAANHKAQTNEALKSTAHMIEADVLLPSDGSEHSQPIMAHPPETNSDNTLQE
+WLTEVMKSNKGIKLDFKSLAVVEPSMMLLENVKRHLKRPVWINADILPGPNGNSKVIDAK
+PFLDTVISFFPDVTFSLGWTTGWHPEKVNEGYSWTMVKEMEYICNELSQPVTFPVRAALV
+RQSCSQLLWLLKKSNRYSLTIWTGKNDNYSVEDLLYIRDHFDKKQVFYDILEPQ
+>Q3B820_PF10595_235 <unknown description>
+TITVPEPFQMMIREQKKKEESMKSKSDIEMVHKALKKQEEDPEYKKKFRANPVPASVFLP
+LYHDLVKQKEERRRSLKEKSKEALLASQKPFKFIAREEQKRAAREKQLRDFLKYKKKTNR
+FKARPIPRSTYGSTTNDKLKEEELYRNLRTQLRAQEHLQNSSPLPCRSACGCRNPRCPEQ
+AVKLKCKHKVRCPTPDFEDLPERYQKHLSEHKSPKLLTVCKPFDLHASPHASIKREKILA
+DIEADEENLKETRWPYLSPRRKSPVRCAGVNPVPCNCNPPVPTVSSRGREQAVRRSLEEK
+KMLEEERNRILTKQKQRMKELQKLLTTRAKAYDSHQSLAQISKSRVKCLRKSEKERMREY
+QREL
+>Q96MY7_PF10595_168 <unknown description>
+SITVPRPFRMTLREARKKAEWLGSPASFEQERQRAQRQGEEEAECHRQFRAQPVPAHVYL
+PLYQEIMERSEARRQAGIQKRKELLLSSLKPFSFLEKEEQLKEAARQRDLAATAEAKISK
+QKATRRIPKSILEPALGDKLQEAELFRKIRIQMRALDMLQMASSPIASSSNRANPQPRTA
+TRTQQEKLGFLHTNFRFQPRVNPVVPDYEGLYKAFQRRAAKRRETQEATRNKPFLLRTAN
+LRHPQRPCDAATTGRRQDSPQPPATPLPRSRSLSGLASLSANTLPVHITDATRKRESAVR
+SALEKKNKADESIQWLEIHKKKSQAMSKSVTLRAKAMDPHKSLEEVFKAKLKENRNNDRK
+RAKEYKKEL
+>Q96A26_PF06388_16 <unknown description>
+RLCERDVSSSLRLTRSSDLKRINGFCTKPQESPGAPSRTYNRVPLHKPTDWQKKILIWSG
+RFKKEDEIPETVSLEMLDAAKNKMRVKISYLMIALTVVGCIFMVIEGKKAAQRHETLT
+>Q5T6X4_PF06388_41 <unknown description>
+PCYSSGGAPSNSGPQGHGEIHRVPTQRRPSQFDKKILLWTGRFKSMEEIPPRIPPEMIDT
+ARNKARVKACYIMIGLTIIACFAVIVSAKRAVERHESLT
+>Q96GL9_PF15069_1 <unknown description>
+MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKSGTEVADEEEEREHDLPTHPRGP
+TCNACSSQALDGRGSLAPLTSEPCSQPCGVAASHCTTCSPYSSPFYIRTADMVPNGGGGE
+RLSFAPTYYKEGGPPSLKLAAPQSYPVTWPGSGREAFTNPRAISTDV
+>P0C2L3_PF15069_1 <unknown description>
+MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKDESEEDEEEPDFAVHSHLPPLHS
+NRNLVLTNGPALYPTASTSFSQKSPQARALCRSCSHCEPPTFFLQEPPEEEEDVLNGGER
+VLYKSVSQEDVELPPGGFGGLQALNPNRLSAMREAFARSRSISTDV
+>Q6J272_PF10629_13 <unknown description>
+EPHYVPGYAGFFPQLRYQVGNTYGRTTGQLLTD
+>A8MTA8_PF10629_16 <unknown description>
+PHYIPGYTGHCPLLRFSVGQTYGQVTGQLL
+>A8MTA8_PF10629_236 <unknown description>
+GLLPNYGGYVPGYKFQFGHTFGHLTHD
+>A6NJV1_PF10629_19 <unknown description>
+PPGLMPGYQGHVPTVAFSFGAPYGTTTLKYFQDHRNRAMEKSHTPFSQGGHFPTIFSTNP
+NLLLMER
+>Q96KS9_PF11652_130 <unknown description>
+MRLQDQQLARQLMRLRGDINKLKIEHTCRLHRRMLNDATYELEERDELADLFCDSPLASS
+FSLSTPLKLIGVTKMNINSRRFSLC
+>Q9BTA0_PF11652_90 <unknown description>
+MQAQDRQLAGQLLRLRAQLHRLKMDQACHLHQELLDEAELELELEPGAGLALAPLLRHLG
+LTRMNISARRFTLC
+>Q92567_PF14944_1 <unknown description>
+MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPATLLMKQAWP
+QNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAPPPYSPSPNP
+YQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAIYPAPVAAPR
+TNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPVSMPTYRAQGTPAYSYVPPHW
+>A1KXE4_PF14944_1 <unknown description>
+MNPVYSPGSSGVPYANAKGIGYPAGFPMGYAAAAPAYSPNMYPGANPTFQTG
+>A1KXE4_PF14944_52 <unknown description>
+GYTPGTPYKVSCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLYAA
+PPHVIHHTTVVQPNGMPATVYPAPIPPPRGNGVTMGMVAGTTMAMSAGTLLTAHSPTPVA
+PHPVTVPTYRAPGTPTYSYVPPQW
+>P09467_PF00316_13 <unknown description>
+TLTRFVMEEGRKARGTGELTQLLNSLCTAVKAISSAVRKAGIAHLYGIAGSTNVTGDQVK
+KLDVLSNDLVMNMLKSSFATCVLVSEEDKHAIIVEPEKRGKYVVCFDPLDGSSNIDCLVS
+VGTIFGIYRKKSTDEPSEKDALQPGRNLVAAGYALYGSATMLVLAMDCGVNCFMLDPAIG
+EFILVD
+>P09467_PF18913_203 <unknown description>
+IKKKGKIYSLNEGYARDFDPAVTEYIQRKKFPPDNSAPYGARYVGSMVADVHRTLVYGGI
+FLYPANKKSPNGKLRLLYECNPMAYVMEKAGGMATTGKEAVLDVIPTDIHQRAPVILGSP
+DDVLEFLKVY
+>O00757_PF00316_13 <unknown description>
+TLTRYVMEKGRQAKGTGELTQLLNSMLTAIKAISSAVRKAGLAHLYGIAGSVNVTGDEVK
+KLDVLSNSLVINMVQSSYSTCVLVSEENKDAIITAKEKRGKYVVCFDPLDGSSNIDCLAS
+IGTIFAIYRKTSEDEPSEKDALQCGRNIVAAGYALYGSATLVALSTGQGVDLFMLDPALG
+EFVLVE
+>O00757_PF18913_203 <unknown description>
+IKKKGKIYSLNEGYAKYFDAATTEYVQKKKFPEDGSAPYGARYVGSMVADVHRTLVYGGI
+FLYPANQKSPKGKLRLLYECNPVAYIIEQAGGLATTGTQPVLDVKPEAIHQRVPLILGSP
+EDVQEYLTCV
+>A1A519_PF17734_216 <unknown description>
+KTPDWLVTMENGFRCMACCRVFTTMEALQEHVQFGIREGFSCHVFHLTMAQL
+>A6NMN3_PF17734_186 <unknown description>
+CLQELREPPDWLVTTNYGVRCVACCRVLPSLDALLEHAQHGIREGFSCQIFFEE
+>Q5VUB5_PF10577_34 <unknown description>
+VTLKVHISDASTHQPVADALIEIFTNQASIASGTSGTDGVAFIKFQYKLGSQLIVTASKH
+AYVPNSAPWKPIRLPVFSSLSLGLLPERSATLMVYEDVVQIVSGFQGARPQPRVHFQRRA
+LRLPENTSYSDLTAFLTAASSPSEVDSFPYLRGLDGNGTGNSTRHDLTPVTAVSVHLLSS
+NGTPVLVDGPIYVTVPLATQSSLRHNAYVAAWRFDQKLGTWLKSGLGLVHQEGSQLTWTY
+IAPQLGYWVAAMSPPIPGPVVTQDITTYHTVFLLAILGGMAFILLVLLCLLLYYCRRKCL
+KPRQHHRKLQLPAGLESSKRDQSTSMSHINLLFSRRASEFPGPLSVTSHGRPEAPGTKEL
+MSGVHLEMMSPGGEGDLHTPMLKLSYSTSQEFSSREELLSCKEEDKSQISFDNLTPSGTL
+GKDYHKSVEVFPLKARKSMEREGYESSGNDDYRGSYNTVLSQPLFEKQDREGPASTGSKL
+TIQEHLYPAPSSPEKEQLLDRRPTECMMSRSVDHLERPTSFPRPGQLICCSSVDQVNDSV
+YRKVLPALVIPAHYMKLPGDHSYVSQPLVVPADQQLEIERLQAELSNPHAGIFPHPSSQI
+QPQPLSSQAISQQHLQDAGTREWSPQNASMSESLSIPASLNDAALAQMNSEVQLLTEKAL
+MELGGGKPLPHPRAWFVSLDGRSNAHVRHSYIDLQRAGRNGSNDASLDSGVDMNEPKSAR
+KGRGDALSLQQNYPPVQEHQQKEPRAPDSTAYTQLVYLDDVEQSGSECGTTVCTPEDSAL
+RCLLEGSSRRSGGQLPSLQEETTRRTADAPSEPAASPHQRRSAHEEEEDDDDDDQGEDKK
+SPWQKREERPLMAFN
+>A8MVW0_PF10577_41 <unknown description>
+ILIKVQVYVSGELVPLARASVDVFGNRTLLAAGTTDSEGVATLPLSYRLGTWVLVTAARP
+GFLTNSVPWRVDKLPLYASVSLYLLPERPATLILYEDLVHILLGSPGARSQPLVQFQRRA
+ARLPVSSTYSQLWASLTPASTQQEMRAFPAFLGTEASSSGNGSWLELMPLTAVSVHLLTG
+NGTEVPLSGPIHLSLPVPSETRALTVGTSIPAWRFDPKSGLWVRNGTGVIRKEGRQLYWT
+FVSPQLGYWVAAMASPTAGLVTITSGIQDIGTYHTIFLLTILAALALLVLILLCLLIYYC
+RRRCLKPRQQHRKLQLSGPSDGNKRDQATSMSQLHLICGGPLEPAPSGDPEAPPPGPLHS
+AFSSSRDLASSRDDFFRTKPRSASRPAAEPSGARGGESAGLKGARSAEGPGGLEPGLEEH
+RRGPSGAAAFLHEPPSPPPPFDHYLGHKGAAEGKTPDFLLSQSVDQLARPPSLGQAGQLI
+FCGSIDHLKDNVYRNVMPTLVIPAHYVRLGGEAGAAGVGDEPAPPEGTAPGPARAFPQPD
+PQRPQMPGHSGPGGEGGGGGGEGWGAGRAAPVSGSVTIPVLFNESTMAQLNGELQALTEK
+KLLELGVKPHPRAWFVSLDGRSNSQVRHSYIDLQAGGGARSTDASLDSGVDVHEARPARR
+RPAREERERAPPAAPPPPPAPPRLALSEDTEPSSSESRTGLCSPEDNSLTPLLDEVAAPE
+GRAATVPRGRGRSRGDSSRSSASELRRDSLTSPEDELGAEVGDEAGDKKSPWQRREERPL
+MVFN
+>Q6P995_PF10577_84 <unknown description>
+MLKVQVNDIISRQYLSQAVVEVFVNYTKTNSTVTKSNGAVLIKVPYKLGLSLTIIAYKDG
+YVLTPLPWKTRRMPIYSSVTLSLFPQSQANIWLFEDTVLITGKLADAKSQPSVQFSKALI
+KLPDNHHISNVTGYLTVLQQFLKVDNFLHTTGITLNKPGFENIELTPLAAICVKIYSGGK
+ELKVNGSIQVSLPLLRLNDISAGDRIPAWTFDMNTGAWVNHGRGMVKEHNNHLIWTYDAP
+HLGYWIAAPLPGTRGSGINEDSKDITAYHTVFLTAILGGTIVIVIGFFAVLLCYCRDKCG
+TPQKRERNITKLEVLKRDQTTSTTHINHISTVKVALKAEDKSQLFNAKNSSYSPQKKEPS
+KAETEERVSMVKTRDDFKIYNEDVSFLSVNQNNYSRNPTQSLEPNVGSKQPKHINNNLSS
+SLGDAQDEKRYLTGNEEAYGRSHIPEQLMHIYSQPIAILQTSDLFSTPEQLHTAKSATLP
+RKGQLVYGQLMEPVNRENFTQTLPKMPIHSH
+>Q6P995_PF10577_610 <unknown description>
+QQSLPSQASDWSRYSSSLLESVSVPGTLNEAVVMTPFSSELQGISEQTLLELSKGKPSPH
+PRAWFVSLDGKPVAQVRHSFIDLKKGKRTQSNDTSLDSGVDMNELHSSRKLEREKTFIKS
+MHQPKILYLEDLDLSSSESGTTVCSPEDPALRHILDGGSGVIMEHPGEESPGRKSTVEDF
+EANTSPTKRRGRPPLAKRDSKTNIWKKREERPLIP
+>Q8TBP5_PF06679_11 <unknown description>
+SHLLASVLLLLLLPELSGPLAVLLQAAEAAPGLGPPDPRPRTLPPLPPGPTPAQQPGRGL
+AEAAGPRGSEGGNGSNPVAGLETDDHGGKAGEGSVGGGLAVSPNPGDKPMTQRALTVLMV
+VSGAVLVYFVVRTVRMRRRNRKTRRYGVLDTNIENMELTPLEQDDEDDDNTLFDANHPRR
+>Q3ZCQ3_PF06679_12 <unknown description>
+PLLLLALLAAPAARASRAESVSAPWPEPERESRPPPGPGPGNTTRFGSGAAGGSGSSSSN
+SSGDALVTRISILLRDLPTLKAAVIVAFAFTTLLIACLLLRVFRSGKRLKKTRKYDIITT
+PAERVEMAPLNEEDDEDEDSTVFDIK
+>Q9BVV8_PF06679_69 <unknown description>
+ALTRSFYVILGFCGLTALYFLIRAFRLKKPQRRRYGLLANTEDPTEMASLDSDEETVFES
+R
+>Q8N128_PF14774_63 <unknown description>
+ENVELGVIGKKKKVPRRVIHFVSGETMEEYSTDEDEVDGLEKKDVLPTVDPTKLTWGPYL
+WFYMLRAATSTLSVCDFLGEKIASVLGISTPKYQYAIDEYYRMKKEEEEEEEEN
+>A6PVY3_PF14774_6 <unknown description>
+FQQLDLEKSVPSKKTTPKRIIHFVDGDIMEEYSTEEEEEEEKEEQSTNSTLDPSKLSWGP
+YLRFWAGRIASTSFSTCEFLGGRFAVFFGLTQPKYQYVLNEFYRIQNKKSDNKSER
+>Q8IXR5_PF14816_191 <unknown description>
+WGGSGSYFNNLDYLLQEKREQALEQERERLLLQECLNLNSLDLDEEEVPLTPEHRMLVEK
+YSVSLQTIPPVHPGETVFLPRCHPLPCILDSSLLKPRSHLEGLFLSSPPAQQLSFLRSGL
+LNILYLHMPDCPVSLLQWLFQLLTWPPETSLGAFGLLWDLIVDGIFLQPDEDKHLWCPSL
+QEVREAFHSLGAHSPALYPLGPFWHGGRVLPGEAGLNENEEQDAPQEIALDISLGHIYKF
+LALCAQAQPGAYTDENLMGLIELLCRTSLDVGLRLLPKVDLQQLLLLLLENIREWPGKLQ
+ELCCTLSWVSDHHHNLLALVQFFPDMTSRSRRLRSQLSLVVIARMLGQQE
+>Q6UWF9_PF15173_28 <unknown description>
+LFPAAHRPKRSSSLPLNPVLQTSLEEVELLYEFLLAELEISPDLQISIKDEELASLRKAS
+DFRTVCNNVIPKSIPDIRRLSASLSSHPGILKKEDFERTVLTLAYTAYRTALSHGHQKDI
+WAQSLVSLFQALRHDL
+>Q6P0A1_PF15173_17 <unknown description>
+LLSGVTTTQPHAGQPMDSTSVGGGLQEPEAPEVMFELLWAGLELDVMGQLHIQDEELAST
+HPGRRLRLLLQHHVPSDLEGTEQWLQQLQDLRKGPPLSTWDFEHLLLTGLSCVYRLHAAS
+EAEERGRWAQVFALLAQETLWDL
+>Q8N9Y4_PF15238_1 <unknown description>
+MASDSDVKMLLNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLP
+GRAAEPYLKRGSEDRPRRLLLDLGPDSSPGGGGGCKEKVLRNPYREECLAKEQLPQRQHP
+EAAQPGQVPMRKRQLPASFWEEPRPTHSYHVGLEGGLGPREGPPYEGKKNCKGLEPLGPE
+TTLVSMSPRALAEKEPLKMPGVSLVGRVNAWSCCPFQYHGQPIYPGPLGALPQSPVPSLG
+LWRKSPAFPGELAHLCKDVDGLGQKVCRPVVLKPIPTKPA
+>A6NEQ2_PF15238_64 <unknown description>
+RDLLSFIDSASSNIKLALDKPGKSKRKVNHRKYLQKQIKRCSGLMGAAPPGPPSPSAADT
+PAKRPLAAPSAPTVAAPAHGKAAPRREASQAAAAASLQSRSLAALFDS
+>A6NL82_PF14886_18 <unknown description>
+QILRELYLKELRTQKLYTQYHVNPLRKIHTVTRKPMSWHDNLEEPADARFLNLIHHAAQG
+PRKKYPETQTENQEVGWDLEPLINPERHDRRLNHFRVCSDITLY
+>Q8NB25_PF15665_54 <unknown description>
+VIYALNTKNDEHESAIQALKDAHEEEIQQILAETREKILQYKSKVTEELDLRRKIQVLES
+SLEDHIKMKQQALTEFEAYKHRVEDMQLCAEAQHVQRIVTMSREVEEIRRKFEEKLRSFG
+QLQVQFEKDKRLALEDLQAAHRREIQELLKSQQDHSASVNKGQEKAEELHRMEVESLNKM
+LEELRLERKKLIEDYEGKLNKAQSFYERELD
+>Q9ULE4_PF15665_48 <unknown description>
+VIYALNTRQDEAEASMEALREAHQEELQNAVAETKARLLQEQGCAEEEALLQRIQALESA
+LELQKRLTEEALAESASCRLETKERELRVEAEHAERVLTLSREMLELKADYERRLQHLTS
+HEATPQGRLPQESPETKSEPGQGPEMQEVLLEVQRLRVENQQLSKDYARKAEELQATYER
+ENEAIRQAMQQ
+>Q8N0U4_PF13349_214 <unknown description>
+ASDKSAVTIDKLQGSSVTVSTEDGLLKAKYLYTESSFLSSAAGDITLGSVHGNITLQSKM
+GNITVDSSSGCLKASTNQGAIDVYVSQLGKVELKSHKGSIIVKVP
+>Q96PV7_PF15914_766 <unknown description>
+DDVFLPKDMDGVEMDETDREVEYFKRFCLDSAKQTRQKVAVNWTNFSLKKTT
+>Q6PEV8_PF15814_66 <unknown description>
+RWNLTSCGTSVASSEGSEELFSSVSVGDQDDCYSLLDDQDFTSFDLFPEGSVCSDVSSSI
+STYWDWSDSEFEWQLPGSDIASGSDVLSDVIPSIPSSPCLLPKKKNKHRNLDELPWSAMT
+NDEQVEYIEYLSRKVSTEMGLREQLDIIKIIDPSAQISPTDSEFIIELNCLTDEKLKQVR
+NYIKEHSPRQRPAREAWKRSNFSCASTSGVSGASASASSSSASMVSSASSSGSSVGNSAS
+NSSANMSRAHSDSNLSASAAERIRDSKKRSKQRKLQQKAFRKRQLKEQRQARKERLSGLF
+LNEEVLSLKVTEEDHEADVDVLM
+>Q6ZU69_PF15371_52 <unknown description>
+KVRQRARDAASTARRRSREEAEKPQKLLSIIKSQGWLPLERSVRRILCADPCCQICNSVA
+LEIQQLLVGENNQISLTLSGPLQGSSC
+>Q6ZU69_PF14650_421 <unknown description>
+SGSDLQQKYSQLFCGLPSLHSESLVATFMGSQ
+>Q6ZU69_PF14650_452 <unknown description>
+QGLPKIENVPKPPLKDPFLFNELSFPQLLPKTSPQSAPPSSPLSPNWMSPSDHQRAQINV
+PFLTLAEYEALEWHLLQRQLQLQWGWPAALQRSQHTQCLMQHEPCGKAQSPETTTASQTG
+KSISVLTRELLFFPEHARKLLEFHIQKQLIRHRWGLPQKIQQSIQLLLTSTDQQTVSSSS
+>Q5JX71_PF15206_20 <unknown description>
+FMFSSLRQKTSEPQGKVQYGEHFRIRQNLPEHTQGWLGSKWLWLLFVVVPFVILQCQRDS
+EKNKEQSPPGLRGGQLHSPLKKKRNASPNKDCAFNTLMELEVELMKFVSKVRNLKRAMAT
+GSGSNLRLRKSEMPADPYHVTICEIWGEE
+>Q5JX69_PF15206_20 <unknown description>
+FMFSSLRQKTSEPQGKVPCGEHFRIRQNLPEHTQGWLGSKWLWLLFAVVPFVILQCQRDS
+EKNKEQSPPGLRGFPFRTPLKKNQNASLYKDCVFNTLNELEVELLKFVSEVQNLKGAMAT
+GSGSNLKLRRSEMPADPYHVTICKIWGEE
+>Q96ND0_PF06916_124 <unknown description>
+QRFKKTFRQYGKVLIPVHLITSGVWFGTFYYAALKGVNVVPFLELIGLPDSVVSILKNSQ
+SGNALTAYALFKIATPARYTVTLGGTS
+>Q96KR6_PF06916_87 <unknown description>
+QQLKKIFQEYGTVGVSLHIGISLISLGIFYMVVSSGVDMPAILLKLGFKESLVQSKMAAG
+TSTFVVAYAIHKLFAPVRISITLVSVPL
+>Q8WUB2_PF15107_70 <unknown description>
+VNSHIAKLQELWKTPQNQTIHLSKSMMEASFFKHPDLTTGQKRYLCSIAKIYNANYLKML
+MKRQYMHVLQHSSQKPGVLTHHRSRLSSRYSQKQHYPCTTWRHQLEREDS
+>Q8N7L0_PF15107_1 <unknown description>
+MGQNWKRQQKLWNVPQLPFIRVPPSIYDTSLLKALNQGQQRYFYSIMRIYNSRPQWEALQ
+TRYIHSLQHQQLLGYITQREALSYALVLRDSTKRASAKVAPQRTIPRKTSA
+>Q8IXS0_PF15344_203 <unknown description>
+SDLSENEKTNDTLLSYFKKVDLNLKPETIKNVEEPFTEEPNEVFPYPDFLPPPFSALDLH
+NLALSKSDNWKVTVDPAETSVEHLITRLLELERLQHMTIQKERPRLQTTFCTPAVTERPS
+SSKATPKVRQPKLCDSLSLQIPCVDKSQEKSKNNSGSCKLEQNALKRNWSNAGKYRWNSR
+PLSLKSSSTPKQLIETYD
+>Q9NTX9_PF15344_95 <unknown description>
+SDLSDSERIPIPPSPLTPPDLNLRAEEIDPVYFDLHPGQGHTKPEYYYPNFLPSPFSSWD
+LRDMALLLNAENKTEAVPRVGGLLGKYIDRLIQLEWLQVQTVQCEKAKGGKARPPTAPGT
+SGALKSPGRSKLIASALSKPLPHQEGASKSGPSRKKAFHHEEIHPSHYAFETSPRPIDVL
+GGTRFCSQRQTLEMRTEEKKKKSSKSTKLQRWDLSGSGSSSKVETSGHIRVPK
+>Q8IW50_PF15260_54 <unknown description>
+EKQRELARKGSLKNGSMGSPVNQQPKKNNVMARTRLVVPNKGYSSLDQSPDEKPLVALDT
+DSDDDFDMSRYSSSGYSSAEQINQDLNIQLLKDGYRLDEIPDDEDLDLIPPKSVNPTCMC
+CQATSSTACHIQ
+>Q5XKK7_PF15260_72 <unknown description>
+QKHRDLAKAVLRRKGMLGASPNRPDSSGKRSVKFNKGYTALSQSPDENLVSLDSDSDGEL
+GSRYSSGYSSAEQVNQDVSRQLLQDGYHLDEIPDDEDLDLIPPKPMASSTCSCCWCCLGD
+SSSCTLQ
+>Q7Z4H9_PF15487_2 <unknown description>
+RDRRGPLGTCLAQVQQAGGGDSDKLSCSLKKRMPEGPWPADAPSWMNKPVVDGNSQSEAL
+SLEMRKDPSGAGLWLHSGGPVLPYVRESVRRNPASAATPSTAVGLFPAPTECFARVSCSG
+VEALGRRDWLGGGPRATDGHRGQCPKGEPRVSRLPRHQKVPEMGSFQDDPPSAFPKGLGS
+ELEPACLHSILSATLHVYPEVLLSEETKRIFLDRLKPMFSKQTIEFKKMLKSTSDGLQIT
+LGLLALQPFEL
+>A4D161_PF14753_20 <unknown description>
+YRRIVGEDDGGKLFTPEEYEEYKRKVLPLRLQNRLFVSWRSPTGMDCKLVGPETLCFCTH
+RYKQHKTDLEAIPQQCPIDLPCQVTGCQCRAYLYVPLNGSQPIRCRCKHFADQHSAAPGF
+TCNTCSKCSGFHSCFTCACGQPAYAHDTVVETKQERLAQEKPVGQDIPYAAMGGLTGFSS
+LAEGYMRLDDSGIG
+>A6H8Z2_PF14753_237 <unknown description>
+EKDAALNAIQTGLYIGWRCPHYLWDCFRIGDESRCFCGHLLREHRIISDISVPCKVSQCR
+CFMFCFIPSR
+>A6H8Z2_PF14753_325 <unknown description>
+AWRAQCRCKHSHEEHAATGPHPCRHHGCCCGCFESNFLCAACDRRWEEHETFFDTQKTRQ
+RGGRPRGTD
+>Q5U5X8_PF15258_34 <unknown description>
+AMHSSRYPSPAELDAYAEKVANSPLSIKIFPTNIRVPQHKHLSRTVNGYDTSGQRYSPYP
+QHTAGYQGLLAIVKAAVSSSSTAAPAGPAKSVLKSAEGKRTKLSPAAVQVGIAPYPVPST
+LG
+>Q8WU58_PF15258_27 <unknown description>
+KWDTTQKMRTAHYPTPAELDAYAKKVANNPLTIKIFPNSVKVPQRKHVRRTVNGLDTSAQ
+RYSPYPTQAATKAGLLAIVKVPAKSILKDFDGTRARLLPEAIMNPPVAPYATVAPSTLAH
+PQAQALARQQALQHAQTLAHAPPQTLQHPQGIPPPQALSHPQSLQQPQGLGHPQPMAQTQ
+GLVHPQALAHQGLQHPHNPLLHGGRKMPDSDAPPNVTVSTSTIPLSMAATLQHSQPPDLS
+SIVHQINQFCQTRAGISTTSVCEGQIANPSPISRSLLINASTRVSTHSVPTPMPSCVVNP
+MEHTHAATAALPAAGPVNLPTGISRVPTGYPSDLKPVTWNQHQLAHLQQMCSEASGTPAP
+GLTGKHAAGRELAGPGFVGKAPAYPQELCLAQSFHLKPPLEKPTPSPPVNGMAAPLAYPN
+GHYFQPLWNNILPTPNSDSSGSQDLAMPFHGGQPTGAPLDCAAAPGAHYRAGTGGGPVAS
+QNSLMQTVDYLSGDFQQACFREQSLAMLSKAHRAPGNRAPDPTESRSLHIQHPGYR
+>F5H4B4_PF14922_147 <unknown description>
+NKLPNGVDFCDMVGNVVRAERDCLSGKHFCSGRELEKFLSSSSPRAIWLDSFWWIFHERY
+QPNKELQNNLFDRIAQHYALLLFRVPKSHSEEALLKRLPSLLSKAVYTSFCCCFPQSWFD
+THEFKSDICNTMSLWISGTYPSPQSYDSWD
+>Q96M60_PF14922_159 <unknown description>
+LTQLPRHLDAEQIYLFILKAHNFDERVFKIWKTHFLSEASIALLHDSFWWWFLHKFRPDR
+ENQDCLFDRISESYVTLFMSIPLSRKDAFFQIYPDCLAQAIYATFHEAFPESSYLFNDEF
+KEDLGNNIFLWCSGLKPQKGFWIHWK
+>H3BQW9_PF14982_59 <unknown description>
+QGRRFPIEAGDSRGLAAAPESQDSPEAVATEHNPVRPLRRCPGCHCLTLLHVPIDVYLAM
+GGSP
+>Q4G0N7_PF14982_3 <unknown description>
+FQFGTQPRRFPVEGGDSSIELEPGLSSSAACNGKEMSPTRQLRRCPGSHCLTITDVPVTV
+YATTRKPPAQSSKEMHPK
+>Q8N8J7_PF15378_73 <unknown description>
+TLFGELNKNLINMGFTRMYFGERIVEPVIVIFFWVMLWFLGLQALGLVAVLCLVIIYVQ
+>Q96D05_PF15378_62 <unknown description>
+SPFNDLNRQLVNMGFPQWHLGNHAVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQ
+>P16118_PF01591_31 <unknown description>
+RGSSIPQFTNSPTMVIMVGLPARGKTYISTKLTRYLNWIGTPTKVFNLGQYRREAVSYKN
+YEFFLPDNMEALQIRKQCALAALKDVHNYLSHEEGHVAVFDATNTTRERRSLILQFAKEH
+GYKVFFIESICNDPGIIAENIRQVKLGSPDYIDCDREKVLEDFLKRIECYEVNYQPLDEE
+LDSHLSYIKIFDVGTRYMVNRVQDHIQSRTVYYLMNIHVTP
+>P16118_PF00300_254 <unknown description>
+IYLCRHGESELNIRGRIGGDSGLSVRGKQYAYALANFIQSQGISSLKVWTSHMKRTIQTA
+EALGVPYEQWKALNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRYRYPKGESYEDLVQ
+RLEPVIMELERQENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLHTVLKLTPVAYGCK
+VES
+>O60825_PF01591_27 <unknown description>
+KCSWASYMTNSPTLIVMIGLPARGKTYVSKKLTRYLNWIGVPTKVFNLGVYRREAVKSYK
+SYDFFRHDNEEAMKIRKQCALVALEDVKAYLTEENGQIAVFDATNTTRERRDMILNFAEQ
+NSFKVFFVESVCDDPDVIAANILEVKVSSPDYPERNRENVMEDFLKRIECYKVTYRPLDP
+DNYDKDLSFIKVINVGQRFLVNRVQDYIQSKIVYYLMNIHVQP
+>O60825_PF00300_252 <unknown description>
+IYLCRHGESEFNLLGKIGGDSGLSVRGKQFAQALRKFLEEQEITDLKVWTSQLKRTIQTA
+ESLGVPYEQWKILNEIDAGVCEEMTYAEIEKRYPEEFALRDQEKYLYRYPGGESYQDLVQ
+RLEPVIMELERQGNVLVISHQAVMRCLLAYFLDKGADELPYLRCPLHTIFKLTPVAYGCK
+VETI
+>Q16875_PF01591_26 <unknown description>
+SCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLNWIGVPTKVFNVGEYRREAVKQYSSY
+NFFRPDNEEAMKVRKQCALAALRDVKSYLAKEGGQIAVFDATNTTRERRHMILHFAKEND
+FKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNSAEAMDDFMKRISCYEASYQPLDPDK
+CDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLMNIHVQP
+>Q16875_PF00300_249 <unknown description>
+IYLCRHGENEHNLQGRIGGDSGLSSRGKKFASALSKFVEEQNLKDLRVWTSQLKSTIQTA
+EALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTGESYQDLVQ
+RLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKLTPVAYGCR
+VES
+>Q16877_PF01591_35 <unknown description>
+MTNCPTLIVMVGLPARGKTYISKKLTRYLNWIGVPTREFNVGQYRRDVVKTYKSFEFFLP
+DNEEGLKIRKQCALAALRDVRRFLSEEGGHVAVFDATNTTRERRATIFNFGEQNGYKTFF
+VESICVDPEVIAANIVQVKLGSPDYVNRDSDEATEDFMRRIECYENSYESLDEDLDRDLS
+YIKIMDVGQSYVVNRVADHIQSRIVYYLMNIHVTP
+>Q16877_PF00300_252 <unknown description>
+IYLCRHGESELNLKGRIGGDPGLSPRGREFAKSLAQFISDQNIKDLKVWTSQMKRTIQTA
+EALGVPYEQWKVLNEIDAGVCEEMTYEEIQDNYPLEFALRDQDKYRYRYPKGESYEDLVQ
+RLEPVIMELERQENVLVICHQAVMRCLLAYFLDKAAEQLPYLKCPLHTVLKLTPVAYGCK
+VES
+>Q8N7N1_PF14904_8 <unknown description>
+GTELLLQGFERRFLAVRTLRSFPWQSLEAKLRDSSDSELLRDILQKTVRHPVCVKHPPSV
+KYAWCFLSELIKKS
+>Q8N7N1_PF10294_100 <unknown description>
+TGLVTWDAALYLAEWAIENPAAFINRTVLELGSGAGLTGLAICKMCRPRAYIFSDPHSRV
+LEQLRGNVLLNGLSLEADITGNLDSPRVTVAQLDWDVAMVHQLSAFQPDVVIAADVLYCP
+EAIVSLVGVLQRLAACREHKRAPEVYVAFTVRNPE
+>P0C5J1_PF14904_8 <unknown description>
+GTELLLQGFERRFLAVRTLRSFPWQSLEAKLRDSSDSELLRDILQKTVRHPVCVKHPPSV
+KYAWCFLSELIKKHEAVHTEPLDKLYEVLAE
+>P0C5J1_PF10294_134 <unknown description>
+TGLVTWDAALYLAEWAIENPAAFINRTVLELGSGAGLTGLAICKMCRPRAYIFSDPHSRI
+LEQLRGNVLLNGLSLEADITGNLDSPRVTVAQLDWDVAMVHQLSAFQPDVVIAADVLYCP
+EAIVSLVGVLQRLAACREHKRAPEVYVAFTVRNPE
+>Q86YD7_PF15288_41 <unknown description>
+RLKCKNCEAFGHTARSTRCPMKCWKAALVPPNFGEKEGKENL
+>A6NKC0_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>A6NJQ4_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>A6NNJ1_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>A6NDY2_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTTCPMKCWKAALVPATLGKKEGKENL
+>P0C7W9_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>P0DV73_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>P0DV74_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>P0DV75_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>P0DV76_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>A8MWA6_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>A8MXZ1_PF15288_41 <unknown description>
+RLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENL
+>D6RGX4_PF15288_41 <unknown description>
+RLKCKNCGAFGHMARSTRCPMKCWKAALVPPTLGKKEGKENL
+>Q658Y4_PF14647_8 <unknown description>
+HIRHNYPWNKLPANVRQSLGNSQREYEKQVVLYSIRNQLRYRNNLVKHVKKDERRYYEEL
+LKYSRDHLMLYPYHLSDIMVKGLRITPFSYYTGIMEDIMNSEKSYDSLPNFTAADCLRLL
+GIGRNQYIDLMNQCRSSKKFFRRKTARDLLPIKPVEIAIEAWWVVQAGYITEDDIKICTL
+PEKCAVDKIIDSGPQLSGSLDYNVVHSLYNKGFIYLDVPISDDSCIAVPPLEGFVMNRVQ
+GDYFETLLYKIFVSIDEHTNVAELANVLEIDLSLVKNAVSMYCRLGFAHKKGQVINLDQL
+HSSWK
+>Q658Y4_PF14648_374 <unknown description>
+HTKRIAFLFDSTLTAFLMMGNLSPNLKSHAVTMFEVGKLSDESLDSFLIELEKVQSTGEG
+EAQRYFDHALTLRNTILFLRHNKDLVAQTAQPDQPNYGFPLDLLRCESLLGLDPATCSRV
+LNKNYTLLVSMAPLTNEIRPVSSCTPQHIGPAIPEVSSVWFKLYIYHVTGQGPPSLLLSK
+GTRLRKLPDIFQSYDRLLITSWGHDPGVVPTSNVLTMLNDALTHSAVLIQGHGLHGIGET
+VHVPFPFDETELQGEFTRVNMGVHKALQILRNRVDLQHLCGYVTMLNASSQLADRKLSDA
+SDERGEPDLASGSDVNGSTESFEMVIEEATIDSATKQTSGATTEADWVPLELCFGIPLFS
+SELNRKVCRKIAAHGLCRKESLQNLLHSSRKLSLQVLNFVHSFQEGASILDIHTEPSFSS
+LLSQSSCADMGVPLPAKNLIFKDGVLS
+>P00742_PF00594_45 <unknown description>
+LEEMKKGHLERECMEETCSYEEAREVFEDSDKTNEFWNKYK
+>P00742_PF00008_90 <unknown description>
+CETSPCQNQGKCKDGLGEYTCTCLEGFEGKN
+>P00742_PF14670_129 <unknown description>
+CSLDNGDCDQFCHEEQNSVVCSCARGYTLADNGKAC
+>P00742_PF00089_235 <unknown description>
+IVGGQECKDGECPWQALLINEENEGFCGGTILSEFYILTAAHCLYQAKRFKVRVGDRNTE
+QEEGGEAVHEVEVVIKHNRFTKETYDFDIAVLRLKTPITFRMNVAPACLPERDWAESTLM
+TQKTGIVSGFGRTHEKGRQSTRLKMLEVPYVDRNSCKLSSSFIITQNMFCAGYDTKQEDA
+CQGDSGGPHVTRFKDTYFVTGIVSWGEGCARKGKYGIYTKVTAFLKWI
+>P03951_PF00024_21 <unknown description>
+VTQLLKDTCFEGGDITTVFTPSAKYCQVVCTYHPRCLLFTFTAESPSEDPTRWFTCVLKD
+SVTETLPRVNRTAAISGYSFKQC
+>P03951_PF00024_119 <unknown description>
+DMKGINYNSSVAKSAQECQERCTDDVHCHFFTYATRQFPSLEHRNICLLKHTQTGTPTRI
+TKLDKVVSGFSLKSC
+>P03951_PF00024_203 <unknown description>
+DIFPNTVFADSNIDSVMAPDAFVCGRICTHHPGCLFFTFFSQEWPKESQRNLCLLKTSES
+GLPSTRIKKSKALSGFSLQSC
+>P03951_PF00024_296 <unknown description>
+YHDTDFLGEELDIVAAKSHEACQKLCTNAVRCQFFTYTPAQASCNEGKGKCYLKLSSNGS
+PTKILHGRGGISGYTL
+>P03951_PF00089_388 <unknown description>
+IVGGTASVRGEWPWQVTLHTTSPTQRHLCGGSIIGNQWILTAAHCFYGVESPKILRVYSG
+ILNQSEIKEDTSFFGVQEIIIHDQYKMAESGYDIALLKLETTVNYTDSQRPICLPSKGDR
+NVIYTDCWVTGWGYRKLRDKIQNTLQKAKIPLVTNEECQKRYRGHKITHKMICAGYREGG
+KDACKGDSGGPLSCKHNEVWHLVGITSWGEGCAQRERPGVYTNVVEYVDWI
+>P00748_PF00040_47 <unknown description>
+CHFPFQYHRQLYHKCTHKGRPGPQPWCATTPNFDQDQRWGYC
+>P00748_PF00008_98 <unknown description>
+CSKHSPCQKGGTCVNMPSGPHCLCPQHLTGNH
+>P00748_PF00039_135 <unknown description>
+CFEPQLLRFFHKNEIWYRTEQAAVARCQCKGPDAHC
+>P00748_PF00008_178 <unknown description>
+CRTNPCLHGGRCLEVEGHRLCHCPVGYTGA
+>P00748_PF00051_217 <unknown description>
+CYDGRGLSYRGLARTTLSGAPCQPWASEATYRNVTAEQARNWGLGGHAFCRNPDNDIRPW
+CFVLNRDRLSWEYCDLAQC
+>P00748_PF00089_373 <unknown description>
+VVGGLVALRGAHPYIAALYWGHSFCAGSLIAPCWVLTAAHCLQDRPAPEDLTVVLGQERR
+NHSCEPCQTLAVRSYRLHEAFSPVSYQHDLALLRLQEDADGSCALLSPYVQPVCLPSGAA
+RPSETTLCQVAGWGHQFEGAEEYASFLQEAQVPFLSLERCSAPDVHGSSILPGMLCAGFL
+EGGTDACQGDSGGPLVCEDQAAERRLTLQGIISWGSGCGDRNKPGVYTDVAYYLAWI
+>O94988_PF00620_59 <unknown description>
+PAVVWNIVEYLTQHGLTQEGLFRVNGNVKVVEQLRLKFESGVPVELGKDGDVCSAASLLK
+LFLRELPDSLITSALQPRFIQLFQDGRNDVQESSLRDLIKELPDTHYCLLKYLCQFLTKV
+AKHHVQNRMNVHNLATVFGPNCFHVPP
+>Q8NDB6_PF15549_1 <unknown description>
+MDPLQKRNPASPSKSSPMTAAETSQEGPAPSQPSYSEQPMMGLSNLSPGPGPSQAVPLPE
+GLLRQRYREEKTLEERRWERLEFLQRKKAFLRHVRRRHRDHMAPYAVGREARISPLGDRS
+QNRFRCECRYCQSHRPNLSGIPGESNRAPHPSSWETLVQGLSGLTLSLGT
+>Q96MK3_PF06702_305 <unknown description>
+FFVSPASNVCFFAKCPYMCKTEYAVCGNPHLLEGSLSAFLPSLNLAPRLSVPNPWIRSYT
+LAGKEEWEVNPLYCDTVKQIYPYNNSQRLLNVIDMAIFDFLIGNMDRHHYEMFTKFGDDG
+FLIHLDNARGFGRHSHDEISILSPLSQCCMIKKKTLLHLQLLAQADYRLSDVMRESLLED
+QLSPVLTEPHLLALDRRLQTILRTVEGCIVAHGQQSVI
+>Q8IXL6_PF06702_353 <unknown description>
+FFISPANNICFYGECSYYCSTEHALCGKPDQIEGSLAAFLPDLSLAKRKTWRNPWRRSYH
+KRKKAEWEVDPDYCEEVKQTPPYDSSHRILDVMDMTIFDFLMGNMDRHHYETFEKFGNET
+FIIHLDNGRGFGKYSHDELSILVPLQQCCRIRKSTYLRLQLLAKEEYKLSLLMAESLRGD
+QVAPVLYQPHLEALDRRLRVVLKAVRDCVERNGLHSV
+>A6NFZ4_PF15193_33 <unknown description>
+LYFKVAKALKAAKDPDAVAVKNHNPDKVCWATNSQAKATTMESCPSLQCCEGCRMHASSD
+SLPPCCCDINEGL
+>Q8N5W8_PF15193_22 <unknown description>
+LYFKIHNALKAAKEPEAVAVKNHNPDKVWWAKNSQAKTIATESCPALQCCEGYRMCASFD
+SLPPCCCDINEGL
+>Q7L5A8_PF00173_12 <unknown description>
+SPSEVQRRLAAGACWVRRGARLYDLSSFVRHHPGGEQLLRARAGQDISADLDGPPHRHSA
+NARRWLEQYYVGEL
+>Q7L5A8_PF04116_219 <unknown description>
+FMLGTFLWSLIEYLIHRFLFHMKPPSDSYYLIMLHFVMHGQHHKAPFDGSRLVFPPVPAS
+LVIGVFYLCMQLILPEAVGGTVFAGGLLGYVLYDMTHYYLHFGSPHKGSYLYSLKAHHVK
+HHFAHQKSGFGISTKLWDYCFHT
+>Q9Y421_PF08555_3 <unknown description>
+AYEQVQKGPLKLKGVAELGVTKRKKKKKDKDKAKLLEAMGTSKKNEEEKRRGLDKRTPAQ
+AAFEKMQEKRQME
+>Q8N2R8_PF14719_69 <unknown description>
+TYTVLYLGNATTIQARGDGCTDLAVGKIWSKSEAGRQGTKMKLTVSAQGIRMVHAEERAL
+RRPGHLYLLHRVTYCVADARLPKVFAWVYRHELKHKAVMLRCHAVLVSKPEKAQAMALLL
+YQTSANALAEFKRLKRRDDARHQQQELVGAHTIPLVPLRKLLLHGPCCYKPPVERSRSAP
+KLGSITED
+>Q6ZT52_PF14719_71 <unknown description>
+TYTVWYLGNAVTLHAKGDGCTDDAVGKIWARCGPGGGTKMKLTLGPHGIRMQPCERSAAG
+GSGGRRPAHAYLLPRITYCTADGRHPRVFAWVYRHQARHKAVVLRCHAVLLARAHKARAL
+ARLLRQTALAAFSDFKRLQRQSDARHVRQQHLRAGGAAASVPRAPLRRLLNAKCAYRPPP
+SERSRGAPRLSSIQEE
+>Q5JRC9_PF14642_1 <unknown description>
+MGDQRLQDWLRSPGMDSKPWYCNKRPSKCFAKCKHRRLRFPPMDTQNWVFVKEGMDDFRY
+GCPSPEDTLVCRRDEFLLPKISLRGPQADPKSGQKKLLKKAALFSKLSPAQLARKAFVEQ
+VEAQLMAKHPLAMYPNLGEDMPPDLLLQVLKHLDPERELEDAWACCETQEKTTEVPTEPG
+KHPCGEFCLKPPETPVSHLLPEPPETGVSHLSPEPPKTPVSSLRPEPPETGVSHLRPEPP
+ETGVSHIRPGPPITR
+>Q5JRC9_PF14642_260 <unknown description>
+LLRQLLKLDSERKLEDARAPCEGREKTTDEPTEPGKYPCGKFCPRPFETPLSHLRQEPPK
+TPVSSLRPEPPETGESHLRLEHSKTRRGSSLRSEPSETGVSRLRLAPPKTR
+>Q5JRC9_PF14642_373 <unknown description>
+SSLHAEPSKTGVSHLSPEPPKTEVSHLHPVPPKTGVCHLRLEPPDTSQVS
+>Q5JRC9_PF14642_423 <unknown description>
+NLLLYILKVLDSGRTLKDVWDRCEARVKKTKEPTEPHKSPCGEPCLQPPETQVSHPHPEH
+PKTRRRSSLHSQPPKTRRTSSLRSEPPKTRRTSSLRSEPPKTRRTSSLGPEPPKTR
+>Q5JRC9_PF14642_513 <unknown description>
+RTSSLRSEPPKTRRTSSLGPEPPKTRRVSSLRPELPKSRRVSSLHPEPPKAPESHQFSEP
+PKIRAS
+>Q8NA70_PF14642_1 <unknown description>
+MGDRRPQDRPRSQGMDSKPWYCDKPPSKYFAKRKHRRLRFPPVDTQNWVFVTEGMDDFRY
+ACQSPEDTLVCRRDEFLLPKISLRGPQADRKSRKKKLLKKAALFSELSPVQPARKAFVEE
+VEAQLMTKHPLAMYPNLGKDMPPDLLLQVLKQLDPERKLEDAWARCEAREKTTEVPTESG
+KYPCGESCPRPPETPVSRLRPQLPKTPVSSRRPEPPKTRVSSLRPEPPKTRVSSLHPEPP
+ETRASHLRVDPP
+>Q8NA70_PF14642_237 <unknown description>
+PEPPETRASHLRVDPPETGVSHLCPEPPKTLVSSVHPEPPDTGASHLCPEPPETRVS
+>Q8NA70_PF14642_283 <unknown description>
+LCPEPPETRVSHLHPEPPETGVSHLRPEPSKTQVSSLCPEPPEAGVSHLCLEPPNTH
+>Q8NA70_PF14642_344 <unknown description>
+FLLQVLKLDSEKKLEDARARCEGQEMTTEELTKPGKYHFWESCPRPFESRMPHLRLVLPI
+TRRMASLCLKPPKTRRVSSLCPEPTKTGASHLK
+>Q5HY64_PF14642_1 <unknown description>
+MGDQRPQDRPSSPGMDSTPWYCDKPPSKYFAKRKHRRLRFPPVDTQNWVFVTEGMDDFRY
+GCQSPEDTLVCRRDEFLLPKISLRGPQADPKSRKKKLLKKAALFSKLSPAQPARKAFVEE
+VEAQLMTKHPLAMYPNLGEDMPPDLLLQVLKPLDPERKLEDAGSCEGQEKTTDEPTEPGK
+YPCGEFSPRPPETRVSCLPPEPPKTPVSSLRPEPPETGVSHLRPQPPKTQVSSLHLEPPE
+TGVSHLRPEPPKTQVSS
+>Q5HY64_PF14642_255 <unknown description>
+VSSLHLEPPETGVSHLYLEPPGTGVSHLCPEPPKTRVSHLHREPPETGVPDLCLEPPKSR
+VS
+>Q5HY64_PF14642_307 <unknown description>
+CLEPPKSRVSHLRPEPSETGVSHLHPEPPKTLVSSLHPEPPETGVSHLCPEPPETRVS
+>Q5HY64_PF14642_369 <unknown description>
+LPPEAGVSHLCPEPPKTRVPPLRPETPKNGVSPLFPEPPKTRISNLRSEPPKIGVSHLCL
+EPPKTRGS
+>Q5HY64_PF14642_429 <unknown description>
+EPPKTRGSHLRPEPPETGVSHLRPEPPKTRVSSLHLEPPETGVSHLCPEPPEKDVS
+>Q5HY64_PF14642_486 <unknown description>
+LRPEPPDTGVSHLCPEPPKTRVSHLRPEPSETGVSHLRPEPPKILVSSLHQAPPESSVSH
+LRPEPPETGVS
+>Q5HY64_PF14642_548 <unknown description>
+PEPPETGVSHLRPEPPKTRMYSLRPEPPDTGVSHLCPEPPKTRVSSLPPEPPETGVSHLC
+PEPPETRVS
+>Q5HY64_PF14642_596 <unknown description>
+PEPPETGVSHLCPEPPETRVSHLRPEPPETGVSHLRPEPPKTRMYSLRPEPPNTGVSHLC
+PEPPKTRVSS
+>Q5HY64_PF14642_667 <unknown description>
+PPEPPETGVSHLCPEPPETRVSHLRPEPPETGVSRLHPEPPKTRVSSLHAEPPESRVSHL
+CPEPPETGVS
+>Q5HY64_PF14642_738 <unknown description>
+LRPEPPKPRVSSLRPEPLETRVSHLRPEPPETGVSHLHPELPKPRVSSLHLEPPKTRRVS
+SLRLEPPKT
+>Q6ZV65_PF14642_23 <unknown description>
+RSRCFTKHKNGLKFPTSLHSRQLVFPRKGLDDFRKGCPPCTGLVTQVPVEGFLPQIYHRA
+PQLAPKKRQIKLLKEADVLSKLSPAQQARKAFLEDVEAHLTPHPLALYLNLEEAMPIELL
+SKVLEVLDPDRKLEDTWAYCQDTRKGMKEPTKLLKKHST
+>Q14320_PF04921_111 <unknown description>
+KEAKRKISSLSFTLEEEEEGGEEEEEAAMYEEEMEREEITTKKRKLGKNPDVDTSFLPDR
+DREEEENRLREELRQEWEAKQEKIKSEEIEITFSYWDGSGHRRTVKMRKGNTMQQFLQKA
+LEILRKDFSELRSAGVEQLMYIKEDLIIPHHHSFYDFIVTKARGKSGPLFNFDVHDDVRL
+LSDATVEKDESHAGKVVLRSWYEKNKHIFPASRWEPYDPEKKWDKYT
+>Q9Y247_PF04921_97 <unknown description>
+QRLQQERQREQEQRRERKRKISCLSFALDDLDDQADAAEARRAGNLGKNPDVDTSFLPDR
+DREEEENRLREELRQEWEAQREKVKDEEMEVTFSYWDGSGHRRTVRVRKGNTVQQFLKKA
+LQGLRKDFLELRSAGVEQLMFIKEDLILPHYHTFYDFIIARARGKSGPLFSFDVHDDVRL
+LSDATMEKDESHAGKVVLRSWYEKNKHIFPASRWEAYDPEKKWDKYT
+>Q6NSI3_PF15242_1 <unknown description>
+MVTLITEKLQSQSLDDLTCKAEAGPLQYSAETLNKSGRLFPLELNDQSPWKVFSGGPPVR
+SQAATGPDFSFLPGLSAAAHTMGLQWQPQSPRPGAGLGAASTVDPSESTGSSTAPPTKRH
+CRSLSEPEELVRCRSPWRPGSSKVWTPVSKRRCDSGGSATRQGSPGAVLPRSAVWSTGPT
+SPATPRPSSASGGFVDSSEGSAGSGPLWCSAESCLPSTRRRPSLSQERLAGAGTPLPWAS
+SSPTSTPALGGRRGLLRCRSQPCVLSGKRSRRKRRREEDARWTRPSLDFLKMTQ
+>Q14153_PF15242_1 <unknown description>
+MVMVLSESLSTRGADSIACGTFSRELHTPKKMSQGPTLFSCGIMENDRWRDLDRKCPLQI
+DQPSTSIWECLPEKDSSLWHREAVTACAVTSLIKDLSISDHNGNPSAPPSKRQCRSLSFS
+DEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGFSTMQRSSSFSLPSRANVLSSP
+CDQAGLHHRFGGQPCQGVPGSAPCGQAGDTWSPDLHPVGGGRLDLQRSLSCSHEQFSFVE
+YCPPSANSTPASTPELARRSSGLSRSRSQPCVLNDKKVGVKRRRPEEVQEQRPSLDLAKM
+AQ
+>Q9NYF3_PF15242_1 <unknown description>
+MITLITEQLQKQTLDELKCTRFSISLPLPDHADISNCGNSFQLVSEGASWRGLPHCSCAE
+FQDSLNFSYHPSGLSLHLRPPSRGNSPKEQPFSQVLRPEPPDPEKLPVPPAPPSKRHCRS
+LSVPVDLSRWQPVWRPAPSKLWTPIKHRGSGGGGGPQVPHQSPPKRVSSLRFLQAPSASS
+QCAPAHRPYSPPFFSLALAQDSSRPCAASPQSGSWESDAESLSPCPPQRRFSLSPSLGPQ
+ASRFLPSARSSPASSPELPWRPRGLRNLPRSRSQPCDLDARKTGVKRRHEEDPRRLRPSL
+DFDKMNQ
+>P12259_PF07732_85 <unknown description>
+LLGPTLYAEVGDIIKVHFKNKADKPLSIHPQGIRYSKLSEGASYLDHTFPAEKMDDAVAP
+GREYTYEWSISEDSGPTHDDPPCLTHIYYSHENLIEDFNSGLIGPLLICK
+>P12259_PF07732_1643 <unknown description>
+ILGPIIRAEVDDVIQVRFKNLASRPYSLHAHGLSYEKSSEGKTYEDDSPEWFKEDNAVQP
+NSSYTYVWHATERSG
+>P12259_PF00754_1922 <unknown description>
+QIKASEFLGYWEPRLARLNNGGSYNAWSVEKLAAEFASKPWIQVDMQKEVIITGIQTQGA
+KHYLKSCYTTEFYVAYSSNQINWQIFKGNSTRNVMYFNGNSDASTIKENQFDPPIVARYI
+RISPTRAYNRPTLRLEL
+>P12259_PF00754_2081 <unknown description>
+QITASSFKKSWWGDYWEPFRARLNAQGRVNAWQAKANNNKQWLEIDLLKIKKITAIITQG
+CKSLSSEMYVKSYTIHYSEQGVEWKPYRLKSSMVDKIFEGNTNTKGHVKNFFNPPIISRF
+IRVIPKTWNQSIALRLEL
+>Q5TYM5_PF14976_6 <unknown description>
+CSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKIC
+KCKLKDIACLKCGNIVGYHVIVPCSSCLLSCNNGHFWMFHSQAVYDINRLDSTGVNVLLW
+GNLPEIEESTDEDVLNISAEECIR
+>Q86X60_PF14976_6 <unknown description>
+CSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKIC
+KCKLKDIACLKCGNIVGYHVIVPCSSCLPSCNNGHFWMFHSQAVYDINRLDSTGVNILLW
+GNLPEIEESTDEDVLNISAEECIR
+>H0Y354_PF14976_6 <unknown description>
+CSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKIC
+KCKLKDIACLKCGNIVVYHVIVPCSSCLLSCNNRHFWMFHSQAVYDINRLDSTGVNVLLR
+GNLPEIEESTDEDVLNISAEECIR
+>Q6L9T8_PF14976_6 <unknown description>
+CSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKIC
+KCKLKDIACLKCGNIVGYHVIVPCSSCLLSCNNRHFWMFHSQAVYDINRLDSTGVNVLLR
+GNLPEIEESTDEDVLNISAEECIR
+>Q8TAV0_PF16046_4 <unknown description>
+LYACTKCHQRFPFEALSQGQQLCKECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQNVQ
+LYGTPKPCQYCNIIAAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVDGKL
+LCWLCTLSYKRVLQKTKEQRKHLSSSSRAGHQEKEQYSRLSGGGHYNSQKTLSTSSIQNE
+IPKKKSKFESITTNGDSFSPDLALDSPGTDHFVIIAQLKEEVATLKKMLHQKDQMILEKE
+KKITELKADFQYQESQMRAKMNQMEKTHKEVTEQLQAKNRELLKQAAALSKSKK
+>Q5HYJ3_PF16046_6 <unknown description>
+LYACTKCTQRYPFEELSQGQQLCKECRIAHPIVKCTYCRSEFQQESKTNTICKKCAQNVK
+QFGTPKPCQYCNIIAAFIGTKCQRCTNSEKKYGPPQTCEQCKQQCAFDRKEEGRRKVDGK
+LLCWLCTLSYKRVLQKTKEQRKSLGSSHSNSSSSSLTEKDQHHPKHHHHHHHHHHRHSSS
+HHKISNLSPEEEQGLWKQSHKSSATIQNETPKKKPKLESKPSNGDSSSINQSADSGGTDN
+FVLISQLKEEVMSLKRLLQQRDQTILEKDKKLTELKADFQYQESNLRTKMNSMEKAHKET
+VEQLQAKNRELLKQVAALSKGKK
+>P08709_PF00594_43 <unknown description>
+LEELRPGSLERECKEEQCSFEEAREIFKDAERTKLFWISY
+>P08709_PF00008_88 <unknown description>
+CASSPCQNGGSCKDQLQSYICFCLPAFEGR
+>P08709_PF00089_191 <unknown description>
+IVGGKVCPKGECPWQVLLLVNGAQLCGGTLINTIWVVSAAHCFDKIKNWRNLIAVLGEHD
+LSEHDGDEQSRRVAQVIIPSTYVPGTTNHDIALLRLHQPVVLTDHVVPLCLPERTFSERT
+LAFVRFSLVSGWGQLLDRGATALELMVLNVPRLMTQDCLQQSRKVGDSPNITEYMFCAGY
+SDGSKDSCKGDSGGPHATHYRGTWYLTGIVSWGQGCATVGHFGVYTRVSQYIEWL
+>Q86UY5_PF07894_20 <unknown description>
+QWVRPARADFSDNESARLATDALLDGGSEAYWRVLSQEGEVDFLSSVEAQYIQAQAREPP
+CPPDTLGGAEAGPKGLDSSSLQSGTYFPVASEGSEPALLHSWASAEKPYLKEKSSATVYF
+QTVKHNNIRDLVRRCITRTSQVLVILMDVFTDVEIFCDILEAANKRGVFVCVLLDQGGVK
+LFQEMCDKVQISDSHLKNISIRSVEGEIYCAKSGRKFAGQIREKFIISDWRFVLSGSYSF
+TWLCGHVHRNILSKFTGQAVELFDEEFRHLYASSKP
+>Q5T0W9_PF07894_15 <unknown description>
+KSDNYIEPHYKEWYRVAIDILIEHGLEAYQEFLVQERVSDFLAEEEINYILKNVQKVAQS
+TAHGTDDSCDDTLSSGTYWPVESDVEAPNLDLGWPYVMPGLLGGTHIDLLFHPPRAHLLT
+IKETIRKMIKEARKVIALVMDIFTDVDIFKEIVEASTRGVSVYILLDESNFNHFLNMTEK
+QGCSVQRLRNIRVRTVKGQDYLSKTGAKFHGKMEQKFLLVDCQKVMYGSYSYMWSFEKAH
+LSMVQIITGQLVESFDEEFRTLYARSC
+>Q9BQN1_PF07894_30 <unknown description>
+WWRESSPLVLRHSEAARLAADALLERGEAAYLRVISEERELPFLSALDVDYMTSHVRGGP
+ELSEAQGQEASGPDRLSLLSEVTSGTYFPMASDIDPPDLDLGWPEVPQATGFSPTQAVVH
+FQRDKAKNIKDLLRFLFSQAHTVVAVVMDIFTDMELLCDLMEASSRRGVPVYLLLAQEHL
+RHFLEMCYKMDLNGEHLPNMRVRSTCGDTYCSKAGRRFTGQALEKFVLIDCEQVVAGSYS
+FTWLCSQAHTSMVLQLRGRIVEDFDREFRCLYAESQP
+>Q9H4H8_PF07894_19 <unknown description>
+CGPPNPTELFSESRRLALEELVAGGPEAFAAFLRRERLARFLNPDEVHAILRAAERPGEE
+GAAAAAAAEDSFGSSHDCSSGTYFPEQSDLEPPLLELGWPAFYQGAYRGATRVETHFQPR
+GAGEGGPYGCKDALRQQLRSAREVIAVVMDVFTDIDIFRDLQEICRKQGVAVYILLDQAL
+LSQFLDMCMDLKVHPEQEKLMTVRTITGNIYYARSGTKIIGKVHEKFTLIDGIRVATGSY
+SFTWTDGKLNSSNLVILSGQVVEHFDLEFRILYAQSKP
+>Q2M2I3_PF07894_18 <unknown description>
+VPGASPGFLYSEGQRLALEALLSKGAEAFQTCVQREELWPFLSADEVQGLAAAAEDWTVA
+KQEPSGMAEGATTTDVDAGSLSYWPGQSEQPAPVLRLGWPVDSAWKGITRAQLYTQPPGE
+GQPPLKELVRLEIQAAHKLVAVVMDVFTDPDLLLDLVDAATRRWVPVYLLLDRQQLPAFL
+ELAQQLGVNPWNTENVDVRVVRGCSFQSRWRRQVSGTVREKFVLLDGERVISGSYSFTWS
+DARLHRGLVTLLTGEIVDAFSLEFRTLYAASCP
+>Q8NEG4_PF07894_15 <unknown description>
+NEKVTEAQAAFYYCERRRAALEALLGGGEQAYRERLKEEQLRDFLSSPERQALRAAWSPY
+EDAVPAANARGKSKAKAKAPAPAPAESGESLAYWPDRSDTEVPPLDLGWTDTGFYRGVSR
+VTLFTHPPKDEKAPHLKQVVRQMIQQAQKVIAVVMDLFTDGDIFQDIVDAACKRRVPVYI
+ILDEAGVKYFLEMCQDLQLTDFRIRNIRVRSVTGVGFYMPMGRIKGTLSSRFLMVDGDKV
+ATGSYRFTWSSSHVDRNLLLLLTGQNVEPFDTEFRELYAISE
+>A6ND36_PF07894_15 <unknown description>
+NWRSSESKPEFFYSEEQRLALEALVARGRDAFYEVLKRENIRDFLSELELKRILETIEVY
+DPGSEDPRGTGPSQGPEDNGVGDGEEASGADGVPIEAEPLPSLEYWPQKSDRSIPQLDLG
+WPDTIAYRGVTRASVYMQPPIDGQAHIKEVVRKMISQAQKVIAVVMDMFTDVDIFKDLLD
+AGFKRKVAVYIIVDESNVKYFLHMCERACMHLGHLKNLRVRSSGGTEFFTRSATKFKGAL
+AQKFMFVDGDRAVCGSYSFTWSAARTDRNVISVLSGQVVEMFDRQFQELYLMSH
+>Q6ZRV2_PF07894_16 <unknown description>
+APGYLPPHYKEYYRLAVDALAEGGSEAYSRFLATEGAPDFLCPEELEHVSRHLRPPQYVT
+REPPEGSLLDVDMDGSSGTYWPVNSDQAVPELDLGWPLTFGFQGTEVTTLVQPPPPDSPS
+IKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAAARRVPVYILLDEMNAQHFLDMADK
+CRVNLQHVDFLRVRTVAGPTYYCRTGKSFKGHVKEKFLLVDCAVVMSGSYSFMWSFEKIH
+RSLAHVFQGELVSSFDEEFRILFAQSEP
+>Q9UBU6_PF06271_244 <unknown description>
+PSLAHRFMAEMVDFFILFFIKATIVLSIMHLSGIKDISKFAMHYIIEEIDEDTSMEDLQK
+MMVVALIYRLLVCFYEIICIWGAGGATPGKFLLGLRVVTCDTSVLIAPSRVLVIPSSNVS
+ITTSTIRALIKNFSIASFFPAFITLLFFQHNRTAYDIVAG
+>P00451_PF07732_90 <unknown description>
+LLGPTIQAEVYDTVVITLKNMASHPVSLHAVGVSYWKASEGAEYDDQTSQREKEDDKVFP
+GGSHTYVWQVLKENGPMASDPLCLTYSYLSHVDLVKDLNSGLIGALLV
+>P00451_PF00394_224 <unknown description>
+GKSWHSETKNSLMQDRDAASARAWPKMHTVNGYVNRSLPGLIGCHRKSVYWHVIGMGTTP
+EVHSIFLEGHTFLVRNHRQASLEISPITFLTAQTLLMDLGQFLLFCHISSHQHDGMEAYV
+KVDSC
+>P00451_PF07732_454 <unknown description>
+TFKTREAIQHESGILGPLLYGEVGDTLLIIFKNQASRPYNIYPHGITDVRPLYSRRLPKG
+VKHLKDFPILPGEIFKYKWTVTVEDGPTKSDPRCLTRYYSSFVNMERDLASGLIGPLLI
+>P00451_PF07731_1920 <unknown description>
+APCNIQMEDPTFKENYRFHAINGYIMDTLPGLVMAQDQRIRWYLLSMGSNENIHSIHFSG
+HVFTVRKKEEYKMALYNLYPGVFETVEMLPSKAGIWRVECLIGEHLHAGMSTLFLVY
+>P00451_PF00754_2055 <unknown description>
+QITASGQYGQWAPKLARLHYSGSINAWSTKEPFSWIKVDLLAPMIIHGIKTQGARQKFSS
+LYISQFIIMYSLDGKKWQTYRGNSTGTLMVFFGNVDSSGIKHNIFNPPIIARYIRLHPTH
+YSIRSTLRMEL
+>P00451_PF00754_2208 <unknown description>
+QITASSYFTNMFATWSPSKARLHLQGRSNAWRPQVNNPKEWLQVDFQKTMKVTGVTTQGV
+KSLLTSMYVKEFLISSSQDGHQWTLFFQNGKVKVFQGNQDSFTPVVNSLDPPLLTRYLRI
+HPQSWVHQIALRMEV
+>Q8NCA5_PF10239_11 <unknown description>
+LESLEDLGYKGPLLEDGALSQAVSAGASSPEFTKLCAWLVSELRVLCKLEENVQATNSPS
+EAEEFQLEVSGLLGEMNCPYLSLTSGDVTKRLLIQKNCLLLLTYLISELEAARMLCVNAP
+PKKAQEGGGSEVFQELKGICIALGMSKPPANITMFQFFSGIEKKLKETLAKVPPNHVGKP
+LLKKPMGPAHWEKIEAINQAIANEYEVRRKLLIKRLDVTVQSFGWSDRAKSQTEKLAKVY
+QPKRSVLSPKTTISVAHLLAARQDLSKILRTSSGSIREKTACAINKVLMGRVPDRGGRPN
+EIEPPPPEMPPWQKRQDG
+>Q52LJ0_PF10239_17 <unknown description>
+LDTLEALGYKGPLLEEQALTKAAEGGLSSPEFSELCIWLGSQIKSLCNLEESITSAGRDD
+LESFQLEISGFLKEMACPYSVLISGDIKDRLKKKEDCLKLLLFLSTELQASQILQNKKHK
+NSQLDKNSEVYQEVQAMFDTLGIPKSTTSDIPHMLNQVESKVKDILSKVQKNHVGKPLLK
+MDLNSEQAEQLERINDALSCEYECRRRMLMKRLDVTVQSFGWSDRAKVKTDDIARIYQPK
+RYALSPKTTITMAHLLAAREDLSKIIRTSSGTSREKTACAINKVLMGRVPDRGGRPNEIE
+PPPPEMPPWQKRQEG
+>Q17RN3_PF10239_26 <unknown description>
+VPGAASRGASCPDFRGLCVRLAAELATLGALEQQREAGAEVLSAGDGPGAEEDFLRQLGS
+LLRELHCPDRALCGGDGAAALREPGAGLRLLRFLCSELQATRLLCLRSLLDPSPRPPLGE
+GVVEGAGMVQELDLTLQALGLPRPAPGTPASQLLQELHAKISELQPSLPPGSLQPLLSCS
+LDAPRWEALESLSQSLRDQYRCRRCLLLKRLDLTTSAFHWSDRAEAQGEAMRAVLIPIRE
+VLTPESDISIAHVLAARADLSCLVPATSVAVRRGTCCAINKVLMGNVPDRGGRPNELEPP
+MPTWRSRRED
+>P00740_PF00594_52 <unknown description>
+LEEFVQGNLERECMEEKCSFEEAREVFENTERTTEFWKQYV
+>P00740_PF00008_97 <unknown description>
+CESNPCLNGGSCKDDINSYECWCPFGFEGKN
+>P00740_PF14670_134 <unknown description>
+CNIKNGRCEQFCKNSADNKVVCSCTEGYRLAENQKSC
+>P00740_PF00089_227 <unknown description>
+VVGGEDAKPGQFPWQVVLNGKVDAFCGGSIVNEKWIVTAAHCVETGVKITVVAGEHNIEE
+TEHTEQKRNVIRIIPHHNYNAAINKYNHDIALLELDEPLVLNSYVTPICIADKEYTNIFL
+KFGSGYVSGWGRVFHKGRSALVLQYLRVPLVDRATCLRSTKFTIYNNMFCAGFHEGGRDS
+CQGDSGGPHVTEVEGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWI
+>P16930_PF09298_15 <unknown description>
+HNLPYGVFSTRGDPRPRIGVAIGDQILDLSIIKHLFTGPVLSKHQDVFNQPTLNSFMGLG
+QAAWKEARVFLQNLLSVSQARLRDDTELRKCAFISQASATMHLP
+>P16930_PF01557_124 <unknown description>
+YTDFYSSRQHATNVGIMFRDKENALMPNWLHLPVGYHGRASSVVVSGTPIRRPMGQMKPD
+DSKPPVYGACKLLDMELEMAFFVGPGNRLGEPIPISKAHEHIFGMVLMNDWSARDIQKWE
+YVPLGPFLGKSFGTTVSPWVVPMDALMPFAVPNPKQDPRPLPYLCHDEPYTFDINLSVNL
+KGEGMSQAATICKSNFKYMYWTMLQQLTHHSVNGCNLRPGDLLASGTISGPEPENFGSML
+ELSWKGTKPIDLGNGQTRKFLLDGDEVIITGYCQGDGYRIGFGQCAGKV
+>O00519_PF01425_96 <unknown description>
+VLFTYVGKAWEVNKGTNCVTSYLADCETQLSQAPRQGLLYGVPVSLKECFTYKGQDSTLG
+LSLNEGVPAECDSVVVHVLKLQGAVPFVHTNVPQSMFSYDCSNPLFGQTVNPWKSSKSPG
+GSSGGEGALIGSGGSPLGLGTDIGGSIRFPSSFCGICGLKPTGNRLSKSGLKGCVYGQEA
+VRLSVGPMARDVESLALCLRALLCEDMFRLDPTVPPLPFREEVYTSSQPLRVGYYETDNY
+TMPSPAMRRAVLETKQSLEAAGHTLVPFLPSNIPHALETLSTGGLFSDGGHTFLQNFKGD
+FVDPCLGDLVSILKLPQWLKGLLAFLVKPLLPRLSAFLSNMKSRSAGKLWELQHEIEVYR
+KTVIAQWRALDLDVVLTPMLAPALDLNAPGRATGAVSYTMLYNCLDFPAGVVPVTTVTAE
+DEAQMEHYRGYFGDIWDKMLQKGMKKSVGLPVAVQCVALPWQEELCL
+>Q6GMR7_PF01425_69 <unknown description>
+DVVQAYINRIKDVNPMINGIVKYRFEEAMKEAHAVDQKLAEKQEDEATLENKWPFLGVPL
+TVKEAFQLQGMPNSSGLMNRRDAIAKTDATVVALLKGAGAIPLGITNCSELCMWYESSNK
+IYGRSNNPYDLQHIVGGSSGGEGCTLAAACSVIGVGSDIGGSIRMPAFFNGIFGHKPSPG
+VVPNKGQFPLAVGAQELFLCTGPMCRYAEDLAPMLKVMAGPGIKRLKLDTKVHLKDLKFY
+WMEHDGGSFLMSKVDQDLIMTQKKVVVHLETILGASVQHVKLKKMKYSFQLWIAMMSAKG
+HDGKEPVKFVDLLGDHGKHVSPLWELIKWCLGLSVYTIPSIGLALLEEKLRYSNEKYQKF
+KAVEESLRKELVDMLGDDGVFLYPSHPTVAPKHHVPLTRPFNFAYTGVFSALGLPVTQCP
+LGLNAKGLPLGIQVVAGPFNDHLTL
+>Q8IVS2_PF00698_66 <unknown description>
+LFPGQGSQVVGMGRGLLNYPRVRELYAAARRVLGYDLLELSLHGPQETLDRTVHCQPAIF
+VASLAAVEKLHHLQPSVIENCVAAAGFSVGEFAALVFAGAMEFAEGLYAVKIRAEAMQEA
+SEAVPSGMLSVLGQPQSKFNFACLEAREHCKSLGIENPVCEVSNYLFPDCRVISGHQEAL
+RFLQKNSSKFHFRRTRMLPVSGAFHTRLMEPAVEPLTQALKAVDIKKPLVSVYSNVHAHR
+YRHPGHIHKLLAQQLVSPVKWEQTMHAIYE
+>P15090_PF00061_6 <unknown description>
+VGTWKLVSSENFDDYMKEVGVGFATRKVAGMAKPNMIISVNGDVITIKSESTFKNTEISF
+ILGQEFDEVTADDRKVKSTITLDGGVLVHVQKWDGKSTTIKRKREDDKLVVECVMKGVTS
+TRVYER
+>Q01469_PF00061_9 <unknown description>
+GRWRLVDSKGFDEYMKELGVGIALRKMGAMAKPDCIITCDGKNLTIKTESTLKTTQFSCT
+LGEKFEETTADGRKTQTVCNFTDGALVQHQEWDGKESTITRKLKDGKLVVECVMNNVTCT
+RIYEK
+>P51161_PF14651_1 <unknown description>
+MAFTGKFEMESEKNYDEFMKLLGISSDVIEKARNFKIVTEVQQDGQDFTWSQHYSGGHTM
+TNKFTVGKESNIQTMGGKTFKATVQMEGGKLVVNFPNYHQTSEIVGDKLVEVSTIGGVTY
+ERVSKRLA
+>O15540_PF00061_8 <unknown description>
+TWKLTNSQNFDEYMKALGVGFATRQVGNVTKPTVIISQEGDKVVIRTLSTFKNTEISFQL
+GEEFDETTADDRNCKSVVSLDGDKLVHIQKWDGKETNFVREIKDGKMVMTLTFGDVVAVR
+HYEK
+>Q0Z7S8_PF00061_7 <unknown description>
+GTWKLVSSENFEDYMKELGVNFAARNMAGLVKPTVTISVDGKMMTIRTESSFQDTKISFK
+LGEEFDETTADNRKVKSTITLENGSMIHVQKWLGKETTIKRKIVDEKMVVECKMNNIVST
+RIYE
+>P05413_PF00061_7 <unknown description>
+GTWKLVDSKNFDDYMKSLGVGFATRQVASMTKPTTIIEKNGDILTLKTHSTFKNTEISFK
+LGVEFDETTADDRKVKSIVTLDGGKLVHLQKWDGQETTLVRELIDGKLILTLTHGTAVCT
+RTYEKE
+>P12104_PF00061_6 <unknown description>
+TWKVDRSENYDKFMEKMGVNIVKRKLAAHDNLKLTITQEGNKFTVKESSTFRNIEVVFEL
+GVTFNYNLADGTELRGTWSLEGNKLIGKFKRTDNGNELNTVREIIGDELVQTYVYE
+>P07148_PF14651_1 <unknown description>
+MSFSGKYQLQSQENFEAFMKAIGLPEELIQKGKDIKGVSEIVQNGKHFKFTITAGSKVIQ
+NEFTVGEECELETMTGEKVKTVVQLEGDNKLVTTFKNIKSVTELNGDIITNTMTLGDIVF
+KRISKRI
+>Q9BXW9_PF14631_1 <unknown description>
+MVSKRRLSKSEDKESLTEDASKTRKQPLSKKTKKSHIANEVEENDSIFVKLLKISGIILK
+TGESQNQLAVDQIAFQKKLFQTLRRHPSYPKIIEEFVSGLESYIEDEDSFRNCLLSCERL
+QDEEASMGASYSKSLIKLLLGIDILQPAIIKTLFEKLPEYFFENKNSDEINIPRLIVSQL
+KWLDRVVDGKDLTTKIMQLISIAPENLQHDIITSLPEILGDSQHADVGKELSDLLIENTS
+LTVPILDVLSSLRLDPNFLLKVRQLVMDKLSSIRLEDLPVIIKFILHSVTAMDTLEVISE
+LREKLDLQHCVLPSRLQASQVKLKSKGRASSSGNQESSGQSCIILLFDVIKSAIRYEKTI
+SEAWIKAIENTASVSEHKVFDLVMLFIIYSTNTQTKKYIDRVLRNKIRSGCIQEQLLQST
+FSVHYLVLKDMCSSILSLAQSLLHSLDQSIISFGSLLYKYAFKFFDTYCQQEVVGALVTH
+ICSGNEAEVDTALDVLLELVVLNPSAMMMNAVFVKGILDYLDNISPQQIRKLFYVLSTLA
+FSKQNEASSHIQDDMHLVIRKQLSSTVFKYKLIGIIGAVTMAGIMAADRSESPSLTQERA
+NLSDEQCTQVTSLLQLVHSCSEQSPQASALYYDEFANLIQHEKLDPKALEWVGHTICNDF
+QDAFVVDSCVVPEGDFPFPVKALYGLEEYDTQDGIAINLLPLLFSQDFAKDGGPVTSQES
+GQKLVSPLCLAPYFRLLRLCVERQHNGNLEEIDGLLDCPIFLTDLEPGEKLESMSAKERS
+FMCSLIFLTLNWFREIVNAFCQETSPEMKGKVLTRLKHIVELQIILEKYLAVTPDYVPPL
+GNFDVETLDITPHTVTAISAKIRKKGKIERKQKTDGSKTSSSDTLSEEKNSECDPTPSHR
+GQLNKEFTGKEEKTSLLLHNSHAFFRELDIEVFSILHCGLVTKFILDTEMHTEATEVVQL
+GPPELLFLLEDLSQKLESMLTPPIARRVPFLKNKGSRNIGFSHLQQRSAQEIVHCVFQLL
+TPMCNHLENIHNYFQCLAAENHGVVDGPGVKVQEYHIMSSCYQRLLQIFHGLFAWSGFSQ
+PENQNLLYSALHVLSSRLKQGEHSQPLEELLSQSVHYLQNFHQSIPSFQCALYLIRLLMV
+ILEKSTASAQNKEKIASLARQFLCRVWPSGDKEKSNISNDQLHALLCIYLEHTESILKAI
+EEIAGVGVPELINSPKDASSSTFPTLTRHTFVVFFRVMMAELEKTVKKIEPGTAADSQQI
+HEEKLLYWNMAVRDFSILINLIKVFDSHPVLHVCLKYGRLFVEAFLKQCMPLLDFSFRKH
+REDVLSLLETFQLDTRLLHHLCGHSKIHQDTRLTQHVPLLKKTLELLVCRVKAMLTLNNC
+REAFWLGNLKNRDLQGEEIKSQNSQESTADESED
+>O75844_PF16491_41 <unknown description>
+QRRIYKTTTHVPPELGQIMDSETFEKSRLYQLDKSTFSFWSGLYSETEGTLILLFGGIPY
+LWRLSGRFCGYAGFGPEYEITQSLVFLLLATLFSALTGLPWSLYNTFVIEEKHGFNQQTL
+GFFMKDAIKKFVVTQCILLPVSSLLLYIIKIGGDYFFIYAWLFTLVVSLVLVTIYADYIA
+PLFDK
+>O75844_PF01435_228 <unknown description>
+PLPEGKLKEEIEVMAKSIDFPLTKVYVVEGSKRSSHSNAYFYGFFKNKRIVLFDTLLEEY
+SVLNKDIQEDSGMEPRNEEEGNSEEIKAKVKNKKQGCKNEEVLAVLGHELGHWKLGHTVK
+NIIISQMNSFLCFFLFAVLIGRKELFAAFGFYDSQPTLIGLLIIFQFIFSPYNEVLSFCL
+TVLSRRFEFQADAFAKKLGKAKDLYSALIKLNKDNLGFPVSDWLFSMWHYSHPPLLERLQ
+ALKTMK
+>Q9Y256_PF02517_164 <unknown description>
+WLRNQVIAPLTEELVFRACMLPMLAPCMGLGPAVFTCPLFFGVAHFHHIIEQLRFRQSSV
+GNIFLSAAFQFSYTAVFGAYTAFLFIRTGHLIGPVLCHSFCNYM
+>Q96PS1_PF15124_3 <unknown description>
+GYQLWSPWTPLDESFQWLRHTTPTPSSKHPFKASPCFPHTPSDLEVQLCFQEVTLVLDSP
+FLESGVSPKLPCHTSELRTMNNKGLVRKPQPIRLSGVDSVFGRVITAQPPKWTGTFRVSD
+KSAFCKIISREHQWPIGLKEPQIQMTVTMCKQMLRSILLLYATYKKCTFALQHSK
+>Q8WVX9_PF07993_15 <unknown description>
+LTGATGFLGKVLLEKLLRSCPKVNSVYVLVRQKAGQTPQERVEEVLSGKLFDRLRDENPD
+FREKIIAINSELTQPKLALSEEDKEVIIDSTNIIFHCAATVRFNENLRDAVQLNVIATRQ
+LILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDSLEWMDDGLVNDITP
+KLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPFPGWIDNFNGPSGLF
+IAAGKGILRTIRASNNALADLVPVDVVVNM
+>Q8WVX9_PF03015_357 <unknown description>
+HKAPAFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWNTENVNMLMNQLNPE
+DKKTFNIDVRQLHWAEYIENYCLGTKKYVLNE
+>Q96K12_PF07993_15 <unknown description>
+ITGATGFLGKVLMEKLFRTSPDLKVIYILVRPKAGQTLQQRVFQILDSKLFEKVKEVCPN
+VHEKIRAIYADLNQNDFAISKEDMQELLSCTNIIFHCAATVRFDDTLRHAVQLNVTATRQ
+LLLMASQMPKLEAFIHISTAYSNCNLKHIDEVIYPCPVEPKKIIDSLEWLDDAIIDEITP
+KLIRDWPNIYTYTKALGEMVVQQESRNLNIAIIRPSIVGATWQEPFPGWVDNINGPNGII
+IATGKGFLRAIKATPMAVADVIPVDTVVNLM
+>Q96K12_PF03015_357 <unknown description>
+HRAPAIIYDCYLRLTGRKPRMTKLMNRLLRTVSMLEYFINRSWEWSTYNTEMLMSELSPE
+DQRVFNFDVRQLNWLEYIENYVLGVKKYLLKE
+>Q8NFF5_PF00994_114 <unknown description>
+GIIIVGDEILKGHTQDTNTFFLCRTLRSLGVQVCRVSVVPDEVATIAAEVTSFSNRFTHV
+LTAGGIGPTHDDVTFEAVAQAFGDELKPHPKLEAATKALGGEGWEKLSLVPSSARLHYGT
+DPCTGQPFRFPLVSVRNVYLFPGIPELLRRVLEGMK
+>Q8NFF5_PF01507_399 <unknown description>
+LCVGFNGGKDCTALLHLFHAAVQRKLPDVPNPLQILYIRSISPFPELEQFLQDTIKRYNL
+QMLEAEGSMKQ
+>Q8NFF5_PF01507_480 <unknown description>
+QLEAVLMGTRRTDPYSCSLCPFSPTDPGWPAFMRINPLLDWTYRDIWDFLRQLFVPYCIL
+YDRGYTSLGSRENT
+>Q13158_PF01335_5 <unknown description>
+LVLLHSVSSSLSSSELTELKFLCLGRVGKRKLERVQSGLDLFSMLLEQNDLEPGHTELLR
+ELLASLRRHDLLRRVDDFE
+>Q13158_PF00531_101 <unknown description>
+FNVICDNVGKDWRRLARQLKVSDTKIDSIEDRYPRNLTERVRESLRIWKNTEKENATVAH
+LVGALRSCQMNLVADLVQE
+>O95864_PF00173_22 <unknown description>
+SWEEIQKHNLRTDRWLVIDRKVYNITKWSIQHPGGQRVIGHYAGEDATDAFRAFHPDLEF
+VGKFLKPLLIGELA
+>O95864_PF00487_157 <unknown description>
+GWIPTLITAFVLATSQAQAGWLQHDYGHLSVYRKPKWNHLVHKFVIGHLKGASANWWNHR
+HFQHHAKPNIFHKDPDVNMLHVFVLGEWQPIEYGKKKLKYLPYNHQHEYFFLIGPPLLIP
+MYFQYQIIMTMIVHKNWVDLAWAVSYYIRFFITYIPFYGILGALLFLNFIRFLESHWFVW
+VTQMNHIVMEIDQEAYRDWFSSQLTATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNL
+HKIAPLVKSLCAKHGIEYQEK
+>Q9Y5Q0_PF00173_25 <unknown description>
+WEQIRAHDQPGDKWLVIERRVYDISRWAQRHPGGSRLIGHHGAEDATDAFRAFHQDLNFV
+RKFLQPLLIGELA
+>Q9Y5Q0_PF00487_159 <unknown description>
+GWVPSALAAFILAISQAQSWCLQHDLGHASIFKKSWWNHVAQKFVMGQLKGFSAHWWNFR
+HFQHHAKPNIFHKDPDVTVAPVFLLGESSVEYGKKKRRYLPYNQQHLYFFLIGPPLLTLV
+NFEVENLAYMLVCMQWADLLWAASFYARFFLSYLPFYGVPGVLLFFVAVRVLESHWFVWI
+TQMNHIPKEIGHEKHRDWVSSQLAATCNVEPSLFTNWFSGHLNFQIEHHLFPRMPRHNYS
+RVAPLVKSLCAKHGLSYE
+>Q9UNN5_PF14555_11 <unknown description>
+LADFQACTGIENIDEAITLLEQNNWDLVAAIN
+>Q9UNN5_PF00789_569 <unknown description>
+NAEPVSKLRIRTPSGEFLERRFLASNKLQIVFDFVASKGFPWDEYKLLSTFPRRDVTQLD
+PNKSLLEVKLFPQETLFLE
+>Q96CS3_PF14555_15 <unknown description>
+EKLLQFQDLTGIESMDQCRHTLEQHNWNIEAAVQDRLNEQE
+>Q96CS3_PF00789_359 <unknown description>
+PESVKIIFKLPNDSRVERRFHFSQSLTVIHDFLFSLKESPEKFQIEANFPRRVLPCIPSE
+EWPNPPTLQEAGLSHTEVLFVQ
+>Q96GK7_PF01557_107 <unknown description>
+KVVCVGMNYVDHCKEQNVPVPKEPIIFSKFASSIVGPYDEVVLPPQSQEVDWEVELAVVI
+GKKGKHIKATDAMAHVAGFTVAHDVSARDWQMRRNGKQWLLGKTFDTFCPLGPALVTKDS
+VADPHNLKICCRVNGEVVQSGNTNQMVFKTEDLIAWVSQFVTFYPGDVILTGTPPGVGVF
+RKPPVFLKKGDEVQCEIEELGVIINKVV
+>Q6P2I3_PF01557_107 <unknown description>
+KVVCVGMNYVDHCKEQNVPVPKEPIIFSKFASSIVGPYDEVVLPPQSQEVDWEVELAVVI
+GKKGKHIKATDAMAHVAGFTVAHDVSARDWLTRRNGKQWLLGKTFDTFCPLGPALVTKDS
+VADPHNLKICCRVNGEVVQSSNTNQMVFKTEDLIAWVSQFVTFYPGDVILTGTPPGVGVF
+RKPPVFLKKGDEVQCEIEELGVIINKVV
+>Q6P587_PF01557_16 <unknown description>
+NIVCVGRNYADHVREMRSAVLSEPVLFLKPSTAYAPEGSPILMPAYTRNLHHELELGVVM
+GKRCRAVPEAAAMDYVGGYALCLDMTARDVQDECKKKGLPWTLAKSFTASCPVSAFVPKE
+KIPDPHKLKLWLKVNGELRQEGETSSMIFSIPYIISYVSKIITLEEGDIILTGTPKGVGP
+VKENDEIEAGIHGLVSMTFKV
+>Q9NVQ4_PF06905_26 <unknown description>
+LVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKEEIRKEWMFKLVGKETFYVGAAKTKA
+TINIDAISGFAYEYTLEINGKSLKKYMEDRSKTTNTWVLHMDGENFRIVLEKDAMDVWCN
+GKKLETAGEFVDDGTETHFSIGNHDCYIKAVSSGKRKEGIIHTLIVDNREIPE
+>O60667_PF07686_23 <unknown description>
+VKVEGELGGSVTIKCPLPEMHVRIYLCREMAGSGTCGTVVSTTNFIKAEYKGRVTLKQYP
+RKNLFLVEVTQLTESDSGVYACGAGMNTDRGKTQKVTLNVH
+>Q14289_PF18038_39 <unknown description>
+RILKVCFYSNSFNPGKNFKLVKCTVQTEIREIITSILLSGRIGPNIRLAECYGLRLKHMK
+SDEIHWLHPQMTVGEVQDKYECLHVEAEWRYDLQIRYLP
+>Q14289_PF00373_145 <unknown description>
+KEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGCLELRRFFKDMPHNALDKKSNFELL
+EKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYASLREEECVMKFFNT
+>Q14289_PF07714_426 <unknown description>
+VLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTLDNKEKFMSEAVIMKNLDHPH
+IVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVLTLVLYSLQICKAMAYLESINC
+VHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFRRFTTA
+SDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPDLCPPVLYTLMTRCWDYD
+PSDRPRFTELVCS
+>Q14289_PF03623_870 <unknown description>
+TANLDRTDDLVYLNVMELVRAVLELKNELCQLPPEGYVVVVKNVGLTLRKLIGSVDDLLP
+SLPSSSRTEIEGTQKLLNKDLAELINKMRLAQQNAVTSLSEECKRQMLTASHTLAVDAKN
+LLDAVDQAKVL
+>Q53R41_PF06743_575 <unknown description>
+AIIRPFSVLNYDPPQRDEFLGTCVQHLNSYLGILDPFILVFLGFSLATLEYFPEDLLKAI
+FNIKFLARLD
+>Q53R41_PF08368_661 <unknown description>
+HLMELNRSVCLECPEFQIPWFHDRFCQQYNKGIGGMDGTQQQIFKMLAEVLGGINCVKAS
+VLTPYYHKVDFECILDKRKKPLP
+>Q53R41_PF08373_788 <unknown description>
+CRNIPHMKGKSAMKKRHLEILGYRVIQISQFEWNSMALSTKDARMDYLRE
+>Q9NYY8_PF06743_456 <unknown description>
+ILSILHTYSSLNHVYKCQNKEQFVEVMASALTGYLHTISSENLLDAVYSFCLMNYFPLAP
+FNQLLQKDIISELL
+>Q9NYY8_PF08368_572 <unknown description>
+SHTNAKVAEVLSSLLGGEGHFSKDVHLPHNYHIDFEIRMDTNRNQVLP
+>Q9NYY8_PF08373_638 <unknown description>
+CVSRSAYCLGSSHPRGFLAMKMRHLNAMGFHVILVNNWEMDKLEMEDAVTFLKT
+>Q14CZ7_PF06743_408 <unknown description>
+ISALMEPFGKLNYLPPNASALFRKLENVLFTHFNYFPPKSLLKLLHSCSLNECHPVNFLA
+KIFKPLFLQRLQ
+>Q14CZ7_PF08368_490 <unknown description>
+RAQLTQLFLASVLECPFYKGPKLLPKYQVKSFLTPCCSLETPVDSQLYRYVKIGLTNLLG
+ARLYFAPKVLTPYCYTIDVEIKLDEEGFVLP
+>Q14CZ7_PF08373_594 <unknown description>
+CIDGPKRFCSNSKHLLGKEAIKQRHLQLLGYQVVQIPYHEIGMLKSRRELVEYLQRK
+>Q969Z0_PF06743_369 <unknown description>
+LCSVLLAFARLNFHPDQEDQFFSLVHEKLGSELPGLEPALQVDLVWALCVLQQAREAELQ
+AVLHPEFHI
+>Q969Z0_PF08368_452 <unknown description>
+QKLLHINATALLEYPEYSGPLLPASAVAPGPSALDRKVTPLQKELQETLKGLLGSADKGS
+LEVATQYGWVLDAEVLLDSDGEFLP
+>Q969Z0_PF08373_569 <unknown description>
+PNFNSRSKDLLGRFVLARRHIVAAGFLIVDVPFYEWLELKSEWQKGAYLKDK
+>Q7L8L6_PF06743_430 <unknown description>
+VAKILWSFGTLNYKPPNAEEFYSSLISEIHRKMPEFNQYPEHLPTCLLGLAFLEYFPVEL
+IDFALSPGFVR
+>Q7L8L6_PF08368_512 <unknown description>
+KELYTLDGTVGIECPDYRGNRLSTHLQQEGSELLWYLAEKDMNSKPEFLETVFLLETMLG
+GPQYVKHHMILPHTRSSDLEVQLDVNLKPLP
+>Q7L8L6_PF08373_700 <unknown description>
+QFTNRNQYCYGSRDLLGLHNMKRRQLARLGYRVVELSYWEWLPLLKRTRLEKLAFLHEK
+>P98173_PF15711_103 <unknown description>
+RGLNIALVNGVSGELIEARAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEET
+RKLFSELGSRNAKELAFRDSWVFVGAKG
+>P58499_PF15711_107 <unknown description>
+RGINIAIVNYVTGNVTATRCFDMYEGDNSGPMTKFIQSAAPKSLLFMVTYDDGSTRLNND
+AKNAIEALGSKEIRNMKFRSSWVFIAAKG
+>Q92520_PF15711_102 <unknown description>
+RGINVALANGKTGEVLDTKYFDMWGGDVAPFIEFLKAIQDGTIVLMGTYDDGATKLNDEA
+RRLIADLGSTSITNLGFRDNWVFCGGKG
+>Q96BQ1_PF15711_99 <unknown description>
+RGLNIALVNGTTGAVLGQKAFDMYSGDVMHLVKFLKEIPGGALVLVASYDDPGTKMNDES
+RKLFSDLGSSYAKQLGFRDSWVFIGAKD
+>Q8IZU0_PF04803_62 <unknown description>
+DLTAKRKRMKMDKTCSKTKNKSKHALRKKQLKRQKRDYIHSLKLLNVLEEYITDEQKEEE
+EEEGEEEELIRIFQEQQKKWQQYRSVRRERLKEMKLLRDQFVKALEDFEDLCDRVFSDED
+SELDN
+>Q8IZT9_PF04803_77 <unknown description>
+HLAAKRKRIEKIAKACSEIKNRIKNVLRTTQLKRQKRDYRISLKLPNVLEEFITDEQKDE
+EGDGEKEEQIKIFQEQQKRWQQ
+>Q9Y2M0_PF08774_894 <unknown description>
+PEESLRAWVAATWHEQEGRVASLVSWDRFTSLQQAQDLVSCLGGPVLSGVCRHLAADFRH
+CRGGLPDLVVWNSQSRHFKLVEVKGPNDRLSHKQMIWLAELQKLGAEVEVCHVV
+>O15360_PF15865_170 <unknown description>
+LWKIQSSLLLEAVWHLHVQGIVSLQELLESHPDMHAVGSWLFRNLCCLCEQMEASCQHAD
+VARAMLSDFVQMFVLRGFQKNSDLRRTVEPEKMPQVTVDVLQRMLIFALDALAAGVQEES
+STHKIVRCWFGVFSGHTLGSVISTDPLKRFFSHTLTQILTHSPVLKASDAVQMQREWSFA
+RTHPLLTSLYRRLFVMLSAEELVGHLQEVLETQEVHWQRVLSFVSALVVCFPEAQQLLED
+WVARLMAQAFESCQLDSMVTAFLVVRQAALEGPSAFLSYADWFKASFGSTRGYHGCSKKA
+LVFLFTFLSELVPFESPRYLQVHILHPPLVPGKYRSLLTDYISLAKTRLADLKVS
+>O15360_PF03511_1254 <unknown description>
+EELLVFLFFFSLMGLLSSHLTSNSTTDLPKAFHVCAAILECLEKRKISWLALFQLTESDL
+RLG
+>Q00597_PF02106_1 <unknown description>
+MAQDSVDLSCDYQFWMQKLSVWDQASTLETQQDTCLHVAQFQEFLRKMYEALKEMDSNTV
+IERFPTIGQLLAKACWNPFILAYDESQKILIWCLCCLINKEPQNSGQSKLNSWIQGVLSH
+ILSALRFDKEVALFTQGLGYAPIDYYPGLLKNMVLSLASELRENHLNGFNTQRRMAPERV
+ASLSRVCVPLITLTDVDPLVEALLICHGREPQEILQPEFFEAVNEAILLKKISLPMSAVV
+CLWLRHLPSLEKAMLHLFEKLISSERNCLRRIECFIKDSSLPQAACHPAIFRVVDEMFRC
+ALLETDGALEIIATIQVFTQCFVEALEKASKQLRFALKTYFPYTSPSLAMVLLQDPQDIP
+RGHWLQTLKHISELLREAVEDQTHGSCGGPFESWFLFIHFGGWAEMVAEQLLMSAAEPPT
+ALLWLLAFYYGPRDGRQQRAQTMVQVKAVLGHLLAMSRSSSLSAQDLQTVAGQGTDTDLR
+APAQQLIRHLLLNFLLWAPGGHTIAWDVITLMAHTAEITHEIIGFLDQTLYRWNRLGIES
+PRSEKLARELLKEL
+>Q9HB96_PF11510_274 <unknown description>
+LAESLELPKAIQDQLPRLQQLLKTLEEGLEGLEDAPPVELQLLHECSPSQMDLLCAQLQL
+PQLSDLGLLRLCTWLLALSPDLSLSNATVLTRSLFLGRILSLTSSASRLLTTALTSFCAK
+YTYPVCSALLDPVLQAPGTGPAQTELLCCLVKMESLEPDAQVLMLGQILELPWKEETFLV
+LQSLLERQVEMTPEKFSVLMEKLCKKGLAATTSMAYAKLMLTVMTKYQANITETQRLGLA
+MALEPNTTFLRKSLKAALKHLG
+>Q9NPI8_PF11107_1 <unknown description>
+MESLLQHLDRFSELLAVSSTTYVSTWDPATVRRALQWARYLRHIHRRFGRHGPIRTALER
+RLHNQWRQEGGFGRGPVPGLANFQALGHCDVLLSLRLLENRALGDAARYHLVQQLFPGPG
+VRDADEETLQESLARLARRRSAVHMLRFNGYRENPNLQEDSLMKTQAELLLERLQEVGKA
+EAERPARFLSSLWERLPQNNFLKVIAVALLQPPLSRRPQEELEPGIHKSPGEGSQVLVHW
+LLGNSEVFAAFCRALPAGLLTLVTSRHPALSPVYLGLLTDWGQRLHYDLQKGIWVGTESQ
+DVPWEELHNRFQSLCQAPPPLKDKVLTALETCKAQDGDFEVPGLSIWTDLLLAL
+>Q9NVI1_PF14674_1 <unknown description>
+MDQKILSLAAEKTADKLQEFLQTLREGDLTNLLQNQAVKGKVAGALLRAIFKG
+>Q9NVI1_PF14675_64 <unknown description>
+RRRKIYTCCIQLVESGDLQKEIASEIIGLLMLEAHHFPGPLLVELANEFISAVREGSLVN
+GKSLELLPIILTALATKKENLAYGKGVLSGEECKKQLINTLCSGRWDQQYVIQLTSMFKD
+VPLTAEEVEFVVEKALSMFSKMNLQEIPPLVYQLLVLSSKGSRKSVLEGIIAFFSALDKQ
+HNEEQSGDELLDVVTVPSGELRHVEGTIILHIVFAIK
+>Q9NVI1_PF14679_284 <unknown description>
+ELGRELVKHLKVGQQGDSNNNLSPFSIALLLSVTRIQRFQDQVLDLLKTSVVKSFKDLQL
+LQGSKFLQNLVPHRSYVSTMILEVVKN
+>Q9NVI1_PF14676_378 <unknown description>
+VTQGLVELGFILMDSYGPKKVLDGKTIETSPSLSRMPNQHACKLGANILLETFKIHEMIR
+QEILEQVLNRVVTRASSPISHFLDLLSNIVMYAPLVLQSCSSKVTEAFDYLSFLPLQTVQ
+RLLKAVQPLLKVSMSMRDCLILVLRKAMFANQLDARKSAVAGFL
+>Q9NVI1_PF14680_555 <unknown description>
+SSQCSQSLSVSQVHVDVHSHYNSVANETFCLEIMDSLRRCLSQQADVRLMLYEGFYDVLR
+RNSQLANSVMQTLLSQLKQFYEPKPDLLPPLKLEACILTQGDKISLQEPLDYLLCCIQHC
+LAWYKNTVIPLQQGEEEEEEEEAFYEDLDDILESITNRMIKSELEDFELDKSADFSQSTS
+IGIKNNICAFLVMGVCEVLIEYNFSISSFSKNRFEDILSLFMCYKKLSDIL
+>Q9NVI1_PF14677_804 <unknown description>
+LLSMKFVSSLLTALFRDSIQSHQESLSVLRSSNEFMRYAVNVALQKVQQLKETGHVSGPD
+GQNPEKIFQNLCDITRVLLWRYTSIPTSVEESGKKEKGKSISLLCLEGLQKIFSAVQQFY
+QPKIQQFLRALDVTDKEGEEREDADVSVTQRTAFQIRQFQRSLLNLLSSQEEDFNSKEAL
+LLVTVLTSLSKLLEPSSPQFVQMLSWTSKICKENSREDALFCKSLMNLL
+>Q9NVI1_PF14678_1045 <unknown description>
+LLRDLSQDIHGHLGDIDQDVEVEKTNHFAIVNLRTAAPTVCLLVLSQAEKVLEEVDWLIT
+KLKGQVSQETLSEEASSQATLPNQPVEKAIIMQLGTLLTFFHELVQTALPSGSCVDTLLK
+DLCKMYTTLTALVRYYLQVCQSSGGIPKNMEKLVKLSGSHLTPLCYSFISYVQNKSKSLN
+YTGEKKEKPAAVATAMARVLRETKPIPNLIFAIEQYEKFLIHLSKKSKVNLMQHMKLSTS
+RDFKIKGNILD
+>Q9BX63_PF06733_248 <unknown description>
+FGTRTHKQIAQITRELRRTAYSGVPMTILSSRDHTCVHPEVVGNFNRNEKCMELLDGKNG
+KSCYFYHGVHKISDQHTLQTFQGMCKAWDIEELVSLGKKLKACPYYTARELIQDADIIFC
+PYNYLLDAQIRESMDLNLKEQVVILDEAHNIEDCARESASYSVTEVQL
+>Q9BX63_PF13307_680 <unknown description>
+LLSVCQTVSQGILCFLPSYKLLEKLKERWLSTGLWHNLELVKTVIVEPQGGEKTNFDELL
+QVYYDAIKYKGEKDGALLVAVCRGKVSEGLDFSDDNARAVITIGIPFPNVKDLQVELKRQ
+YNDHHSKLRGLLPGRQWYEIQAYRALNQALGRCIRHRNDWGALILVDDRFRNNPSRYISG
+LSKWVRQ
+>Q9NW38_PF09765_7 <unknown description>
+SLLRQCPLLLPQNRSKTVYEGFISAQGRDFHLRIVLPEDLQLKNARLLCSWQLRTILSGY
+HRIVQQRMQHSPDLMSFMMELKMLLE
+>Q9NW38_PF18890_108 <unknown description>
+PQFYSSLIEEIGTLGWDKLVYADTCFSTIKLKAEDASGREHLITLKLKAKYPAESPDYFV
+DFPVPFCASWTPQSSLISIYSQFLAAIESL
+>Q9NW38_PF18891_200 <unknown description>
+FWDVMDEIDEKTWVLEPEKPPRSATARRIALGNNVSINIEVDPRHPTMLPECFFLGADHV
+VKPLGIKLSRNIHLWDPENSVLQNLKDVLEIDFPAR
+>Q9NW38_PF11793_304 <unknown description>
+TMDCGICYAYQLDGTIPDQVCDNSQCGQPFHQICLYEWLRGLLTSRQSFNIIFGECPYCS
+KPITLKMS
+>Q8IYD8_PF04851_106 <unknown description>
+NTLVCLPTGLGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYQVMGIPQSHM
+AEMTGSTQASTRKEIWCSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAY
+CQVVRELVKYTNHFRILALSATP
+>Q8IYD8_PF00271_471 <unknown description>
+EKKRDETRVMIFSSFRDSVQEIAEMLSQHQPIIRVMTFVGHASGKSTKGFTQKEQLEVVK
+QFRDGGYNTLVSTCVGEEGLDIGEVDLIICFDSQKSPIRLVQRMGRTGRK
+>Q8IYD8_PF16783_675 <unknown description>
+KKDWFLSEEEFKLWNRLYRLRDSDEIKEITLPQVQFSSLQNEENKPAQESTTGIHQLSLS
+EWRLWQDHPLPTHQVDHSDRCRHFIGLMQMIEGMRHEEGECSYELEVESYLQMEDV
+>Q8IYD8_PF02732_1841 <unknown description>
+HGLQVEVCPLNGCDYIVSNRMVVERRSQSEMLNSVNKNKFIEQIQHLQSMFERICVIVEK
+DREKTGDTSRMFRRTKSYDSLLTTLIGAGIRILFSSCQEETADLLKEL
+>Q8TC84_PF12796_114 <unknown description>
+LHRAVSVNDEDLLVRILQGGRVKVDVPNKFGFTALMVAAQKGYTRLVKILVSNGTDVNLK
+NGSGKDSLMLACYAGHLDVVKYLRRHGASWQARD
+>Q8TC84_PF12796_214 <unknown description>
+LHWAADGGHCSVIEWMIKDGCEVDVVDTGSGWTPLMRVSAVSGNQRVASLLIDAGANVNV
+KDRNGKTPLMVAVLNNHEELVQLLLDKGADASVKN
+>Q92636_PF02893_177 <unknown description>
+LARTSFDKNRFQNISEKLHMECKAEMVTPLVTNPGHVCITDTNLYFQPLNGYPKPVVQIT
+LQDVRRIYKRRHGLMPLGLEVFCTEDDLCSDIYLKFYEPQDRDDLYFYIATYLEHHV
+>Q92636_PF02138_304 <unknown description>
+QWQRGHLSNYQYLLHLNNLADRSCNDLSQYPVFPWIIHDYSSSELDLSNPGTFRDLSKPV
+GALNKERLERLLTRYQEMPEPKFMYGSHYSSPGYVLFYLVRIAPEYMLCLQNGRFDNADR
+MFNSIAETWKNCLDGATDFKELIPEFYGDDVSFLVNSLKLDLGKRQGGQMVDDVELPPWA
+SSPEDFLQKSKDALESNYVSEHLHEWIDLIFGYKQKGSDAVGAHNVFHPLTYEGGVDLNS
+IQDPDEKVAMLTQILEFGQTPKQLFVTPHPRR
+>Q92636_PF00400_707 <unknown description>
+QDTLMGHDDAVSKICWHDNRLYSASWDSTVKVWS
+>Q92636_PF00400_797 <unknown description>
+LMHQIPCHSGIVCDTAFSPDSRHVLSTGTDGCLNV
+>Q92636_PF00400_883 <unknown description>
+QGHTGAVTCIWMNEQCSSIITGGEDRQIIFWK
+>Q6NZ36_PF15751_35 <unknown description>
+ERERLWAELLRTVSPELILDHEVPSLPAFPGQEPRCGPEPTEVFTVGPKTFSWTPFPPDL
+WGPGRSYRLLHGAGGHLESPARSLPQRPAPDPCRAPRVEQQPSVE
+>Q6NZ36_PF15750_144 <unknown description>
+LRSCPMCQKEFAPRLTQLDVDSHLAQCLAESTEDV
+>Q9BTP7_PF17949_12 <unknown description>
+VHVPLGHIVANEKWRGSQLAQEMQGKIKLIFEDGLTPDFYLSNRCCILYVTEADLVAGNG
+YRKRLVRVRNSNNLKGIVVVEKTRMSEQYFPALQKFTVLDLGMVLLPVASQMEASCLVIQ
+LVQ
+>Q9BTP7_PF12826_166 <unknown description>
+IPGVGKVKAPLLLQKFPSIQQLSNASIGELEQVVGQAVAQQIHAFFTQP
+>Q9Y4F1_PF09379_44 <unknown description>
+IQMLDDTQEAFEVPQRAPGKVLLDAVCNHLNLVEGDYFGLEFPDHKKITVWLDLLKPIVK
+QIR
+>Q9Y4F1_PF00373_126 <unknown description>
+QLQEELTRYLFALQVKQDLAQGRLTCNDTSAALLISHIVQSEIGDFDEALDREHLAKNKY
+IPQQDALEDKIVEFHHNHIGQTPAESDFQLLEIARRLEMYGIRLH
+>Q9Y4F1_PF09380_234 <unknown description>
+DREGTKINLAVANTGILVFQGFTKINAFNWAKVRKLSFKRKRFLIKLRPDANSAYQDTLE
+FLMASRDFCKSFWKICVEHHAFFRLFEEPK
+>Q9Y4F1_PF08736_330 <unknown description>
+LFSRGSSFRFSGRTQKQVLDYVKEGGHKKVQFERKHSKIHS
+>Q9Y4F1_PF00621_544 <unknown description>
+IAKEVSTTERTYLKDLEVITSWFQSTVSKEDAMPEALKSLIFPNFEPLHKFHTNFLKEIE
+QRLALWEGRSNAQIRDYQRIGDVMLKNIQGMKHLAAHLWKHSEALEALENGIKSSRRLEN
+FCRDFELQKVCYLPLNTFLLRPLHRLMHYKQVLERLCKHHPPSHADFRDCRAALAEITEM
+VAQ
+>Q9Y4F1_PF00169_762 <unknown description>
+RLGSLSKLSGKGLQQRMFFLFNDVLLYTSRGLTASNQFKVHGQLPLYGMTIEESEDEWGV
+PHCLTLRGQRQSIIVAASSRSEMEKWVEDIQMAI
+>Q9Y4F1_PF00169_935 <unknown description>
+LSGNLLRKFKNSNGWQKLWVVFTNFCLFFYKSHQDNHPLASLPLLGYSLTIPSESENIQK
+DYVFKLHFKSHVYYFRAESEYTFERWMEVIRSAT
+>O94887_PF09379_48 <unknown description>
+VKLLDNTMEIFDIEPKCDGQVLLTQVWKRLNLVECDYFGMEFQNTQSYWIWLEPMKPIIR
+QIR
+>O94887_PF00373_128 <unknown description>
+PGQLQEEYTRYLFALQLKRDLLEERLTCADTTAALLTSHLLQSEIGDYDETLDREHLKVN
+EYLPGQQHCLEKILEFHQKHVGQTPAESDFQVLEIARKLEMYGIRFH
+>O94887_PF09380_238 <unknown description>
+DREGTKIQLAVSHMGVLVFQGTTKINTFNWSKVRKLSFKRKRFLIKLHPEVHGPYQDTLE
+FLLGSRDECKNFWKICVEYHTFFRLLDQPK
+>O94887_PF08736_334 <unknown description>
+FFSRGSSFRYSGRTQKQLVDYFKDSGMKRIPYERRHSKTHTS
+>O94887_PF00621_539 <unknown description>
+IVKEILATERTYLKDLEVITVWFRSAVVKEDAMPATLMTLLFSNIDPIYEFHRGFLREVE
+QRLALWEGPSKAHTKGSHQRIGDILLRNMRQLKEFTSYFQRHDEVLTELEKATKRCKKLE
+AVYKEFELQKVCYLPLNTFLLKPIQRLLHYRLLLRRLCGHYSPGHHDYADCHDALKAITE
+VTTT
+>O94887_PF00169_757 <unknown description>
+IREGCLHKLTKKGLQQRMFFLFSDMLLYTSKGVAGTSHFRIRGLLPLQGMLVEESDNEWS
+VPHCFTIYAAQKTIVVAASTRLEKEKWMLDLNSAI
+>O94887_PF00169_932 <unknown description>
+LSGYLLRKFKNSHGWQKLWVVFTNFCLFFYKTHQDDYPLASLPLLGYSVSIPREADGIHK
+DYVFKLQFKSHVYFFRAESKYTFERWMEVIQGAS
+>Q14296_PF06743_278 <unknown description>
+QKLVLPFGRLNYLPLEQQFMPCLERILAREAGVAPLATVNILMSLCQLRCLPFRALHFVF
+SPGFIN
+>Q14296_PF08368_355 <unknown description>
+RRYLSLLDTAVELELPGYRGPRLPRRQQVPIFPQPLITDRARCKYSHKDIVAEGLRQLLG
+EEKYRQDLTVPPGYCTDFLLCASSSGAVLP
+>Q14296_PF08373_483 <unknown description>
+ERWHFCRDGRVLLGSRALRERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQK
+>P49327_PF00109_1 <unknown description>
+MEEVVIAGMSGKLPESENLQEFWDNLIGGVDMVTDDDRRWKAGLYGLPRRSGKLKDLSRF
+DASFFGVHPKQAHTMDPQLRLLLEVTYEAIVDGGINPDSLRGTHTGVWVGVSGSETSEAL
+SRDPETLVGYSMVGCQRAMMANRLSFFFDFRGPSIALDTACSSSLMALQNAYQAIHSGQC
+PAAIVGGINVLLKPNTSVQFLRLGMLSPEGTCKAFDTAGNGYCRSEGVVAVLLTKKSL
+>P49327_PF02801_243 <unknown description>
+YATILNAGTNTDGFKEQGVTFPSGDIQEQLIRSLYQSAGVAPESFEYIEAHGTGTKVGDP
+QELNGITRALCATRQEPLLIGSTKSNMGHPEPASGLAALAKVLLSLEHGLWAPNLHF
+>P49327_PF16197_362 <unknown description>
+PNPEIPALLDGRLQVVDQPLPVRGGNVGINSFGFGGSNVHIILRPNTQPPPAPAPHATLP
+RLLRASGRTPEAVQKLLEQGLRHSQDLAFLSMLNDIAAVPATAMPFRGYAV
+>P49327_PF00698_493 <unknown description>
+WFICSGMGTQWRGMGLSLMRLDRFRDSILRSDEAVKPFGLKVSQLLLSTDESTFDDIVHS
+FVSLTAIQIGLIDLLSCMGLRPDGIVGHSLGEVACGYADGCLSQEEAVLAAYWRGQCIKE
+AHLPPGAMAAVGLSWEECKQRCPPGVVPACHNSKDTVTISGPQAPVFEFVEQLRKEGVFA
+KEVRTGGMAFHSYFMEAIAPPLLQELKKVIREPKPRSARWLSTSIPEAQWHSSLARTSSA
+EYNVNNLVSPVLFQEALWHVPEHAVVLEIAPHALLQAVLKRGLKPSCTIIPLMKKDHRDN
+LEFFLAGIGRLHLSGID
+>P49327_PF14765_872 <unknown description>
+DHYLVDHTLDGRVLFPATGYLSIVWKTLARALGLGVEQLPVVFEDVVLHQATILPKTGTV
+SLEVRLLEASRAFEVSENGNLVVSGKVYQWDDPDPRLFDHPESPTPNPTEPLFLAQAEVY
+KELRLRGYDYGPHFQGILEASLEGDSGRLLWKDNWVSFMDTMLQMSILGSAKHGLYLPTR
+VTAIHIDPATHRQK
+>P49327_PF08242_1244 <unknown description>
+EVLAGHGHLYSRIPGLLSPHPLLQLSYTATDRHPQALEAAQAELQQHDVAQGQWDPADPA
+PSALGSADLLVCNCAVAALGDPASALSNMVAALREGGFL
+>P49327_PF00107_1679 <unknown description>
+GVGQAAIAIALSLGCRVFTTVGSAEKRAYLQARFPQLDSTSFANSRDTSFEQHVLWHTGG
+KGVDLVLNSLAEEKLQASVRCLATHGRFLEIGKFDLSQNHPLGMAIFLKNVTFHGVLLDA
+FFNESSADWREVWALV
+>P49327_PF08659_1886 <unknown description>
+SYIIAGGLGGFGLELAQWLIQRGVQKLVLTSRSGIRTGYQAKQVRRWRRQGVQVQVSTSN
+ISSLEGARGLIAEAAQLGPVGGVFNLAVVLRDGLLENQTPEFFQDVCKPKYSGTLNLDRV
+TREACPELDYFVVFSSVSCGRGNAGQSNYGFANSAMERICEKRRHEGLPGLAVQWGAIG
+>P49327_PF00550_2126 <unknown description>
+DLVEAVAHILGIRDLAAVNLDSSLADLGLDSLMSVEVRQTLERELNLVLSVREVRQLTLR
+KL
+>P49327_PF00975_2242 <unknown description>
+RPLFLVHPIEGSTTVFHSLASRLSIPTYGLQCTRAAPLDSIHSLAAYYIDCIRQVQPEGP
+YRVAGYSYGACVAFEMCSQLQAQQSPAPTHNSLFLFDGSPTYVLAYTQSYRAKLTPGCEA
+EAETEAICFFVQQFTDMEHNRVLEALLPLKGLEERVAAAVDLIIKSHQGLDRQELSFAAR
+SFYYKLRAAEQYTPKAKYHGNVMLLRAKTGGAYGEDLGADYNLSQVCDGKVSVHVIEGDH
+RTLLEGSGLESIISIIHSS
+>Q14517_PF00028_154 <unknown description>
+YSVSLPENTAIRTSIARVSATDADIGTNGEFYYSFKDRTDMFAIHPTSGVIVLTGRLDYL
+ETKLYEMEILAADRGMKLYGSSGISSMAKLTVHIE
+>Q14517_PF00028_468 <unknown description>
+YKAAFDENVPIGTTVMSLSAVDPDEGENGYVTYSIANLNHVPFAIDHFTGAVSTSENLDY
+ELMPRVYTLRIRASDWGLPYRREVEVLATIT
+>Q14517_PF00028_724 <unknown description>
+IQVKENQPVGSSVIFMNSTDLDTGFNGKLVYAVSGGNEDSCFMIDMETGMLKILSPLDRE
+TTDKYTLNITVYDLGIPQKAAWRLLHV
+>Q14517_PF00028_827 <unknown description>
+YFVEVSEDKEVHSEIIQVEATDKDLGPNGHVTYSIVTDTDTFSIDSVTGVVNIARPLDRE
+LQHEHSLKIEARDQAREEPQLFSTVVVKVSL
+>Q14517_PF00028_932 <unknown description>
+YRVKVREDLPEGTVIMWLEAHDPDLGQSGQVRYSLLDHGEGNFDVDKLSGAVRIVQQLDF
+EKKQVYNLTVRAKDKGKPVSLSSTCYVEVEV
+>Q14517_PF00028_1041 <unknown description>
+GTVKEDAPVGSLVMTVSAHDEDARRDGEIRYSIRDGSGVGVFKIGEETGVIETSDRLDRE
+STSHYWLTVFATDQGVVPLSSFIEIYIEVE
+>Q14517_PF00028_1147 <unknown description>
+EIMENSPKDVSVVQIEAFDPDSSSNDKLMYKITSGNPQGFFSIHPKTGLITTTSRKLDRE
+QQDEHILEVTVTDNGSPPKSTIARVIVKIL
+>Q14517_PF00028_1266 <unknown description>
+ARREPLYHVIATDKDEGPNAEISYSIEDGNEHGKFFIEPKTGVVSSKRFSAAGEYDILSI
+KAVDNGRPQKSSTTRLHIE
+>Q14517_PF00028_1387 <unknown description>
+IPLWFDITGGNYDSHFDVDKGTGTIIVAKPLDAEQKSNYNLTVEATDGTTTILT
+>Q14517_PF00028_1461 <unknown description>
+YEVVIPEDTAPETEILQISAVDQDEKNKLIYTLQSSRDPLSLKKFRLDPATGSLYTSEKL
+DHEAVHQHTLTVMVRDQDVPVKRNFARIVVNV
+>Q14517_PF00028_1567 <unknown description>
+YKGRVYESAAVGSVVLQVTALDKDKGKNAEVLYSIESGNIGNSFMIDPVLGSIKTAKELD
+RSNQAEYDLMVKATDKGSPPMSEITSVRIFVT
+>Q14517_PF00028_1672 <unknown description>
+YSVELSETVSIGSFVGMVTAHSQSSVVYEIKDGNTGDAFDINPHSGTIITQKALDFETLP
+IYTLIIQGTNMAGLSTNTTVLVHL
+>Q14517_PF00028_1791 <unknown description>
+PLVIRAADADKDSNALLVYHIVEPSVHTYFAIDSSTGAIHTVLSLDYEETSIFHFTVQVH
+DMGTPRLFAEYAANVTV
+>Q14517_PF00028_1895 <unknown description>
+GVKVITVNATDADSSAFSQLIYSITEGNIGEKFSMDYKTGALTVQNTTQLRSRYELTVRA
+SDGRFAGLTSVKINV
+>Q14517_PF00028_1984 <unknown description>
+YSAVVKENSTEAETLAVITAIGNPINEPLFYHILNPDRRFKISRTSGVLSTTGTPFDREQ
+QEAFDVVVEVTEEHKPSAVAHVVVKVIV
+>Q14517_PF00028_2093 <unknown description>
+DTEVGHVIRYVTAVDRDSGRNGEVHYYLKEHHEHFQIGPLGEISLKKQFELDTLNKEYLV
+TVVAKDGGNPAFSAEVIVPITV
+>Q14517_PF00028_2187 <unknown description>
+YSAEIAESIQVHSPVVHVQANSPEGLKVFYSITDGDPFSQFTINFNTGVINVIAPLDFEA
+HPAYKLSIRATDSLTGAHA
+>Q14517_PF00028_2288 <unknown description>
+YAVTLSEASVIGTSVVQVRATDSDSEPNRGISYQMFGNHSKSHDHFHVDSSTGLISLLRT
+LDYEQSRQHTIFVRAVDGGMPTLSSDVIVTVDVT
+>Q14517_PF00028_2395 <unknown description>
+YEARISEHAPHGHFVTCVKAYDADSSDIDKLQYSILSGNDHKHFVIDSATGIITLSNLHR
+HALKPFYSLNLSVSDGVFRSSTQVHVTV
+>Q14517_PF00028_2497 <unknown description>
+YEVELAENAPLHTLVMEVKTTDGDSGIYGHVTYHIVNDFAKDRFYINERGQIFTLEKLDR
+ETPAEKVISVRLMAKDAGGKVAFCTVNVI
+>Q14517_PF00028_2601 <unknown description>
+YEVNIGSSAAKGTSVVKVLASDADEGSNADITYAIEADSESVKENLEINKLSGVITTKES
+LIGLENEFFTFFVRAVDNGSPSKESVVLVYVKIL
+>Q14517_PF00028_2708 <unknown description>
+YTFTVSEDVPIGTEIDLIRAEHSGTVLYSLVKGNTPESNRDESFVIDRQSGRLKLEKSLD
+HETTKWYQFSILARCTQDDHEMVASVDVSIQV
+>Q14517_PF00028_2814 <unknown description>
+YEAFIVENLPGGSRVIQIRASDADSGTNGQVMYSLDQSQSVEVIESFAINMETGWITTLK
+ELDHEKRDNYQIKVVASDHGEKIQLSSTAIVDVTVT
+>Q14517_PF00028_2923 <unknown description>
+YKGTVSEDDPQGGVIAILSTTDADSEEINRQVTYFITGGDPLGQFAVETIQNEWKVYVKK
+PLDREKRDNYLLTITATDGTFSSKAIVEVKV
+>Q14517_PF00028_3031 <unknown description>
+TIPEDVLPGKLIMQISATDADIRSNAEITYTLLGSGAEKFKLNPDTGELKTSTPLDREEQ
+AVYHLLVRATDGGGRFCQASIV
+>Q14517_PF00028_3130 <unknown description>
+YAITVFENTEPGTLLTRVQATDADAGLNRKILYSLIDSADGQFSINELSGIIQLEKPLDR
+ELQAVYTLSLKAVDQGLPRRLTATGTVIVSVL
+>Q14517_PF00028_3236 <unknown description>
+GATVSEDILVGTEVLQVYAASRDIEANAEITYSIISGNEHGKFSIDSKTGAVFIIENLDY
+ESSHEYYLTVEATDGGTPSLSDVATVNVNVT
+>Q14517_PF00028_3340 <unknown description>
+YTTVISEDAVLEQSVITVMADDADGPSNSHIHYSIIDGNQGSSFTIDPVRGEVKVTKLLD
+RETISGYTLTVQASDNGSPPRVNTTTVNIDV
+>Q14517_PF00028_3445 <unknown description>
+YSVIIQENKPVGFSVLQLVVTDEDSSHNGPPFFFTIVTGNDEKAFEVNPQGVLLTSSAIK
+RKEKDHYLLQVKVADNGKPQLSSLTYIDIRV
+>Q14517_PF02210_3859 <unknown description>
+LRTYSTHAVVMYARGTDYSILEIHHGRLQYKFDCGSGPGIVSVQSIQVNDGQWHAVALEV
+NGNYARLVLDQVHTASGTAPGTLKTLNLDNYVFFGGHIRQQGTRHGRSPQVGNGFRGCMD
+SIYLNGQ
+>Q14517_PF00008_4017 <unknown description>
+CASNPCQNGGVCNPSPAGGYYCKCSALYIGT
+>Q14517_PF00008_4093 <unknown description>
+CKDEPCKNGGTCFDSLDGAVCQCDSGFRGER
+>Q9NYQ8_PF00028_153 <unknown description>
+YRVTISEDMPLKSPICKVTATDADLGQNAEFYYAFNTRSEMFAIHPTSGVVTVAGKLNVT
+WRGKHELQVLAVDRMR
+>Q9NYQ8_PF00028_463 <unknown description>
+YDGTLDENIPPGTSVLAVTATDRDHGENGYVTYSIAGPKALPFSIDPYLGIISTSKPMDY
+ELMKRIYTFRVRASDWGSPFR
+>Q9NYQ8_PF00028_571 <unknown description>
+GSIRQDWPVGKSIMTMSAIDVDELQNLKYEIVSGNELEYFDLNHFSGVISLKRPFINLTA
+GQPTSYSLKITASDGKNYASPTTLNITV
+>Q9NYQ8_PF00028_721 <unknown description>
+SIDVLESVPINTPLARLAATDPDAGFNGKLVYVIADGNEEGCFDIELETGLLTVAAPLDY
+EATNFYILNVTVYDLGTPQKSSWKLLTVNV
+>Q9NYQ8_PF00028_825 <unknown description>
+YQLTISEDTEVGTTIAELTTKDADSEDNGRVRYTLLSPTEKFSLHPLTGELVVTGHLDRE
+SEPRYILKVEARDQPSKGHQLFSVTDLIITL
+>Q9NYQ8_PF00028_933 <unknown description>
+KVPEDLPPGTVLTFLDASDPDLGPAGEVRYVLMDGAHGTFRVDLMTGALILERELDFERR
+AGYNLSLWASDGGRPLARRTLCHVEV
+>Q9NYQ8_PF00028_1039 <unknown description>
+GQVQENSPSGTQVIVVAAQDDDSGLDGELQYFLRAGTGLAAFSINQDTGMIQTLAPLDRE
+FASYYWLTVLAVDRGSVPLSSVTEVYIEVT
+>Q9NYQ8_PF00028_1145 <unknown description>
+SIQEDAPVGTSVLQLDAWDPDSSSKGKLTFNITSGNYMGFFMIHPVTGLLSTAQQLDREN
+KDEHILEVTVLDNGEPSLKSTSRVVVGI
+>Q9NYQ8_PF00028_1249 <unknown description>
+VRLPERLSPVSPGPVYRLVASDLDEGLNGRVTYSIEDSDEEAFSIDLVTGVVSSSSTFTA
+GEYNILTIKATDSGQPPLSASVRLHI
+>Q9NYQ8_PF00028_1354 <unknown description>
+YSFTVMETDPVNHMVGVISVEGRPGLFWFNISGGDKDMDFDIEKTTGSIVIARPLDTRRR
+SNYNLTVEVTDGSRTIATQVH
+>Q9NYQ8_PF00028_1453 <unknown description>
+YEVRVPQDTVPGVELLRVQAIDQDKGKSLIYTIHGSQDPGSASLFQLDPSSGVLVTVGKL
+DLGSGPSQHTLTVMVRDQEIPIKRNFVWVTIHVE
+>Q9NYQ8_PF00028_1560 <unknown description>
+YEASVPDTIAPGTELLQVRAMDADRGVNAEVHYSLLKGNSEGFFNINALLGIITLAQKLD
+QANHAPHTLTVKAEDQGSPQWHDLATVIIHV
+>Q9NYQ8_PF00028_1665 <unknown description>
+YFVEIPESIPVGSPILLVSAMSPSEVTYELREGNKDGVFSMNSYSGLISTQKKLDHEKIS
+SYQLKIRGSNMAGAFTDVMVVVD
+>Q9NYQ8_PF00028_1782 <unknown description>
+NNPFVIHASDSDKEANSLLVYKILEPEALKFFKIDPSMGTLTIVSEMDYESMPSFQFCVY
+VHDQGSPVLFAPRPAQV
+>Q9NYQ8_PF00028_1976 <unknown description>
+AVKENLQDRKALVILGAQGNHLNDTLSYFLLNGTDMFHMVQSAGVLQTRGVAFDREQQDT
+HELAVEVRDNRTPQRVAQGLVRVSIE
+>Q9NYQ8_PF00028_2080 <unknown description>
+QDGTEPGDVLFQVSATDEDLGTNGAVTYEFAEDYTYFRIDPYLGDISLKKPFDYQALNKY
+HLKVIARDGGTPSLQSEEEVLVTV
+>Q9NYQ8_PF00028_2176 <unknown description>
+YKVRVPENITLYTPILHTQARSPEGLRLIYNIVEEEPLMLFTTDFKTGVLTVTGPLDYES
+KTKHVFTVRATDTALGSFSEATVEVLV
+>Q9NYQ8_PF00028_2277 <unknown description>
+YTTSISEGLPAQTPVIQLLASDQDSGRNRDVSYQIVEDGSDVSKFFQINGSTGEMSTVQE
+LDYEAQQHFHVKVRAMDKGDPPLTGETLVVVNV
+>Q9NYQ8_PF00028_2384 <unknown description>
+YEANVSELATCGHLVLKVQAIDPDSRDTSRLEYLILSGNQDRHFFINSSSGIISMFNLCK
+KHLDSSYNLRVGASDG
+>Q9NYQ8_PF00028_2486 <unknown description>
+YEAELAENAMVGTKVIDLLAIDKDSGPYGTIDYTIINKLASEKFSINPNGQIATLQKLDR
+ENSTERVIAIKVMARDGGGRVAFCTVKI
+>Q9NYQ8_PF00028_2590 <unknown description>
+YTVSIQSNVSKDSPVIQVLAYDADEGQNADVTYSVNPEDLVKDVIEINPVTGVVKVKDSL
+VGLENQTLDFFIKAQDGGPPHWNSLVPVRLQV
+>Q9NYQ8_PF00028_2696 <unknown description>
+YTFSAPEDLPEGSEIGIVKAVAAQDPVIYSLVRGTTPESNKDGVFSLDPDTGVIKVRKPM
+DHESTKLYQIDVMAHCLQNTDVVSLVSVNIQV
+>Q9NYQ8_PF00028_2802 <unknown description>
+YKAVLTENMPVGTSVIQVTAIDKDTGRDGQVSYRLSADPGSNVHELFAIDSESGWITTLQ
+ELDCETCQTYHFHVVAYDHGQTIQLSSQALVQVSIT
+>Q9NYQ8_PF00028_2911 <unknown description>
+YRGSVVENSEPGELVATLKTLDADISEQNRQVTCYITEGDPLGQFGISQVGDEWRISSRK
+TLDREHTAKYLLRVTASDGKFQASVTVE
+>Q9NYQ8_PF00028_3016 <unknown description>
+YTGKVHEDVFPGHFILKVSATDLDTDTNAQITYSLHGPGAHEFKLDPHTGELTTLTALDR
+ERKDVFNLVAKATDGGGRSCQADITLHV
+>Q9NYQ8_PF00028_3122 <unknown description>
+VFDNTTVKTPVAVVFARDPDQGANAQVVYSLPDSAEGHFSIDATTGVIRLEKPLQVRPQA
+PLELTVRASDLGTPIPLSTLGTVTVSV
+>Q9NYQ8_PF00028_3224 <unknown description>
+SVQVPEDAPPGTEVLQLATLTRPGAEKTGYRVVSGNEQGRFRLDARTGILYVNASLDFET
+SPKYFLSIECSRKSSSSLSDVTTVMVNIT
+>Q9NYQ8_PF00028_3326 <unknown description>
+YSTRVLENALVGDVILTVSATDEDGPLNSDITYSLIGGNQLGHFTIHPKKGELQVAKALD
+REQASSYSLKLRATDSGQPPLHEDTDIAIQV
+>Q9NYQ8_PF00028_3431 <unknown description>
+YSTTVQENSPIGSKVLQLILSDPDSPENGPPYSFRITKGNNGSAFRVTPDGWLVTAEGLS
+RRAQEWYQLQIQASDSGIPPLSSLTSVRVHVT
+>Q9NYQ8_PF02210_3800 <unknown description>
+LKTLQPQAILLFTNETASVSLKLASGVPQLEYHCLGGFYGNLSSQRHVNDHEWHSILVEE
+MDASIRLMVDSMGNTSLVVPENCRGLRPERHLLLGGLILLHSSSNVSQGFEGCLDAVVVN
+E
+>Q9NYQ8_PF00008_3951 <unknown description>
+CSQNTCLNGGKCSWTHGAGYVCKCPPQFSGKH
+>Q9NYQ8_PF00008_3993 <unknown description>
+APCLEGGTCILSPKGASCNCPHPYTGDR
+>Q8TDW7_PF00028_163 <unknown description>
+YSVTIAESTPLRTSVAQVTATDADIGSNGEFYYYFKNKVDLFSVHPTSGVISLSGRLNYD
+EKNRYDLEILAVDRGMKLYGNNGVSSTAKLYVHIE
+>Q8TDW7_PF00028_477 <unknown description>
+YDAYVNESVPVGTSVLTVSASDKDKGENGYITYSIASLNLLPFVINQFTGVISTTEELDF
+ESSPEIYRFIVRASDWGSPYRHESEVNVTIRI
+>Q8TDW7_PF00028_733 <unknown description>
+VAVKEDLPVGANILKIKAYDADSGFNGKVLFTISDGNTDSCFNIDMETGQLKVLMPMDRE
+HTDLYLLNITIYDLGNPQKSSWRLLTINVE
+>Q8TDW7_PF00028_836 <unknown description>
+YSVNILESSGIGTEIIQVEARDKDLGSNGEVTYSVLTDTQQFAINSSTGIVYVADQLDRE
+SKANYSLKIEARDKAESGQQLFSVVTLKV
+>Q8TDW7_PF00028_941 <unknown description>
+YSVKVLEDLPVGTVIAWLETHDPDLGLGGQVRYSLVNDYNGRFEIDKASGAIRLSKELDY
+EKQQFYNLTVRAKDKGRPVSLSSVSFVEVEV
+>Q8TDW7_PF00028_1050 <unknown description>
+GSVKENSRIGTSVLQVTARDEDSGRDGEIQYSIRDGSGLGRFSIDDESGVITAADILDRE
+TMGSYWLTVYATDRGVVPLYSTIEVYIEVE
+>Q8TDW7_PF00028_1157 <unknown description>
+VMENSPKDVSVIQIQAEDPDSSSNEKLTYRITSGNPQNFFAINIKTGLITTTSRKLDREQ
+QAEHFLEVTVTDGGPSPKQSTIWVVVQVL
+>Q8TDW7_PF00028_1259 <unknown description>
+YQIKLPERDRKKRGEPIYRAFAFDRDEGPNAEISYSIVDGNDDGKFFIDPKTGMVSSRKQ
+FTAGSYDILTIKAVDNGRPQKSSTARLHIE
+>Q8TDW7_PF00028_1465 <unknown description>
+YDVTISEDVLPDTEILQIEATDRDEKHKLSYTVHSSIDSISMRKFRIDPSTGVLYTAERL
+DHEAQDKHILNIMVRDQEFPYRRNLARVIVNVE
+>Q8TDW7_PF00028_1571 <unknown description>
+YEASVFESAALGSAVLQVTALDKDKGENAELIYTIEAGNTGNMFKIEPVLGIITICKEPD
+MTTMGQFVLSIKVTDQGSPPMSATAIVRISVT
+>Q8TDW7_PF00028_1676 <unknown description>
+YQAEVNENVDIGTSVILISAISQSTLIYEVKDGDINGIFTINPYSGVITTQKALDYERTS
+SYQLIIQATNMAGMASNATVNIQI
+>Q8TDW7_PF00028_1792 <unknown description>
+DNSPLVIRATDADSNRNALLVYQIVESTAKKFFTVDSSTGAIRTIANLDHETIAHFHFHV
+HVRDSGSPQLTAESPVEVNIE
+>Q8TDW7_PF00028_1987 <unknown description>
+YSTSISENNTNITKVAIVNAVGNRLNEPLKYSILNPGNKFKIKSTSGVIQTTGVPFDREE
+QELYELVVEASRELDHLRVARVVVRVNIE
+>Q8TDW7_PF00028_2095 <unknown description>
+VDAEPGTLIYQVTAIDKDKGPNGEVTYVLQDDYGHFEINPNSGNVILKEAFNSDLSNIEY
+GVTILAKDGGKPSLSTSVELPITI
+>Q8TDW7_PF00028_2191 <unknown description>
+YTASVNEDIRMNTPILSINATSPEGQGIIYIIIDGDPFKQFNIDFDTGVLKVVSPLDYEV
+TSAYKLTIRASDALTGARAEVTV
+>Q8TDW7_PF00028_2292 <unknown description>
+YNTTLSEASLIGTPVLQVVSIDADSENNKMVHYQIVQDTYNSTDYFHIDSSSGLILTARM
+LDHELVQHCTLKVRSIDSGFPSLSSEVLVHIYI
+>Q8TDW7_PF00028_2400 <unknown description>
+ESYVSELAPRGHFVTCVQASDADSSDFDRLEYSILSGNDRTSFLMDSKSGVITLSNHRKQ
+RMEPLYSLNVSVSDGLFTSTAQVHIRVL
+>Q8TDW7_PF00028_2501 <unknown description>
+YVAEVRENVAAGTKVIHVRATDGDPGTYGQISYAIINDFAKDRFLIDSNGQVITTERLDR
+ENPLEGDVSIFVRALDGGGRTTFCTVRVIV
+>Q8TDW7_PF00028_2605 <unknown description>
+YRASVRADVGRGHLVTQVQAIDPDDGANSRITYSLYSEASVSVADLLEIDPDNGWMVTKG
+NFNQLKNTVLSFFVKAVDGGIPVKHSLIPVYIHVL
+>Q8TDW7_PF00028_2822 <unknown description>
+IIMEGMPVGTKLTQVRAIDMDWGANGQVTYSLHSDSQPEKVMEAFNIDSNTGWISTLKDL
+DHETDPTFTFSVVASDLGEAFSLSSTALVSVRVT
+>Q8TDW7_PF00028_2929 <unknown description>
+YRGNVKESDPPGEVVAVLSTWDRDTSDVNRQVSYHITGGNPRGRFALGLVQSEWKVYVKR
+PLDREEQDIYFLNITATDG
+>Q8TDW7_PF00028_3034 <unknown description>
+YTALLPEDIPSNKIILKVSAKDADIGSNGYIRYSLYGSGNSEFFLDPESGELKTLALLDR
+ERIPVYSLMAKATDGGG
+>Q8TDW7_PF00028_3137 <unknown description>
+NTCVYENTATKALLTRVQAVDPDIGINRKVVYSLADSAGGVFSIDSSSGIIILEQPLDRE
+QQSSYNISVRATDQSPGQSLSSLTTVTITVL
+>Q8TDW7_PF00028_3241 <unknown description>
+YLVTVPEDTSPGTQVLAVFATSKDIGTNAEITYLIRSGNEQGKFKINPKTGGISVSEVLD
+YELCKRFYLVVEAKDGGTPALSAVATVNINL
+>Q8TDW7_PF00028_3346 <unknown description>
+YSAVISEDALVGDSVILLIAEDVDSQPNGQIHFSIVNGDRDNEFTVDPVLGLVKVKKKLD
+RERVSGYSLLVQAVDSGIPAMSSTATVNIDI
+>Q8TDW7_PF00028_3451 <unknown description>
+YTAVIQENKPVGTSILQLVVTDRDSFHNGPPFSFSILSGNEEEEFVLDPHGILRSAVVFQ
+HTESLEYVLCVQAKDSGKPQQVSHTYIRVRV
+>Q8TDW7_PF02210_3865 <unknown description>
+LRTLQSNGIIMYTRANPCIILKIVDGKLWFQLDCGSGPGILGISGRAVNDGSWHSVFLEL
+NRNFTSLSLDDSYVERRRAPLYFQTLSTESSIYFGALVQADNIRSLTDTRVTQVLSGFQG
+CLDSVILNN
+>Q969F0_PF05644_96 <unknown description>
+AHLQEYAGNFQGIRFHYDRNPGTDAVAQTSLEEFNVLEMEVMRRQLYAVNRRLRALEEQG
+ATWRHRETLIIAVLVSASIANLWLWMN
+>Q5TGI0_PF19333_1 <unknown description>
+MHWGVGFASSRPCVVDLSWNQSISFFGWWAGSEEPFSFYGDIIAFPLQDYGGIMAGLGSD
+PWWKKTLYLTGGALLAAAAYLLHELLVIRKQQEIDSKDAIILHQFA
+>Q5TGI0_PF17172_117 <unknown description>
+PFCLKMETYLRMADLPYQNYFGGKLSAQGKMPWIEYNHEKVSGTEFIIDFLEEKLGVNLN
+KNLGPHERAISRAVTKMVEEHFYWTLAYCQWVDNL
+>Q5TGI0_PF17171_264 <unknown description>
+EKDMRSLAGLLGDKKYIMGPKLSTLDATVFGHLAQAMWTLPGTRPERLIKGELINLAMYC
+ERI
+>Q8N539_PF00147_241 <unknown description>
+PRDCLDVLLSGQQDDGVYSVFPTHYPAGFQVYCDMRTDGGGWTVFQRREDGSVNFFRGWD
+AYRDGFGRLTGEHWLGLKRIHALTTQAAYELHVDLEDFENGTAYARYGSFGVGLFSVDPE
+EDGYPLTVADYSGTAGDSLLKHSGMRFTTKDRDSDHSENNCAAFYRGAWWYRNCHTSNLN
+GQYLRGAHASYADGVEWSSWTGWQYSLKFSEMKIRP
+>Q8NFZ0_PF12937_212 <unknown description>
+ICSLPSEVLRHVFAFLPVEDLYWNLSLVCHLWREIISDPL
+>Q8NFZ0_PF13245_457 <unknown description>
+QVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAY
+GHIGRKYQSKKKLNLFKLTPFMVNSVLAEGKGGFIRAKLVCKTLENFFASADEELTIDHV
+PIWCKNSQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECTEEAHQMTHDGYLKLWQLSKP
+SLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFR
+>Q8NFZ0_PF13361_869 <unknown description>
+LGTVHKAKGLEFDTVHVLDDFVKVPCARHNLPQLPHFRVESFSEDEWNLLYVAVTRAKKR
+LIMTKS
+>Q8WUP2_PF00412_183 <unknown description>
+CAFCHKTVSPRELAVEAMKRQYHAQCFTCRTCRRQLAGQSFYQKDGRPLCEPCYQD
+>Q8WUP2_PF00412_243 <unknown description>
+CGKCGEVVRDHIIRALGQAFHPSCFTCVTCARCIGDESFALGSQNEVYCLDDFYRKF
+>Q8WUP2_PF00412_303 <unknown description>
+CSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCRILLSVEPTDQGCYPLNNHLFCKP
+CHVKR
+>A6NHQ2_PF01269_100 <unknown description>
+VEPHRHEGVFIYRGAEDALVTLNMVPGQSVYGERRVTVTEGGVKQEYRTWNPFRSKLAAA
+ILGGVDQIHIKPKSKVLYLGAASGTTVSHVSDIIGPDGLVYAVEFSHRAGRDLVNVAKKR
+TNIIPVLEDARHPLKYRMLIGMVDVIFADVAQPDQSRIVALNAHTFLRNGGHFLISIKAN
+CIDSTASAEAVFASEVRKLQQENLKPQEQLTLEPYERDHAVVVGVYR
+>P23142_PF07645_216 <unknown description>
+DVNECITGSHSCRLGESCINTVGSFRCQRDSSCGTGYELTEDNSC
+>P23142_PF07645_262 <unknown description>
+DIDECESGIHNCLPDFICQNTLGSFRCRPKLQCKSGFIQDAL
+>P23142_PF07645_308 <unknown description>
+DINECLSISAPCPIGHTCINTEGSYTCQKNVPNCGRGYHLNEEGTRC
+>P23142_PF07645_356 <unknown description>
+DVDECAPPAEPCGKGHRCVNSPGSFRCECKTGYY
+>P23142_PF12662_421 <unknown description>
+SYLCSCSVGFRLSVDGRSCEDINE
+>P23142_PF12662_460 <unknown description>
+SYQCYCRRGYQLSDVDGVTCEDIDE
+>P23142_PF12662_504 <unknown description>
+SFQCSCPSSGYRLAPNGRNCQDIDE
+>P98095_PF01821_445 <unknown description>
+CCAAGQQWAIDNDECLEIPESGTEDNVCRTAQRHCC
+>P98095_PF14670_616 <unknown description>
+CQHLCINTVGSYHCACFPGFSLQDDGRTC
+>P98095_PF07645_719 <unknown description>
+DQDECLMGAHDCSRRQFCVNTLGSFYCVNHTVLCADGYILN
+>P98095_PF07645_766 <unknown description>
+DINECVTDLHTCSRGEHCVNTLGSFHCYKALTCEPGYALKD
+>P98095_PF07645_811 <unknown description>
+DVDECAMGTHTCQPGFLCQNTKGSFYCQARQRCMDGFLQDPEGNC
+>P98095_PF07645_857 <unknown description>
+DINECTSLSEPCRPGFSCINTVGSYTCQRNPLICARGYHASDDGTKC
+>P98095_PF07645_905 <unknown description>
+DVNECETGVHRCGEGQVCHNLPGSYRCDCKAGFQRDA
+>P98095_PF12662_970 <unknown description>
+SYRCSCASGFLLAADGKRCEDVNE
+>P98095_PF12662_1009 <unknown description>
+SYQCYCRQGYQLAEDGHTCTDIDE
+>P98095_PF07645_1072 <unknown description>
+DVDECALGTHNCSEAETCHNIQGSFRCLRFECPPNYVQVSKTKCERTTC
+>Q12805_PF07645_44 <unknown description>
+DIDECDIVPDACKGGMKCVNHYGGYLCL
+>Q12805_PF07645_173 <unknown description>
+DIDECTAGTHNCRADQVCINLRGSFACQCPPGYQKRGEQC
+>Q12805_PF12662_234 <unknown description>
+SFYCQCSPGFQLAANNYTCVDINE
+>Q12805_PF12662_274 <unknown description>
+SFICQCNQGYELSSDRLNCEDIDE
+>Q12805_PF07645_334 <unknown description>
+DINECETTNECREDEMCWNYHGGFRCYPRNPCQDPYILTPENR
+>O95967_PF07645_54 <unknown description>
+DVNECLTIPEACKGEMKCINHYGGYLCL
+>O95967_PF07645_123 <unknown description>
+DVDECAQALHDCRPSQDCHNLPGSYQCTCPDGYRKIG
+>O95967_PF12662_183 <unknown description>
+SFRCQCEPGFQLGPNNRSCVDVNE
+>O95967_PF12662_224 <unknown description>
+FLCRCHQGYELHRDGFSCSDIDE
+>O95967_PF07645_283 <unknown description>
+DIDECESGAHQCSEAQTCVNFHGGYRCVDTNRCVEPYIQVSENRC
+>Q9UBX5_PF07645_42 <unknown description>
+DIDECRTIPEACRGDMMCVNQNGGYLCI
+>Q9UBX5_PF07645_127 <unknown description>
+DVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEG
+>Q9UBX5_PF12662_187 <unknown description>
+SYSCTCNPGFTLNEDGRSCQDVNE
+>Q9UBX5_PF12662_227 <unknown description>
+SFICRCDPGYELEEDGVHCSDMDE
+>Q9UBX5_PF12662_269 <unknown description>
+YFCSCPPGYILLDDNRSCQDINE
+>Q53RD9_PF00084_95 <unknown description>
+SKYLVDHEVHFTCNPGFRLVGPSSVVCLPNGTWTGEQPHC
+>Q53RD9_PF00008_140 <unknown description>
+CSSQPCQNGGTCVEGVNQYRCICPPGRTGNR
+>Q53RD9_PF07645_224 <unknown description>
+DVNECELYGQEGRPRLCMHACVNTPGSYRCTCPGGYRTLADGKSC
+>Q53RD9_PF07645_270 <unknown description>
+DVDECVGLQPVCPQGTTCINTGGSFQCVSPECPEGSGNVSYVKTSPFQC
+>P35555_PF18193_48 <unknown description>
+GGHDALKGPNVCGSRYNAYCCPGWKTLPGGNQCIV
+>P35555_PF00683_194 <unknown description>
+MCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQP
+>P35555_PF07645_246 <unknown description>
+DVDECQAIPGLCQGGNCINTVGSFECKCPAGHKLNEVSQKC
+>P35555_PF07645_288 <unknown description>
+DIDECSTIPGICEGGECTNTVSSYFCKCPPGFYTSPDGTRC
+>P35555_PF00683_343 <unknown description>
+GRCSNQLPQSITKMQCCCDAGRCWSPGVTVAPEMCPIRATEDFNKLC
+>P35555_PF07645_451 <unknown description>
+DYCQLVRYLCQNGRCIPTPGSYRCECNKGFQLDLR
+>P35555_PF07645_490 <unknown description>
+DVDECEKNPCAGGECINNQGSYTCQCRAGYQSTLTRTEC
+>P35555_PF07645_530 <unknown description>
+DIDECLQNGRICNNGRCINTDGSFHCVCNAGFHVTRDGKNC
+>P35555_PF12662_593 <unknown description>
+SFKCICKPGFQLASDGRYCKDINE
+>P35555_PF00683_668 <unknown description>
+GQCIKPLFGAVTKSECCCASTEYAFGEPCQPCPAQNSAEYQALC
+>P35555_PF07645_723 <unknown description>
+DINECALDPDICPNGICENLRGTYKCICNSGYEVDSTGKNC
+>P35555_PF07645_765 <unknown description>
+DINECVLNSLLCDNGQCRNTPGSFVCTCPKGFIYKPDLKTC
+>P35555_PF07645_807 <unknown description>
+DIDECESSPCINGVCKNSPGSFICECSSESTLDPTKT
+>P35555_PF00683_860 <unknown description>
+GRCEININGATLKSQCCSSLGAAWGSPCTLCQ
+>P35555_PF07645_910 <unknown description>
+DIDECEVFPGVCKNGLCVNTRGSFKCQCPSGMTL
+>P35555_PF00683_966 <unknown description>
+ECTLPIAGRHRMDACCCSVGAAWGTEECEECPMRNTPEYEELC
+>P35555_PF07645_1028 <unknown description>
+DINECKMIPSLCTHGKCRNTIGSFKCRCDSGFALDSEERNC
+>P35555_PF07645_1070 <unknown description>
+DIDECRISPDLCGRGQCVNTPGDFECKCDEGYES
+>P35555_PF07645_1113 <unknown description>
+DIDECQRDPLLCRGGVCHNTEGSYRCECPPGHQLSPNISAC
+>P35555_PF07645_1155 <unknown description>
+DINECELSAHLCPNGRCVNLIGKYQCACNPGYHSTPDR
+>P35555_PF14670_1201 <unknown description>
+CSIMNGGCETFCTNSEGSYECSCQPGFALMPDQRSC
+>P35555_PF07645_1238 <unknown description>
+DIDECEDNPNICDGGQCTNIPGEYRCLCYDGFMASEDMKTC
+>P35555_PF07645_1280 <unknown description>
+DVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKTGC
+>P35555_PF07645_1322 <unknown description>
+DINECEIGAHNCGKHAVCTNTAGSFKCSCSPGWIGD
+>P35555_PF12947_1367 <unknown description>
+CSNGTHMCSQHADCKNTMGSYRCLCKEGYTGDGFTC
+>P35555_PF07645_1404 <unknown description>
+DLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPSADGKAC
+>P35555_PF07645_1446 <unknown description>
+DIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNC
+>P35555_PF07645_1487 <unknown description>
+DVNECLDPTTCISGNCVNTPGSYICDCPPDFELNPTRVG
+>P35555_PF00683_1548 <unknown description>
+ACSNEIGVGVSKASCCCSLGKAWGTPCEMCPAVNTSEYKILC
+>P35555_PF07645_1606 <unknown description>
+DIDECQELPGLCQGGKCINTFGSFQCRCPTGYYLNEDTRVC
+>P35555_PF07645_1648 <unknown description>
+DVNECETPGICGPGTCYNTVGNYTCICPPDYMQVNGGNNC
+>P35555_PF00683_1705 <unknown description>
+TCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPIPSTDEFATLC
+>P35555_PF07645_1766 <unknown description>
+DIDECREIPGVCENGVCINMVGSFRCECPVGFFYNDK
+>P35555_PF07645_1808 <unknown description>
+DIDECQNGPVCQRNAECINTAGSYRCDCKPGYRFTSTG
+>P35555_PF07645_1849 <unknown description>
+DRNECQEIPNICSHGQCIDTVGSFYCLCHTGFKTNDDQTMC
+>P35555_PF07645_1891 <unknown description>
+DINECERDACGNGTCRNTIGSFNCRCNHGFI
+>P35555_PF07645_1930 <unknown description>
+DVDECASGNGNLCRNGQCINTVGSFQCQCNEGYEVAPDGRTC
+>P35555_PF07645_1973 <unknown description>
+DINECLLEPRKCAPGTCQNLDGSYRCICPPGYSLQNEKC
+>P35555_PF07645_2013 <unknown description>
+DIDECVEEPEICALGTCSNTEGSFKCLCPEGFSLSSSGRRC
+>P35555_PF00683_2068 <unknown description>
+GKCSSPKSRNHSKQECCCALKGEGWGDPCELCPTEPDEAFRQIC
+>P35555_PF07645_2127 <unknown description>
+DMDECKEPDVCKHGQCINTDGSYRCECPFGYI
+>P35555_PF07645_2166 <unknown description>
+DTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGP
+>P35555_PF07645_2206 <unknown description>
+DINECAQNPLLCAFRCVNTYGSYECKCPVGYVLREDRRMC
+>P35555_PF07645_2247 <unknown description>
+DEDECEEGKHDCTEKQMECKNLIGTYMCICGPGYQRRPDGEGC
+>P35555_PF07645_2291 <unknown description>
+DENECQTKPGICENGRCLNTRGSYTCECNDGFTASPNQDEC
+>P35555_PF00683_2347 <unknown description>
+MCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFQGTVAFKKLC
+>P35555_PF07645_2402 <unknown description>
+DIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTSC
+>P35555_PF07645_2444 <unknown description>
+DLNECNQAPKPCNFICKNTEGSYQCSCPKGYILQEDGRSC
+>P35555_PF07645_2485 <unknown description>
+DLDECATKQHNCQFLCVNTIGGFTCKCPPGFTQHHTSC
+>P35555_PF12662_2547 <unknown description>
+SFTCECQRGFSLDQTGSSCEDVDE
+>P35555_PF07645_2607 <unknown description>
+DENECLSAHICGGASCHNTLGSYKCMCPAGFQYEQ
+>P35555_PF07645_2648 <unknown description>
+DINECGSAQAPCSYGCSNTEGGYLCGCPPGYF
+>P35556_PF18193_76 <unknown description>
+RRGQQDVLRGPNVCGSRFHSYCCPGWKTLPGGNQCIV
+>P35556_PF00683_224 <unknown description>
+MCQGQLTGIVCTKTLCCATIGRAWGHPCEMCPAQP
+>P35556_PF07645_276 <unknown description>
+DVDECQAIPGICQGGNCINTVGSFECRCPAGHKQSETTQKC
+>P35556_PF07645_318 <unknown description>
+DIDECSIIPGICETGECSNTVGSYFCVCPRGYVTSTDGSRC
+>P35556_PF00683_373 <unknown description>
+GRCAQELPGRMTKMQCCCEPGRCWGIGTIPEACPVRGSEEYRRLC
+>P35556_PF12661_505 <unknown description>
+CLNGRCIPTVSSYRCECNMGY
+>P35556_PF07645_535 <unknown description>
+DVDECTSNPCTNGDCVNTPGSYYCKCHAGFQRTPTKQAC
+>P35556_PF07645_575 <unknown description>
+DIDECIQNGVLCKNGRCVNTDGSFQCICNAGFELTTDGKNC
+>P35556_PF12662_638 <unknown description>
+SFKCICKPGFVLAPNGRYCTDVDE
+>P35556_PF12661_668 <unknown description>
+CMNGHCINSEGSFRCDCPPG
+>P35556_PF00683_713 <unknown description>
+GVCVRPFPGAVTKSECCCANPDYGFGEPCQPCPAKNSAEFHGLC
+>P35556_PF07645_768 <unknown description>
+DINECALDPDICANGICENLRGSYRCNCNSGYEPDASGRNC
+>P35556_PF07645_810 <unknown description>
+DIDECLVNRLLCDNGLCRNTPGSYSCTCPPGYVFRTETETC
+>P35556_PF07645_852 <unknown description>
+DINECESNPCVNGACRNNLGSFNCECSPGSK
+>P35556_PF00683_906 <unknown description>
+RCEVNINGATLKSECCATLGAAWGSPCERCE
+>P35556_PF07645_955 <unknown description>
+DVNECEVFPGVCPNGRCVNSKGSFHCECPEGLTLDGTGR
+>P35556_PF00683_1011 <unknown description>
+ECIHPVPGKFRMDACCCAVGAAWGTECEECPKPGTKEYETLC
+>P35556_PF07645_1073 <unknown description>
+DINECKAFPGMCTYGKCRNTIGSFKCRCNSGFALDMEERNC
+>P35556_PF07645_1115 <unknown description>
+DIDECRISPDLCGSGICVNTPGSFECECFEGYES
+>P35556_PF07645_1158 <unknown description>
+DIDECERNPLLCRGGTCVNTEGSFQCDCPLGHELSPSREDC
+>P35556_PF07645_1200 <unknown description>
+DINECSLSDNLCRNGKCVNMIGTYQCSCNPGYQATPDRQGC
+>P35556_PF12662_1263 <unknown description>
+SYECSCSEGYALMPDGRSCADIDE
+>P35556_PF07645_1325 <unknown description>
+DVNECDLNSNICMFGECENTKGSFICHCQLGYSVKKGTTGC
+>P35556_PF07645_1367 <unknown description>
+DVDECEIGAHNCDMHASCLNIPGSFKCSCREGWI
+>P35556_PF12947_1412 <unknown description>
+CSNGTHQCSINAQCVNTPGSYRCACSEGFTGDGFTC
+>P35556_PF07645_1449 <unknown description>
+DVDECAENINLCENGQCLNVPGAYRCECEMGFTPASDSRSC
+>P35556_PF07645_1491 <unknown description>
+DIDECSFQNICVFGTCNNLPGMFHCICDDGYELDRTGGNC
+>P35556_PF07645_1532 <unknown description>
+DIDECADPINCVNGLCVNTPGRYECNCPPDFQLNPTGVGC
+>P35556_PF00683_1592 <unknown description>
+SCNTEIGVGVSRSSCCCSLGKAWGNPCETCPPVNSTEYYTLC
+>P35556_PF07645_1650 <unknown description>
+DIDECQELPGLCQGGNCINTFGSFQCECPQGYYLSEDTR
+>P35556_PF07645_1692 <unknown description>
+DIDECFAHPGVCGPGTCYNTLGNYTCICPPEYMQVNGGHNC
+>P35556_PF00683_1748 <unknown description>
+TCENELPFNVTKRMCCCTYNVGKAWNKPCEPCPTPGTADFKTIC
+>P35556_PF07645_1808 <unknown description>
+DIDECKEIPGICANGVCINQIGSFRCECPTGFSYND
+>P35556_PF07645_1850 <unknown description>
+DIDECSNGDNLCQRNADCINSPGSYRCECAAGFKLSPN
+>P35556_PF07645_1892 <unknown description>
+DRNECLEIPNVCSHGLCVDLQGSYQCICHNGFKASQDQTMC
+>P35556_PF07645_1934 <unknown description>
+DVDECERHPCGNGTCKNTVGSYNCLCYPGFELTHNND
+>P35556_PF07645_1973 <unknown description>
+DIDECSSFFGQVCRNGRCFNEIGSFKCLCNEGYELTPDGKNC
+>P35556_PF07645_2016 <unknown description>
+DTNECVALPGSCSPGTCQNLEGSFRCICPPGYEVKSENC
+>P35556_PF07645_2056 <unknown description>
+DINECDEDPNICLFGSCTNTPGGFQCLCPPGFVLSDNGRRC
+>P35556_PF00683_2111 <unknown description>
+GKCSVPKAFNTTKAKCCCSKMPGEGWGDPCELCPKDDEVAFQDLC
+>P35556_PF07645_2171 <unknown description>
+DVNECLESPGICSNGQCINTDGSFRCECPMGYNLDY
+>P35556_PF07645_2213 <unknown description>
+DTDECSIGNPCGNGTCTNVIGSFECNCNEGFEPGP
+>P35556_PF07645_2253 <unknown description>
+DINECAQNPLLCAFRCMNTFGSYECTCPIGYALREDQKMC
+>P35556_PF07645_2294 <unknown description>
+DLDECAEGLHDCESRGMMCKNLIGTFMCICPPGMARRPDGEGC
+>P35556_PF07645_2338 <unknown description>
+DENECRTKPGICENGRCVNIIGSYRCECNEGFQSSSSGTEC
+>P35556_PF00683_2395 <unknown description>
+CQMASSSRNLVTKSECCCDGGRGWGHQCELCPLPGTAQYKKIC
+>P35556_PF07645_2449 <unknown description>
+DIDECKVMPNLCTNGQCINTMGSFRCFCKVGYTTDISGTSC
+>P35556_PF07645_2491 <unknown description>
+DLDECSQSPKPCNYICKNTEGSYQCSCPRGYVLQEDGKTC
+>P35556_PF07645_2532 <unknown description>
+DLDECQTKQHNCQFLCVNTLGGFTCKCPPGFTQHHTAC
+>P35556_PF07645_2571 <unknown description>
+DNNECGSQPSLCGAKGICQNTPGSFSCECQRGFSLDATGLNC
+>P35556_PF07645_2614 <unknown description>
+DVDECDGNHRCQHGCQNILGGYRCGCPQGYIQHYQWNQC
+>P35556_PF07645_2654 <unknown description>
+DENECSNPNACGSASCYNTLGSYKCACPSGFSFDQFSSAC
+>P35556_PF07645_2695 <unknown description>
+DVNECSSSKNPCNYGCSNTEGGYLCGCPPGYYRVG
+>Q75N90_PF18193_47 <unknown description>
+RRGSPGILQGPNVCGSRFHAYCCPGWRTFPGRSQCVV
+>Q75N90_PF00683_196 <unknown description>
+CQHQLTGLVCTKALCCATVGRAWGLPCELCPAQP
+>Q75N90_PF07645_247 <unknown description>
+DVDECQAVPGLCQGGSCVNMVGSFHCRCPVGHRLSDSSAAC
+>Q75N90_PF00683_302 <unknown description>
+GRCAGDLAGHYTRRQCCCDRGRCWAAGPVPELCPPRGSNEFQQLC
+>Q75N90_PF07645_449 <unknown description>
+DVDECTSSPCHHGDCVNIPGTYHCRCYPGFQATPTRQAC
+>Q75N90_PF07645_489 <unknown description>
+DVDECIVSGGLCHLGRCVNTEGSFQCVCNAGFELSPDGKNC
+>Q75N90_PF07645_531 <unknown description>
+DHNECATSTMCVNGVCLNEDGSFSCLCKPGFLLAPGGHYC
+>Q75N90_PF07645_572 <unknown description>
+DIDECQTPGICVNGHCTNTEGSFRCQCLGGLAVGTDGRVC
+>Q75N90_PF00683_627 <unknown description>
+GSCARPFPGTVTKSECCCANPDHGFGEPCQLCPAKDSAEFQALC
+>Q75N90_PF07645_682 <unknown description>
+DINECALDPEVCANGVCENLRGSYRCVCNLGYEAGASGKDC
+>Q75N90_PF07645_724 <unknown description>
+DVDECALNSLLCDNGWCQNSPGSYSCSCPPGFHF
+>Q75N90_PF07645_766 <unknown description>
+DVDECLSSPCVSGVCRNLAGSYTCKCGPGSRLDPSGTFC
+>Q75N90_PF00683_820 <unknown description>
+RCEVNLQGASLRSECCATLGAAWGSPCERCEI
+>Q75N90_PF07645_869 <unknown description>
+DVNECESFPGVCPNGRCVNTAGSFRCECPEGLM
+>Q75N90_PF00683_925 <unknown description>
+ECGVTLPGKYRMDVCCCSIGAVWGVECEACPDPESLEFASLC
+>Q75N90_PF07645_986 <unknown description>
+DVNECKVFPGLCTHGTCRNTVGSFHCACAGGFALDAQERNC
+>Q75N90_PF07645_1028 <unknown description>
+DIDECRISPDLCGQGTCVNTPGSFECECFPGYE
+>Q75N90_PF07645_1071 <unknown description>
+DVDECARDPLLCRGGTCTNTDGSYKCQCPPGHELTAKGTAC
+>Q75N90_PF12662_1136 <unknown description>
+FQCSCHAGFQSTPDRQGCVDINE
+>Q75N90_PF12662_1176 <unknown description>
+SYRCSCGQGYSLMPDGRACADVDE
+>Q75N90_PF07645_1238 <unknown description>
+DVDECDLNPHICLHGDCENTKGSFVCHCQLGYMVRKGATGC
+>Q75N90_PF07645_1280 <unknown description>
+DVDECEVGGHNCDSHASCLNIPGSFSCRCLPGWVGDGFEC
+>Q75N90_PF12947_1325 <unknown description>
+CVSQEHRCSPRGDCLNVPGSYRCTCRQGFAGDGFFC
+>Q75N90_PF07645_1362 <unknown description>
+DRDECAENVDLCDNGQCLNAPGGYRCECEMGFDPTEDHRAC
+>Q75N90_PF07645_1404 <unknown description>
+DVDECAQGNLCAFGSCENLPGMFRCICNGGYELDRGGGNC
+>Q75N90_PF07645_1445 <unknown description>
+DINECADPVNCINGVCINTPGSYLCSCPQDFELNPSGVGC
+>Q75N90_PF00683_1505 <unknown description>
+SCSAEIGVGVTRASCCCSLGRAWGNPCELCPMANTTEYRTLC
+>Q75N90_PF07645_1563 <unknown description>
+DIDECQELPGLCQGGDCVNTFGSFQCECPPGYHLSEHT
+>Q75N90_PF07645_1605 <unknown description>
+DIDECSTHSGICGPGTCYNTLGNYTCVCPAEYLQVNGGNNC
+>Q75N90_PF00683_1659 <unknown description>
+GTCQNELAFNVTRKMCCCSYNIGQAWNRPCEACPTPISPDYQILC
+>Q75N90_PF07645_1721 <unknown description>
+DIDECGEIPAICANGICINQIGSFRCECPAGFNYNS
+>Q75N90_PF07645_1763 <unknown description>
+DVDECGSRESPCQQNADCINIPGSYRCKCTRGYKLSPG
+>Q75N90_PF07645_1807 <unknown description>
+NECREIPNVCSHGDCMDTEGSYMCLCHRGFQASADQTLC
+>Q75N90_PF07645_1847 <unknown description>
+DIDECDRQPCGNGTCKNIIGSYNCLCFPGFVVTHN
+>Q75N90_PF07645_1886 <unknown description>
+DFDECTTLVGQVCRFGHCLNTAGSFHCLCQDGFELTADGKNC
+>Q75N90_PF07645_1929 <unknown description>
+DTNECLSLAGTCLPGTCQNLEGSFRCICPPGFQVQSDH
+>Q75N90_PF07645_1969 <unknown description>
+DIDECSEEPNLCLFGTCTNSPGSFQCLCPPGFVLSDNGHRC
+>Q75N90_PF00683_2024 <unknown description>
+GKCSVPKAFNTTKTRCCCSKRPGEGWGDPCELCPQEGSAAFQELC
+>Q75N90_PF07645_2084 <unknown description>
+DVNECAENPGVCTNGVCVNTDGSFRCECPFGYSLD
+>Q75N90_PF07645_2126 <unknown description>
+DTDECSVGHPCGQGTCTNVIGGFECACADGFEPG
+>Q75N90_PF07645_2166 <unknown description>
+DIDECSLNPLLCAFRCHNTEGSYLCTCPAGYTLREDGAMC
+>Q75N90_PF07645_2207 <unknown description>
+DVDECADGQQDCHARGMECKNLIGTFACVCPPGMR
+>Q75N90_PF07645_2252 <unknown description>
+DDNECHAQPDLCVNGRCVNTAGSFRCDCDEGFQPSPTLTEC
+>Q75N90_PF00683_2314 <unknown description>
+SSSEAVTRAECCCGGGRGWGPRCELCPLPGTSAYRKLC
+>Q75N90_PF07645_2363 <unknown description>
+DVDECRMLAHLCAHGECINSLGSFRCHCQAGYTPDATATTC
+>Q75N90_PF07645_2405 <unknown description>
+DMDECSQVPKPCTFLCKNTKGSFLCSCPRGYLLEEDGRTC
+>Q75N90_PF07645_2446 <unknown description>
+DLDECTSRQHNCQFLCVNTVGAFTCRCPPGFTQHHQAC
+>Q75N90_PF07645_2485 <unknown description>
+DNDECSAQPGPCGAHGHCHNTPGSFRCECHQGFTLVSS
+>Q75N90_PF07645_2528 <unknown description>
+DVNECDGPHRCQHGCQNQLGGYRCSCPQGFTQHSQWAQC
+>Q75N90_PF07645_2568 <unknown description>
+DENECALSPPTCGSASCRNTLGGFRCVCPSGFD
+>Q75N90_PF07645_2611 <unknown description>
+VDECAGRRGPCSYSCANTPGGFLCGCPQGYFRAGQGHC
+>Q5T0N5_PF00611_10 <unknown description>
+QFDSLDKHTQWGIDFLERYAKFVKERIEIEQNYAKQLRNLVKKYCPKRSSKDEEPRFTSC
+VAFFNILNELNDYAGQRE
+>Q5T0N5_PF00018_544 <unknown description>
+KAIYPFDGHNEGTLAMKEGEVLYIIEEDKGDGWTRARRQNGEEGYVPT
+>P22087_PF01269_88 <unknown description>
+VEPHRHEGVFICRGKEDALVTKNLVPGESVYGEKRVSISEGDDKIEYRAWNPFRSKLAAA
+ILGGVDQIHIKPGAKVLYLGAASGTTVSHVSDIVGPDGLVYAVEFSHRSGRDLINLAKKR
+TNIIPVIEDARHPHKYRMLIAMVDVIFADVAQPDQTRIVALNAHTFLRNGGHFVISIKAN
+CIDSTASAEAVFASEVKKMQQENMKPQEQLTLEPYERDHAVVVGVYR
+>P0C2W1_PF12937_38 <unknown description>
+RLPSRVLELVFSYLELSELRSCALVCKHWYRCLHGDENSEVWRSLCA
+>P0C2W1_PF00622_154 <unknown description>
+RHAWEVWWEGPLGTVAVIGIATKRAPMQCQGYVALLGSDDQSWGWNLVDNNLLHNGEVNG
+SFPQCNNAPKYQIGERIRVILDMEDKTLAFERGYEFLGVAFRGLPKVCLYPAVSAVYGNT
+EVTL
+>Q5XX13_PF00400_448 <unknown description>
+EFRGHAGSVRALFLCEEENFLLSGSYDLSIRYWD
+>Q5XX13_PF00400_485 <unknown description>
+GVCTRIFGGHQGTITCMDLCKNRLVSGGRDCQVKVWD
+>Q5XX13_PF00400_565 <unknown description>
+QLVKTLSGHEGAVKCLFFDQWHLLSGSTDGLVMAW
+>Q6X9E4_PF12937_4 <unknown description>
+RLPDLALKRIFSFLDLFGLLQVSQVNKHWNRIADSDYLWRSLSL
+>Q9Y297_PF12125_139 <unknown description>
+KYFEQWSESDQVEFVEHLISQMCHYQHGHINSYLKPMLQ
+>Q9Y297_PF12937_192 <unknown description>
+IAENILSYLDAKSLCAAELVCKEWYRVTSDGMLWKKL
+>Q9Y297_PF00400_304 <unknown description>
+KGVYCLQYDDQKIVSGLRDNTIKIWD
+>Q9Y297_PF00400_334 <unknown description>
+ECKRILTGHTGSVLCLQYDERVIITGSSDSTVRVWD
+>Q9Y297_PF00400_419 <unknown description>
+RRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWN
+>Q9Y297_PF00400_458 <unknown description>
+FVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWD
+>Q9Y297_PF00400_496 <unknown description>
+GACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWD
+>Q9Y297_PF00400_547 <unknown description>
+CLRTLVEHSGRVFRLQFDEFQIVSSSHDDTILIWD
+>Q9UK96_PF12937_6 <unknown description>
+LPLELWRMILAYLHLPDLGRCSLVCRAWYELILSLDSTRWRQLCL
+>Q9UK96_PF13229_425 <unknown description>
+NSVQGCLIRKCLFRDGKGGVFVCSHGRAKMEGNIFRNLTYAVRCIHNSKIIMLRNDIYRC
+RASGIFLRLEGGGLIAGNNIYHNAEAGVDIRKKSNPLILCNQIHHGLRSGIVVLGNGKGI
+IRNNQIFSNKEAGIYILYHGNPVVSGNH
+>Q9UK96_PF05048_724 <unknown description>
+VESNSINHNGASGLYVQSSEALHVITNVIHANGDRGITVAQSSQPTRVANNSISCNRQSG
+VKVEAQCKVELRGNGIYDNRGHGIITKGDSTIVIENDIIGNRGSGLQLLPRSDTKVIKNR
+IHSFRAYGIAVRGRAKALVQENIIFQG
+>Q86XK2_PF12937_158 <unknown description>
+KLPDEVVLKIFSYLLEQDLCRAACVCKRFSELANDPILWKRLYM
+>Q86XK2_PF05048_374 <unknown description>
+IDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITDHAQGIYEDNEISNNAL
+AGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRI
+>Q86XK2_PF13229_481 <unknown description>
+GFEVKAYANPTVVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRG
+NSIFNGNQGGVYIFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGIYVH
+EKGQGVIEENEVYSNTLAGVWVTTGST
+>Q86XK2_PF13229_664 <unknown description>
+SGVQIRTGSNPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTDSNPTLR
+RNKIHDGRDGGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPILRKNRIFDGFAAGIEI
+TNHATATLEGNQIFNNRFGGLFLASGVNVTMKDNKI
+>Q86XK2_PF02207_848 <unknown description>
+HDFYRCHTCNTTDRNAICVNCIKKCHQGHDVEFIRHDRFFCDCGAGT
+>Q8NCQ5_PF12937_77 <unknown description>
+MPSEILLKIFSYLDAVSLLCTGCVSRRFYHLANDNFIWIGI
+>Q8IX29_PF12937_91 <unknown description>
+KLPRVLSLYIFSFLDPRSLCRCAQVCWHWKNLAELDQLWMLKC
+>Q96EF6_PF12937_19 <unknown description>
+DALPPELLVQVLSHVPPRSLVTRCRPVCRAWRDIVDGPTVW
+>Q96EF6_PF04300_100 <unknown description>
+CLRAPFGRNLIFNSCGEQGFRGWEVEHGGNGWAIEKNLTPVPGAPSQTCFVTSFEWCSKR
+QLVDLVMEGVWQELLDSAQIEICVADWWGARENCGCVYQLRVRLLDVYEKEVVKFSASPD
+PVLQWTERGCRQVSHVFTNFGKGIRYVSFEQYGRDVSSWVGHYGALVTHSSVRV
+>O94952_PF13369_216 <unknown description>
+DIQAQIDSIVELVCKTLRGINSRHPSLAFKAGESSMIMEIELQSQVLDAMNYVLYDQLKF
+KGNRMDYYNALNLYMHQVLIRRTGIPISMSLLYLTIARQLGVPLEPVNFPSHFLLRWCQG
+AEGATLDIFDYIYIDAFGKGKQLTVKECEYLIGQHVTAALYGVVNVKKVLQRMV
+>O94952_PF08755_495 <unknown description>
+CYSIGLIMKHKRYGYNCVIYGWDPTCMMGHEWIRNMNVHSLPHGHHQPFYNVLVEDGSCR
+YAAQENLEYNVEPQEISHPDVGRYFSEFTGT
+>Q8NEZ5_PF00646_28 <unknown description>
+EVVERVLTFLPAKALLRVACVCRLWRECVRRV
+>Q8NEZ5_PF10442_300 <unknown description>
+KTAEAAMQRLKAANIPEHNTIGFMFACVGRGFQYYRAKGNVEADAFRKFFPSVPLFGFFG
+NGEIG
+>O75426_PF12937_43 <unknown description>
+PPELVEHIISFLPVRDLVALGQTCRYFHEVCDGEGVWRRICRR
+>O75426_PF00415_376 <unknown description>
+GRIFMQGNNRYGQLGTGDKMDRGEPTQVCYLQRPITLWCGLNHSLVL
+>Q8NI29_PF00646_26 <unknown description>
+LSQLPPELLLVVLSHVPPRTLLGRCRQVCRGWRALVDGQALWL
+>Q8NI29_PF04300_105 <unknown description>
+CARRPIGRNLIRNPCGQEGLRKWMVQHGGDGWVVEENRTTVPGAPSQTCFVTSFSWCCKK
+QVLDLEEEGLWPELLDSGRIEICVSDWWGARHDSGCMYRLLVQLLDANQTVLDKFSAVPD
+PIPQWNNNACLHVTHVFSNIKMGVRFVSFEHRGQDTQFWAGHYGARVTNSSVIV
+>Q9NVF7_PF00646_67 <unknown description>
+LPIVAIENILSFMSYDEISQLRLVCKR
+>Q9UK22_PF12937_48 <unknown description>
+DELPEPLLLRVLAALPAAELVQACRLVCLRWKELVDGAPLW
+>Q9UK22_PF04300_114 <unknown description>
+YFLSKRRRNLLRNPCGEEDLEGWCDVEHGGDGWRVEELPGDSGVEFTHDESVKKYFASSF
+EWCRKAQVIDLQAEGYWEELLDTTQPAIVVKDWYSGRSDAGCLYELTVKLLSEHENVLAE
+FSSGQVAVPQDSDGGGWMEISHTFTDYGPGVRFVRFEHGGQDSVYWKGWFGARVTNSSVW
+V
+>Q8TB52_PF15965_7 <unknown description>
+HSHCVNCVSRRCMTRPEPGISCDLIGCPLVCGAVFHSCKADEHRLLCPFERVPCLNSDFG
+CPFTMARNKVAEHLEMCPASVVCCTMEWNRWPV
+>Q8TB52_PF15966_610 <unknown description>
+NDHLSSLPFEVLQHIAGFLDGFSLCQLSCVSKLMRDVCGSLLQSRGMVILQWGKRKYPEG
+NSSWQIKEKVWRFSTAFCSVNEWKFADILSMADHLKKCSYNVVEKREEAIPLPCM
+>Q5XUX0_PF12937_68 <unknown description>
+LELPPELLVEIFASLPGTDLPSLAQVCTKFRRILHTDTIWRRRCR
+>Q5XUX0_PF12014_286 <unknown description>
+YRRIYLPPSRPDDLIKPGLFKGTYGSHGLEIVMLSFHGRRARGTKITGDPNIPAGQQTVE
+IDLRHRIQLPDLENQRNFNELSRIVLEVRERVRQEQQEGGHEAGEGRGRQGPRESQPSPA
+QPRAEAPSKGPDGTPGEDGGEPGDAVAAAEQPAQCGQGQPFVLPVGVSSRNEDYPRTCRM
+CFYGTGLIAGHGFTSPERTPGVFILFDEDRFGFVWLELKSFSLYSRVQ
+>Q7Z6M2_PF12937_69 <unknown description>
+ASLPSELIVHIFSFLPAPDRLRASASCSHWRECLFYPALWPQL
+>Q8NEA4_PF00646_95 <unknown description>
+ERLSDDLLLTIISYLDLEDIARLCQTSHRFAKLCMSDKLWE
+>Q6PIJ6_PF00646_32 <unknown description>
+QLSHEVLCHIFRYLPLQDIMCMECLSRKLKEAV
+>Q8N4B4_PF12937_16 <unknown description>
+WAFLPDLCLCRVFWWLGDRDRSRAALVCRKWNQMMYSAELWRY
+>Q9UK99_PF12937_14 <unknown description>
+ESLPTDPLLLILSFLDYRDLINCCYVSRRLSQLSSHDPLWRRHCK
+>Q9UK99_PF09346_121 <unknown description>
+GAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTA
+AGGFQQRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFI
+IGATFTDWFT
+>Q9UK99_PF04379_296 <unknown description>
+LSSVHPPHYFFTYRIRIEMSKDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPII
+SPGRVYEYTSCTTFSTTSGYMEGYYTFH
+>Q9UH90_PF15965_12 <unknown description>
+HRHCEGCFNRHCHIPVEPNTSCLVISCHLLCGATFHMCKEAEHQLLCPLEQVPCLNSEYG
+CPLSMSRHKLAKHLQVCPASVVCCSMEWNRWP
+>Q9UH90_PF15966_570 <unknown description>
+QNSLTSLPLEILKYIAGFLDSVSLAQLSQVSVLMRNICATLLQERGMVLLQWKKKRYSHG
+GTSWRVHREIWQFSSLFSKIKSWEFNEVTSMSEHLKSCPFNIVEHKTDPILLTSM
+>Q8TF61_PF12937_557 <unknown description>
+LFCIFTYLDTRTLLHAAEVCRDWRFVARHPAVWTRVLL
+>Q6P3S6_PF12937_48 <unknown description>
+SELPEEVLEYILSFLSPYQEHKTAALVCKQWYRLI
+>Q6P3S6_PF13415_131 <unknown description>
+QSMYVFGGCTQSSCNAAFNDLWRLDLNSKEWIRPLASGSYPSPKAGATLVVY
+>Q6P3S6_PF13854_229 <unknown description>
+PPPMAGHSSCVIDDKMIVFGGSLGSRQMSNDVWVLDLEQ
+>Q9H4M3_PF12937_6 <unknown description>
+INELPENILLELFTHVPARQLLLNCRLVCSLWRDLIDLVTLWKRKCLR
+>Q9H4M3_PF04300_72 <unknown description>
+YFLRSLHRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSRDQRKEFPNDQVKKYFVTSYY
+TCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQLLSSAHAPLGTF
+QPDPATIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDTHYWAGWYGPRVTNSSITI
+>Q6PJ61_PF12937_475 <unknown description>
+LLPEHVLVKIFSFLPTRALAALKCTCHHFKGII
+>Q5MNV8_PF00646_47 <unknown description>
+LPLEIFQIILKYLSVKDISMLSMVSKTVSQ
+>Q5FWF7_PF12937_37 <unknown description>
+LLPAEITFKIFSQLDIRSLCRASLTCRSWNDTIRNSDSLWKPHC
+>Q9UKT5_PF12937_60 <unknown description>
+TRLPIDVQLYILSFLSPHDLCQLGSTNHYWNETVRDPILWRYFL
+>Q6ZVX7_PF04300_98 <unknown description>
+RRPLYRNLLRSPNPEGINIYEPAPPTGPTQRPLETLGNFRGWYIRTEKLQQNQSWTVKQQ
+CVDLLAEGLWEELLDDEQPAITVMDWFEDSRLDACVYELHVWLLAADRRTVIAQHHVAPR
+TSGRGPPGRWVQVSHVFRHYGPGVRFIHFLHKAKNRMEPGGLRRTRVTDSSVSV
+>Q9UKT4_PF00646_258 <unknown description>
+VLATILAQLSDMDLINVSKVSTTWKKILEDDK
+>Q9NRD1_PF12937_13 <unknown description>
+INELPENILLELFTHVPARQLLLNCRLVCSLWRDLIDLMTLWKRKCLR
+>Q9NRD1_PF04300_79 <unknown description>
+YFLRSLHRNLLRNPCAEEDMFAWQIDFNGGDRWKVESLPGAHGTDFPDPKVKKYFVTSYE
+MCLKSQLVDLVAEGYWEELLDTFRPDIVVKDWFAARADCGCTYQLKVQLASADYFVLASF
+EPPPVTIQQWNNATWTEVSYTFSDYPRGVRYILFQHGGRDTQYWAGWYGPRVTNSSIVV
+>Q9Y3I1_PF11566_190 <unknown description>
+ADCSDANDALIVLIHLLMLESGYIPQGTEAKALSMPEKWKLSGVYKLQYMHPLCEGSSAT
+LTCVPLGNLIVVNATLKINNEIRSVKRLQLLPESFICKEKLGENVANIYKDLQKLSRLFK
+DQLVYPLL
+>Q9Y3I1_PF12937_335 <unknown description>
+LPLELKLRIFRLLDVRSVLSLSAVCRDLFTASNDPLLWRFLYLR
+>Q9NRD0_PF12937_74 <unknown description>
+LPPELSFTILSYLNATDLCLASCVWQDLANDELLWQGLC
+>Q9NRD0_PF01369_139 <unknown description>
+LDEGSLTFNANPDEGVNYFMSKGILDDSPKEIAKFIFCTRTLNWKKLRIYLDERRDVLDD
+LVTLHNFRNQFLPNALREFFRHIHAPEERGEYLETLITKFSHRFCACNPDLMRELGLSPD
+AVYVLCYSLILLSIDLTSPHVKNKMSKREFIRNTRRAAQNISEDFVGHLYDNI
+>Q9UK97_PF12937_179 <unknown description>
+SVLPMEVLMYIFRWVVSSDLDLRSLEQLSLVCRGFYICARDPEIWRLACL
+>Q9UK97_PF19270_242 <unknown description>
+TSWREMFLERPRVRFDGVYISKTTYIRQGEQSLDGFYRAWHQVEYYRYIRFFPDGHVMML
+TTPEEPQSIVPRLRTRNTRTDAILLGHYRLSQDTDNQT
+>Q9UKC9_PF12937_14 <unknown description>
+KLPKELLLRIFSFLDIVTLCRCAQISKAWNILALDGSNWQRID
+>Q9UKC9_PF13516_102 <unknown description>
+NCRNIEHLNLNGCTKITDS
+>Q9UKC9_PF13516_129 <unknown description>
+CSKLKHLDLTSCVSITNSSLKGI
+>Q9UKC9_PF13516_155 <unknown description>
+CRNLEYLNLSWCDQITKDGIEALV
+>Q9UKC9_PF13516_207 <unknown description>
+CHELVSLNLQSCSRITDEGVVQIC
+>Q9UKC9_PF13516_310 <unknown description>
+HCPKLQALSLSHCELITDDGILHLS
+>Q9UKT7_PF12937_42 <unknown description>
+DIILQVFKYLPLLDRAHASQVCRNWNQVFHMPDLWR
+>Q9UKA2_PF00646_280 <unknown description>
+FDKLPYELIQLILNHLTLPDLCRLAQTCKLLSQHCCDPL
+>Q9UKA1_PF01814_8 <unknown description>
+VDVFTAPHWRMKQLVGLYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQIENEYIIG
+LLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFTRDFLPHM
+KEEEEVFQPML
+>Q9UKA1_PF12937_205 <unknown description>
+ITHLPPEVMLSIFSYLNPQELCRCSQVSMKWSQLTKTGSLWKHLY
+>Q9UKA1_PF13516_355 <unknown description>
+CPNLEHLDLTQTDISDS
+>Q9UKA1_PF13516_382 <unknown description>
+CQSLRHLDLSGCEKITDVALEKIS
+>Q9UKA1_PF13516_626 <unknown description>
+PYLEHLNLSGCLTITGAGLQDL
+>Q8N531_PF12937_114 <unknown description>
+RIPLEILVQIFGLLVAADGPMPFLGRAARVCRRWQEAASQPALWHTVTL
+>Q8N531_PF13516_383 <unknown description>
+SPNLRLLDLRGCARITPAGLQD
+>Q9UJT9_PF12937_114 <unknown description>
+IDRLPDHSMVQIFSFLPTNQLCRCARVCRRWYNLAWDPRLWRTIRL
+>Q9UJT9_PF13516_296 <unknown description>
+HCTQLTHLYLRRCVRLTDEGLRYL
+>Q9UJT9_PF13516_351 <unknown description>
+RLRYLSIAHCGRVTDVGIR
+>Q9UJT9_PF13516_374 <unknown description>
+YCSKLRYLNARGCEGITDHGVEYLA
+>Q9UJT9_PF13516_400 <unknown description>
+NCTKLKSLDIGKCPLVSDTGLECLA
+>Q9UJT9_PF13516_427 <unknown description>
+CFNLKRLSLKSCESITGQGL
+>Q96CD0_PF12937_8 <unknown description>
+LPEEVLALIFRHLSLRDRAAAARVCRAWAAAATCSAVWHD
+>Q9UKT8_PF12937_60 <unknown description>
+LPLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTAC
+>Q9UKT8_PF00400_142 <unknown description>
+SSLIGHSARVYALYYKDGLLCTGSDDLSAKLWD
+>Q9UKT8_PF00400_219 <unknown description>
+TQHFRGHTGAVFSVDYNDELDILVSGSADFTVKVW
+>Q9UKT8_PF00400_258 <unknown description>
+GTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYEIKIW
+>Q969U6_PF12937_7 <unknown description>
+PLLPDSLVYQIFLSLGPADVLAAGLVCRQWQAVSRDEFLWREQF
+>Q969U6_PF00400_85 <unknown description>
+VQTLREHTDQVLHLSFSHSGYQFASCSKDCTVKIWS
+>Q969H0_PF12937_282 <unknown description>
+SLLPKELALYVLSFLEPKDLLQAAQTCRYWRILAEDNLLWREKC
+>Q969H0_PF00400_374 <unknown description>
+KVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWS
+>Q969H0_PF00400_411 <unknown description>
+GKCLRTLVGHTGGVWSSQMRDNIIISGSTDRTLKVWN
+>Q969H0_PF00400_451 <unknown description>
+GECIHTLYGHTSTVRCMHLHEKRVVSGSRDATLRVWD
+>Q969H0_PF00400_491 <unknown description>
+GQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWD
+>Q969H0_PF00400_532 <unknown description>
+TCLHTLQGHTNRVYSLQFDGIHVVSGSLDTSIRVWD
+>Q969H0_PF00400_571 <unknown description>
+GNCIHTLTGHQSLTSGMELKDNILVSGNADSTVKIWD
+>Q969H0_PF00400_611 <unknown description>
+GQCLQTLQGPNKHQSAVTCLQFNKNFVITSSDDGTVKLWD
+>Q8N3Y1_PF12937_118 <unknown description>
+QLPYELAINIFQYLDRKELGRCAQVSKTWKVIAEDEVLWYRLCQ
+>Q5XUX1_PF12937_80 <unknown description>
+LSLPPELLLEICSYLDARLVLHVLSRVCHALRDLVSDHVTWR
+>Q5XUX1_PF00400_170 <unknown description>
+EGHVASVDSVLLLQGGSLCLSGSRDRNVNLWD
+>Q5XUX1_PF00400_223 <unknown description>
+STHEGWVWSLAAQDHRVCSGSWDSTVKLWD
+>P06734_PF00059_182 <unknown description>
+KQWVHARYACDDMEGQLVSIHSPEEQDFLTKHASHTGSWIGLRNLDLKGEFIWVDGSHVD
+YSNWAPGEPTSRSQGEDCVMMRGSGRWNDAFCDRKLGAWVCD
+>P12319_PF13927_29 <unknown description>
+KPKVSLNPPWNRIFKGENVTLTCNGNNFFEVSSTKWFHNGSLSEETNSSLNIVNAKFEDS
+GEYKCQ
+>P12319_PF13895_115 <unknown description>
+LQASAEVVMEGQPLFLRCHGWRNWDVYKVIYYKDGEALKYWYENHNISITNATVEDSGTY
+YCTGKVWQLDYESEPLNITV
+>Q01362_PF04103_60 <unknown description>
+FLGVTQILTAMICLCFGTVVCSVLDISHIEGDIFSSFKAGYPFWGAIFFSISGMLSIISE
+RRNATYLVRGSLGANTASSIAGGTGITILIINLKKSLAYIHIHSCQKFFETKCFMASFST
+EIVVMMLFLTILGLGSAVSLTICG
+>P30273_PF11628_21 <unknown description>
+EPQLCYILDAILFLYGIVLTLLYCRLKIQV
+>P30273_PF02189_62 <unknown description>
+DGVYTGLSTRNQETYETLKH
+>Q9Y324_PF04900_92 <unknown description>
+DCLYAKCIPCITDCVMAEIEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVQRVTQ
+HKCYIVATVDRDLKRRIRKIPGVPIMYISNHRYNIER
+>P12318_PF13895_45 <unknown description>
+LEPPWINVLQEDSVTLTCQGARSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTC
+QTGQTSLSDPVHLTV
+>P12318_PF13895_125 <unknown description>
+VLQTPHLEFQEGETIMLRCHSWKDKPLVKVTFFQNGKSQKFSHLDPTFSIPQANHSHSGD
+YHCTGNIGYTLFSSKPVTITV
+>P31994_PF13895_54 <unknown description>
+LEPQWINVLQEDSVTLTCRGTHSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTC
+QTGQTSLSDPVHLTV
+>P31994_PF13895_134 <unknown description>
+VLQTPHLEFQEGETIVLRCHSWKDKPLVKVTFFQNGKSKKFSRSDPNFSIPQANHSHSGD
+YHCTGNIGYTLYSSKPVTITV
+>P08637_PF13895_35 <unknown description>
+YRVLEKDSVTLKCQGAYSPEDNSTQWFHNESLISSQASSYFIDAATVDDSGEYRCQTNLS
+TLSDPVQLEV
+>P08637_PF13895_111 <unknown description>
+LQAPRWVFKEEDPIHLRCHSWKNTALHKVTYLQNGKGRKYFHHNSDFYIPKATLKDSGSY
+FCRGLFGSKNVSSETVNIT
+>P12314_PF13927_23 <unknown description>
+VITLQPPWVSVFQEETVTLHCEVLHLPGSSSTQWFLNGTATQTSTPSYRITSASVNDSGE
+YRCQ
+>P12314_PF13895_107 <unknown description>
+LQVSSRVFTEGEPLALRCHAWKDKLVYNVLYYRNGKAFKFFHWNSNLTILKTNISHNGTY
+HCSGMG
+>P12314_PF00047_198 <unknown description>
+VTSPLLEGNLVTLSCETKLLLQRPGLQLYFSFYMGSKTLRGRNTSSEYQILTARREDSGL
+YWCEAATEDGNVLKR
+>P55899_PF00129_28 <unknown description>
+LSLLYHLTAVSSPAPGTPAFWVSGWLGPQQYLSYNSLRGEAEPCGAWVWENQVSWYWEKE
+TTDLRIKEKLFLEAFKALGGKGPYTLQGLLGCELGPDNTSVPTAKFALNGEEFMNFDLKQ
+GTWGGDWPEALAISQRWQQQDKAANKELTFLLFSCPHRLREHLERGRGNL
+>P55899_PF07654_217 <unknown description>
+SVLTCSAFSFYPPELQLRFLRNGLAAGTGQGDFGPNSDGSFHASSSLTVKSGDEHHYCCI
+VQHAGL
+>O14526_PF00611_15 <unknown description>
+GFEVLYHSVKQGPISTKELADFIRERATIEETYSKAMAKLSKLASNGTPMGTFAPLWEVF
+RVSSDKLALCHL
+>O14526_PF10291_627 <unknown description>
+PIATAFTEYVHAYFRGHSPSCLARVTGELTMTFPAGIVRVFSGTPPPPVLSFRLVHTTAI
+EHFQPNADLLFSDPSQSDPETKDFWLNMAALTEALQRQAEQNPTASYYNVVLLRYQFSRP
+GPQSVPLQLSAHWQCGATLTQVSVEYGYRPGATAVPTPLTNVQILLPVGEPVTNVRLQPA
+ATWNLEEKRLTWRLPDVSEAGGSGRLSASWEPLSGPSTPSPVAAQFTSEGTTLSGVDLEL
+VGSGYRMSLVKRRFATGMYLV
+>Q0JRZ9_PF00611_17 <unknown description>
+GFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSASNYSQLGTFAPVWDVF
+KTSTEKLANCHL
+>Q0JRZ9_PF10291_544 <unknown description>
+PVAVALTESVNAYFKGADPTKCIVKITGDMTMSFPSGIIKVFTSNPTPAVLCFRVKNISR
+LEQILPNAQLVFSDPSQCDSNTKDFWMNMQAVTVYLKKLSEQNPAASYYNVDVLKYQVSS
+NGIQSTPLNLATYWKCSASTTDLRVDYKYNPEAMVAPSVLSNIQVVVPVDGGVTNMQSLP
+PAIWNAEQMKAFWKLSSISEKSENGGSGSLRAKFDLSEGPSKPTTLAVQFLSEGSTLSGV
+DFELVGTGYRLSLIKKRFATGRYLA
+>Q13630_PF01370_10 <unknown description>
+ILVTGGSGLVGKAIQKVVADGAGLPGEDWVFVSSKDADLTDTAQTRALFEKVQPTHVIHL
+AAMVGGLFRNIKYNLDFWRKNVHMNDNVLHSAFEVGARKVVSCLSTCIFPDKTTYPIDET
+MIHNGPPHNSNFGYSYAKRMIDVQNRAYFQQYGCTFTAVIPTNVFGPHDNFNIEDGHVLP
+GLIHKVHLAKSSGSALTVWGTGNPRRQFIYSLDLAQLFIWVLREYNEVEPIILSVG
+>O00602_PF01391_52 <unknown description>
+GCPGLPGAPGPKGEAGVIGERGERGLPGAPGKAGPVGPKGDRGEKGMRGEKGDAGQ
+>O00602_PF00147_115 <unknown description>
+PRNCKDLLDRGYFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRMDGSVDFYRDWAA
+YKQGFGSQLGEFWLGNDNIHALTAQGSSELRVDLVDFEGNHQFAKYKSFKVADEAEKYKL
+VLGAFVGGSAGNSLTGHNNNFFSTKDQDNDVSSSNCAEKFQGAWWYADCHASNLNGLYLM
+GPHESYANGINWSAAKGYKYSYKVSEMKVRP
+>Q15485_PF01391_50 <unknown description>
+RGCPGLPGAPGPKGEAGTNGKRGERGPPGPPGKAGPPGPNGAPGEP
+>Q15485_PF00147_102 <unknown description>
+PRTCKDLLDRGHFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRVDGSVDFYRDWAT
+YKQGFGSRLGEFWLGNDNIHALTAQGTSELRVDLVDFEDNYQFAKYRSFKVADEAEKYNL
+VLGAFVEGSAGDSLTFHNNQSFSTKDQDNDLNTGNCAVMFQGAWWYKNCHVSNLNGRYLR
+GTHGSFANGINWKSGKGYNYSYKVSEMKVRP
+>O75636_PF00147_90 <unknown description>
+PRNCRELLSQGATLSGWYHLCLPEGRALPVFCDMDTEGGGWLVFQRRQDGSVDFFRSWSS
+YRAGFGNQESEFWLGNENLHQLTLQGNWELRVELEDFNGNRTFAHYATFRLLGEVDHYQL
+ALGKFSEGTAGDSLSLHSGRPFTTYDADHDSSNSNCAVIVHGAWWYASCYRSNLNGRYAV
+SEAAAHKYGIDWASGRGVGHPYRRVRMMLR
+>Q96LA6_PF13895_21 <unknown description>
+LIASPSHPTEGSPVTLTCKMPFLQSSDAQFQFCFFRDTRALGPGWSSSPKLQIAAMWKED
+TGSYWCEAQTMAS
+>Q96LA6_PF13927_118 <unknown description>
+QPPGGQVMEGDRLVLICSVAMGTGDITFLWYKGAVGLNLQSKTQRSLTAEYEIPSVRESD
+AEQYYCVAEN
+>Q96LA6_PF17736_225 <unknown description>
+LELHCEALRGSPPILYWFYHEDITLGSRSAPSGGGASFNLSLTEEHSGNYSCEANNGLGA
+QRSEAV
+>Q96LA5_PF13895_25 <unknown description>
+PSSVFEGDSIVLKCQGEQNWKIQKMAYHKDNKELSVFKKFSDFLIQSAVLSDSGNYFCST
+KGQLFLWDKTSNIVKIKV
+>Q96LA5_PF13895_208 <unknown description>
+EIRAPGGQVTEGQKLILLCSVAGGTGNVTFSWYREATGTSMGKKTQRSLSAELEIPAVKE
+SDAGKYYCRADNGHVPIQSKVVNI
+>Q96LA5_PF13895_300 <unknown description>
+PVLTLRSPGAQAAVGDLLELHCEALRGSPPILYQFYHEDVTLGNSSAPSGGGASFNLSLT
+AEHSGNYSCEANNGLGAQCSEAVPVS
+>Q96P31_PF13895_109 <unknown description>
+PVFEGDNVILRCQGKDNKNTHQKVYYKDGKQLPNSYNLEKITVNSVSRDNSKYHCTAYRK
+FYILDIEVTSKPLNIQV
+>Q96P31_PF13895_192 <unknown description>
+PVLRASSSTPIEGSPMTLTCETQLSPQRPDVQLQFSLFRDSQTLGLGWSRSPRLQIPAMW
+TEDSGSYWCEVETV
+>Q96P31_PF00047_294 <unknown description>
+PTGGQLIEGENMVLICSVAQGSGTVTFSWHKEGRVRSLGRKTQRSLLAELHVLTVKESDA
+GRYYCAADNVHSP
+>Q96P31_PF13895_388 <unknown description>
+RAPRAHTVVGDLLELHCESLRGSPPILYRFYHEDVTLGNSSAPSGGGASFNLSLTAEHSG
+NYSCDADNGLGAQHSHGVSL
+>Q96P31_PF13895_479 <unknown description>
+TLRAPGAQAVVGDLLELHCESLRGSFPILYWFYHEDDTLGNISAHSGGGASFNLSLTTEH
+SGNYSCEADNGLGAQHSKVVTLNV
+>Q96PJ5_PF13895_107 <unknown description>
+LQAPYSVFEGDTLVLRCHRRRKEKLTAVKYTWNGNILSISNKSWDLLIPQASSNNNGNYR
+CIG
+>Q96PJ5_PF00047_299 <unknown description>
+QAVEGEMLVLVCSVAEGTGDTTFSWHREDMQESLGRKTQRSLRAELELPAIRQSHAGGYY
+CTADNSYGPVQS
+>Q96RD9_PF13895_25 <unknown description>
+IFLQPPWTTVFQGERVTLTCKGFRFYSPQKTKWYHRYLGKEILRETPDNILEVQESGEYR
+CQAQGSPLSSPVHL
+>Q96RD9_PF13895_107 <unknown description>
+LQAPLSVFEGDSVVLRCRAKAEVTLNNTIYKNDNVLAFLNKRTDFHIPHACLKDNGAYRC
+TGYKESCCPVSSNTVKIQV
+>Q96RD9_PF13895_287 <unknown description>
+PVLTLSPEKALNFEGTKVTLHCETQEDSLRTLYRFYHEGVPLRHKSVRCERGASISFSLT
+TENSGNYYCTADNGLGAKPSKAVSLSV
+>Q96RD9_PF13895_380 <unknown description>
+PVLNLSSPEDLIFEGAKVTLHCEAQRGSLPILYQFHHEGAALERRSANSAGGVAISFSLT
+AEHSGNYYCTADNGFGPQRSKAVSLSV
+>Q96RD9_PF13895_473 <unknown description>
+PVLTLSSAEALTFEGATVTLHCEVQRGSPQILYQFYHEDMPLWSSSTPSVGRVSFSFSLT
+EGHSGNYYCTADNGFGPQRSEVVSL
+>Q96RD9_PF13895_569 <unknown description>
+TLRVPRAQAVVGDLLELHCEAPRGSPPILYWFYHEDVTLGSSSAPSGGEASFNLSLTAEH
+SGNYSCEANNGLVAQHSDTISLSV
+>Q96RD9_PF13895_755 <unknown description>
+TLRAPGTHAAVGDLLELHCEALRGSPLILYRFFHEDVTLGNRSSPSGGASLNLSLTAEHS
+GNYSCEADNGLGAQRSETVTL
+>Q6DN72_PF13895_25 <unknown description>
+WPNPVFEGDALTLRCQGWKNTPLSQVKFYRDGKFLHFSKENQTLSMGAATVQSRGQYSCS
+GQ
+>Q6DN72_PF13895_112 <unknown description>
+PVLSAIPSPEPREGSLVTLRCQTKLHPLRSALRLLFSFHKDGHTLQDRGPHPELCIPGAK
+EGDSGLYWCEVAPEGGQVQKQSPQLEVR
+>Q6DN72_PF13895_219 <unknown description>
+AVGDMVQLLCEAQRGSPPILYSFYLDEKIVGNHSAPCGGTTSLLFPVKSEQDAGNYSCEA
+ENSVSRERSEP
+>Q7L513_PF13895_81 <unknown description>
+ILQGPAKPVFEGDLLVLRCQAWQDWPLTQVTFYRDGSALGPPGPNREFSITVVQKADSGH
+YHCSGIFQSPGPGIPETASVVAIT
+>Q7L513_PF13895_172 <unknown description>
+PILRAVPSAEPQAGSPMTLSCQTKLPLQRSAARLLFSFYKDGRIVQSRGLSSEFQIPTAS
+EDHSGSYWCEAATEDNQVWKQSPQLEIR
+>Q6BAA4_PF13895_22 <unknown description>
+KPILSLHPPWTTIFKGERVTLKCDGYHPLLLELQPISTLWYLGHLLLPSHKKSIEVQTPG
+VYRCQTRGAPVSDPIHLSV
+>Q6BAA4_PF13895_105 <unknown description>
+LILQVPYAPVFEGEPLVLRCRGWYDKVVYKLHYYHDGQAVRYFHSSANYTVLQARASDSG
+RYQCSGTMRIPVESAPMFSAKVAVT
+>Q86WN1_PF00611_21 <unknown description>
+QLSILQTWQQREADLLEDIRSYSKQRAAIEREYGQALQKLAGPFLKREGHRSGEMDSRGR
+TVFGAWRCLLDATVAGGQTRL
+>Q86WN1_PF14604_475 <unknown description>
+VVFRYQAGREDELTITEGEWLEVIEEGDADEWVKARNQHGEVGFVPERYL
+>Q86WN1_PF14604_553 <unknown description>
+ALYSYTGQSAEELSFPEGALIRLLPRAQDGVDDGFWRGEFGGRVGVFPSLLV
+>O94868_PF00611_21 <unknown description>
+QMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGMQKLASQYLKRDWPGVKADDRNDY
+RSMYPVWKSFLEGTMQVAQSRM
+>O94868_PF00018_476 <unknown description>
+VVYSYKASQPDELTIEEHEVLEVIEDGDMEDWVKARNKVGQVGYVP
+>O94868_PF14604_574 <unknown description>
+ALYDYEGQTDDELSFPEGAIIRILNKENQDDDGFWEGEFNGRIGVFPSVLVE
+>Q8N0W3_PF07959_97 <unknown description>
+RDFPFDDCGRAFTCLPVENPEAPVEALVCNLDCLLDIMTYRLGPGSPPGVWVCSTDMLLS
+VPANPGISWDSFRGARVIALPGSPAYAQNHGVYLTDPQGLVLDIYYQGTEAEIQRCVRPD
+GRVPLVSGVVFFSVETAERLLATHVSPPLDACTYLGLDSGARPVQLSLFFDILHCMAENV
+TREDFLVGRPPELGQGDADVAGYLQSARAQLWRELRDQPLTMAYVSSGSYSYMTSSASEF
+LLSLTLPGAPGAQIVHSQVEEQQLLAAGSSVVSCLLEGPVQLGPGSVLQHCHLQGPIHIG
+AGCLVTGLDTAHSKALHGRELRDLVLQGHHTRLHGSPGHAFTLVGRLDSWERQGAGTYLN
+VPWSEFFKRTGVRAWDLWDPETLPAEYCLPSARLFPVLH
+>Q8N0W3_PF00288_828 <unknown description>
+LHTWSELPHGSGLGTSSILAGTALAALQRAAGRVVGTEALIHAVLHLEQVLTTGGGWQDQ
+>Q8N0W3_PF08544_972 <unknown description>
+EGFRQGSLPLLGQCLTSYWEQKKLMAPGCEPLTVRRMMDVLAPHVHGQSLAGAGGGGFLY
+LLTKEPQQKEALEAVL
+>P37268_PF00494_48 <unknown description>
+NQTSRSFAAVIQALDGEMRNAVCIFYLVLRALDTLEDDMTISVEKKVPLLHNFHSFLYQP
+DWRFMESKEKDRQVLEDFPTISLEFRNLAEKYQTVIADICRRMGIGMAEFLDKHVTSEQE
+WDKYCHYVAGLVGIGLSRLFSASEFEDPLVGEDTERANSMGLFLQKTNIIRDYLEDQQGG
+REFWPQEVWSRYVKKLGDFAKPENIDLAVQCLNELITNALHHIPDVITYLSRLRNQSVFN
+FCAIPQVMAIATLAACYNNQQVFKGAVKIRKG
+>Q8NFU4_PF15215_18 <unknown description>
+FPVSQDQEREKRSISDSDELASGFFVFPYPYPFRPLPPIPFPRFPWFRRNFPIPIPESAP
+TTPLP
+>Q6P4F2_PF00111_77 <unknown description>
+GQRIPVSGRVGDNVLHLAQRHGVDLEGACEASLACSTCHVYVSEDHLDLLPPPEEREDDM
+LDMAPLLQENSRLGCQIVL
+>Q9BRP7_PF10354_7 <unknown description>
+LLVGEGNFSFAAALSETLDQSTQLTATCLQRPAELARDPLAWENLQCLRERGIDVRFGVD
+CTQLADVFELHEREFDQIYFIFPHCGRKAGVAKNRELLAKFFQSCADVLAEEGEVHVALC
+RGQGGTPADKPQREWHNSWQVVAMAALGGLILSDVYPFSCKAVAGYKCT
+>Q9BRP7_PF03147_530 <unknown description>
+SLYPPCYVHDVSFWIDQKKGFDELEFHTVARAVSQDTIISIQFLSRFQHPKTQQVSLCYR
+LTYQTCDKALTQQQVASMQSQFRKEIQQHLYVIPR
+>Q9BSK4_PF12796_4 <unknown description>
+RTAVYNAARDGKLQLLQKLLSGRSREELDELTGEVAGGGTPLLIAARYGHLDVVEYLVDR
+CGASVE
+>Q9BSK4_PF12796_88 <unknown description>
+WAASAAGHLDVVRSLLRRGASVNRTTRTNSTPLRAACFDGHLEVVRYLVGEHQADLEVAN
+RHGHTCLMISCYKGHREIARYLLEQGAQVNRR
+>Q9BSK4_PF12796_182 <unknown description>
+KGNTALHDCAESGSLEILQLLLGCKARMERDGYGMTPLLAASVTGHTNIVEYLIQE
+>Q9BSK4_PF12796_520 <unknown description>
+QTVYRLLKCAPRGKNGFTPLHMAVDKDTTNVGRYPVGRFPSLHVVKVLLDCGADPDSRDF
+DNNTPLHIAAQNNCPAIMNALIEAGAHMDATN
+>Q9UK73_PF12796_11 <unknown description>
+AASEGKVLTLAALLLNRSESDIRYLLGYVSQQGGQRSTPLIIAARNGHAKVVRLLLEHYR
+VQTQQTGTVRFDGYVIDGATALWCAAGAGHFEVVKLLVSHGANVNHT
+>Q9UK73_PF12796_158 <unknown description>
+LMIAAYKGHTDVVRYLLEQRADPNAKAHCGATALHFAAEAGHIDIVKELIKWRAAIVVNG
+HGMTPLKVAAESCKADVVELLLSHADCDRR
+>Q9UK73_PF00023_484 <unknown description>
+GFTLLHLAVNSNTPVDDFHTNDVCSFPNALVTKLLLDCGAEVNAVDN
+>Q96JP0_PF13606_40 <unknown description>
+NGATPLLMAARYGHLDMVEFLLEQCSASI
+>Q96JP0_PF12796_88 <unknown description>
+WAASAAGHLKVVQSLLNHGASVNNTTLTNSTPLRAACFDGHLEIVKYLVEHKADLEVSNR
+HGHTCLMISCYKGHKEIAQYLLEKGADVNRK
+>Q96JP0_PF12796_181 <unknown description>
+KGNTALHDCAESGSLDIMKMLLMYCAKMEKDGYGMTPLLSASVTGHTNIVDFLTHHA
+>Q96JP0_PF13857_514 <unknown description>
+LIECGADVNVRDSDDNSPLHIAALNNHPDIMNLLIKSGAHFDATNLHKQTA
+>P39748_PF00752_1 <unknown description>
+MGIQGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET
+TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEA
+>P39748_PF00867_149 <unknown description>
+GIPYLDAPSEAEASCAALVKAGKVYAAATEDMDCLTFGSPVLMRHLTASEAKKLPIQEFH
+LSRILQELGLNQEQFVDLCILLGSD
+>Q96RJ6_PF00010_102 <unknown description>
+QRQAANIRERKRMFNLNEAFDQLRRKVPTFAYEKRLSRIETLRLAIVYISFM
+>Q9BQL6_PF18124_10 <unknown description>
+ASWELVVRVDHPNEEQQKDVTLRVSGDLHVGGVMLKLVEQINISQDWSDFALWWEQKHCW
+LLKTHWTLDKYGVQADAKLLFTPQHK
+>Q9BQL6_PF00373_279 <unknown description>
+DLNPKYDAVRINQLYEQARWAILLEEIDCTEEEMLIFAALQYHISKLSLSAETQDFAGES
+EVDEIEAALSNLEVTLEGGKADSLLEDITDIPKLADNLKLFRPKKLLPKAFKQYWFIFKD
+TSIAYFKNKELEQGEPLEKLNLRGCEVVPDVNVAGRKFGIKLLIPVADGMNEMYLRCDHE
+NQYAQWMAACMLASKGKTMADSSYQPEVLNILSFLRMKNRNSASQVASSLENMDMNPECF
+VSPRCAKRHKSKQLAARILEAHQNVAQMPLVEAKLRFIQAWQSLPEFGLTYY
+>Q9BQL6_PF00169_387 <unknown description>
+KAFKQYWFIFKDTSIAYFKNKELEQGEPLEKLNLRGCEVVPDVNVAGRKFGIKLLIPVAD
+GMNEMYLRCDHENQYAQWMAAC
+>Q96AC1_PF18124_13 <unknown description>
+YADGTWELSVHVTDLNRDVTLRVTGEVHIGGVMLKLVEKLDVKKDWSDHALWWEKKRTWL
+LKTHWTLDKYGIQADAKLQFTPQHK
+>Q96AC1_PF00373_281 <unknown description>
+DLNPKYDAIRINQLYEQAKWAILLEEIECTEEEMMMFAALQYHINKLSIMTSENHLNNSD
+KEVDEVDAALSDLEITLEGGKTSTILGDITSIPELADYIKVFKPKKLTLKGYKQYWCTFK
+DTSISCYKSKEESSGTPAHQMNLRGCEVTPDVNISGQKFNIKLLIPVAEGMNEIWLRCDN
+EKQYAHWMAACRLASKGKTMADSSYNLEVQNILSFLKMQHLNPDPQLIPEQITTDITPEC
+LVSPRYLKKYKNKQITARILEAHQNVAQMSLIEAKMRFIQAWQSLPEFGITHF
+>Q96AC1_PF00169_386 <unknown description>
+KLTLKGYKQYWCTFKDTSISCYKSKEESSGTPAHQMNLRGCEVTPDVNISGQKFNIKLLI
+PVAEGMNEIWLRCDNEKQYAHWMAACRLAS
+>P16591_PF00611_11 <unknown description>
+HEAVLKLQDWELRLLETVKKFMALRIKSDKEYASTLQNLCNQVDKESTVQMNYVSNVSKS
+WLLMIQQTEQLSRIM
+>P16591_PF00017_460 <unknown description>
+WYHGAIPRIEAQELLKKQGDFLVRESHGKPGEYVLSVYSDGQRRHFIIQYVDNMYRFEGT
+GFSNIPQLIDHH
+>P16591_PF07714_565 <unknown description>
+LGELLGKGNFGEVYKGTLKDKTSVAVKTCKEDLPQELKIKFLQEAKILKQYDHPNIVKLI
+GVCTQRQPVYIIMELVSGGDFLTFLRRKKDELKLKQLVKFSLDAAAGMLYLESKNCIHRD
+LAARNCLVGENNVLKISDFGMSRQEDGGVYSSSGLKQIPIKWTAPEALNYGRYSSESDVW
+SFGILLWETFSLGVCPYPGMTNQQAREQVERGYRMSAPQHCPEDISKIMMKCWDYKPENR
+PKFSELQKEL
+>P07332_PF00611_12 <unknown description>
+GHGVLQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQSRAISPDSPISQ
+SWAEITSQTEGLSRL
+>P07332_PF00017_460 <unknown description>
+WYHGAIPRAEVAELLVHSGDFLVRESQGKQEYVLSVLWDGLPRHFIIQSLDNLYRLEGEG
+FPSIPLLIDH
+>P07332_PF07714_562 <unknown description>
+VLGEQIGRGNFGEVFSGRLRADNTLVAVKSCRETLPPDLKAKFLQEARILKQYSHPNIVR
+LIGVCTQKQPIYIVMELVQGGDFLTFLRTEGARLRVKTLLQMVGDAAAGMEYLESKCCIH
+RDLAARNCLVTEKNVLKISDFGMSREEADGVYAASGGLRQVPVKWTAPEALNYGRYSSES
+DVWSFGILLWETFSLGASPYPNLSNQQTREFVEKGGRLPCPELCPDAVFRLMEQCWAYEP
+GQRPSFSTIYQEL
+>P02771_PF00273_32 <unknown description>
+LDSYQCTAEISLADLATIFFAQFVQEATYKEVSKMVKDALTAIEKPTGDEQSSGCLENQL
+PAFLEELCHEKEILEKYGHSDCCSQSEEGRHNCFLAHKKPTPASIPLFQVPEPVTSCEAY
+EEDRETFMNKFIYEIARRHPFLYAPTILLWAARYDKIIPSCCKAENAVECF
+>P02771_PF00273_222 <unknown description>
+HACAVMKNFGTRTFQAITVTKLSQKFTKVNFTEIQKLVLDVAHVHEHCCRGDVLDCLQDG
+EKIMSYICSQQDTLSNKITECCKLTTLERGQCIIHAENDEKPEGLSPNLNRFLGDRDFNQ
+FSSGEKNIFLASFVHEYSRRHPQLAVSVILRVAKGYQELLEKCFQTENPLEC
+>P02771_PF00273_415 <unknown description>
+SCGLFQKLGEYYLQNAFLVAYTKKAPQLTSSELMAITRKMAATAATCCQLSEDKLLACGE
+GAADIIIGHLCIRHEMTPVNPGVGQCCTSSYANRRPCFSSLVVDETYVPPAFSDDKFIFH
+KDLCQAQGVALQTMKQEFLINLVKQKPQITEEQLEAVIADFSGLLEKCCQGQEQEVCF
+>P02765_PF00031_153 <unknown description>
+APLNDTRVVHAAKAALAAFNAQNNGSNFQLEEISRAQLVPLPPSTYVEFTVSGTDCVAKE
+ATEAAKCNLLAEKQYGFCKATLSE
+>Q9UGM5_PF00031_37 <unknown description>
+NDSDVLAVAGFALRDINKDRKDGYVLRLNRVNDAQEYRRGGLGSLFYLTLDVLETDCHVL
+RKKAWQDCGMRIFFESVYGQCKAIFY
+>Q9UGM5_PF00031_158 <unknown description>
+IPTDSSNHQVLEAATESLAKYNNENTSKQYSLFKVTRASSQWVVGPSYFVEYLIKESPCT
+KSQASSCSLQSSDSVPVGLCKGSLT
+>Q99581_PF00178_48 <unknown description>
+QLWQFLLELLADRANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRY
+YYDKNIMSKVHGKRYAYRF
+>Q99689_PF07763_58 <unknown description>
+SMEDLVNEFDEKLNVCFRNYNAKTENLAPVKNQLQIQEEEETLQDEEVWDALTDNYIPSL
+SEDWRDPNIEALNGNCSDTEIHEKEEEEFNEKSENDSGINEEPLLTADQVIEEIEEMMQN
+SPDPEEEEEVLEEEDGGETSSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLD
+QVEGAIRDFSEELVQQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKG
+>Q9UHY8_PF07763_53 <unknown description>
+SLEEKLSLCFRPSDPGAEPPRTAVRPITERSLLQGDEIWNALTDNYGNVMPVDWKSSHTR
+TLHLLTLNLSEKGVSDSLLFDTSDDEELREQLDMHSIIVSCVNDEPLFTADQVIEEIEEM
+MQESPDPEDDETPTQSDRLSMLSQEIQTLKRSSTGSYEERVKRLSVSELNEILEEIETAI
+KEYSEELVQQLALRDELEFEKEVKNSFISVLIEVQNKQKEHKETAKKKKKLKN
+>A0PJY2_PF00096_260 <unknown description>
+FTCEVCGKVFNAHYNLTRHM
+>A0PJY2_PF00096_288 <unknown description>
+FVCKVCGKGFRQASTLCRHKIIH
+>A0PJY2_PF00096_316 <unknown description>
+HKCNQCGKAFNRSSTLNTHTRIH
+>A0PJY2_PF00096_344 <unknown description>
+FVCEFCGKGFHQKGNYKNHKLTH
+>A0PJY2_PF13912_372 <unknown description>
+FKCNICNKAFHQVYNLTFHMHTHND
+>A0PJY2_PF00096_400 <unknown description>
+FTCPTCGKGFCRNFDLKKHVRKLH
+>Q8TBJ5_PF00096_277 <unknown description>
+FTCEVCGKVFNAHYNLTRHM
+>Q8TBJ5_PF00096_305 <unknown description>
+FVCKVCGKGFRQASTLCRHKIIH
+>Q8TBJ5_PF00096_333 <unknown description>
+HKCNQCGKAFNRSSTLNTHIRIH
+>Q8TBJ5_PF00096_361 <unknown description>
+FVCEFCGKGFHQKGNYKNHKLTH
+>Q8TBJ5_PF13912_389 <unknown description>
+YKCTICNKAFHQVYNLTFHMHTHND
+>Q8TBJ5_PF00096_417 <unknown description>
+FTCATCGKGFCRNFDLKKHVRKLH
+>O14842_PF00001_23 <unknown description>
+NVLAIRGATAHARLRLTPSLVYALNLGCSDLLLTVSLPLKAVEALASGAWPLPASLCPVF
+AVAHFFPLYAGGGFLAALSAGRYLGAAFPLGYQAFRRPCYSWGVCAAIWALVLCHLGLVF
+GLEAPGGWLDHSNTSLGINTPVNGSPVCLEAWDPASAGPARFSLSLLLFFLPLAITAFCY
+VGCLRALARSGLTHRRKLRAAWVAGGALLTLLLCVGPYNASNVASFLYPN
+>O15552_PF00001_42 <unknown description>
+APVHILLLSLTLADLLLLLLLPFKIIEAASNFRWYLPKVVCALTSFGFYSSIYCSTWLLA
+GISIERYLGVAFPVQYKLSRRPLYGVIAALVAWVMSFGHCTIVIIVQYLNTTEQVRSGNE
+ITCYENFTDNQLDVVLPVRLELCLVLFFIPMAVTIFCYWRFVWIMLSQPLVGAQRRRRAV
+GLAVVTLLNFLVCFGPYNVSHLVGYHQRKSPWWRSIAVVFSSLNASLDPLL
+>O14843_PF00001_32 <unknown description>
+NLLALVVFVGKLQRRPVAVDVLLLNLTASDLLLLLFLPFRMVEAANGMHWPLPFILCPLS
+GFIFFTTIYLTALFLAAVSIERFLSVAHPLWYKTRPRLGQAGLVSVACWLLASAHCSVVY
+VIEFSGDISHSQGTNGTCYLEFRKDQLAILLPVRLEMAVVLFVVPLIITSYCYSRLVWIL
+GRGGSHRRQRRVAGLLAATLLNFLVCFGPYNVSHVVGYICGESPAWRIYVTLLSTLNSCV
+DPFVY
+>Q5NUL3_PF00001_58 <unknown description>
+NVCALVLVARRRRRGATACLVLNLFCADLLFISAIPLVLAVRWTEAWLLGPVACHLLFYV
+MTLSGSVTILTLAAVSLERMVCIVHLQRGVRGPGRRARAVLLALIWGYSAVAALPLCVFF
+RVVPQRLPGADQEISICTLIWPTIPGEISWDVSFVTLNFLVPGLVIVISYSKILQITKAS
+RKRLTVSLAYSESHQIRVSQQDFRLFRTLFLLMVSFFIMWSPIIITILLILIQNFKQDLV
+IWPSLFFWVVAFTFANSALNPILY
+>P98174_PF00621_377 <unknown description>
+IANELLQTEKAYVSRLHLLDQVFCARLLEEARNRSSFPADVVHGIFSNICSIYCFHQQFL
+LPELEKRMEEWDRYPRIGDILQKLAPFLKMYGEYVKNFDRAVELVNTWTERSTQFKVIIH
+EVQKEEACGNLTLQHHMLEPVQRIPRYELLLKDYLLKLPHGSPDSKDAQKSLELIATAAE
+HSN
+>P98174_PF00169_592 <unknown description>
+IKEGHILKLSAKNGTTQDRYLILFNDRLLYCVPRLRLLGQKFSVRARIDVDGMELKESSN
+LNLPRTFLVSGKQRSLELQARTEEEKKDWVQAINST
+>P98174_PF01363_730 <unknown description>
+EKEVTMCMRCQEPFNSITKRRHHCKACGHVVCGKCSEFRARLVYDNNRSNRVCTDCYVAL
+>P98174_PF00169_826 <unknown description>
+SFLHYMEKGGKGWHKAWFVVPENEPLVLYIYGAPQDVKAQRSLPLIGFEVGPPEAGERPD
+RRHVFKITQSHLSWYFSPETEELQRRWMAVLGRA
+>Q7Z6J4_PF00621_106 <unknown description>
+IVQELLETEQAYVARLHLLDQVFFQELLKTARSSKAFPEDVVRVIFSNISSIYQFHSQFF
+LPELQRRLDDWTANPRIGDVIQKLAPFLKMYSEYVKNFERAAELLATWTDKSPLFQEVLT
+RIQSSEASGSLTLQHHMLEPVQRIPRYELLLKEYIQKLPAQAPDQADAQKALDMIFSAAQ
+HSN
+>Q7Z6J4_PF00169_322 <unknown description>
+REGPVLKISFRRNDPMERYLFLFNNMLLYCVPRVIQVGAQFQVRTRIDVAGMKVRELMDA
+EFPHSFLVSGKQRTLELQARSQEEMISWMQAFQAAI
+>Q7Z6J4_PF01363_454 <unknown description>
+QWVRDKMVTMCMRCQEPFNALTRRRHHCRACGYVVCARCSDYRAELKYDDNRPNRVCLHC
+YA
+>Q7Z6J4_PF00169_561 <unknown description>
+SGPRGWCVIPRDDPLVLYVYAAPQDMRAHTSIPLLGYQVTVGPQGDPRVFQLQQSGQLYT
+FKAETEELKGRWVKAMERAA
+>Q5JSP0_PF00621_161 <unknown description>
+IAQELLHTEETYVKRLHLLDQVFCTRLTDAGIPPEVIMGIFSNISSIHRFHGQFLLPELK
+TRITEEWDTNPRLGDILQKLAPFLKMYGEYVKNFDRAVGLVSTWTQRSPLFKDVVHSIQK
+QEVCGNLTLQHHMLEPVQRVPRYELLLKDYLKRLPQDAPDRKDAERSLELISTAANHSN
+>Q5JSP0_PF00169_372 <unknown description>
+IKEGQIQKLSAKNGTPQDRHLFLFNSMILYCVPKLRLMGQKFSVREKMDISGLQVQDIVK
+PNTAHTFIITGRKRSLELQTRTEEEKKEWIQIIQATI
+>Q5JSP0_PF01363_530 <unknown description>
+RRDKEKQSCKSCGETFNSITKRRHHCKLCGAVICGKCSEFKAENSRQSRVCRDCF
+>Q6ZNL6_PF00621_896 <unknown description>
+IAQELLSSEKAYVEMLQHLNLDFHGAVMRALDDMDHEGRDTLAREELRQGLSELPAIHDL
+HQGILEELEERLSNWESQQKVADVFLAREQGFDHHATHILQFDRYLGLLSENCLHSPRLA
+AAVREFEQSVQGGSQTAKHRLLRVVQRLFQYQVLLTDYLNNLCPDSAEYDNTQGALSLIS
+KVTDRAN
+>Q6ZNL6_PF00169_1115 <unknown description>
+LKEGTLMKVTGKNRRPRHLFLMNDVLLYTYPQKDGKYRLKNTLAVANMKVSRPVMEKVPY
+ALKIETSESCLMLSASSCAERDEWYGCLSRAL
+>Q6ZNL6_PF01363_1241 <unknown description>
+PVTHVMMCMNCGCDFSLTLRRHHCHACGKIVCRNCSRNKYPLKYLKDRMAKVCDGCFGEL
+K
+>Q6ZNL6_PF00169_1366 <unknown description>
+ISGYLSRCKRGKRHWKKLWFVIKGKVLYTYMASEDKVALESMPLLGFTIAPEKEEGSSEV
+GPIFHLYHKKTLFYSFKAEDTNSAQRWIEAMEDA
+>Q6ZV73_PF00621_875 <unknown description>
+IAKEIMSSEKVFVDVLKLLHIDFRDAVAHASRQLGKPVIEDRILNQILYYLPQLYELNRD
+LLKELEERMLHWTEQQRIADIFVKKGPYLKMYSTYIKEFDKNIALLDEQCKKNPGFAAVV
+REFEMSPRCANLALKHYLLKPVQRIPQYRLLLTDYLKNLIEDAGDYRDTQDALAVVIEVA
+NHAN
+>Q6ZV73_PF00169_1091 <unknown description>
+LKEGILMKLSRKVMQPRMFFLFNDALLYTTPVQSGMYKLNNMLSLAGMKVRKPTQEAYQN
+ELKIESVERSFILSASSATERDEWLEAISRAI
+>Q6ZV73_PF01363_1218 <unknown description>
+IWIPDTRATMCMICTSEFTLTWRRHHCRACGKIVCQACSSNKYGLDYLKNQPARVCEHCF
+QELQ
+>Q6ZV73_PF00169_1336 <unknown description>
+MSGYLYRSKGNKKPWKHFWFVIKNKVLYTYAASEDVAALESQPLLGFTVIQVKDENSESK
+VFQLLHKNMLFYVFKAEDAHSAQKWIEAFQE
+>O15520_PF00167_78 <unknown description>
+RWRKLFSFTKYFLKIEKNGKVSGTKKENCPYSILEITSVEIGVVAVKAINSNYYLAMNKK
+GKLYGSKEFNNDCKLKERIEENGYNTYASFNWQHNGRQMYVALNGKGAPRRGQKTRRKNT
+SAHFL
+>Q92914_PF00167_72 <unknown description>
+VTKLFCRQGFYLQANPDGSIQGTPEDTSSFTHFNLIPVGLRVVTIQSAKLGHYMAMNAEG
+LLYSSPHFTAECRFKECVFENYYVLYASALYRQRRSGRAWYLGLDKEGQVMKGNRVKKTK
+AAAHFL
+>P61328_PF00167_12 <unknown description>
+VTRLFSQQGYFLQMHPDGTIDGTKDENSDYTLFNLIPVGLRVVAIQGVKASLYVAMNGEG
+YLYSSDVFTPECKFKESVFENYYVIYSSTLYRQQESGRAWFLGLNKEGQIMKGNRVKKTK
+PSSHF
+>Q92913_PF00167_70 <unknown description>
+VTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVAIQGVQTKLYLAMNSEG
+YLYTSELFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGLNKEGEIMKGNHVKKNK
+PAAHFL
+>Q92915_PF00167_72 <unknown description>
+VTRLYCRQGYYLQMHPDGALDGTKDDSTNSTLFNLIPVGLRVVAIQGVKTGLYIAMNGEG
+YLYPSELFTPECKFKESVFENYYVIYSSMLYRQQESGRAWFLGLNKEGQAMKGNRVKKTK
+PAAHFL
+>O43320_PF00167_61 <unknown description>
+RRRQLYCRTGFHLEIFPNGTVHGTRHDHSRFGILEFISLAVGLISIRGVDSGLYLGMNER
+GELYGSKKLTRECVFREQFEENWYNTYASTLYKHSDSERQYYVALNKDGSPREGYRTKRH
+QKFTHFL
+>O60258_PF00167_53 <unknown description>
+REYQLYSRTSGKHVQVTGRRISATAEDGNKFAKLIVETDTFGSRVRIKGAESEKYICMNK
+RGKLIGKPSGKSKDCVFTEIVLENNYTAFQNARHEGWFMAFTRQGRPRQASRSRQNQREA
+HFI
+>O76093_PF00167_53 <unknown description>
+RLYQLYSRTSGKHIQVLGRRISARGEDGDKYAQLLVETDTFGSQVRIKGKETEFYLCMNR
+KGKLVGKPDGTSKECVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQQDV
+HFM
+>O95750_PF00167_57 <unknown description>
+SCFLRIRADGVVDCARGQSAHSLLEIKAVALRTVAIKGVHSVRYLCMGADGKMQGLLQYS
+EEDCAFEEEIRPDGYNVYRSEKHRLPVSLSSAKQR
+>P05230_PF00167_28 <unknown description>
+LLYCSNGGHFLRILPDGTVDGTRDRSDQHIQLQLSAESVGEVYIKSTETGQYLAMDTDGL
+LYGSQTPNEECLFLERLEENHYNTYISKKHAEKNWFVGLKKNGSCKRGPRTHYGQKAILF
+L
+>Q9NP95_PF00167_65 <unknown description>
+RRRQLYCRTGFHLQILPDGSVQGTRQDHSLFGILEFISVAVGLVSIRGVDSGLYLGMNDK
+GELYGSEKLTSECIFREQFEENWYNTYSSNIYKHGDTGRRYFVALNKDGTPRDGARSKRH
+QKFTHFL
+>Q9NSA1_PF00167_56 <unknown description>
+QTEAHLEIREDGTVGGAADQSPESLLQLKALKPGVIQILGVKTSRFLCQRPDGALYGSLH
+FDPEACSFRELLLEDGYNVYQSEAH
+>Q9HCT0_PF00167_41 <unknown description>
+RWRRLFSSTHFFLRVDPGGRVQGTRWRHGQDSILEIRSVHVGVVVIKAVSSGFYVAMNRR
+GRLYGSRLYTVDCRFRERIEENGHNTYASQRWRRRGQPMFLALDRRGGPRPGGRTRRYHL
+SAHFL
+>Q9GZV9_PF00167_42 <unknown description>
+LYTATARNSYHLQIHKNGHVDGAPHQTIYSALMIRSEDAGFVVITGVMSRRYLCMDFRGN
+IFGSHYFDPENCRFQHQTLENGYDVYHSPQYH
+>P09038_PF00167_30 <unknown description>
+KRLYCKNGGFFLRIHPDGRVDGVREKSDPHIKLQLQAEERGVVSIKGVCANRYLAMKEDG
+RLLASKCVTDECFFFERLESNNYNTYRSRKYTSWYVALKRTGQYKLGSKTGPGQKAILFL
+>P11487_PF00167_44 <unknown description>
+RRRKLYCATKYHLQLHPSGRVNGSLENSAYSILEITAVEVGIVAIRGLFSGRYLAMNKRG
+RLYASEHYSAECEFVERIHELGYNTYASRLYRTVSSTPGARRQPSAERLWYVSVNGKGRP
+RRGFKTRRTQKSSLFL
+>P08620_PF00167_82 <unknown description>
+RLRRLYCNVGIGFHLQALPDGRIGGAHADTRDSLLELSPVERGVVSIFGVASRFFVAMSS
+KGKLYGSPFFTDECTFKEILLPNNYNAYESYKYPGMFIALSKNGKTKKGNRVSPTMKVTH
+FL
+>P12034_PF00167_87 <unknown description>
+RTGSLYCRVGIGFHLQIYPDGKVNGSHEANMLSVLEIFAVSQGIVGIRGVFSNKFLAMSK
+KGKLHASAKFTDDCKFRERFQENSYNTYASAIHRTEKTGREWYVALNKRGKAKRGCSPRV
+KPQHISTHFL
+>P10767_PF00167_84 <unknown description>
+RQRRLYCNVGIGFHLQVLPDGRISGTHEENPYSLLEISTVERGVVSLFGVRSALFVAMNS
+KGRLYATPSFQEECKFRETLLPNNYNAYESDLYQGTYIALSKYGRVKRGSKVSPIMTVTH
+FL
+>P21781_PF00167_65 <unknown description>
+RVRRLFCRTQWYLRIDKRGKVKGTQEMKNNYNIMEIRTVAVGIVAIKGVESEFYLAMNKE
+GKLYAKKECNEDCNFKELILENHYNTYASAKWTHNGGEMFVALNQKGIPVRGKKTKKEQK
+TAHFL
+>P55075_PF00167_81 <unknown description>
+RTYQLYSRTSGKHVQVLANKRINAMAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMN
+KKGKLIAKSNGKGKDCVFTEIVLENNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQRE
+VHFM
+>P31371_PF00167_62 <unknown description>
+RRRQLYCRTGFHLEIFPNGTIQGTRKDHSRFGILEFISIAVGLVSIRGVDSGLYLGMNEK
+GELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRYYVALNKDGTPREGTRTKRH
+QKFTHFL
+>Q14512_PF06473_8 <unknown description>
+LLSFLLLAAQVLLVEGKKKVKNGLHSKVVSEQKDTLGNTQIKQKSRPGNKGKFVTKDQAN
+CRWAATEQEEGISLKVECTQLDHEFSCVFAGNPTSCLKLKDERVYWKQVARNLRSQKDIC
+RYSKTAVKTRVCRKDFPESSLKLVSSTLFGNTKPRKEKTEMSPREHIKGKETTPSSLAVT
+QTMATKAPECVEDPDMANQRKTALEFCGETWSSLCTFFLSIVQD
+>Q9BYJ0_PF06473_19 <unknown description>
+GQAPRQKQGSTGEEFHFQTGGRDSCTMRPSSLGQGAGEVWLRVDCRNTDQTYWCEYRGQP
+SMCQAFAADPKPYWNQALQELRRLHHACQGAPVLRPSVCREAGPQAHMQQVTSSLKGSPE
+PNQQPEAGTPSLRPKATVKLTEATQLGKDSMEELGKAKPTTRPTAKPTQPGPRPGGNEEA
+KKKAWEHCWKPFQALCAFLISFFRG
+>Q8TAT2_PF06473_14 <unknown description>
+LLLLLSGCLLAAARREKGAASNVAEPVPGPTGGSSGRFLSPEQHACSWQLLLPAPEAAAG
+SELALRCQSPDGARHQCAYRGHPERCAAYAARRAHFWKQVLGGLRKKRRPCHDPAPLQAR
+LCAGKKGHGAELRLVPRASPPARPTVAGFAGESKPRARNRGRTRERASGPAAGTPPPQSA
+PPKENPSERKTNEGKRKAALVPNEERPMGTGPDPDGLDGNAELTETYCAEKWHSLCNFFV
+NFWNG
+>P11362_PF00047_43 <unknown description>
+FLVHPGDLLQLRCRLRDDVQSINWLRDGVQLAESNRTRITGEEVEVQDSVPADSGLYACV
+TSSPSGSDTTYFS
+>P11362_PF07679_169 <unknown description>
+PAAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNY
+TCIVENEYGSINHTYQLDV
+>P11362_PF07679_262 <unknown description>
+PANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVNGSKIGPDNLPYVQILKTAGVNTTDK
+EMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWLTV
+>P11362_PF07714_479 <unknown description>
+VLGKPLGEGCFGQVVLAEAIGLDKDKPNRVTKVAVKMLKSDATEKDLSDLISEMEMMKMI
+GKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHNPEEQLSSK
+DLVSCAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKKTT
+NGRLPVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEGHR
+MDKPSNCTNELYMMMRDCWHAVPSQRPTFKQLVEDL
+>P21802_PF13927_45 <unknown description>
+SQPEVYVAAPGESLEVRCLLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGL
+YACTAS
+>P21802_PF07679_172 <unknown description>
+ANTVKFRCPAGGNPMPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTC
+VVENEYGSINHTYHLDV
+>P21802_PF07679_264 <unknown description>
+ANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKAAGVNTTDKE
+IEVLYIRNVTFEDAGEYTCLAGNSIGISFHSAWLTV
+>P21802_PF07714_481 <unknown description>
+LTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMKM
+IGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMTF
+KDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKKT
+TNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEGH
+RMDKPANCTNELYMMMRDCWHAVPSQRPTFKQLVEDL
+>P22607_PF07679_166 <unknown description>
+VPAANTVRFRCPAAGNPTPSISWLKNGREFRGEHRIGGIKLRHQQWSLVMESVVPSDRGN
+YTCVVENKFGSIRQTYTLDV
+>P22607_PF13927_260 <unknown description>
+PANQTAVLGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYVTVLKTAGANTTDK
+ELEVLSLHNVTFEDAGEYTCLAGN
+>P22607_PF07714_472 <unknown description>
+LTLGKPLGEGCFGQVVMAEAIGIDKDRAAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKM
+IGKHKNIINLLGACTQGGPLYVLVEYAAKGNLREFLRARRPPGLDYSFDTCKPPEEQLTF
+KDLVSCAYQVARGMEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKT
+TNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGH
+RMDKPANCTHDLYMIMRECWHAAPSQRPTFKQLVEDL
+>P22455_PF07679_161 <unknown description>
+AVPAGNTVKFRCPAAGNPTPTIRWLKDGQAFHGENRIGGIRLRHQHWSLVMESVVPSDRG
+TYTCLVENAVGSIRYN
+>P22455_PF13927_256 <unknown description>
+PANTTAVVGSDVELLCKVYSDAQPHIQWLKHIVINGSSFGADGFPYVQVLKTADINSSEV
+EVLYLRNVSAEDAGEYTCLAGN
+>P22455_PF07714_468 <unknown description>
+VLGKPLGEGCFGQVVRAEAFGMDPARPDQASTVAVKMLKDNASDKDLADLVSEMEVMKLI
+GRHKNIINLLGVCTQEGPLYVIVECAAKGNLREFLRARRPPGPDLSPDGPRSSEGPLSFP
+VLVSCAYQVARGMQYLESRKCIHRDLAARNVLVTEDNVMKIADFGLARGVHHIDYYKKTS
+NGRLPVKWMAPEALFDRVYTHQSDVWSFGILLWEIFTLGGSPYPGIPVEELFSLLREGHR
+MDRPPHCPPELYGLMRECWHAAPSQRPTFKQLVEAL
+>Q96C11_PF00370_11 <unknown description>
+YYVGVDVGTGSVRAALVDQSGVLLAFADQPIKNWEPQFNHHEQSSEDIWAACCVVTKKVV
+QGIDLNQIRGLGFDATCSLVVLDKQFHPLPVNQEGDSHRNVIMWLDHRAVSQVNRINETK
+HSVLQYVGGVMSVEMQAPKLLWLKENLREICWDKAGHFFDLPDFLSWKATGVTARSLCSL
+VCKWTYSAEKGWDDSFWKMIGLEDFVADNYSKIGNQVLPPGASLGNGLTPEAARDLGLLP
+GIAVAASLIDAHAGGLG
+>Q96C11_PF02782_290 <unknown description>
+AVICGTSSCHMGISKDPIFVPGVWGPYFSAMVPGFWLNEGGQSVTGKLIDHMVQGHAAFP
+ELQVKATARCQSIYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVT
+GLKLSQDLDDLAILYLATVQAIALGTRFIIEAMEAAGHSISTLFLCGGLSKNPLFVQMHA
+DITGMPVVLSQEVESVLVGAAVLGACA
+>Q08830_PF00147_80 <unknown description>
+YADCSEIFNDGYKLSGFYKIKPLQSPAEFSVYCDMSDGGGWTVIQRRSDGSENFNRGWKD
+YENGFGNFVQKHGEYWLGNKNLHFLTTQEDYTLKIDLADFEKNSRYAQYKNFKVGDEKNF
+YELNIGEYSGTAGDSLAGNFHPEVQWWASHQRMKFSTWDRDHDNYEGNCAEEDQSGWWFN
+RCHSANLNGVYYSGPYTAKTDNGIVWYTWHGWWYSLKSVVMKIRP
+>Q14314_PF00147_209 <unknown description>
+IYKDCSDYYAIGKRSSETYRVTPDPKNSSFEVYCDMETMGGGWTVLQARLDGSTNFTRTW
+QDYKAGFGNLRREFWLGNDKIHLLTKSKEMILRIDLEDFNGVELYALYDQFYVANEFLKY
+RLHVGNYNGTAGDALRFNKHYNHDLKFFTTPDKDNDRYPSGNCGLYYSSGWWFDACLSAN
+LNGKYYHQKYRGVRNGIFWGTWPGVSEAHPGGYKSSFKEAKMMIRPK
+>Q9NVK5_PF05769_2 <unknown description>
+SCTIEKALADAKALVERLRDHDDAAESLIEQTTALNKRVEAMKQYQEEIQELNEVARHRP
+RSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDPG
+IIMKLKEQHS
+>Q9NVK5_PF05769_160 <unknown description>
+LERRHLEANQNELQAHVDQITEMAAVMRKAIEIDEQQGCKEQERIFQLEQENKGLREILQ
+I
+>Q8N441_PF07679_35 <unknown description>
+VVPRQVARLGRTVRLQCPVEGDPPPLTMWTKDGRTIHSGWSRFRVLPQGLKVKQVEREDA
+GVYVCKATNGFGSLSVNYTLVV
+>Q8N441_PF07679_160 <unknown description>
+IARPVGSSVRLKCVASGHPRPDITWMKDDQALTRPEAAEPRKKKWTLSLKNLRPEDSGKY
+TCRVSNRAGAINATYKVDV
+>Q8N441_PF13927_245 <unknown description>
+KPVLTGTHPVNTTVDFGGTTSFQCKVRSDVKPVIQWLKRVEYGAEGRHNSTIDVGGQKFV
+VLPTGDVWSRPDGSYLNKLLITRARQDDAGMYICLGAN
+>P09769_PF00018_83 <unknown description>
+IALYDYEARTEDDLTFTKGEKFHILNNTEGDWWEARSLSSGKTGCIPS
+>P09769_PF00017_144 <unknown description>
+WYFGKIGRKDAERQLLSPGNPQGAFLIRESETTKGAYSLSIRDWDQTRGDHVKHYKIRKL
+DMGGYYITTRVQFNSVQELVQHY
+>P09769_PF07714_263 <unknown description>
+ITLERRLGTGCFGDVWLGTWNGSTKVAVKTLKPGTMSPKAFLEEAQVMKLLRHDKLVQLY
+AVVSEEPIYIVTEFMCHGSLLDFLKNPEGQDLRLPQLVDMAAQVAEGMAYMERMNYIHRD
+LRAANILVGERLACKIADFGLARLIKDDEYNPCQGSKFPIKWTAPEAALFGRFTIKSDVW
+SFGILLTELITKGRIPYPGMNKREVLEQVEQGYHMPCPPGCPASLYEAMEQTWRLDPEER
+PTFEYLQSFL
+>Q9C0D6_PF02181_92 <unknown description>
+GKKKRMRSFFWKTIPEEQVRGKTNIWTLAARQEHHYQIDTKTIEELFGQQEDTTKSSLPR
+RGRTLNSSFREAREEITILDAKRSMNIGIFLKQFKKSPRSIVEDIHQGKSEHYGSETLRE
+FLKFLPESEEVKKLKAFSGDVSKLSLADSFLYGLIQVPNYSLRIEAMVLKKEFLPSCSSL
+YTDITVLRTAIKELMSCEELHSILHLVLQAGNIMNAGGYAGNAVGFKLSSLLKLADTKAN
+KPGMNLLHFVAQEAQKKDTILLNFSEKLHHVQKTARLSLENTEAELHLLFVRTKSLKENI
+QRDGELCQQMEDFLQFAIEKLRELECWKQELQDEAYTLIDFFCEDKKTMKLDECFQIFRD
+FCTKF
+>Q05DH4_PF10257_88 <unknown description>
+ILEFVVSENIMEKLFLWSLRREFTDETKIEQLKMYEMLVTQSHQPLLHHKPILKPLMMLL
+SSCSGTTTPTVEEKLVVLLNQLCSILAKDPSILELFFHTSEDQGAANFLIFSLLIPFIHR
+EGSVGQQARDALLFIMSLSAENTMVAHHIVENTYFCPVLATGLSGLYSSLPTKLEEKGEE
+WHCLLKDDWLLLPSLVQFMNSLEFCNAVIQVAHPLIRNQLVNYIYNGFLVPVLAPALHKV
+TVEEVMTTTAYLDLFLRSISEPALLEIFLRFILLHQHENVHILDTLTSRINTPFRLCVVS
+LALFRTLIGLHCEDVMLQLVLRYL
+>Q05DH4_PF19314_850 <unknown description>
+TGPFISVVLSKLENMLENSLHVNLLLIGIITQLASYPQPLLRSFLLNTNMVFQPSVRSLY
+QVLASVKNKIEQFASVERDFPGLLIQAQQYLL
+>Q05DH4_PF19311_1000 <unknown description>
+VRNPMLAAALFPEFLKELAALAQEHSILC
+>Q8N612_PF10257_97 <unknown description>
+LEFALHEDLLTRVLTWQLQWDELGDGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALL
+TLLDACGRPVPSSPALDEGLVLLLSQLCVCVAQEPSLLEFFLQPPPEPGAAPRLLLFSRL
+VPFVHREGTLGQQARDALLLLMALSAGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKI
+EVPGDDWHCLRREDWLGVPALALFMSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMG
+PALHKTSVEEMIASTAYLELFLRSISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNS
+RLCMVSLSLFRTLLNLSCEDVLLQLVLRYL
+>Q8N612_PF19314_738 <unknown description>
+TGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQPLLRSFLLNTNMVFQPSVKSLL
+QVLGSVKNKIENFAASQEDFPALLSKAKKYLIAR
+>Q8N612_PF19311_919 <unknown description>
+LRVKNAVYCAVIFPEFLKELAAISQAHAVTS
+>Q5W0V3_PF10257_78 <unknown description>
+CMEYLLHHKILETLYTLGKADCPPGMKQQVLVFYTKLLGRIRQPLLPHINVHRPVQKLIR
+LCGEVLATPTENEEIQFLCIVCAKLKQDPYLVNFFLENKMKSLASKGVPNVISEDTLKGQ
+DSLSTDTGQSRQPEELSGATGMEQTELEDEPPHQMDHLSTSLDNLSVTSLPEASVVCPNQ
+DYNLVNSLLNLTRSPDGRIAVKACEGLMLLVSLPEPAAAKCLTQSTCLCELLTDRLASLY
+KALPQSVDPLDIETVEAINWGLDSYSHKEDASAFPGKRALISFLSWFDYCDQLIKEAQKT
+AAVALAKAVHERFFIGVMEPQLMQTSEMGILTSTALLHRIVRQVTSDVLLQEMVFFILGE
+QREPETLAEISRHPLRHRLIEHCDHISDEISIMTLRMFEHLLQKPNEHILYNLVLRNL
+>Q5W0V3_PF19314_632 <unknown description>
+EGHFLKVLFDRMGRILDQPYDVNLQVTSVLSRLSLFPHPHIHEYLLDPYVNLAPGCRSLF
+SVIVRVVGDLMLRIQRIQDFTPKLLLVRKRLLG
+>Q5W0V3_PF19311_733 <unknown description>
+DHITLLEGVIVLEEFCKELAAIAFVKYHAS
+>Q86V87_PF10257_79 <unknown description>
+CLEYLLQHKILETLCTLGKAEYPPGMRQQVFQFFSKVLAQVQHPLLHYLSVHRPVQKLLR
+LGGTASGSVTEKEEVQFTTVLCSKIQQDPELLAYILEGKKIVGRKKACGEPTALPKDTTS
+HGDKDCSHDGAPARPQLDGESCGAQALNSHMPAETEELDGGTTESNLITSLLGLCQSKKS
+RVALKAQENLLLLVSMASPAAATYLVQSSACCPAIVRHLCQLYRSMPVFLDPADIATLEG
+ISWRLPSAPSDEASFPGKEALAAFLGWFDYCDHLITEAHTVVADALAKAVAENFFVETLQ
+PQLLHVSEQSILTSTALLTAMLRQLRSPALLREAVAFLLGTDRQPEAPGDNPHTLYAHLI
+GHCDHLSDEISITTLRLFEELLQKPHEGIIHSLVLRNL
+>Q86V87_PF19314_600 <unknown description>
+EGHFLRVLFDRMSRILDQPYSLNLQVTSVLSRLALFPHPHIHEYLLDPYISLAPGCRSLF
+SVLVRVIGDLMQRIQRVPQFPGKLLLVRKQLTG
+>Q86V87_PF19311_699 <unknown description>
+LDHQTLLQGVVVLEEFCKELAAIAFVKFPP
+>P49789_PF01230_11 <unknown description>
+KPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFHDLRPDEVADLFQTTQRVGTVVE
+KHFHGTSLTFSMQDGPEAGQTVKHVHVHVLPRK
+>Q9BXU8_PF00210_19 <unknown description>
+AAINSHITLELYTSYLYLSMAFYFNRDDVALENFFRYFLRLSDDKMEHAQKLMRLQNLRG
+GHICLHDIRKPECQGWESGLVAMESAFHLEKNVNQSLLDLYQLAVEKGDPQLCHFLESHY
+LHEQVKTIKELGGYVSNLRKI
+>Q13642_PF00412_56 <unknown description>
+CVECRKPIGADSKEVHYKNRFWHDTCFRCAKCLHPLANETFVAKDNKILCNKCTTR
+>Q13642_PF00412_117 <unknown description>
+CKGCFKAIVAGDQNVEYKGTVWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETK
+>Q13642_PF00412_178 <unknown description>
+CVKCNKAITSGGITYQDQPWHADCFVCVTCSKKLAGQRFTAVEDQYYCVDCYK
+>Q13642_PF00412_237 <unknown description>
+CAGCKNPITGFGKGSSVVAYEGQSWHDYCFHCKKCSVNLANKRFVFHQEQVYCPDC
+>Q14192_PF00412_40 <unknown description>
+CEECGKPIGCDCKDLSYKDRHWHEACFHCSQCRNSLVDKPFAAKEDQLLCTDCYSNE
+>Q14192_PF00412_101 <unknown description>
+CQECKKTIMPGTRKMEYKGSSWHETCFICHRCQQPIGTKSFIPKDNQNFCVPCYEKQ
+>Q14192_PF00412_162 <unknown description>
+CVQCKKPITTGGVTYREQPWHKECFVCTACRKQLSGQRFTARDDFAYCLNCFCDL
+>Q14192_PF00412_221 <unknown description>
+CAGCTNPISGLGGTKYISFEERQWHNDCFNCKKCSLSLVGRGFLTERDDILCPDC
+>Q13643_PF00412_40 <unknown description>
+CAECQQLIGHDSRELFYEDRHFHEGCFRCCRCQRSLADEPFTCQDSELLCNDCYCSA
+>Q13643_PF00412_101 <unknown description>
+CSACGETVMPGSRKLEYGGQTWHEHCFLCSGCEQPLGSRSFVPDKGAHYCVPCYENKF
+>Q13643_PF00412_162 <unknown description>
+CARCSKTLTQGGVTYRDQPWHRECLVCTGCQTPLAGQQFTSRDEDPYCVACFGE
+>Q13643_PF00412_221 <unknown description>
+CSSCKRPIVGLGGGKYVSFEDRHWHHNCFSCARCSTSLVGQGFVPDGDQVLCQGCSQ
+>Q5TD97_PF00412_41 <unknown description>
+CEECKKPIESDSKDLCYKDRHWHEGCFKCTKCNHSLVEKPFAAKDERLLCTECYSN
+>Q5TD97_PF00412_102 <unknown description>
+CFHCKRTIMPGSRKMEFKGNYWHETCFVCENCRQPIGTKPLISKESGNYCVPCFEKEF
+>Q5TD97_PF00412_163 <unknown description>
+CNFCKKVITSGGITFCDQLWHKECFLCSGCRKDLCEEQFMSRDDYPFCVDCYNH
+>Q5TD97_PF00412_222 <unknown description>
+CVACSKPISGLTGAKFICFQDSQWHSECFNCGKCSVSLVGKGFLTQNKEIFCQKC
+>Q9Y613_PF18382_17 <unknown description>
+TVRVQYLEDTDPFACANFPEPRRAPTCSLDGALPLGAQIPAVHRLLGAPLKLEDCALQVS
+PSGYYLDTELSLEEQREMLEGFYEEISKGRKPTLILRTQLSVRVNAILEKLYSSSGPEL
+>Q9Y613_PF02181_618 <unknown description>
+SALPTKRKTVKLFWRELKLAGGHGVSASRFGPCATLWASLDPVSVDTARLEHLFESRAKE
+VLPSKKAGEGRRTMTTVLDPKRSNAINIGLTTLPPVHVIKAALLNFDEFAVSKDGIEKLL
+TMMPTEEERQKIEEAQLANPDIPLGPAENFLMTLASIGGLAARLQLWAFKLDYDSMEREI
+AEPLFDLKVGMEQLVQNATFRCILATLLAVGNFLNGSQSSGFELSYLEKVSEVKDTVRRQ
+SLLHHLCSLVLQTRPESSDLYSEIPALTRCAKVDFEQLTENLGQLERRSRAAEESLRSLA
+KHELAPALRARLTHFLDQCARRVAMLRIVHRRVCNRFHAFLLYLGYTPQAAREVRIMQFC
+HTLREFALEY
+>Q2V2M9_PF18382_6 <unknown description>
+CRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLQAPHKLDDCTLQLSH
+NGAYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSSGRDL
+>Q2V2M9_PF02181_1077 <unknown description>
+PTFTKKKKTIRLFWNEVRPFDWPCKNNRRCREFLWSKLEPIKVDTSRLEHLFESKSKELS
+VSKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILT
+MIPTDEEKQKIQEAQLANPEIPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVA
+EPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQS
+LLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAK
+HEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCR
+IISEFALEY
+>Q03591_PF00084_23 <unknown description>
+CDFPKINHGILYDEEKYKPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCTEEGWSPTPK
+C
+>Q03591_PF00084_92 <unknown description>
+VENGHSESSGQTHLEGDTVQIICNTGYRLQNNENNISCVERGWSTPPKC
+>Q03591_PF00084_147 <unknown description>
+CVNPPTVQNAHILSRQMSKYPSGERVRYECRSPYEMFGDEEVMCLNGNWTEPPQC
+>Q03591_PF00084_208 <unknown description>
+CGPPPPIDNGDITSFPLSVYAPASSVEYQCQNLYQLEGNKRITCRNGQWSEPPKC
+>Q03591_PF00084_266 <unknown description>
+CVISREIMENYNIALRWTAKQKLYLRTGESAEFVCKRGYRLSSRSHTLRTTCWDGKLEYP
+TC
+>P36980_PF00084_23 <unknown description>
+CDFPKINHGILYDEEKYKPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCAEEGWSPTPK
+C
+>P36980_PF00084_92 <unknown description>
+VENGHSESSGQTHLEGDTVQIICNTGYRLQNNENNISCVERGWSTPPKC
+>P36980_PF00084_149 <unknown description>
+CGPPPPIDNGDITSFLLSVYAPGSSVEYQCQNLYQLEGNNQITCRNGQWSEPPKC
+>P36980_PF00084_222 <unknown description>
+KWTNQQKLYSRTGDIVEFVCKSGYHPTKSHSFRAMCQNG
+>Q02985_PF00084_24 <unknown description>
+DFPDIKHGGLFHENMRRPYFPVAVGKYYSYYCDEHFETPSGSYWDYIHCTQNGWSPAVPC
+>Q02985_PF00084_91 <unknown description>
+YLENGYNQNYGRKFVQGNSTEVACHPGYGLPKAQTTVTCTEKGWSPTPRC
+>Q02985_PF00084_152 <unknown description>
+EIENGFISESSSIYILNKEIQYKCKPGYATADGNSSGSITCLQNGWSAQPIC
+>Q02985_PF00084_210 <unknown description>
+CGPPPPISNGDTTSFLLKVYVPQSRVEYQCQPYYELQGSNYVTCSNGEWSEPPRC
+>Q92496_PF00084_24 <unknown description>
+CDFPEIQHGGLYYKSLRRLYFPAAAGQSYSYYCDQNFVTPSGSYWDYIHCTQDGWSPTVP
+C
+>Q92496_PF00084_94 <unknown description>
+EIENGFISESSSIYILNEETQYNCKPGYATAEGNSSGSITCLQNGWSTQPIC
+>Q92496_PF00084_160 <unknown description>
+KSNGMWFKLHDTLDYECYDGYESSYGNTTDSIVCGEDGWSHLPTC
+>Q92496_PF00084_211 <unknown description>
+CGPPPPISNGDTTSFPQKVYLPWSRVEYQCQSYYELQGSKYVTCSNGDWSEPPRC
+>Q92496_PF00084_272 <unknown description>
+EFPEIQHGHLYYENTRRPYFPVATGQSYSYYCDQNFVTPSGSYWDYIHCTQDGWLPTVPC
+>Q92496_PF00084_341 <unknown description>
+EIENGFISESSSIYILNKEIQYKCKPGYATADGNSSGSITCLQNGWSAQPIC
+>Q92496_PF00084_396 <unknown description>
+CDMPVFENSRAKSNGMRFKLHDTLDYECYDGYEISYGNTTGSIVCGEDGWSHFPTC
+>Q92496_PF00084_458 <unknown description>
+CGPPPPISNGDTTSFLLKVYVPQSRVEYQCQSYYELQGSNYVTCSNGEWSEPPRC
+>Q9BXR6_PF00084_23 <unknown description>
+CDFPKIHHGFLYDEEDYNPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCTEEGWSPTPK
+C
+>Q9BXR6_PF00084_88 <unknown description>
+SFPFVKNGHSESSGLIHLEGDTVQIICNTGYSLQNNEKNISCVERGWSTPPIC
+>Q9BXR6_PF00084_147 <unknown description>
+CHVPILEANVDAQPKKESYKVGDVLKFSCRKNLIRVGSDSVQCYQFGWSPNFPTC
+>Q9BXR6_PF00084_208 <unknown description>
+CGPPPQLSNGEVKEIRKEEYGHNEVVEYDCNPNFIINGPKKIQCVDGEWTTLPTC
+>Q9BXR6_PF00084_269 <unknown description>
+CGYIPELEYGYVQPSVPPYQHGVSVEVNCRNEYAMIGNNMITCINGIWTELPMC
+>Q9BXR6_PF00084_389 <unknown description>
+CPPPPQIPNAQNMTTTVNYQDGEKVAVLCKENYLLPEAKEIVCKDGRWQSLPRC
+>Q9BXR6_PF00084_449 <unknown description>
+CGPPPSINNGDTTSFPLSVYPPGSTVTYRCQSFYKLQGSVTVTCRNKQWSEPPRC
+>P02671_PF08702_49 <unknown description>
+DSDWPFCSDEDWNYKCPSGCRMKGLIDEVNQDFTNRINKLKNSLFEYQKNNKDSHSLTTN
+IMEILRGDFSSANNRDNTYNRVSEDLRSRIEVLKRKVIEKVQHIQLLQKNVRAQLVDMKR
+LEVDIDIKIRSCRGSCSRAL
+>P02671_PF12160_445 <unknown description>
+GKEKVTSGSTTTTRRSCSKTVTKTVIGPDGHKEVTKEVVTSEDGSDCPEAMDLGTLSGIG
+TLDGF
+>P02675_PF08702_91 <unknown description>
+DAGGCLHADPDLGVLCPTGCQLQEALLQQERPIRNSVDELNNNVEAVSQTSSSSFQYMYL
+LKDLWQKRQKQVKDNENVVNEYSSELEKHQLYIDETVNSNIPTNLRVLRSILENLRSKIQ
+KLESDVSAQMEYCRTPCTVSCNIP
+>P02675_PF00147_238 <unknown description>
+GKECEEIIRKGGETSEMYLIQPDSSVKPYRVYCDMNTENGGWTVIQNRQDGSVDFGRKWD
+PYKQGFGNVATNTDGKNYCGLPGEYWLGNDKISQLTRMGPTELLIEMEDWKGDKVKAHYG
+GFTVQNEANKYQISVNKYRGTAGNALMDGASQLMGENRTMTIHNGMFFSTYDRDNDGWLT
+SDPRKQCSKEDGGGWWYNRCHAANPNGRYYWGGQYTWDMAKHGTDDGVVWMNWKGSWYSM
+RKMSMKIRP
+>P02679_PF08702_30 <unknown description>
+TRDNCCILDERFGSYCPTTCGIADFLSTYQTKVDKDLQSLEDILHQVENKTSEVKQLIKA
+IQLTYNPDESSKPNMIDAATLKSRKMLEEIMKYEASILTHDSSIRYLQEIYNSNNQKIVN
+LKEKVAQLEAQCQEPCKDTVQIH
+>P02679_PF00147_176 <unknown description>
+GKDCQDIANKGAKQSGLYFIKPLKANQQFLVYCEIDGSGNGWTVFQKRLDGSVDFKKNWI
+QYKEGFGHLSPTGTTEFWLGNEKIHLISTQSAIPYALRVELEDWNGRTSTADYAMFKVGP
+EADKYRLTYAYFAGGDAGDAFDGFDFGDDPSDKFFTSHNGMQFSTWDNDNDKFEGNCAEQ
+DGSGWWMNKCHAGHLNGVYYQGGTYSKASTPNGYDNGIIWATWKTRWYSMKKTTMKIIP
+>Q8TAL6_PF15819_15 <unknown description>
+LCQGYFDGPLYPEMSNGTLHHYFVPDGDYEENDDPEKCQLLFRVSDHRRCSQGEGSQVGS
+LLSLTLREEFTVLGRQVEDAGRVLEGISKSISYDLDGEESYGKYLRRESHQIGDAYSNSD
+KSLTELESKFKQGQEQDSRQESRLNEDFLGMLVHTRSLLKETLDISVGLRDKYELLALTI
+RSHGTRLGRLKNDYLK
+>O43427_PF05427_4 <unknown description>
+ELDIFVGNTTLIDEDVYRLWLDGYSVTDAVALRVRSGILEQTGATAAVLQSDTMDHYRTF
+HMLERLLHAPPKLLHQLIFQIPPSRQALLIERYYAFDEAFVREVLGKKLSKGTKKDLDDI
+STKTGITLKSCRRQFDNFKRVFKVVEEMRGSLVDNIQQHFLLSDRLARDYAAIVFFANNR
+FETGKKKLQYLSFGDFAFCAELMIQNWTLGAVDSQMDDMDMDLDKEFLQDLKELKVLVAD
+KDLLDLHKSLVCTALRGKLGVFSEMEANFKNLSRGLVNVAAKLTHNKDVRDLFVDLVEKF
+VEPCRSDHWPLSDVRFFLNQYSASVHSLDGFRHQALWDRYMGTLRGCLLRLYH
+>Q9BVA6_PF02661_285 <unknown description>
+VTISDVLEIHRRVLGYVDPVEAGRFRTTQVLVGHHIPPHPQDVEKQMQEFVQWLNSEEAM
+NLHPVEFAALAHYKLVYIHPFIDGNGRTSRLLMNLIL
+>Q92562_PF02383_93 <unknown description>
+FGVVGFVRFLEGYYIVLITKRRKMADIGGHAIYKVEDTNMIYIPNDSVRVTHPDEARYLR
+IFQNVDLSSNFYFSYSYDLSHSLQYNLTVLRMPLEMLKSEMTQNRQESFDIFEDEGLITQ
+GGSGVFGICSEPYMKYVWNGELLDIIKSTVHRDWLLYIIHGFCGQSKLLIYGRPVYVTLI
+ARRSSKFAGTRFLKRGANCEGDVANEVETEQILCDASVMSFTAGSYSSYVQVRGSVPLYW
+SQDISTMMPKPPITLDQADPFAHVAALHFDQMFQRFGSPIIILNLVKEREKRKHERILSE
+ELVAAVTYLNQFLPPEHTIVYIPWDMAKYTK
+>Q6PIW4_PF00004_437 <unknown description>
+ILLFGPPGTGKTLIGKCIASQSGATFFSISASSLTSKWVGEGEKMVRALFAVARCQQPAV
+IFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGATTSSEDRILVVGATNRPQEIDEAAR
+RRLVKRLYIPL
+>Q6PIW4_PF09336_637 <unknown description>
+RPIAYIDFENAFRTVRPSVSPKDLELYENWNKTFG
+>A6NMB9_PF00004_428 <unknown description>
+VLLFGPRGAGKALLGRCLATQLGATLLRLRGATLAAPGAAEGARLLQAAFAAARCRPPSV
+LLISELEALLPARDDGAAAGGALQVPLLACLDGGCGAGADGVLVVGTTSRPAALDEATRR
+RFSLRFYV
+>Q6QHK4_PF00010_66 <unknown description>
+RRRVANAKERERIKNLNRGFARLKALVPFLPQSRKPSKVDILKGATEYIQVL
+>Q5HY92_PF00004_522 <unknown description>
+ILLFGPRGTGKTLLGRCIASQLGATFFKIAGSGLVAKWLGEAEKIIHASFLVARCRQPSV
+IFVSDIDMLLSSQVNEEHSPVSRMRTEFLMQLDTVLTSAEDQIVVICATSKPEEIDESLR
+RYFMKRLLIP
+>Q5HY92_PF09336_722 <unknown description>
+RPVTYQDFENAFCKIQPSISQKELDMYVEWNKMFG
+>Q5D862_PF01023_4 <unknown description>
+LLRSVVTVIDVFYKYTKQDGECGTLSKGELKELLEKELHPVLKNP
+>P20930_PF01023_4 <unknown description>
+LLENIFAIINLFKQYSKKDKNTDTLSKKELKELLEKEFRQILKNP
+>P20930_PF03516_257 <unknown description>
+YERSRSSDGKSSSQVNRSRHENTSQVPLQESRTRKRRGSRVSQDRDSEGH
+>P20930_PF03516_373 <unknown description>
+HEQARSSPGERHGSGHQQSADSSRHSATGRGQASSAVSDRGHRGSSGSQASDSEGH
+>P20930_PF03516_574 <unknown description>
+EEQSGDGTRHSGSRHHEASSQADSSRHSQVGQGQSSGPRTSRNQGSSVSQDSDSQGH
+>P20930_PF03516_697 <unknown description>
+HEQARSSAGERHGSRHQLQSADSSRHSGTGHGQASSAVRDSGHRGSSGSQATDSEGH
+>P20930_PF03516_899 <unknown description>
+EEQSRDGSRHSGSRHHEASSHADISRHSQAGQGQSEGSRTSRRQGSSVSQDSDSEGH
+>P20930_PF03516_1022 <unknown description>
+HEQARSSPGERHGSRHQQSADSSRHSGIPRRQASSAVRDSGHWGSSGSQASDSEGH
+>P20930_PF03516_1224 <unknown description>
+KQSGDGSRHSGSRHHEAASWADSSRHSQVGQEQSSGSRTSRHQGSSVSQDSDSERH
+>P20930_PF03516_1346 <unknown description>
+HEQARSSPGERHGSRHQQSADSSRHSGIGHRQASSAVRDSGHRGSSGSQVTNSEGH
+>P20930_PF03516_1547 <unknown description>
+EEQSGDGSRHSGSRHHEPSTRAGSSRHSQVGQGESAGSKTSRRQGSSVSQDRDSEGH
+>P20930_PF03516_1671 <unknown description>
+EQARSSPGERHGSRHQQSADSSTDSGTGRRQDSSVVGDSGNRGSSGSQASDSEGH
+>P20930_PF03516_1872 <unknown description>
+KQSGDGSRHSGSRHHEASSRADSSRHSQVGQGQSSGPRTSRNQGSSVSQDSDSQGH
+>P20930_PF03516_1994 <unknown description>
+HEQARSSAGERHGSHHQLQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDSEGH
+>P20930_PF03516_2197 <unknown description>
+EQSGDGSRHSGSHHHEASSWADSSRHSLVGQGQSSGPRTSRPRGSSVSQDSDSEGH
+>P20930_PF03516_2319 <unknown description>
+HEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGSSGSQASDSEGH
+>P20930_PF03516_2521 <unknown description>
+EQSGDGSRHSGSRHHEASSRADSSGHSQVGQGQSEGPRTSRNWGSSFSQDSDSQGH
+>P20930_PF03516_2643 <unknown description>
+HEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDNEGH
+>P20930_PF03516_2844 <unknown description>
+EEQSGDGSRHSGSRHHEASTHADISRHSQAVQGQSEGSRRSRRQGSSVSQDSDSEGH
+>P20930_PF03516_2967 <unknown description>
+HEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDNEGH
+>P20930_PF03516_3168 <unknown description>
+EEQSGDSSRHSVSRHHEASTHADISRHSQAVQGQSEGSRRSRRQGSSVSQDSDSEGH
+>P20930_PF03516_3291 <unknown description>
+HEQARSSPGERHGSRHQQSADSSRHSGIPRGQASSAVRDSRHWGSSGSQASDSEGH
+>P20930_PF03516_3493 <unknown description>
+EQSGDGSRHSWSHHHEASTQADSSRHSQSGQGQSAGPRTSRNQGSSVSQDSDSQGH
+>P20930_PF03516_3615 <unknown description>
+HEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGSSGSQASDSEGH
+>P20930_PF03516_3816 <unknown description>
+EEQSGDGSRHSGSRHHEASTQADSSRHSQSGQGESAGSRRSRRQGSSVSQDSDSE
+>Q96LL3_PF17672_19 <unknown description>
+IETAPRPKRATASALGTESPRFLDRPDFFDYPDSDQARLLAVAQFIGEKPIVFINSGSSP
+GLFHHILVGLLVVAFFFLLFQFCTHINFQKGA
+>P02751_PF00039_52 <unknown description>
+CYDNGKHYQINQQWERTYLGNALVCTCYGGSRGFNC
+>P02751_PF00039_97 <unknown description>
+CFDKYTGNTYRVGDTYERPKDSMIWDCTCIGAGRGRISC
+>P02751_PF00039_141 <unknown description>
+CHEGGQSYKIGDTWRRPHETGGYMLECVCLGNGKGEWTC
+>P02751_PF00039_186 <unknown description>
+CFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGSGRITC
+>P02751_PF00039_231 <unknown description>
+CNDQDTRTSYRIGDTWSKKDNRGNLLQCICTGNGRGEWKC
+>P02751_PF00039_311 <unknown description>
+DSGVVYSVGMQWLKTQGNKQMLCTCLGNGV
+>P02751_PF00040_360 <unknown description>
+CVLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFC
+>P02751_PF00040_420 <unknown description>
+CHFPFLYNNHNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFC
+>P02751_PF00039_470 <unknown description>
+CTTNEGVMYRIGDQWDKQHDMGHMMRCTCVGNGRGEWTC
+>P02751_PF00039_524 <unknown description>
+TYNVNDTFHKRHEEGHMLNCTCFGQGRGRWKC
+>P02751_PF00039_561 <unknown description>
+CQDSETGTFYQIGDSWEKYVHGVRYQCYCYGRGIGEWHC
+>P02751_PF00041_617 <unknown description>
+TETPSQPNSHPIQWNAPQPSHISKYILRWRPKNSVGRWKEATIPGHLNSYTIKGLKPGVV
+YEGQLISIQQYG
+>P02751_PF00041_727 <unknown description>
+SVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLLPGRKY
+IVNVYQISEDGE
+>P02751_PF00041_812 <unknown description>
+DAPPDTTVDQVDDTSIVVRWSRPQAPITGYRIVYSPSVEGSSTELNLPETANSVTLSDLQ
+PGVQYNITIYAVEENQE
+>P02751_PF00041_908 <unknown description>
+PSPRDLQFVEVTDVKVTIMWTPPESAVTGYRVDVIPVNLPGEHGQRLPISRNTFAEVTGL
+SPGVTYYFKVFAVSHGRE
+>P02751_PF00041_998 <unknown description>
+DAPTNLQFVNETDSTVLVRWTPPRAQITGYRLTVGLTRRGQPRQYNVGPSVSKYPLRNLQ
+PASEYTVSLVAIKGNQES
+>P02751_PF00041_1096 <unknown description>
+TEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVSGLTPGVEYVYTIQV
+LRDG
+>P02751_PF00041_1175 <unknown description>
+SPPTNLHLEANPDTGVLTVSWERSTTPDITGYRITTTPTNGQQGNSLEEVVHADQSSCTF
+DNLSPGLEYNVSVYTVKDDK
+>P02751_PF00041_1269 <unknown description>
+QLTDLSFVDITDSSIGLRWTPLNSSTIIGYRITVVAAGEGIPIFEDFVDSSVGYYTVTGL
+EPGIDYDISVITLINGGESAP
+>P02751_PF00041_1359 <unknown description>
+PPPTDLRFTNIGPDTMRVTWAPPPSIDLTNFLVRYSPVKNEEDVAELSISPSDNAVVLTN
+LLPGTEYVVSVSSVYEQH
+>P02751_PF00041_1451 <unknown description>
+SPTGIDFSDITANSFTVHWIAPRATITGYRIRHHPEHFSGRPREDRVPHSRNSITLTNLT
+PGTEYVVSIVALNGREES
+>P02751_PF00041_1541 <unknown description>
+VPRDLEVVAATPTSLLISWDAPAVTVRYYRITYGETGGNSPVQEFTVPGSKSTATISGLK
+PGVDYTITVYAVTGRGDSPAS
+>P02751_PF00041_1634 <unknown description>
+DKPSQMQVTDVQDNSISVKWLPSSSPVTGYRVTTTPKNGPGPTKTKTAGPDQTEMTIEGL
+QPTVEYVVSVYAQNPSGESQ
+>P02751_PF00041_1725 <unknown description>
+RPKGLAFTDVDVDSIKIAWESPQGQVSRYRVTYSSPEDGIHELFPAPDGEEDTAELQGLR
+PGSEYTVSVVALHDDMES
+>P02751_PF00041_1814 <unknown description>
+PAPTDLKFTQVTPTSLSAQWTPPNVQLTGYRVRVTPKEKTGPMKEINLAPDSSSVVVSGL
+MVATKYEVSVYALKDT
+>P02751_PF00041_1906 <unknown description>
+SPPRRARVTDATETTITISWRTKTETITGFQVDAVPANGQTPIQRTIKPDVRSYTITGLQ
+PGTDYKIYLYTLNDNA
+>P02751_PF00041_1995 <unknown description>
+DAPSNLRFLATTPNSLLVSWQPPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGL
+EPGTEYTIYVIALKNNQKS
+>P02751_PF00041_2209 <unknown description>
+QTTISWAPFQDTSEYIISCHPVGTDEEPLQFRVPGTSTSATLTGLTRGATYNVIVEALKD
+Q
+>P02751_PF00039_2297 <unknown description>
+CFDPYTVSHYAVGDEWERMSESGFKLLCQCLGFGSGHFRC
+>P02751_PF00039_2342 <unknown description>
+CHDNGVNYKIGEKWDRQGENGQMMSCTCLGNGKGEFKC
+>P02751_PF00039_2386 <unknown description>
+CYDDGKTYHVGEQWQKEYLGAICSCTCFGGQRGWRC
+>Q6UN15_PF05182_154 <unknown description>
+EVDLDSFEDKPWRKPGADLSDYFNYGFNEDTWKAYCEKQKRIR
+>Q9Y3D6_PF14852_34 <unknown description>
+TQFEYAWCLVRSKYNDDIRKGIVLLEELL
+>Q9Y3D6_PF14853_71 <unknown description>
+RDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKAMKKDGL
+>Q8N6M3_PF10261_47 <unknown description>
+SYLSNKRNVLNVYFVKVAWAWTFCLLLPFIALTNYHLTGKAGLVLRRLSTLLVGTAIWYI
+CTSIFSNIEHYTGSCYQSPALEGVRKEHQSKQQCHQEGGFWHGFDISGHSFLLTFCALMI
+VEEMSVLHEV
+>Q96SL8_PF00096_23 <unknown description>
+FHCSECGKSFRYRSDLRRHFARH
+>Q96SL8_PF00096_51 <unknown description>
+HACPRCGKGFKHSFNLANHLRSH
+>Q96SL8_PF00096_228 <unknown description>
+FKCPRCERDFNAPALLERHKLTH
+>Q96SL8_PF00096_470 <unknown description>
+FPCHICGKGFITLSNLSRHLKLH
+>Q96AY3_PF00254_55 <unknown description>
+CPREVQMGDFVRYHYNGTFEDGKKFDSSYDRNTLVAIVVGVGRLITGMDRGLMGMCVNER
+RRLIVPPHLGYGSIGLAGLIPPDATLYFDVVLL
+>Q96AY3_PF00254_168 <unknown description>
+PRMVQDGDFVRYHYNGTLLDGTSFDTSYSKGGTYDTYVGSGWLIKGMDQGLLGMCPGERR
+KIIIPPFLAYGEKGYGTVIPPQASLVFHVLLI
+>Q96AY3_PF00254_282 <unknown description>
+RAGAGDFMRYHYNGSLMDGTLFDSSYSRNHTYNTYIGQGYIIPGMDQGLQGACMGERRRI
+TIPPHLAYGENGTGDKIPGSAVLIFNVHVI
+>Q96AY3_PF00254_393 <unknown description>
+NETTKLGDFVRYHYNCSLLDGTQLFTSHDYGAPQEATLGANKVIEGLDTGLQGMCVGERR
+QLIVPPHLAHGESGARGVPGSAVLLFEVELV
+>Q96AY3_PF13202_505 <unknown description>
+LFEDMDLNKDGEVPPEEFSTF
+>Q96AY3_PF13202_548 <unknown description>
+GDMFQNQDRNQDGKITVDELK
+>Q9NYL4_PF00254_54 <unknown description>
+AAFGDTLHIHYTGSLVDGRIIDTSLTRDPLVIELGQKQVIPGLEQSLLDMCVGEKRRAII
+PSHLAYGKRGFPPSVPADAVVQYDVELI
+>Q9NWM8_PF00254_40 <unknown description>
+RKTKGGDLMLVHYEGYLEKDGSLFHSTHKHNNGQPIWFTLGILEALKGWDQGLKGMCVGE
+KRKLIIPPALGYGKEGKGKIPPESTLIFNIDLL
+>Q9NWM8_PF13499_141 <unknown description>
+HESFQEMDLNDDWKLSKDEVKAYLKKEFEKHGAVVNESHHDALVEDIFDKEDEDKDGFIS
+AREFTY
+>Q5T1M5_PF00254_194 <unknown description>
+VEVGDSLEVAYTGWLFQNHVLGQVFDSTANKDKLLRLKLGSGKVIKGWEDGMLGMKKGGK
+RLLIVPPACAVGSEGVIGWTQATDSILVFEVEV
+>P62942_PF00254_14 <unknown description>
+RTFPKRGQTCVVHYTGMLEDGKKFDSSRDRNKPFKFMLGKQEVIRGWEEGVAQMSVGQRA
+KLTISPDYAYGATGHPGIIPPHATLVFDVELL
+>P68106_PF00254_14 <unknown description>
+RTFPKKGQTCVVHYTGMLQNGKKFDSSRDRNKPFKFRIGKQEVIKGFEEGAAQMSLGQRA
+KLTCTPDVAYGATGHPGVIPPNATLIFDVELL
+>P26885_PF00254_43 <unknown description>
+PIKSRKGDVLHMHYTGKLEDGTEFDSSLPQNQPFVFSLGTGQVIKGWDQGLLGMCEGEKR
+KLVIPSELGYGERGAPPKIPGGATLVFEVELL
+>Q00688_PF18410_6 <unknown description>
+PQRAWTVEQLRSEQLPKKDIIKFLQEHGSDSFLAEHKLLGNIKNVAKTANKDHLVTAYNH
+LFETKRFKGTES
+>Q00688_PF00254_122 <unknown description>
+TNFPKKGDVVHCWYTGTLQDGTVFDTNIQTSAKKKKNAKPLSFKVGVGKVIRGWDEALLT
+MSKGEKARLEIEPEWAYGKKGQPDAKIPPNAKLTFEVELV
+>Q02790_PF00254_44 <unknown description>
+TEMPMIGDRVFVHYTGWLLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAIATMKVGEVC
+HITCKPEYAYGSAGSPPKIPPNATLVFEVEL
+>Q02790_PF00254_162 <unknown description>
+AKPNEGAIVEVALEGYYKDKLFDQRELRFEIGEGENLDLPYGLERAIQRMEKGEHSIVYL
+KPSYAFGSVGKEKFQIPPNAELKYELHL
+>Q02790_PF00515_321 <unknown description>
+SHLNLAMCHLKLQAFSAAIESCNKALELDSNN
+>Q02790_PF07719_355 <unknown description>
+GLFRRGEAHLAVNDFELARADFQKVLQLYPNN
+>Q13451_PF00254_44 <unknown description>
+EETPMIGDKVYVHYKGKLSNGKKFDSSHDRNEPFVFSLGKGQVIKAWDIGVATMKKGEIC
+HLLCKPEYAYGSAGSLPKIPSNATLFFEIELL
+>Q13451_PF00254_160 <unknown description>
+SNPNEGATVEIHLEGRCGGRMFDCRDVAFTVGEGEDHDIPIGIDKALEKMQREEQCILYL
+GPRYGFGEAGKPKFGIEPNAELIYEVTL
+>Q13451_PF00515_319 <unknown description>
+AFLNLAMCYLKLREYTKAVECCDKALGLDS
+>Q13451_PF13181_353 <unknown description>
+GLYRRGEAQLLMNEFESAKGDFEKVLEVNPQN
+>O75344_PF00254_53 <unknown description>
+PDASVLVKYSGYLEHMDRPFDSNYFRKTPRLMKLGEDITLWGMELGLLSMRRGELARFLF
+KPNYAYGTLGCPPLIPPNTTVLFEIELL
+>Q9Y680_PF00254_47 <unknown description>
+SKTSKKGDLLNAHYDGYLAKDGSKFYCSRTQNEGHPKWFVLGVGQVIKGLDIAMTDMCPG
+EKRKVVIPPSFAYGKEGYAEGKIPPDATLIFEIEL
+>Q9Y680_PF13202_194 <unknown description>
+LEDIFKKNDHDGDGFISPKEY
+>Q14318_PF00254_115 <unknown description>
+SRPVKGQVVTVHLQTSLENGTRVQEEPELVFTLGDCDVIQALDLSVPLMDVGETAMVTAD
+SKYCYGPQGSRSPYIPPHAALCLEVTL
+>Q14318_PF07719_308 <unknown description>
+KALFRKGKVLAQQGEYSEAIPILRAALKLEPSN
+>O95302_PF00254_47 <unknown description>
+CPRTVRSGDFVRYHYVGTFPDGQKFDSSYDRDSTFNVFVGKGQLITGMDQALVGMCVNER
+RFVKIPPKLAYGNEGVSGVIPPNSVLHFDVLL
+>O95302_PF00254_159 <unknown description>
+CPRTIQVSDFVRYHYNGTFLDGTLFDSSHNRMKTYDTYVGIGWLIPGMDKGLLGMCVGEK
+RIITIPPFLAYGEDGDGKDIPGQASLVFDVALL
+>O95302_PF00254_272 <unknown description>
+ERISQSGDFLRYHYNGTLLDGTLFDSSYSRNRTFDTYIGQGYVIPGMDEGLLGVCIGEKR
+RIVVPPHLGYGEEGRGNIPGSAVLVFDIHVI
+>O95302_PF00254_386 <unknown description>
+SKKGDYLKYHYNASLLDGTLLDSTWNLGKTYNIVLGSGQVVLGMDMGLREMCVGEKRTVI
+IPPHLGYGEAGVDGEVPGSAVLVFDIELL
+>Q9UIM3_PF00515_287 <unknown description>
+KALYRRGVAQAALGNLEKATADLKKVLAIDPKN
+>Q9H9S5_PF04991_335 <unknown description>
+AAGVRYWLEGGSLLGAARHGDIIPWDYDVDLGIYLED
+>O75072_PF19737_1 <unknown description>
+MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSTKNGAGLSKSKGSRIGFDSTQWRAVKK
+FIMLTSNQNVPVFLIDPLILELINKNFEQVKNTSHGSTSQCKFFCVPRDFTAFALQYHLW
+KNEEGWFRIAENMGFQCLKIESKDPRLDGIDSLSGTEIPLHYICKLATHAIHLVVFHERS
+GNYLWHGHLRLKEHIDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLEVLIPKDPMHFVE
+EVPHSRFIECRYKEARAFFQQYLDDNTVEAVAFRKSAK
+>O75072_PF04991_290 <unknown description>
+KLGVPFWLSSGTCLGWYRQCNIIPYSKDVDLGIFIQDYK
+>Q15007_PF17098_69 <unknown description>
+SARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGEL
+EQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRIAQLEAELA
+LQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTI
+>Q8NFG4_PF11704_105 <unknown description>
+KYVSHQHPSHPQLFSIVRQACVRSLSCEVCPGREGPIFFGDEQHGFVFSHTFFIKDSLAR
+GFQRWYSIITIMMDRIYLINSWPFLLGKVRGIIDELQGKALKVFEAEQFGCPQRAQRMNT
+AFTPFLHQRNGNAARSLTSLTSDDNLWACLHTSFAWLLKAC
+>Q8NFG4_PF16692_344 <unknown description>
+PVFKSLRHMRQVLGAPSFRMLAWHVLMGNQVIWKSRDVDLVQSAFEVLRTMLPVGCVRII
+PYSSQYEEAYRCNFLGLSPHVQIPPHVLSSEFAVIVEVHAAARSTLHPVGCEDDQSLSKY
+EFVVTSGSPVAADRVGPTILNKIEAALTNQNLSVDVVDQCLVCLKEEWMNKVKVLFKFTK
+VDSRPKEDTQKLLSILGASEEDNVKLLKFWMTGLSKTYKSHLM
+>Q01543_PF02198_115 <unknown description>
+PNMTTNERRVIVPADPTLWTQEHVRQWLEWAIKEYSLMEIDTSFFQNMDGKELCKMNKED
+FLRATTLYNTEVLLSHLSYLRES
+>Q01543_PF00178_282 <unknown description>
+QLWQFLLELLSDSANASCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRY
+YYDKNIMTKVHGKRYAYKF
+>Q13045_PF13855_34 <unknown description>
+SLRWLKLNRTGLCYLPEELAALQKLEHLSVSHNNLTTLHGELSSLPSLRAIVARANSL
+>Q13045_PF13855_131 <unknown description>
+VLNLSHNSIDTIPNQLFINLTDLLYLDLSENRLESLPPQMRRLVHLQTLVLNGNPL
+>Q13045_PF13855_199 <unknown description>
+ALQTLHLRSTQRTQSNLPTSLEGLSNLADVDLSCNDLTRVPECLYTLPSLRRLNLSSNQI
+>Q13045_PF12799_271 <unknown description>
+VETLNLSRNQLTSLPSAICKLSKLKKLYLNSNKLDFDGLP
+>Q13045_PF00626_509 <unknown description>
+FVPVLVEEAFHGKFYEADCYIVLKTFLDDSGSLNWEIYYWIGGEATLDKKACSAIHAVNL
+RNYLGAECRTVREEMGDESEEFL
+>Q13045_PF00626_629 <unknown description>
+NIKLEPVPLKGTSLDPRFVFLLDRGLDIYVWRGAQATLSSTTKARLFAEKINKNERKGKA
+EITLLVQGQELPEFW
+>Q13045_PF00626_759 <unknown description>
+LMPRMRLLQSLLDTRCVYILDCWSDVFIWLGRKSPRLVRAAALKLGQELCGMLHRPRHAT
+VSRSLEGTEAQVF
+>Q13045_PF00626_1180 <unknown description>
+EKCSDFCQDDLADDDIMLLDNGQEVYMWVGTQTSQVEIKLSLKACQVYIQHMRSKEHERP
+RRLRLVRKGNEQHAF
+>Q7Z7B0_PF09727_74 <unknown description>
+ELSKEDLIQLLSIMEGELQAREDVIHMLKTEKTKPEVLEAHYGSAEPEKVLRVLHRDAIL
+AQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTVYELENEKHKHTDY
+MNKSDDFTNLLEQERERLKKLLEQEKAYQARKEKENAKRLNKLRDELVKLKSFALMLVDE
+RQ
+>P21333_PF00307_44 <unknown description>
+IQQNTFTRWCNEHLKCVSKRIANLQTDLSDGLRLIALLEVLSQKKMHRKHNQRPTFRQMQ
+LENVSVALEFLDRESIKLVSIDSKAIVDGNLKLILGLIWTLILHYS
+>P21333_PF00307_167 <unknown description>
+TPKQRLLGWIQNKLPQLPITNFSRDWQSGRALGALVDSCAPGLCPDWDSWDASKPVTNAR
+EAMQQADDWLGIPQVITPEEIVDPNVDEHSVMTYLSQFPK
+>P21333_PF00630_280 <unknown description>
+NPKKARAYGPGIEPTGNMVKKRAEFTVETRSAGQGEVLVYVEDPAGHQEEAKVTANNDKN
+RTFSVWYVPEVTGTHKVTVLFAGQHIAKSPFE
+>P21333_PF00630_379 <unknown description>
+GDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGTGEVEVVIQDPMGQKGTVEPQLEARG
+DSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYT
+>P21333_PF00630_478 <unknown description>
+CNPSACRAVGRGLQPKGVRVKETADFKVYTKGAGSGELKVTVKGPKGEERVKQKDLGDGV
+YGFEYYPMVPGTYIVTITWGGQNIGRSPFE
+>P21333_PF00630_574 <unknown description>
+CGNQKVRAWGPGLEGGVVGKSADFVVEAIGDDVGTLGFSVEGPSQAKIECDDKGDGSCDV
+RYWPQEAGEYAVHVLCNSEDIRLSPF
+>P21333_PF00630_670 <unknown description>
+FHPDRVKARGPGLEKTGVAVNKPAEFTVDAKHGGKAPLRVQVQDNEGCPVEALVKDNGNG
+TYSCSYVPRKPVKHTAMVSWGGVSIPNSPFR
+>P21333_PF00630_767 <unknown description>
+SHPNKVKVYGPGVAKTGLKAHEPTYFTVDCAEAGQGDVSIGIKCAPGVVGPAEADIDFDI
+IRNDNDTFTVKYTPRGAGSYTIMVLFADQATPTSPI
+>P21333_PF00630_871 <unknown description>
+DASKVKAEGPGLSRTGVELGKPTHFTVNAKAAGKGKLDVQFSGLTKGDAVRDVDIIDHHD
+NTYTVKYTPVQQGPVGVNVTYGGDPIPKSPF
+>P21333_PF00630_969 <unknown description>
+LDLSKIKVSGLGEKVDVGKDQEFTVKSKGAGGQGKVASKIVGPSGAAVPCKVEPGLGADN
+SVVRFLPREEGPYEVEVTYDGVPVPGSPF
+>P21333_PF00630_1065 <unknown description>
+TKPSKVKAFGPGLQGGSAGSPARFTIDTKGAGTGGLGLTVEGPCEAQLECLDNGDGTCSV
+SYVPTEPGDYNINILFADTHIPGSPFK
+>P21333_PF00630_1158 <unknown description>
+FDASKVKCSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSEAGLPAEVYIQDHGDGTH
+TITYIPLCPGAYTVTIKYGGQPVPNFP
+>P21333_PF00630_1253 <unknown description>
+VDTSGVQCYGPGIEGQGVFREATTEFSVDARALTQTGGPHVKARVANPSGNLTETYVQDR
+GDGMYKVEYTPYEEGLHSVDVTYDGSPVPSSPFQ
+>P21333_PF00630_1353 <unknown description>
+CDPSRVRVHGPGIQSGTTNKPNKFTVETRGAGTGGLGLAVEGPSEAKMSCMDNKDGSCSV
+EYIPYEAGTYSLNVTYGGHQVPGSPFK
+>P21333_PF00630_1446 <unknown description>
+TDASKVKCSGPGLSPGMVRANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVVDNADG
+TQTVNYVPSREGPYSISVLYGDEEVPRSPFK
+>P21333_PF00630_1544 <unknown description>
+DASKVKASGPGLNTTGVPASLPVEFTIDAKDAGEGLLAVQITDPEGKPKKTHIQDNHDGT
+YTVAYVPDVTGRYTILIKYGGDEIPFSPYR
+>P21333_PF00630_1640 <unknown description>
+GDASKCTVTVSIGGHGLGAGIGPTIQIGEETVITVDTKAAGKGKVTCTVCTPDGSEVDVD
+VVENEDGTFDIFYTAPQPGKYVICVRFGGEHVPNSPFQ
+>P21333_PF00630_1809 <unknown description>
+RMPSGKVAQPTITDNKDGTVTVRYAPSEAGLHEMDIRYDNMHIPGSPL
+>P21333_PF00630_1866 <unknown description>
+GHVTAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTCSVSYL
+PVLPGDYSILVKYNEQHVPGSPFT
+>P21333_PF00630_2046 <unknown description>
+DASRVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDGTCRVT
+YCPTEPGNYIINIKFADQHVPGSPF
+>P21333_PF00630_2176 <unknown description>
+AQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGTHTVSVKYKGQHVPGSPFQ
+>P21333_PF00630_2238 <unknown description>
+AHKVRAGGPGLERAEAGVPAEFSIWTREAGAGGLAIAVEGPSKAEISFEDRKDGSCGVAY
+VVQEPGDYEVSVKFNEEHIPDSPF
+>P21333_PF00630_2331 <unknown description>
+DARRLTVSSLQESGLKVNQPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAV
+RFIPRENGVYLIDVKFNGTHIPGSPFK
+>P21333_PF00630_2428 <unknown description>
+DPGLVSAYGAGLEGGVTGNPAEFVVNTSNAGAGALSVTIDGPSKVKMDCQECPEGYRVTY
+TPMAPGSYLISIKYGGPYHIGGSPFK
+>P21333_PF00630_2555 <unknown description>
+ADASKVVAKGLGLSKAYVGQKSSFTVDCSKAGNNMLLVGVHGPRTPCEEILVKHVGSRLY
+SVSYLLKDKGEYTLVVKWGDEHIPGSPYR
+>O75369_PF00307_17 <unknown description>
+IQQNTFTRWCNEHLKCVNKRIGNLQTDLSDGLRLIALLEVLSQKRMYRKYHQRPTFRQMQ
+LENVSVALEFLDRESIKLVSIDSKAIVDGNLKLILGLVWTLILHYS
+>O75369_PF00307_140 <unknown description>
+TPKQRLLGWIQNKIPYLPITNFNQNWQDGKALGALVDSCAPGLCPDWESWDPQKPVDNAR
+EAMQQADDWLGVPQVITPEEIIHPDVDEHSVMTYLSQFPK
+>O75369_PF00630_253 <unknown description>
+NPKKARAYGRGIEPTGNMVKQPAKFTVDTISAGQGDVMVFVEDPEGNKEEAQVTPDSDKN
+KTYSVEYLPKVTGLHKVTVLFAGQHISKSPFE
+>O75369_PF00630_352 <unknown description>
+GDASKVTAKGPGLEAVGNIANKPTYFDIYTAGAGVGDIGVEVEDPQGKNTVELLVEDKGN
+QVYRCVYKPMQPGPHVVKIFFAGDTIPKSPF
+>O75369_PF00630_450 <unknown description>
+CNPNACRASGRGLQPKGVRIRETTDFKVDTKAAGSGELGVTMKGPKGLEELVKQKDFLDG
+VYAFEYYPSTPGRYSIAITWGGHHIPKSPFE
+>O75369_PF00630_549 <unknown description>
+MQKVRAWGPGLHGGIVGRSADFVVESIGSEVGSLGFAIEGPSQAKIEYNDQNDGSCDVKY
+WPKEPGEYAVHIMCDDEDIKDSPY
+>O75369_PF00630_643 <unknown description>
+YNPDLVRAYGPGLEKSGCIVNNLAEFTVDPKDAGKAPLKIFAQDGEGQRIDIQMKNRMDG
+TYACSYTPVKAIKHTIAVVWGGVNIPHSPYR
+>O75369_PF00630_740 <unknown description>
+SHPQKVKVFGPGVERSGLKANEPTHFTVDCTEAGEGDVSVGIKCDARVLSEDEEDVDFDI
+IHNANDTFTVKYVPPAAGRYTIKVLFASQEIPASPFR
+>O75369_PF00630_844 <unknown description>
+DASKVKAEGPGLSKAGVENGKPTHFTVYTKGAGKAPLNVQFNSPLPGDAVKDLDIIDNYD
+YSHTVKYTPTQQGNMQVLVTYGGDPIPKSPFT
+>O75369_PF00630_943 <unknown description>
+DLSKIKLNGLENRVEVGKDQEFTVDTRGAGGQGKLDVTILSPSRKVVPCLVTPVTGRENS
+TAKFIPREEGLYAVDVTYDGHPVPGSPYT
+>O75369_PF00630_1038 <unknown description>
+PDPSKVKAHGPGLEGGLVGKPAEFTIDTKGAGTGGLGLTVEGPCEAKIECSDNGDGTCSV
+SYLPTKPGEYFVNILFEEVHIPGSPFK
+>O75369_PF00630_1131 <unknown description>
+FDPSKVVASGPGLEHGKVGEAGLLSVDCSEAGPGALGLEAVSDSGTKAEVSIQNNKDGTY
+AVTYVPLTAGMYTLTMKYGGELVPHFP
+>O75369_PF00630_1226 <unknown description>
+VDTSRIKVFGPGIEGKDVFREATTDFTVDSRPLTQVGGDHIKAHIANPSGASTECFVTDN
+ADGTYQVEYTPFEKGLHVVEVTYDDVPIPNSPFK
+>O75369_PF00630_1326 <unknown description>
+CQPSRVQAQGPGLKEAFTNKPNVFTVVTRGAGIGGLGITVEGPSESKINCRDNKDGSCSA
+EYIPFAPGDYDVNITYGGAHIPGSPFR
+>O75369_PF00630_1419 <unknown description>
+VDPSKVKIAGPGLGSGVRARVLQSFTVDSSKAGLAPLEVRVLGPRGLVEPVNVVDNGDGT
+HTVTYTPSQEGPYMVSVKYADEEIPRSPFK
+>O75369_PF00630_1515 <unknown description>
+YDASKVTASGPGLSSYGVPASLPVDFAIDARDAGEGLLAVQITDQEGKPKRAIVHDNKDG
+TYAVTYIPDKTGRYMIGVTYGGDDIPLSPYR
+>O75369_PF00630_1612 <unknown description>
+GDASKCLATGPGIASTVKTGEEVGFVVDAKTAGKGKVTCTVLTPDGTEAEADVIENEDGT
+YDIFYTAAKPGTYVIYVRFGGVDIPNSPFT
+>O75369_PF00630_1765 <unknown description>
+HMPSGKTATPEIVDNKDGTVTVRYAPTEVGLHEMHIKYMGSHIPESPL
+>O75369_PF00630_1823 <unknown description>
+SVSAYGPGLVYGVANKTATFTIVTEDAGEGGLDLAIEGPSKAEISCIDNKDGTCTVTYLP
+TLPGDYSILVKYNDKHIPGSPFT
+>O75369_PF00630_2001 <unknown description>
+DARRAKVYGRGLSEGRTFEMSDFIVDTRDAGYGGISLAVEGPSKVDIQTEDLEDGTCKVS
+YFPTVPGVYIVSTKFADEHVPGSPFT
+>O75369_PF00630_2127 <unknown description>
+SDMSAHVTSPSGRVTEAEIVPMGKNSHCVRFVPQEMGVHTVSVKYRGQHVTGSPFQ
+>O75369_PF00630_2193 <unknown description>
+AHKVRAGGPGLERGEAGVPAEFSIWTREAGAGGLSIAVEGPSKAEITFDDHKNGSCGVSY
+IAQEPGNYEVSIKFNDEHIPESPY
+>O75369_PF00630_2298 <unknown description>
+SGLKVNQPASFAIRLNGAKGKIDAKVHSPSGAVEECHVSELEPDKYAVRFIPHENGVHTI
+DVKFNGSHVVGSPFK
+>O75369_PF00630_2384 <unknown description>
+PALVSAYGTGLEGGTTGIQSEFFINTTRAGPGTLSVTIEGPSKVKMDCQETPEGYKVMYT
+PMAPGNYLISVKYGGPNHIVGSPFK
+>O75369_PF00630_2510 <unknown description>
+SDASKVTSKGAGLSKAFVGQKSSFLVDCSKAGSNMLLIGVHGPTTPCEEVSMKHVGNQQY
+NVTYVVKERGDYVLAVKWGEEHIPGSPFH
+>Q14315_PF00307_37 <unknown description>
+IQQNTFTRWCNEHLKCVGKRLTDLQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMK
+LENVSVALEFLEREHIKLVSIDSKAIVDGNLKLILGLIWTLILHYS
+>Q14315_PF00307_160 <unknown description>
+TPKQRLLGWIQNKVPQLPITNFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVENAR
+EAMQQADDWLGVPQVIAPEEIVDPNVDEHSVMTYLSQFPK
+>Q14315_PF00630_274 <unknown description>
+NPKKAIAYGPGIEPQGNTVLQPAHFTVQTVDAGVGEVLVYIEDPEGHTEEAKVVPNNDKD
+RTYAVSYVPKVAGLHKVTVLFAGQNIERSPFE
+>Q14315_PF00630_374 <unknown description>
+DANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQGRRDTVEVALEDKGD
+STFRCTYRPAMEGPHTVHVAFAGAPITRSPF
+>Q14315_PF00630_472 <unknown description>
+CNPNACRASGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPKGTEEPVKVREAGDG
+VFECEYYPVVPGKYVVTITWGGYAIPRSPFE
+>Q14315_PF00630_571 <unknown description>
+VQKVRAWGPGLETGQVGKSADFVVEAIGTEVGTLGFSIEGPSQAKIECDDKGDGSCDVRY
+WPTEPGEYAVHVICDDEDIRDSPF
+>Q14315_PF00630_665 <unknown description>
+CFPDKVKAFGPGLEPTGCIVDKPAEFTIDARAAGKGDLKLYAQDADGCPIDIKVIPNGDG
+TFRCSYVPTKPIKHTIIISWGGVNVPKSPFR
+>Q14315_PF00630_762 <unknown description>
+SHPERVKVYGPGVEKTGLKANEPTYFTVDCSEAGQGDVSIGIKCAPGVVGPAEADIDFDI
+IKNDNDTFTVKYTPPGAGRYTIMVLFANQEIPASPFH
+>Q14315_PF00630_866 <unknown description>
+DASKVKAEGPGLNRTGVEVGKPTHFTVLTKGAGKAKLDVQFAGTAKGEVVRDFEIIDNHD
+YSYTVKYTAVQQGNMAVTVTYGGDPVPKSPF
+>Q14315_PF00630_964 <unknown description>
+LDLSKIKVQGLNSKVAVGQEQAFSVNTRGAGGQGQLDVRMTSPSRRPIPCKLEPGGGAEA
+QAVRYMPPEEGPYKVDITYDGHPVPGSPF
+>Q14315_PF00630_1060 <unknown description>
+PDPSKVCAYGPGLKGGLVGTPAPFSIDTKGAGTGGLGLTVEGPCEAKIECQDNGDGSCAV
+SYLPTEPGEYTINILFAEAHIPGSPFK
+>Q14315_PF00630_1153 <unknown description>
+FDPSKVRASGPGLERGKVGEAATFTVDCSEAGEAELTIEILSDAGVKAEVLIHNNADGTY
+HITYSPAFPGTYTITIKYGGHPVPKFP
+>Q14315_PF00630_1248 <unknown description>
+VDTSGVKVSGPGVEPHGVLREVTTEFTVDARSLTATGGNHVTARVLNPSGAKTDTYVTDN
+GDGTYRVQYTAYEEGVHLVEVLYDEVAVPKSPFR
+>Q14315_PF00630_1348 <unknown description>
+CDPTRVRAFGPGLEGGLVNKANRFTVETRGAGTGGLGLAIEGPSEAKMSCKDNKDGSCTV
+EYIPFTPGDYDVNITFGGRPIPGSPFR
+>Q14315_PF00630_1441 <unknown description>
+VDPGKVKCSGPGLGAGVRARVPQTFTVDCSQAGRAPLQVAVLGPTGVAEPVEVRDNGDGT
+HTVHYTPATDGPYTVAVKYADQEVPRSPFK
+>Q14315_PF00630_1538 <unknown description>
+DASKVRASGPGLNASGIPASLPVEFTIDARDAGEGLLTVQILDPEGKPKKANIRDNGDGT
+YTVSYLPDMSGRYTITIKYGGDEIPYSPFR
+>Q14315_PF00630_1634 <unknown description>
+GDASKCLVTVSIGGHGLGACLGPRIQIGQETVITVDAKAAGEGKVTCTVSTPDGAELDVD
+VVENHDGTFDIYYTAPEPGKYVITIRFGGEHIPNSPFH
+>Q14315_PF00630_1801 <unknown description>
+EVRMPSGKTARPNITDNKDGTITVRYAPTEKGLHQMGIKYDGNHIPGSPL
+>Q14315_PF00630_1859 <unknown description>
+SRHVSAYGPGLSHGMVNKPATFTIVTKDAGEGGLSLAVEGPSKAEITCKDNKDGTCTVSY
+LPTAPGDYSIIVRFDDKHIPGSPFT
+>Q14315_PF00630_2040 <unknown description>
+DASKVRVWGKGLSEGHTFQVAEFIVDTRNAGYGGLGLSIEGPSKVDINCEDMEDGTCKVT
+YCPTEPGTYIINIKFADKHVPGSPFT
+>Q14315_PF00630_2238 <unknown description>
+TRQQEGEASSQDMTAQVTSPSGKVEAAEIVEGEDSAYSVRFVPQEMGPHTVAVKYRGQHV
+PGSPFQ
+>Q14315_PF00630_2314 <unknown description>
+AHKVRAGGTGLERGVAGVPAEFSIWTREAGAGGLSIAVEGPSKAEIAFEDRKDGSCGVSY
+VVQEPGDYEVSIKFNDEHIPDSPF
+>Q14315_PF00630_2418 <unknown description>
+ETGLKVNQPASFAVQLNGARGVIDARVHTPSGAVEECYVSELDSDKHTIRFIPHENGVHS
+IDVKFNGAHIPGSPFK
+>Q14315_PF00630_2503 <unknown description>
+GDPGLVSAYGPGLEGGTTGVSSEFIVNTLNAGSGALSVTIDGPSKVQLDCRECPEGHVVT
+YTPMAPGNYLIAIKYGGPQHIVGSPFK
+>Q14315_PF00630_2633 <unknown description>
+SDASKVVTRGPGLSQAFVGQKNSFTVDCSKAGTNMMMVGVHGPKTPCEEVYVKHMGNRVY
+NVTYTVKEKGDYILIVKWGDESVPGSPFK
+>O75955_PF01145_5 <unknown description>
+CGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHGVPIS
+VTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEIYKDR
+QKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGEAEAK
+>Q14254_PF01145_6 <unknown description>
+TVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRISLEIMTLQPRCEDVETAEGV
+ALTVTGVAQVKIMTEKELLAVACEQFLGKNVQDIKNVVLQTLEGHLRSILGTLTVEQIYQ
+DRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGKTQTAVVQRDADIGVAE
+AE
+>Q9UGQ2_PF10233_35 <unknown description>
+GVLGAVSCAISGLFNCITIHPLNIAAGVWMIMNAFILLLCEAPFCCQFIEFANTVAEKVD
+RLRSWQKAVFYCGMAVVPIVISLTLTTLLGNAIAFATGVLYGLSALGKK
+>O43155_PF13855_93 <unknown description>
+VYLYGNQLDEFPMNLPKNVRVLHLQENNIQTISRAALAQLLKLEELHLDDNSI
+>O43155_PF13855_182 <unknown description>
+LQELRVDENRIAVISDMAFQNLTSLERLIVDGNLLTNKGIAEGTFSHLTKLKEFSIVRNS
+L
+>O43155_PF13855_253 <unknown description>
+HLIRLYLQDNQINHIPLTAFSNLRKLERLDISNNQLRMLTQGVFDNLSNLKQLTARNNP
+>O43155_PF01463_338 <unknown description>
+MCQGPEQVRGMAVRELNMNLLSCP
+>Q9NZU0_PF13855_176 <unknown description>
+TIEELRLDDNRISTISSPSLQGLTSLKRLVLDGNLLNNHGLGDKVFFNLVNLTELSLVRN
+SL
+>Q9NZU0_PF13855_247 <unknown description>
+TNLRKLYLQDNHINRVPPNAFSYLRQLYRLDMSNNNLSNLPQGIFDDLDNITQLILRNNP
+>P49771_PF02947_29 <unknown description>
+DCSFQHSPISSDFAVKIRELSDYLLQDYPVTVASNLQDEELCGGLWRLVLAQRWMERLKT
+VAGSKMQGLLERVNTEIHFVTKCAFQPPPSCLRFVQTNISRLLQETSEQLVALKPWITRQ
+NFSRCLELQCQ
+>P36888_PF00047_257 <unknown description>
+LPQLFLKVGEPLWIRCKAVHVNHGFGLTWELENKALEEGNYFEMSTYSTNRTMIRILFAF
+VSSVARNDTGYYTCSSSKHPSQSALVTI
+>P36888_PF07714_610 <unknown description>
+LEFGKVLGSGAFGKVMNATAYGISKTGVSIQVAVKMLKEKADSSEREALMSELKMMTQLG
+SHENIVNLLGACTLSGPIYLIFEYCCYGDLLNYLRSKREKFHRTWTEIFKEHNFSFYPTF
+QSHPNSSMPGSREVQIHPDSDQISGLHGNSFHSEDEIEYENQKRLEEEEDLNVLTFEDLL
+CFAYQVAKGMEFLEFKSCVHRDLAARNVLVTHGKVVKICDFGLARDIMSDSNYVVRGNAR
+LPVKWMAPESLFEGIYTIKSDVWSYGILLWEIFSLGVNPYPGIPVDANFYKLIQNGFKMD
+QPFYATEEIYIIMQSCWAFDSRKRPSFPNLTSFL
+>Q9Y5Y0_PF07690_122 <unknown description>
+AFQWIQYSIISNVFEGFYGVTLLHIDWLSMVYMLAYVPLIFPATWLLDTRGLRLTALLGS
+GLNCLGAWIKCGSVQQHLFWVTMLGQCLCSVAQVFILGLPSRIASVWFGPKEVSTACATA
+VLGNQLGTAVGFLLPPVLVPNTQNDTNLLACNISTMFYGTSAVATLLFILTAIAFKEKPR
+YPPSQAQAALQDSPPEEYSYKKSIRNLFKNIPFVLLLITYGIMTGAFYSVSTLLNQMILT
+YYEGEEVNAGRIGLTLVVAGMVGSILCGLWLDYTKTYKQTTLIVYILSFIGMVIFTFTLD
+LRYIIIVFVTGGVLGFFMTGYLPLGFEFAVEITYPESEGTSSGLLNASAQIFGILF
+>Q9UPI3_PF07690_94 <unknown description>
+YSMCNSFQWIQYGSINNIFMHFYGVSAFAIDWLSMCYMLTYIPLLLPVAWLLEKFGLRTI
+ALTGSALNCLGAWVKLGSLKPHLFPVTVVGQLICSVAQVFILGMPSRIASVWFGANEVST
+ACSVAVFGNQLGIAIGFLVPPVLVPNIEDRDELAYHISIMFYIIGGVATLLLILVIIVFK
+EKPKYPPSRAQSLSYALTSPDASYLGSIARLFKNLNFVLLVITYGLNAGAFYALSTLLNR
+MVIWHYPGEEVNAGRIGLTIVIAGMLGAVISGIWLDRSKTYKETTLVVYIMTLVGMVVYT
+FTLNLGHLWVVFITAGTMGFFMTGYLPLGFEFAVELTYPESEGISSGLLNISAQVFGIIF
+>B3EWG3_PF15825_24 <unknown description>
+AIHAVEEVVKEVVGHAKETGEKAIAEAIKKAQESGDKKMKEITETVTNTVTNAITHAAES
+LDKLG
+>B3EWG5_PF15825_24 <unknown description>
+AIHAVEEVVKEVVGHAKETGEKAIAEAIKKAQESGDKKMKEITETVTNTVTNAITHAAES
+LDKLG
+>B3EWG6_PF15825_24 <unknown description>
+AIHAVEEVVKEVVGHAKETGEKAIAEAIKKAQESGDKKMKEITETVTNTVTNAITHAAES
+LDKLG
+>Q68DA7_PF02181_973 <unknown description>
+KPAIEPSCPMKPLYWTRIQISDRSQNATPTLWDSLEEPDIRDPSEFEYLFSKDTTQQKKK
+PLSETYEKKNKVKKIIKLLDGKRSQTVGILISSLHLEMKDIQQAIFNVDDSVVDLETLAA
+LYENRAQEDELVKIRKYYETSKEEELKLLDKPEQFLHELAQIPNFAERAQCIIFRSVFSE
+GITSLHRKVEIITRASKDLLHVKSVKDILALILAFGNYMNGGNRTRGQADGYSLEILPKL
+KDVKSRDNGINLVDYVVKYYLRYYDQEAGTEKSVFPLPEPQDFFLASQVKFEDLIKDLRK
+LKRQLEASEKQMVVVCKESPKEYLQPFKDKLEEFFQKAKKEHKMEESHLENAQKSFETTV
+RYFGMKPKSGEKEITPSYVFMVWYEFCSDFK
+>Q9NZ56_PF02181_1284 <unknown description>
+KQPIEPCRPMKPLYWTRIQLHSKRDSSTSLIWEKIEEPSIDCHEFEELFSKTAVKERKKP
+ISDTISKTKAKQVVKLLSNKRSQAVGILMSSLHLDMKDIQHAVVNLDNSVVDLETLQALY
+ENRAQSDELEKIEKHGRSSKDKENAKSLDKPEQFLYELSLIPNFSERVFCILFQSTFSES
+ICSIRRKLELLQKLCETLKNGPGVMQVLGLVLAFGNYMNGGNKTRGQADGFGLDILPKLK
+DVKSSDNSRSLLSYIVSYYLRNFDEDAGKEQCLFPLPEPQDLFQASQMKFEDFQKDLRKL
+KKDLKACEVEAGKVYQVSSKEHMQPFKENMEQFIIQAKIDQEAEENSLTETHKCFLETTA
+YFFMKPKLGEKEVSPNAFFSIWHEFSSDFK
+>O95466_PF06371_30 <unknown description>
+PAAGELEERFNRALNCMNLPPDKVQLLSQYDNEKKWELICDQERFQVKNPPAAYIQKLKS
+YVDTGGVSRKVAADWMSNLGFKRRVQESTQVLRELETSLRTNHIGWVQEFLNEENRGLDV
+LLEYLA
+>O95466_PF06371_223 <unknown description>
+QKDDVHVCIMCLRAIMNYQSGFSLVMNHPACVNEIALSLNNKNPRTKALVLELLAAVCL
+>O95466_PF06367_284 <unknown description>
+GGHDIILAAFDNFKEVCGEQHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFR
+VFLQYEFTHLGLDLYLERLRLTESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEE
+LQEQVALLTERLRDAENESMAKIAELEKQLSQ
+>O95466_PF02181_633 <unknown description>
+KKPIQTKFRMPLLNWVALKPSQITGTVFTELNDEKVLQELDMSDFEEQFKTKSQGPSLDL
+SALKSKAAQKAPSKATLIEANRAKNLAITLRKGNLGAERICQAIEAYDLQALGLDFLELL
+MRFLPTEYERSLITRFEREQRPMEELSEEDRFMLCFSRIPRLPERMTTLTFLGNFPDTAQ
+LLMPQLNAIIAASMSIKSSDKLRQILEIVLAFGNYMNSSKRGAAYGFRLQSLDALLEMKS
+TDRKQTLLHYLVKVIAEKYPQLTGFHSDLHFLDKAGSVSLDSVLADVRSLQRGLELTQRE
+FVRQDDCMVLKEFLRANSPTMDKLLADSKTAQEAFESVVEYFGENPKTTSPGLFFSLFSR
+FIKAYK
+>Q96PY5_PF06371_24 <unknown description>
+PMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKKWELICDQERFQVKNPPHTYIQKL
+KGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIGWVREFLNEENKGLDVLVEYLSFA
+Q
+>Q96PY5_PF06371_217 <unknown description>
+KKDDVHVCIMCLRAIMNYQYGFNMVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCL
+>Q96PY5_PF06367_278 <unknown description>
+GGHEIILSAFDNFKEVCGEKQRFEKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFR
+VHLQYEFTKLGLDEYLDKLKHTESDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEE
+LEENISHLSEKLQDTENEAMSKIVELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKE
+KEEAIQRQSTLEKKIHEL
+>Q96PY5_PF02181_617 <unknown description>
+KKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVDEFEEIFKTKAQGPAIDL
+SSSKQKIPQKGSNKVTLLEANRAKNLAITLRKAGKTADEICKAIHVFDLKTLPVDFVECL
+MRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLMQKMTIMAFIGNFAESIQ
+MLTPQLHAIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGAVYGFKLQSLDLLLDTKS
+TDRKQTLLHYISNVVKEKYHQVSLFYNELHYVEKAAAVSLENVLLDVKELQRGMDLTKRE
+YTMHDHNTLLKEFILNNEGKLKKLQDDAKIAQDAFDDVVKYFGENPKTTPPSVFFPVFVR
+FVKAYK
+>Q8IVF7_PF06371_28 <unknown description>
+MPEPCELEERFALVLSSMNLPPDKARLLRQYDNEKKWDLICDQERFQVKNPPHTYIQKLQ
+SFLDPSVTRKKFRRRVQESTKVLRELEISLRTNHIGWVREFLNDENKGLDVLVDYLS
+>Q8IVF7_PF06371_221 <unknown description>
+KDDVHVCILCLRAIMNYQYGFNLVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCL
+>Q8IVF7_PF06367_281 <unknown description>
+GGHEIILAAFDNFKEVCKELHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVEDMNFR
+VHLQYEFTKLGLEEFLQKSRHTESEKLQVQIQAYLDNVFDVGGLLEDAETKNVALEKVEE
+LEEHVSHLTEKLLDLENENMMRVAELEKQLLQREKELESIKETYENTSHQVHTLRRLIKE
+KEEAFQRRCHLEPNVRG
+>Q8IVF7_PF02181_562 <unknown description>
+KKPIKTKFRLPVFNWTALKPNQISGTVFSELDDEKILEDLDLDKFEELFKTKAQGPALDL
+ICSKNKTAQKAASKVTLLEANRAKNLAITLRKAGRSAEEICRAIHTFDLQTLPVDFVECL
+MRFLPTEAEVKLLRQYERERQPLEELAAEDRFMLLFSKVERLTQRMAGMAFLGNFQDNLQ
+MLTPQLNAIIAASASVKSSQKLKQMLEIILALGNYMNSSKRGAVYGFKLQSLDLLLDTKS
+TDRKMTLLHFIALTVKEKYPDLANFWHELHFVEKAAAVSLENVLLDVKELGRGMELIRRE
+CSIHDNSVLRNFLSTNEGKLDKLQRDAKTAEEAYNAVVRYFGESPKTTPPSVFFPVFVRF
+IRSYK
+>Q01740_PF00743_2 <unknown description>
+AKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSDDLGGLWRFTEHVEEGRASLYKSVVSN
+SCKEMSCYSDFPFPEDYPNYVPNSQFLEYLKMYANHFDLLKHIQFKTKVCSVTKCSDSAV
+SGQWEVVTMHEEKQESAIFDAVMVCTGFLTNPYLPLDSFPGINAFKGQYFHSRQYKHPDI
+FKDKRVLVIGMGNSGTDIAVEASHLAEKVFLSTTGGGWVISRIFDSGYPWDMVFMTRFQN
+MLRNSLPTPIVTWLMERKINNWLNHANYGLIPEDRTQLKEFVLNDELPGRIITGKVFIRP
+SIKEVKENSVIFNNTSKEEPIDIIVFATGYTFAFPFLDESVVKVEDGQASLYKYIFPAHL
+QKPTLAIIGLIKPLGSMIPTGETQARWAVRVLKGVNKLPPPSVMIEEINARKENKPSWFG
+LCYCKALQSDYITYIDELLTYINAKPNLFSMLLTDPHLALTVFFGPCSPYQFRLTGPGKW
+EGARNAIMTQWDRTFKVIKARVVQESPSPFESFLKVFSFLALLVAIFLIF
+>Q99518_PF00743_2 <unknown description>
+AKKVAVIGAGVSGLISLKCCVDEGLEPTCFERTEDIGGVWRFKENVEDGRASIYQSVVTN
+TSKEMSCFSDFPMPEDFPNFLHNSKLLEYFRIFAKKFDLLKYIQFQTTVLSVRKCPDFSS
+SGQWKVVTQSNGKEQSAVFDAVMVCSGHHILPHIPLKSFPGMERFKGQYFHSRQYKHPDG
+FEGKRILVIGMGNSGSDIAVELSKNAAQVFISTRHGTWVMSRISEDGYPWDSVFHTRFRS
+MLRNVLPRTAVKWMIEQQMNRWFNHENYGLEPQNKYIMKEPVLNDDVPSRLLCGAIKVKS
+TVKELTETSAIFEDGTVEENIDVIIFATGYSFSFPFLEDSLVKVENNMVSLYKYIFPAHL
+DKSTLACIGLIQPLGSIFPTAELQARWVTRVFKGLCSLPSERTMMMDIIKRNEKRIDLFG
+ESQSQTLQTNYVDYLDELALEIGAKPDFCSLLFKDPKLAVRLYFGPCNSYQYRLVGPGQW
+EGARNAIFTQKQRILKPLKTRALKDSSNFSVSFLLKILGLLAVVVAFFCQL
+>P31513_PF00743_3 <unknown description>
+KKVAIIGAGVSGLASIRSCLEEGLEPTCFEKSNDIGGLWKFSDHAEEGRASIYKSVFSNS
+SKEMMCFPDFPFPDDFPNFMHNSKIQEYIIAFAKEKNLLKYIQFKTFVSSVNKHPDFATT
+GQWDVTTERDGKKESAVFDAVMVCSGHHVYPNLPKESFPGLNHFKGKCFHSRDYKEPGVF
+NGKRVLVVGLGNSGCDIATELSRTAEQVMISSRSGSWVMSRVWDNGYPWDMLLVTRFGTF
+LKNNLPTAISDWLYVKQMNARFKHENYGLMPLNGVLRKEPVFNDELPASILCGIVSVKPN
+VKEFTETSAIFEDGTIFEGIDCVIFATGYSFAYPFLDESIIKSRNNEIILFKGVFPPLLE
+KSTIAVIGFVQSLGAAIPTVDLQSRWAAQVIKGTCTLPSMEDMMNDINEKMEKKRKWFGK
+SETIQTDYIVYMDELSSFIGAKPNIPWLFLTDPKLAMEVYFGPCSPYQFRLVGPGQWPGA
+RNAILTQWDRSLKPMQTRVVGRLQKPCFFFHWLKLFAIPILLIAVFLVL
+>P31512_PF00743_2 <unknown description>
+AKKVAVIGAGVSGLSSIKCCVDEDLEPTCFERSDDIGGLWKFTESSKDGMTRVYKSLVTN
+VCKEMSCYSDFPFHEDYPNFMNHEKFWDYLQEFAEHFDLLKYIQFKTTVCSITKRPDFSE
+TGQWDVVTETEGKQNRAVFDAVMVCTGHFLNPHLPLEAFPGIHKFKGQILHSQEYKIPEG
+FQGKRVLVIGLGNTGGDIAVELSRTAAQVLLSTRTGTWVLGRSSDWGYPYNMMVTRRCCS
+FIAQVLPSRFLNWIQERKLNKRFNHEDYGLSITKGKKAKFIVNDELPNCILCGAITMKTS
+VIEFTETSAVFEDGTVEENIDVVIFTTGYTFSFPFFEEPLKSLCTKKIFLYKQVFPLNLE
+RATLAIIGLIGLKGSILSGTELQARWVTRVFKGLCKIPPSQKLMMEATEKEQLIKRGVFK
+DTSKDKFDYIAYMDDIAACIGTKPSIPLLFLKDPRLAWEVFFGPCTPYQYRLMGPGKWDG
+ARNAILTQWDRTLKPLKTRIVPDSSKPASMSHYLKAWGAPVLLASLLLIC
+>P49326_PF00743_3 <unknown description>
+KKRIAVIGGGVSGLSSIKCCVEEGLEPVCFERTDDIGGLWRFQENPEEGRASIYKSVIIN
+TSKEMMCFSDYPIPDHYPNFMHNAQVLEYFRMYAKEFDLLKYIRFKTTVCSVKKQPDFAT
+SGQWEVVTESEGKKEMNVFDGVMVCTGHHTNAHLPLESFPGIEKFKGQYFHSRDYKNPEG
+FTGKRVIIIGIGNSGGDLAVEISQTAKQVFLSTRRGAWILNRVGDYGYPADVLFSSRLTH
+FIWKICGQSLANKYLEKKINQRFDHEMFGLKPKHRALSQHPTLNDDLPNRIISGLVKVKG
+NVKEFTETAAIFEDGSREDDIDAVIFATGYSFDFPFLEDSVKVVKNKISLYKKVFPPNLE
+RPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKTLPSQSEMMAEISKAQEEIDKRYVE
+SQRHTIQGDYIDTMEELADLVGVRPNLLSLAFTDPKLALHLLLGPCTPIHYRVQGPGKWD
+GARKAILTTDDRIRKPLMTRVVERSSSMTSTMTIGKFMLALAFFAIIIAY
+>Q06828_PF01462_75 <unknown description>
+DCPQECDCPPNFPTAMYCDNRNLKYLPFVP
+>Q06828_PF13855_107 <unknown description>
+MKYVYFQNNQITSIQEGVFDNATGLLWIALHGNQITSDKVGRKVFSKLRHLERLYLDHNN
+L
+>Q06828_PF13855_176 <unknown description>
+RSLRELHLDHNQISRVPNNALEGLENLTALYLQHNEIQEVGSSMRGLRSLILLDLSYNHL
+>Q06828_PF13855_245 <unknown description>
+ALEQLYMEHNNVYTVPDSYFRGAPKLLYVRLSHNSLTNNGLASNTFNSSSLLELDLSYNQ
+L
+>Q06787_PF18336_4 <unknown description>
+LVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPDRQIPFHDVRFPPP
+>Q06787_PF05641_63 <unknown description>
+DEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNP
+>Q06787_PF17904_123 <unknown description>
+KDTFHKIKLDVPEDLRQMCAKEAAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKRAH
+MLIDMHFRSLRTKLSLIMRNEEASK
+>Q06787_PF00013_221 <unknown description>
+EQFIVREDLMGLAIGTHGANIQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARS
+>Q06787_PF00013_285 <unknown description>
+VIQVPRNLVGKVIGKNGKLIQEIVDKSGVVRVRIEAENEKNVPQEEEI
+>Q06787_PF12235_420 <unknown description>
+HLNYLKEVDQLRLERLQIDEQLRQIGASSRPPPNRTDKEKSYVTDDGQGMGRGSRPYRNR
+GHGRRGPGYTSGTNSEASNASETESDHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGR
+GQG
+>Q06787_PF16098_549 <unknown description>
+GFKGNDDHSRTDNRPRNPREAKGRTTDGSLQIRVDCNNERSVHTKTLQNTSSEGSRLRTG
+KDRNQKKEKPDSVDGQQPLVNGVP
+>Q96DP5_PF00551_118 <unknown description>
+YDVGVVASFGRLLNEALILKFPYGILNVHPSCLPRWRGPAPVIHTVLHGDTVTGVTIMQI
+RPKRFDVGPILKQETVPVPPKSTAKELEAVLSRLGANMLISVL
+>Q96DP5_PF02911_243 <unknown description>
+KISAGTSCIKWEEQTSEQIFRLYRAIGNIIPLQTLWMANTIKLLDLVEVNSSVLADPKLT
+GQALIPGSVIYHKQSQILLVYCKDGWIGVRSVMLKKSLTATDFYNGY
+>Q9H479_PF03881_21 <unknown description>
+GAGCISEGRAYDTDAGPVFVKVNRRTQARQMFEGEVASLEALRSTGLVRVPRPMKVIDLP
+GGGAAFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREKLKEEENTVGRRGEGAEPQYV
+DKFGFHTVTCCGFIPQVNEWQDDWPTFFARHRLQAQLDLIEKDYADREARELWSRLQVKI
+PDLFCGLEIVPALLHGDLWSGNVAEDDVGPIIYDPASFYGHSEFELAIALMFGGFPRSFF
+TAYHRKIPKAPGFDQRLLLYQLFNYLNHWNHFGREYRSPSLGTMRRLLK
+>Q96RU3_PF00611_10 <unknown description>
+QFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSKEEEEYKYTS
+CKAFISNLNEMNDYAGQHE
+>Q96RU3_PF00018_556 <unknown description>
+KALYTFEGQNEGTISVVEGETLYVIEEDKGDGWTRIRRNEDEEGYVPT
+>Q8N3X1_PF00397_219 <unknown description>
+DWQEVWDENTGCYYYWNTQTNEVTWELP
+>Q8N3X1_PF00397_598 <unknown description>
+PKGWSCHWDRDHRRYFYVNEQSGESQWEFP
+>F2Z333_PF17742_24 <unknown description>
+TPPGWEPTPDAPWCPYKVLPEGPEAGGGRLCFRSPARGFRCQAPGCVLHAPAGRSLRASV
+LRNRSVLLQWRLAPAAARRVRAFALNCSWRGAYTRFPCERVLLGASCRDYLLPDVHDSVL
+YRLCLQPLPLRAGPAAAAPETPEPAECVEFTAEPAGMQDIVVAMTAVGGSICVMLVVICL
+LVAYITENLMRPALARPGLRRH
+>Q9BVV2_PF17744_1 <unknown description>
+MSTHVAGLGLDKMKLGNPQSFLDQEEADDQQLLEPEAWKTYTERRNALREFLTSDLSPHL
+LKRHHARMQLLRKCSYYIEVLPKHLALGDQNPLVLPSALFQLIDPWKFQRMKKVGTAQTK
+IQLLLLGDLLEQLDHGRAELDALLRSPDPRPFLADWALVERRLADVSAVMDSFLTMMVPG
+RLHVKHRLVSDVSATKIPHIWLMLSTKMPVVFDRKASAAHQDWARLRWFVTIQPATSEQY
+ELRFRLLDPRTQQECAQCGVIPVAACTFDVRNLLPNRSYKFTIKRAETSTLVYEPWRDSL
+TLHTKPEPLEGPA
+>Q9Y2H6_PF00041_272 <unknown description>
+PVASDIQARTVVLTWSPPSSLINGETDESSVPELYGYEVLISSTGKDGKYKSVYVGEETN
+ITLNDLKPAMDYHAKVQAEYNSIKGTPS
+>Q9Y2H6_PF00041_374 <unknown description>
+PNPPRIANRTKNSLTLQWKAPSDNGSKIQNFVLEWDEGKGNGEFCQCYMGSQKQFKITKL
+SPAMGCKFRLSARNDYGTSGFS
+>Q9Y2H6_PF00041_473 <unknown description>
+PVLTKAGITWLSLQWSKPSGTPSDEGISYILEMEEETSGYGFKPKYDGEDLAYTVKNLRR
+STKYKFKVIAYNSEGKSNPS
+>Q9Y2H6_PF00041_572 <unknown description>
+VKGKIHSHSFKITWDPPKDNGGATINKYVVEMAEGSNGNKWEMIYSGATREHLCDRLNPG
+CFYRLRVYCISDGGQSA
+>Q9Y2H6_PF00041_675 <unknown description>
+KAKEIQLRWGPPLVDGGSPISCYSVEMSPIEKDEPREVYQGSEVECTVSSLLPGKTYSFR
+LRAANKMGFGPFS
+>Q9Y2H6_PF00041_765 <unknown description>
+PQVTCRSATCAQVNWEVPLSNGTDVTEYRLEWGGVEGSMQICYCGPGLSYEIKGLSPATT
+YYCRVQALSVVGAGPFS
+>Q9Y2H6_PF00041_868 <unknown description>
+ENPHYSPSTCLAISWEKPCDHGSEILAYSIDFGDKQSLTVGKVTSYIINNLQPDTTYRIR
+IQALNSLGAGPFS
+>Q53EP0_PF00041_280 <unknown description>
+EKPQVSNIQARAVVLSWAPPVGLSCGPHSGLSFPYSYEVALSDKGRDGKYKIIYSGEELE
+CNLKDLRPATDYHVRVYAMYNS
+>Q53EP0_PF00041_382 <unknown description>
+PFPPKLAHRSKSSLTLQWKAPIDNGSKITNYLLEWDEGKRNSGFRQCFFGSQKHCKLTKL
+CPAMGYTFRLAARNDIGTSGYS
+>Q53EP0_PF00041_478 <unknown description>
+PSAPRLVRAGITWVTLQWSKPEGCSPEEVITYTLEIQEDENDNLFHPKYTGEDLTCTVKN
+LKRSTQYKFRLTASNTEGKSCP
+>Q53EP0_PF00041_574 <unknown description>
+PPTRPLVKGPVTSHGFSVKWDPPKDNGGSEILKYLLEITDGNSEANQWEVAYSGSATEYT
+FTHLKPGTLYKLRACCISTGGHS
+>Q53EP0_PF00041_684 <unknown description>
+KHKEVHLEWDVPASESGCEVSEYSVEMTEPEDVASEVYHGPELECTVGNLLPGTVYRFRV
+RALNDGGYGPYS
+>Q53EP0_PF00041_783 <unknown description>
+VLVGWESPDSSGADISEYRLEWGEDEESLELIYHGTDTRFEIRDLLPAAQYCCRLQAFNQ
+AGAGPYS
+>Q53EP0_PF00041_886 <unknown description>
+LVLNWEEPCNNGSEILAYTIDLGDTSITVGNTTMHVMKDLLPETTYRIRIQAINEIGAGP
+FS
+>Q53EP0_PF00041_961 <unknown description>
+PPRLECAAAGPQSLKLKWGDSNSKTHAAEDIVYTLQLEDRNKRFISIYRGPSHTYKVQRL
+TEFTCYSFRIQAASEAGEGPFS
+>Q53EP0_PF00041_1057 <unknown description>
+IKAPRVTQLEGNSCEILWETVPSMKGDPVNYILQVLVGRESEYKQVYKGEEATFQISGLQ
+TNTDYRFRVCACR
+>Q4ZHG4_PF00041_43 <unknown description>
+PRHVKLLSTKMGLKVTWDPPKDATSRPVEHYNIAYGKSLKSLKYIKVNAETYSFLIEDVE
+PGVVYFVLLTAENHSGVS
+>Q4ZHG4_PF00041_262 <unknown description>
+VPDDISVRVMSSQSVLVSWVDPVLEKQKKVVASRQYTVRYREKGELARWDYKQIANRRVL
+IENLIPDTVYEFAVRISQGERDGKWS
+>Q4ZHG4_PF00041_362 <unknown description>
+APENLNVWPVNGKPTVVAASWDALPETEGKVKEYILSYAPALKPFGAKSLTYPGDTTSAL
+VDGLQPGERYLFKIRATNRRGLGPHS
+>Q4ZHG4_PF00041_1658 <unknown description>
+APRNITVVAVEGCHSFVIVDWDKATPGDVVTGYLVYSASYEDFIRNKWSTQASSVTHLPI
+ENLKPNTRYYFKVQAQNPHGYGPIS
+>Q9H6D8_PF00041_48 <unknown description>
+PSPVNVTVTHLRANSATVSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWG
+LAEDSDYTVQVRSIGLRGESP
+>Q8NAU1_PF00041_35 <unknown description>
+SAPVNVTVRHLKANSAVVSWDVLEDEVVIGFAISQQKKDVRMLRFIQEVNTTTRSCALWD
+LEEDTEYIVHVQAISIQGQSPAS
+>Q8NAU1_PF16066_150 <unknown description>
+LRTGEVLIIVVVLFMWAGVIALFCRQYDIIKDNEPNNNKEKTK
+>Q8TC99_PF00041_188 <unknown description>
+TVNNSTAVISWTYALGKQPVSFYQLLLQEVAKTQENELPEAKNRPWIFNKILGTTVKLME
+LKPNTCYCLSVRAANTAGVGKW
+>Q8TF40_PF14636_41 <unknown description>
+QIRLIVYQDCERRGRNVLFDSSVKRRNEDISVSKLGSDAQVKVFGKCCQLKPGGDSSSSL
+DSSVTSSSDIKDQCLKYQGSRCSSDANMLGEMMFGSVAMSYKGSTLKIHQIRSPPQLM
+>Q8TF40_PF14637_321 <unknown description>
+NPGIVRKKKIAIGVIFSLSKDEDENNKFNEFFFSHFPLFESHMNKLKSAIEQAMKMSRRS
+ADASQRSLAYNRIVDALNEFRTTICNLYTMPRIGEPVWLTMMSGTPEKNHLCYRFMKEFT
+FLMENASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKIFLEKHSSQSVDMLAKTHPYN
+PLWAQLGDLYGAIGSPVRLARTVVVGKRQDMVQRLLYFLTYFIRCSELQ
+>Q8TF40_PF14638_976 <unknown description>
+IPFPGSKLIEVSAVQPNIANFGRSLLGGYCSSYVPDFVLQGIGSDERFRQCLMSDLSHAV
+QHPVLDEPIAEAVCIIADMDKWTVQVASSQRRVTDNKLGKEVLVSSLVSNLLHSTLQLYK
+HNLSPNFCVMHLEDRLQELYFKSKMLSEYLRGQMRVHVKELGVVLGIESSDLPLLAAVAS
+THSPYVA
+>Q9P278_PF14636_46 <unknown description>
+EIRLIVYQDCDRRGRQVLFDSKAVQKIEEVTAQKTEDVPIKISAKCCQGSSSVSSSSSSS
+ISSHSSSGGSSHHAKEQLPKYQYTRPASDVNMLGEMMFGSVAMSYKGSTLKIHYIRSPPQ
+LM
+>Q9P278_PF14637_298 <unknown description>
+TCSSNPAMVRRKKIAISIIFSLCEKEEAQRNFQDFFFSHFPLFESHMNRLKSAIEKAMIS
+CRKIAESSLRVQFYVSRLMEALGEFRGTIWNLYSVPRIAEPVWLTMMSGTLEKNQLCQRF
+LKEFTLLIEQINKNQFFAALLTAVLTYHLAWVPTVMPVDHPPIKAFSEKRTSQSVNMLAK
+THPYNPLWAQLGDLYGAIGSPVRLTRTVVVGKQKDLVQRILYVLTYFLRCSELQ
+>Q9P278_PF14638_928 <unknown description>
+PLPRSQSISTQNVRNFGRSLLAGYCPTYMPDLVLHGTGSDEKLKQCLVADLVHTVHHPVL
+DEPIAEAVCIIADTDKWSVQVATSQRKVTDNMKLGQDVLVSSQVSSLLQSILQLYKLHLP
+ADFCIMHLEDRLQEMYLKSKMLSEYLRGHTRVHVKELGVVLGIESNDLPLLTAIASTHSP
+YVA
+>P49354_PF01239_114 <unknown description>
+ERAFKLTRDAIELNAANYTVWHFRRVLLKS
+>P49354_PF01239_148 <unknown description>
+LHEEMNYITAIIEEQPKNYQVWHHRRVLVEW
+>P49354_PF01239_183 <unknown description>
+SQELEFIADILNQDAKNYHAWQHRQWVIQE
+>P49354_PF01239_216 <unknown description>
+WDNELQYVDQLLKEDVRNNSVWNQRYFVISN
+>P49354_PF01239_256 <unknown description>
+LEREVQYTLEMIKLVPHNESAWNYLKGILQ
+>P49356_PF00432_126 <unknown description>
+VCQFLELCQSPEGGFGGGPGQYPHLAPTYAAVNALCIIG
+>P49356_PF00432_172 <unknown description>
+INREKLLQYLYSLKQPDGSFLMHVGGEVDVRSAYCAASVASL
+>P49356_PF00432_225 <unknown description>
+TAEWIARCQNWEGGIGGVPGMEAHGGYTFCGLAALVIL
+>P49356_PF00432_269 <unknown description>
+NLKSLLQWVTSRQMRFEGGFQGRCNKLVDGCYSFWQAGLLPLL
+>P49356_PF00432_331 <unknown description>
+HQQALQEYILMCCQCPAGGLLDKPGKSRDFYHTCYCLSGLSIA
+>Q5VW36_PF12530_490 <unknown description>
+IPVLMFKLGRPLEPILYNDILYTLPKLGVHKVCIGQILRIIQLLGTTPRLRAVTLRLLTS
+LWEKQDRVYPELQRFMAVSDVPSLSVGKEVQWEKLIAKAASIRDICKQRPYQHGADMLAA
+ISQVLNECTKPDQATPAALVLQGLHALCQAEVVCIRSTWNALSPKLSCDTRPLILKTLSE
+LFSLVPSLTVNTTEYENFKVQVLSFLWTHTQNKDPIVANAAYRSL
+>Q5VW36_PF11229_1213 <unknown description>
+MNKLRLLVENSQQTSGFALALGNIVHGLSVCGHGKAEDLGSKLLPAWIRIVLTEGTPTML
+CLAALHGMVALVGSEGDVMQLKSEAIQTSHFQGRLNEVIRTLTQVISVSGVIGLQSNAVW
+LLGHLHLSTLSSSQSRASVPTDYSYLPESSFIGAAIGFFITGGKKGPESVPPSLLKVVMK
+PIATVGESYQYPPVNWAALLSPLMRLNFGEEIQQLCLEIMVTQAQSSQNAAALLGLWVTP
+PLIHSLSLNTKRYLLISAPLWIKHISDEQILGFVENLMVAVFKAASPLGSPELCPSALHG
+LSQAMKLPSPAHHLWSLLSEATGKIFDLLPNKIRRKDLELYISIAKCLLEMTDDDANRIA
+QVTKSNIEKAAFVKLYLVSQGRFPLVNLTDMLSVAVQHREKEVLAWMILHSLYQARIVSH
+ANTGVLKRMEWLLELMGYIRNVAYQSTSFHNTALDKALDFFLLIFATAVVAWADHTAPLL
+LGLSASWLPWHQENGPAGPVPSFLGRSPMHRVTLQEVLTLLPNSMALLLQKEPWKEQTQK
+FIDWLFSIMESPKEALSAQSRDLLKATLLSLRVLPEFKKKAVWTRAYGW
+>Q8IX07_PF00096_291 <unknown description>
+VCPFPQCRKSCPSASSLEIHMRSH
+>Q8IX07_PF12874_975 <unknown description>
+YCRLCNIKFSSLSTFIAHK
+>Q8WW38_PF12874_1120 <unknown description>
+YCRLCDIQFNNLSNFITHK
+>Q04609_PF02225_171 <unknown description>
+EGDLVYVNYARTEDFFKLERDMKINCSGKIVIARYGKVFRGNKVKNAQLAGAKGVILYSD
+PADYFAPGVKSYPDGWNLPGGGVQRGNILN
+>Q04609_PF04389_357 <unknown description>
+NVIGTLRGAVEPDRYVILGGHRDSWVFGGIDPQSGAAVVHEIVRSFGTLKKEGWRPRRTI
+LFASWDAEEFGLLGSTEWAEENSRLLQERGVAYINADSSIEGNYTLRVDCTPLMYSLVHN
+L
+>Q04609_PF04253_627 <unknown description>
+VSFDSLFSAVKNFTEIASKFSERLQDFDKSNPIVLRMMNDQLMFLERAFIDPLGLPDRPF
+YRHVIYAPSSHNKYAGESFPGIYDALFDIESKVDPSKAWGEVKRQIYVAAFTVQAAAETL
+S
+>P15328_PF03024_36 <unknown description>
+VCMNAKHHKEKPGPEDKLHEQCRPWRKNACCSTNTSQEAHKDVSYLYRFNWNHCGEMAPA
+CKRHFIQDTCLYECSPNLGPWIQQVDQSWRKERVLNVPLCKEDCEQWWEDCRTSYTCKSN
+WHKGWNWTSGFNKCAVGAACQPFHFYFPTPTVLCNEIWTHSYKVSNYSRGSGRCIQ
+>P14207_PF03024_30 <unknown description>
+VCMDAKHHKTKPGPEDKLHDQCSPWKKNACCTASTSQELHKDTSRLYNFNWDHCGKMEPA
+CKRHFIQDTCLYECSPNLGPWIQQVNQSWRKERFLDVPLCKEDCQRWWEDCHTSHTCKSN
+WHRGWDWTSGVNKCPAGALCRTFESYFPTPAALCEGLWSHSYKVSNYSRGSGRCIQ
+>P41439_PF03024_36 <unknown description>
+VCMNAKHHKTQPSPEDELYGQCSPWKKNACCTASTSQELHKDTSRLYNFNWDHCGKMEPT
+CKRHFIQDSCLYECSPNLGPWIRQVNQSWRKERILNVPLCKEDCERWWEDCRTSYTCKSN
+WHKGWNWTSGINECPAGALCSTFESYFPTPAALCEGLWSHSFKVSNYSRGSGRCIQ
+>P53539_PF00170_153 <unknown description>
+EEEEKRRVRRERNKLAAAKCRNRRRELTDRLQAETDQLEEEKAELESEIAELQKEKERL
+>P15407_PF00170_103 <unknown description>
+EEEERRRVRRERNKLAAAKCRNRRKELTDFLQAETDKLEDEKSGLQREIEELQKQKERLE
+>P15408_PF00170_122 <unknown description>
+EEEEKRRIRRERNKLAAAKCRNRRRELTEKLQAETEELEEEKSGLQKEIAELQKEKEKLE
+>P01100_PF00170_135 <unknown description>
+EEEEKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDEKSALQTEIANLLKEKEKLE
+>P55317_PF08430_17 <unknown description>
+SYYADTQEAYSSVPVSNMNSGLGSMNSMNTYMTMNTMTTSGNMTPASFNMSYANPGLGAG
+LSPGAVAGMPGGSAGAMNSMTAAGVTAMGTALSPSGMGAMGAQQAASMNGLGPYAAAMNP
+CMSPMAYAPSNLGRSRAGGGGDAKTFKRSYPHA
+>P55317_PF00250_170 <unknown description>
+KPPYSYISLITMAIQQAPSKMLTLSEIYQWIMDLFPYYRQNQQRWQNSIRHSLSFNDCFV
+KVARSPDKPGKGSYWTLHPDSGNMFE
+>P55317_PF09354_397 <unknown description>
+NHPFSINNLMSSSEQQHKLDFKAYEQALQYSPYGSTLPASLPLGSASVTTRSPIEPSALE
+PAYYQ
+>Q9Y261_PF08430_23 <unknown description>
+SYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGSGSGNMSAGSMNMSSYVGAGMSPS
+LAGMSPGAGAMAGMGGSAGAAGVAGMGPHLSPSLSPLGGQAAGAMGGLAPYANMNSMSPM
+YGQAGLSRARDPKTYRRSYTHA
+>Q9Y261_PF00250_165 <unknown description>
+KPPYSYISLITMAIQQSPNKMLTLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFL
+KVPRSPDKPGKGSFWTLHPDSGNMFE
+>Q9Y261_PF09354_379 <unknown description>
+NHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGYGSPMPGSLAMGPVTNKTG
+LDASPLAADTSYYQ
+>P55318_PF08430_16 <unknown description>
+SYYPEAGEVYSPVTPVPTMAPLNSYMTLNPLSSPYPPGGLPASPLPSGPLAPPAPAAPLG
+PTFPGLGVSGGSSSSGYGAPGPGLVHGKEMPKGYRRPLAHA
+>P55318_PF00250_117 <unknown description>
+KPPYSYISLITMAIQQAPGKMLTLSEIYQWIMDLFPYYRENQQRWQNSIRHSLSFNDCFV
+KVARSPDKPGKGSYWALHPSSGNMFE
+>Q99853_PF00250_12 <unknown description>
+QKPPYSYISLTAMAIQSSPEKMLPLSEIYKFIMDRFPYYRENTQRWQNSLRHNLSFNDCF
+IKIPRRPDQPGKGSFWALHPSCGDMFE
+>Q5VYV0_PF00250_12 <unknown description>
+QKPPYSYISLTAMAIQHSAEKMLPLSDIYKFIMERFPYYREHTQRWQNSLRHNLSFNDCF
+IKIPRRPDQPGKGSFWALHPDCGDMFE
+>Q12948_PF00250_78 <unknown description>
+KPPYSYIALITMAIQNAPDKKITLNGIYQFIMDRFPFYRDNKQGWQNSIRHNLSLNECFV
+KVPRDDKKPGKGSYWTLDPDSYNMFE
+>Q99958_PF00250_72 <unknown description>
+KPPYSYIALITMAIQNAPEKKITLNGIYQFIMDRFPFYRENKQGWQNSIRHNLSLNECFV
+KVPRDDKKPGKGSYWTLDPDSYNMFE
+>Q16676_PF00250_125 <unknown description>
+KPPYSYIALITMAILQSPKKRLTLSEICEFISGRFPYYREKFPAWQNSIRHNLSLNDCFV
+KIPREPGNPGKGNYWTLDPESADMF
+>O60548_PF00250_127 <unknown description>
+KPPYSYIALITMAILQSPKKRLTLSEICEFISGRFPYYREKFPAWQNSIRHNLSLNDCFV
+KIPREPGNPGKGNYWTLDPESADMF
+>Q9UJU5_PF00250_141 <unknown description>
+KPPYSYIALITMAILQSPQKKLTLSGICEFISNRFPYYREKFPAWQNSIRHNLSLNDCFV
+KIPREPGNPGKGNYWTLDPQSEDMF
+>Q12950_PF00250_104 <unknown description>
+KPPSSYIALITMAILQSPHKRLTLSGICAFISDRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGRPGKGNYWSLDPASQDMF
+>O00358_PF00250_53 <unknown description>
+KPPYSYIALIAMAIAHAPERRLTLGGIYKFITERFPFYRDNPKKWQNSIRHNLTLNDCFL
+KIPREAGRPGKGNYWALDPNAEDMFE
+>Q13461_PF00250_71 <unknown description>
+KPPYSYIALIAMALAHAPGRRLTLAAIYRFITERFAFYRDSPRKWQNSIRHNLTLNDCFV
+KVPREPGNPGKGNYWTLDPAAADMF
+>Q12946_PF00250_47 <unknown description>
+EKPPYSYIALIVMAIQSSPTKRLTLSEIYQFLQSRFPFFRGSYQGWKNSVRHNLSLNECF
+IKLPKGLGRPGKGHYWTIDPASEFMF
+>Q12947_PF00250_99 <unknown description>
+EKPPYSYIALIVMAIQSSPSKRLTLSEIYQFLQARFPFFRGAYQGWKNSVRHNLSLNECF
+IKLPKGLGRPGKGHYWTIDPASEFMF
+>P55316_PF00250_180 <unknown description>
+EKPPFSYNALIMMAIRQSPEKRLTLNGIYEFIMKNFPYYRENKQGWQNSIRHNLSLNKCF
+VKVPRHYDDPGKGNYWMLDPSSDDV
+>O75593_PF00250_33 <unknown description>
+KPPYTYLAMIALVIQAAPSRRLKLAQIIRQVQAVFPFFREDYEGWKDSIRHNLSSNRCFR
+KVPKDPAKPQAKGNFWAVD
+>Q12951_PF00250_123 <unknown description>
+RPPYSYSALIAMAIHGAPDKRLTLSQIYQYVADNFPFYNKSKAGWQNSIRHNLSLNDCFK
+KVPRDEDDPGKGNYWTLDPNCEKMF
+>Q6ZQN5_PF00250_102 <unknown description>
+RPPYSYSALIAMAIQSAPLRKLTLSQIYQYVAGNFPFYKRSKAGWQNSIRHNLSLNDCFK
+KVPRDEDDPGKGNYWTLDPNCEKMF
+>A8MTJ6_PF00250_145 <unknown description>
+RPPYSYSALIAMAIQSAPERKLTLSHIYQFVADSFPFYQRSKAGWQNSIRHNLSLNDCFK
+KVPRDEDDPGKGNYWTLDPNCEKMF
+>Q92949_PF00250_121 <unknown description>
+KPPYSYATLICMAMQASKATKITLSAIYKWITDNFCYFRHADPTWQNSIRHNLSLNKCFI
+KVPREKDEPGKGGFWRIDPQYAER
+>Q9P0K8_PF00250_66 <unknown description>
+KPRYSYATLITYAINSSPAKKMTLSEIYRWICDNFPYYKNAGIGWKNSIRHNLSLNKCFR
+KVPRPRDDPGKGSYWTIDT
+>Q9UPW0_PF00250_78 <unknown description>
+KPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGSGWKNSIRHNLSLNKCFL
+KVPRSKDDPGKGSYWAIDTNPK
+>P85037_PF00498_123 <unknown description>
+VTIGRNSSQGSVDLSMGLSSFISRRHLQLSFQEPHFYLRCLGKNGVFVDGAFQRRGAPAL
+QLPKQCTFR
+>P85037_PF00250_304 <unknown description>
+SKPPFSYAQLIVQAISSAQDRQLTLSGIYAHITKHYPYYRTADKGWQNSIRHNLSLNRYF
+IKVPRSQEEPGKGSFWRIDPASEAK
+>Q01167_PF00498_54 <unknown description>
+VTIGRNSSQGSVDVSMGHSSFISRRHLEIFTPPGGGGHGGAAPELPPAQPRPDAGGDFYL
+RCLGKNGVFVDGVFQRR
+>Q01167_PF00250_257 <unknown description>
+SKPPYSYAQLIVQAITMAPDKQLTLNGIYTHITKNYPYYRTADKGWQNSIRHNLSLNRYF
+IKVPRSQEEPGKGSFWRIDPASESK
+>Q12952_PF00250_48 <unknown description>
+QKPPYSYIALIAMAIQDAPEQRVTLNGIYQFIMDRFPFYHDNRQGWQNSIRHNLSLNDCF
+VKVPREKGRPGKGSYWTLDPRCLDMFE
+>P58012_PF00250_53 <unknown description>
+QKPPYSYVALIAMAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECF
+IKVPREGGGERKGNYWTLDPACEDMFE
+>A0A1W2PRP0_PF00250_32 <unknown description>
+RPAYSYIALIAMAIQQSPAGRVTLSGIYDFIMRKFPYYRANQRAWQNSIRHNLSLNSCFV
+KVPRSEGHEKGKGNYWTFAGGCE
+>Q08050_PF00250_235 <unknown description>
+ERPPYSYMAMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHIAKPGWKNSIRHNLSLHDM
+FVRETSANGKVSFWTIHPSANR
+>O15353_PF00250_271 <unknown description>
+KPIYSYSILIFMALKNSKTGSLPVSEIYNFMTEHFPYFKTAPDGWKNSVRHNLSLNKCFE
+KVENKSGSSSRKGCLWALNPAKIDKM
+>P32314_PF00250_111 <unknown description>
+SKPPYSFSLLIYMAIEHSPNKCLPVKEIYSWILDHFPYFATAPTGWKNSVRHNLSLNKCF
+QKVERSHGKVNGKGSLWCVDPEYKPN
+>O00409_PF00250_114 <unknown description>
+KPPYSFSCLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFK
+KVDKERSQSIGKGSLWCIDPEYRQN
+>Q96NZ1_PF00250_193 <unknown description>
+KPIYSYSCLIAMALKNSKTGSLPVSEIYSFMKEHFPYFKTAPDGWKNSVRHNLSLNKCFE
+KVENKMSGSSRKGCLWALNLARIDKM
+>Q12778_PF00250_162 <unknown description>
+NLSYADLITKAIESSAEKRLTLSQIYEWMVKSVPYFKDKGDSNSSAGWKNSIRHNLSLHS
+KFIRVQNEGTGKSSWWMLNPEG
+>Q12778_PF16675_423 <unknown description>
+KYTYGQSSMSPLPQMPIQTLQDNKSSYGGMSQYNCAPGLLKELLTSDSPPHNDIMTPVDP
+GVAQPNSRVLGQNVMMGPNSVM
+>Q12778_PF16676_595 <unknown description>
+QEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFD
+>O43524_PF00250_159 <unknown description>
+NLSYADLITRAIESSPDKRLTLSQIYEWMVRCVPYFKDKGDSNSSAGWKNSIRHNLSLHS
+RFMRVQNEGTGKSSWWIINPDG
+>O43524_PF16675_433 <unknown description>
+TVFGPSSLNSLRQSPMQTIQENKPATFSSMSHYGNQTLQDLLTSDSLSHSDVMMTQSDPL
+MSQASTAVSAQNSRRNVML
+>O43524_PF16676_604 <unknown description>
+HEKFPSDLDLDMFNGSLECDMESIIRSELMDADGLDFNFDS
+>P98177_PF00250_104 <unknown description>
+QSYAELISQAIESAPEKRLTLAQIYEWMVRTVPYFKDKGDSNSSAGWKNSIRHNLSLHSK
+FIKVHNEATGKSSWWMLNPEG
+>P98177_PF16676_463 <unknown description>
+QDRMPQDLDLDMYMENLECDMDNIISDLMDEGEGLDFNFEP
+>Q9H334_PF16159_302 <unknown description>
+LYGHGVCKWPGCEAVCEDFQSFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKER
+LQAMMTHLH
+>Q9H334_PF00250_465 <unknown description>
+RPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFV
+RVENVKGAVWTVDEVE
+>O15409_PF16159_342 <unknown description>
+LYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLSKERER
+LQAMMTHLH
+>O15409_PF00250_504 <unknown description>
+RPPFTYATLIRQAIMESSDRQLTLNEIYSWFTRTFAYFRRNAATWKNAVRHNLSLHKCFV
+RVENVKGAVWTVDEVEYQ
+>Q9BZS1_PF16159_193 <unknown description>
+LLANGVCKWPGCEKVFEEPEDFLKHCQADHLLDEKGRAQCLLQREMVQSLEQQLVLEKEK
+LSAMQAHL
+>Q9BZS1_PF00250_337 <unknown description>
+RPPFTYATLIRWAILEAPEKQRTLNEIYHWFTRMFAFFRNHPATWKNAIRHNLSLHKCFV
+RVESEKGAVWTVDELEFR
+>Q8IVH2_PF16159_303 <unknown description>
+LYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEIQLAKESER
+LQAMMAHLH
+>Q8IVH2_PF00250_467 <unknown description>
+RPPFTYASLIRQAILETPDRQLTLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFV
+RVENVKGAVWTVDEREYQ
+>Q9C009_PF00250_119 <unknown description>
+KPPYSYIALIAMAIRDSAGGRLTLAEINEYLMGKFPFFRGSYTGWRNSVRHNLSLNDCFV
+KVLRDPSRPWGKDNYWMLNPNSEYTF
+>Q6PIV2_PF00250_172 <unknown description>
+SRPPLNYFHLIALALRNSSPCGLNVQQIYSFTRKHFPFFRTAPEGWKNTVRHNLCFRDSF
+EKVPVSMQGGASTRPRSCLWKLTEEGHRRF
+>Q6PJQ5_PF00250_191 <unknown description>
+QRPPLNCSHLIALALRNNPHCGLSVQEIYNFTRQHFPFFWTAPDGWKSTIHYNLCFLDSF
+EKVPDSLKDEDNARPRSCLWKLTKEGHRRF
+>O43638_PF00250_17 <unknown description>
+TKPPYSYIALIAMAIQSSPGQRATLSGIYRYIMGRFAFYRHNRPGWQNSIRHNLSLNECF
+VKVPRDDRKPGKGSYWTLDPDCHDMFE
+>Q0VG06_PF15146_450 <unknown description>
+SAGQKIKELLSGIGNISERVSFLKKAVDQRNKALTSLNEAMNVSCALLSSGTGPRPISCT
+TSTTWSRLQTQDVLMATCVLENSSSFSLDQGWTLCIQVLTSSCALDLDSACSAITYTIPV
+DQLGPGARREVTLPLGPGENGGLDLPVTVSCTLFYSLREVVGGALAPSDSEDPFLDECPS
+DVLPEQEGVCLPLSRHTVDMLQCLRFPGLAPPHTRAPSPLGPTRDPVATFLETCREPGSQ
+PAGPASLRAEYLPPSVASIKVSAELLRAALKDGHSGVPLCCATLQWLLAENAAVDVVRAR
+ALSSIQGVAPDGANVHLIVREVAMTDLCPAGPIQAVEIQVESSSLADICRAHHAVVGRMQ
+TMVTEQATQGSSAPDLRVQYLRQIHANHETLLREVQTLRDRLCTEDEASSCATAQRLLQV
+YRQLRHPSLILL
+>P14324_PF00348_110 <unknown description>
+RLKEVLEYNAIGGKYNRGLTVVVAFRELVEPRKQDADSLQRAWTVGWCVELLQAFFLVAD
+DIMDSSLTRRGQICWYQKPGVGLDAINDANLLEACIYRLLKLYCREQPYYLNLIELFLQS
+SYQTEIGQTLDLLTAPQGNVDLVRFTEKRYKSIVKYKTAFYSFYLPIAAAMYMAGIDGEK
+EHANAKKILLEMGEFFQIQDDYLDLFGDPSVTGKIGTDIQDNKCSWLVVQCLQRATPEQY
+QILKENYGQKEAEKVARVKALYEEL
+>P21462_PF00001_43 <unknown description>
+GNGLVIWVAGFRMTHTVTTISYLNLAVADFCFTSTLPFFMVRKAMGGHWPFGWFLCKFVF
+TIVDINLFGSVFLIALIALDRCVCVLHPVWTQNHRTVSLAKKVIIGPWVMALLLTLPVII
+RVTTVPGKTGTVACTFNFSPWTNDPKERINVAVAMLTVRGIIRFIIGFSAPMSIVAVSYG
+LIATKIHKQGLIKSSRPLRVLSFVAAAFFLCWSPYQVVALIATVRIRELLQGMYKEIGIA
+VDVTSALAFFNSCLNPMLY
+>P25090_PF00001_43 <unknown description>
+GNGLVIWVAGFRMTRTVTTICYLNLALADFSFTATLPFLIVSMAMGEKWPFGWFLCKLIH
+IVVDINLFGSVFLIGFIALDRCICVLHPVWAQNHRTVSLAMKVIVGPWILALVLTLPVFL
+FLTTVTIPNGDTYCTFNFASWGGTPEERLKVAITMLTARGIIRFVIGFSLPMSIVAICYG
+LIAAKIHKKGMIKSSRPLRVLTAVVASFFICWFPFQLVALLGTVWLKEMLFYGKYKIIDI
+LVNPTSSLAFFNSCLNPMLY
+>P25089_PF00001_43 <unknown description>
+GNGLVIWVAGFRMTRTVNTICYLNLALADFSFSAILPFRMVSVAMREKWPFGSFLCKLVH
+VMIDINLFVSVYLITIIALDRCICVLHPAWAQNHRTMSLAKRVMTGLWIFTIVLTLPNFI
+FWTTISTTNGDTYCIFNFAFWGDTAVERLNVFITMAKVFLILHFIIGFSVPMSIITVCYG
+IIAAKIHRNHMIKSSRPLRVFAAVVASFFICWFPYELIGILMAVWLKEMLLNGKYKIILV
+LINPTSSLAFFNSCLNPILY
+>Q9P2B2_PF07686_33 <unknown description>
+RVVGTELVIPCNVSDYDGPSEQNFDWSFSSLGSSFVELASTWEVGFPAQLYQERLQRGEI
+LLRRTANDAVELHIKNVQPSDQGHYKCSTPSTDATVQGNYEDTVQVKVL
+>Q9P2B2_PF07686_283 <unknown description>
+VPKNVSVAEGKELDLTCNITTDRADDVRPEVTWSFSRMPDSTLPGSRVLARLDRDSLVHS
+SPHVALSHVDARSYHLLVRDVSKENSGYYYCHVSLWAPGHNR
+>A0AVI2_PF00168_13 <unknown description>
+PPLAPLPRPCMSIDFRDIKKRTRVVEGNDPVWNETLIWHLWNRPLENDSFLQVTLQDMGS
+QKKERFIGLATVLLKPLLK
+>A0AVI2_PF00168_168 <unknown description>
+QVRVKVFEARQLMGNNIKPVVKVSIAGQQHQTRIKMGNNPFFNEIFFQNFHEVPAKFFDE
+TILIQVVNSSAMRYKAEIGRFQTDIG
+>A0AVI2_PF00168_326 <unknown description>
+YLQLFIYCAEDLHLKKHQSVNPQLEVELIGEKLRTHMQTQTDNPIWNQILTFRIQLPCLS
+SYIKFRVLDCRKKDCPDEIGTASLSLNQISSTG
+>A0AVI2_PF08165_619 <unknown description>
+QWEKLLRELAEDCKRPLPCMTYQPKATSLDRKRWQLRSLLLQELAQKAKQ
+>A0AVI2_PF08150_692 <unknown description>
+PQMGLPDVMIWLVAKEQRVAYAQVPAHSVLFSPAGALHSGRLCGKIQTLFLQYPEGEGQK
+DVLPAHLRVCMWLG
+>A0AVI2_PF00168_1077 <unknown description>
+QLFCYIYQARNLVSNQILTFQGPFIRVVFLNHSQCTQTLRSSAGPTWAQTLIFQ
+>A0AVI2_PF00168_1250 <unknown description>
+AWGLRNMKKASSPQLLVEFGEESLRTEPIRDFQTNPNFPESESVLVLTVLMPTEEAYALP
+LVVKVVDNWAFGQQTVTGQANIDFL
+>A0AVI2_PF00168_1488 <unknown description>
+VRVYMVRAINLQPQDYNGLCDPYVILKLGKTELGNRDMYQPNTLDPIFGMMFELTCNIPL
+EKDLEIQLYDFDLFSPDDKIGTTVIDLENRL
+>A0AVI2_PF00168_1807 <unknown description>
+ATSMKFPARLIIQVWDNDIFSPDDFLGVLELDLSDMPLP
+>A0AVI2_PF16165_1896 <unknown description>
+LSGKVKMSLEILSEKEALIKPAGRGQSEPNQYPTLHPPLRTNTSFTWLRSPVQNFCYIFW
+KRYRFKLIAFMVISIIALMLFNFIYSAPHYL
+>Q2WGJ9_PF00168_84 <unknown description>
+QIAITITEARQLVGENIDPVVTIEIGDEKKQSTVKEGTNSPFYNEYFVFDFIGPQVHLFD
+KIIKISVFHHKLIGSVLIGSFKVDLGTVYN
+>Q2WGJ9_PF08151_187 <unknown description>
+TDPGDIRTGTKGYLKCDISVMGKGDVLKTSPKTSDTEEPIEKNLLIPNGF
+>Q2WGJ9_PF00168_245 <unknown description>
+FYVRLYKAEGLPKMNSSIMANVTKAFVGDSKDLVDPFVEVSFAGQMGRTTVQKNCADPVW
+HEQVIFKEMFPPLCRRVKIQVWDEGSMNDVALATHFIDLKKISNEQDG
+>Q2WGJ9_PF08150_712 <unknown description>
+PQHTIPDVFIWMLSNNRRVAYARIASKDLLYSPVAGQMGKHCGKIKTHFLKPPGKRPAGW
+SVQAKVDVYLWLG
+>Q2WGJ9_PF00168_827 <unknown description>
+QLRAHMYQARGLIAADSNGLSDPFAKVTFLSHCQTTKIISQTLSPTWNQMLLFNDLVLHG
+DVKELAESPPLVVVELYDSDAVGKPEYLGAT
+>Q2WGJ9_PF00168_1022 <unknown description>
+GGQGVKSCVIQSYKNNPNFSIQADAFEVELPENELLHPPLSICVVDWRAFGRSTLVGTYT
+INY
+>Q2WGJ9_PF00168_1358 <unknown description>
+IRVYIVAAFNLSPADPDGKSDPYIVIKLGKTEIKDRDKYIPKQLNPVFGRSFEIQATFPK
+ESLLSILIYDHDMIGTDDLIGETKIDLEN
+>Q2WGJ9_PF00168_1598 <unknown description>
+LRVTIWNTEDVILEDENIFTGQKSSDIYVKGWLKGLEDDKQETDVHYNSLTGEGNFNWRF
+LFPFQYLPAEKQMVITKRENIFSLEKMECKTPAVLVLQVWDFERLSSDDFLGTLEMNLNS
+FP
+>Q2WGJ9_PF16165_1762 <unknown description>
+LTGKVEAEFHLVTAEEAEKNPVGKARKEPEPLAKPNRPDTSFSWFMSPFKCLYYLIWKNY
+KKYIIIAFILIILIIFLVLFIYTLPGAISRRI
+>Q86XX4_PF00093_95 <unknown description>
+CHHEKKIHEHGTEWASSPCSVCSCNHGEVRCTPQPCPPLSCGHQELAFIPEGSCCPVC
+>Q86XX4_PF00093_159 <unknown description>
+CSYEGHVFQDGEDWRLSRCAKCLCRNGVAQCFTAQCQPLFCNQDETVVRVPGKCCPQC
+>Q86XX4_PF00093_221 <unknown description>
+CSAAGQVYEHGEQWSENACTTCICDRGEVRCHKQACLPLRCGKGQSRARRHGQCCEEC
+>Q86XX4_PF00093_285 <unknown description>
+CSYDGVVRYQDEMWKGSACEFCMCDHGQVTCQTGECAKVECARDEELIHLDGKCCPEC
+>Q86XX4_PF16184_1102 <unknown description>
+TPSLHVNGSLILPIGSIKPLDFSLLNVQDQEGRVEDLLFHVVSTPTNGQLVLSRNGKEVQ
+LDKAGRFSWKDVNEKKVRFVHSKEKLRKGYLFLKISD
+>Q86XX4_PF16184_1208 <unknown description>
+INIQAFSTQAPYVLRNEVLHISRGERATITTQMLDIRDDDNPQDVVIEIIDPPLHGQLLQ
+TLQSPATPIYQFQLDELSRGLLHYAHDGSDSTSDVAVLQANDG
+>Q86XX4_PF16184_1315 <unknown description>
+NILFQVKTVPQNDRGLQLVANSMVWVPEGGMLQITNRILQAEAPGASAEEIIYKITQDYP
+QFGEVVLLVNMPADSPADEGQHLPDGRTATPTSTFTQQDINEGIVWYRHSGAPAQSDSFR
+FEVS
+>Q86XX4_PF16184_1448 <unknown description>
+ESHMFNIAILPQTPEAPKVSLEASLHMTAREDGLTVIQPHSLSFINSEKPSGKIVYNITL
+PLHPNQGIIEHRDHPHSPIRYFTQEDINQGKVMYR
+>Q86XX4_PF16184_1578 <unknown description>
+SPEMVLTIHLLPSDQQLPVFQVTAPRLAVSPGGSTSVGLQVVVRDAETAPKELFFELRRP
+PQHGVLLKHTAEFRRPMATGDTFTYEDVEKNALQYIHDGSSTREDSMEISVTDG
+>Q86XX4_PF16184_1698 <unknown description>
+EVRVEVSLSEDRGPRLAAGSSLSITVASKSTAIITRSHLAYVDDSSPDPEIWIQLNYLPS
+YGTLLRISGSEVEELSEVSNFTMEDINNKKIRYSAVFETDGHLVTDSFYFSVSD
+>Q86XX4_PF16184_1818 <unknown description>
+DNQIFTIMITPAENPPPVIAFADLITVDEGGRAPLSFHHFFATDDDDNLQRDAIIKLSAL
+PKYGCIENTGTGDRFGPETASDLEASFPIQDVLENYIYYFQSVHESIEPTHDIFSFYVSD
+G
+>Q86XX4_PF16184_1942 <unknown description>
+SEIHSINITIERKNDEPPRMTLQPLRVQLSSGVVISNSSLSLQDLDTPDNELIFVLTKKP
+DHGHVLWRQTASEPLENGRVLVQGSTFTYQDILAGLVGYVPSVPGMVVDEFQFSLTDG
+>Q86XX4_PF16184_2076 <unknown description>
+SDTPHLAINQGLQLSAGSVARITEQHLKVTDIDSDDHQVMYIMKEDPGAGRLQMMKHGNL
+EQISIKGPIRSFTQADISQGHVEYSHGTGEPGGSFAFKFDVVDG
+>Q86XX4_PF16184_2187 <unknown description>
+KSFSISILEDKSPPVITTNKGLVLDENSVKKITTLQLSATDQDSGPTELIYRITRQPQLG
+HLEHAASPGIQISSFTQADLTSRNVQYVHSSEAEKHSDAFSFTLSDG
+>Q86XX4_PF16184_2297 <unknown description>
+VTQTFHITLHPVDDSLPVVQNLGMRVQEGMRKTITEFELKAVDADTEAESVTFTIVQPPR
+HGTIERTSNGQHFHLTSTFTMKDIYQNRVSYSHDGSNSLKDRFTFTVSDG
+>Q86XX4_PF16184_2425 <unknown description>
+PQPFRVDILPVDDGTPRIVTNLGLQWLEYMDGKATNLITKKELLTMDPDTEDAQLVYEIT
+TGPKHGFVENKLQPGRAAATFTQEDVNLGLIRYVLHKEKIREMMDSFQFLVKD
+>Q86XX4_PF03160_2554 <unknown description>
+LISFKYTSYNVSEKAGSVSVTVQRTGNLNQYAIVLCRTEQGTASSSSRVSSQPGQQDYVE
+YAGQVQFDEREDTKSCTIVINDDDVFENVESFTVELS
+>Q86XX4_PF03160_2664 <unknown description>
+KVIINDTEDEPTLEFDKKIYWVNESAGFLFAPIERKGDASSIVSAICYTVPKSAMGSSLY
+ALESGSDFKSRGMSAASRVIFGPGVTMSTCDVMLIDDSEYEEEEEFEIAL
+>Q86XX4_PF03160_2792 <unknown description>
+ISGPNDASTVSLGNTAFTVSEDAGTVKIPVIRHGTDLSTFASVWCATRPSDPASATPGVD
+YVPSSRKVEFGPGVIEQYCTLTILDDTQYPVIEGLETFVVFL
+>Q86XX4_PF03160_2909 <unknown description>
+IAINDTFQDVPSMQFAKDLLLVKEKEGVLHVPITRSGDLSYESSVRCYTQSHSAQVMEDF
+EERQNADSSRITFLKGDKVKNCTVYIHDDSMFEPEEQFRVYL
+>Q86XX4_PF03160_3030 <unknown description>
+TITISNDEDAPTIEFEEAAYQVREPAGPDAIAILNIKVIRRGDQNRTSKVRCSTRDGSAQ
+SGVDYYPKSRVLKFSPGVDHIFFKVEILSNEDREWHESFSLVL
+>Q92837_PF05350_1 <unknown description>
+MPCRREEEEEAGEEAEGEEEEEDSFLLLQQSVALGSSGEVDRLVAQIGETLQLDAAQHSP
+ASPCGPPGAPLRAPGPLAAAVPADKARSPAVPLLLPPALAETVGPAPPGVLRCALGDRGR
+VRGRAAPYCVAELATGPSALSPLPPQADLDGPPGAGKQGIPQPLSGPCRRGWLRGAAASR
+RLQQRRGSQPETRTGDDDPHRLLQQLVLSGNLIKEAVRRLHSRRLQLRAKLPQRPLLGP
+>O75474_PF05350_1 <unknown description>
+MPCRREEEEEAGEEAEGEEEEDDSFLLLQQSVTLGSSGEVDRLVAQIGETLQLDAAQDSP
+ASPCAPPGVPLRAPGPLAAAVPADKARPPAVPLLLPPASAETVGPAPSGALRCALGDRGR
+VRGRAAPYCVAEVAAGPSALP
+>O75474_PF05350_140 <unknown description>
+LPGPCRRGWLRDAVTSRRLQQRRWTQAGARAGDDDPHRLLQQLVLSGNLIKEAVRRLQRA
+VAAVAATGPASA
+>Q16595_PF01491_90 <unknown description>
+LDETTYERLAEETLDSLAEFFEDLADKPYTFEDYDVSFGSGVLTVKLGGDLGTYVINKQT
+PNKQIWLSSPSSGPKRYDWTGKNWVYSHDGVSLHELLAAELTKALKTK
+>Q5H8C1_PF19309_28 <unknown description>
+INRGVRVMKGHSAFLSGDDLKFAIPKEKDACKVEVVMNEPITQRVGKLTPQVFDCHFLPN
+EVKYVHNGCPILDEDTVKLRLYRFTERDTFIETFILWVYLLEPDCNIIHMSNNVLEVPEF
+NGLSQAIDKNLLRFDYDRMASLECTVSLDTARTRLPAHGQMVLGEPRPEEPRGDQPHSFF
+PESQLRAKLKCPGGSCTPGLKKIGSLKVSCEEFLLMGLRYQHLDPPSPNIDYIS
+>Q5H8C1_PF16184_312 <unknown description>
+LTSLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRPISSFTWKDLSDMQIAYQ
+PPNSSHSERRHDEVELEVYD
+>Q5H8C1_PF16184_397 <unknown description>
+SAPMTVHISIRTADTNAPRVSWNTGLSLLEGQSRAITWEQFQVVDNDDIGAVRLVTVGGL
+QHGWLTLRGGKGFLFTVADLQAGVVRYHHDDSDSTKDFVVFRIFDG
+>Q5H8C1_PF16184_504 <unknown description>
+HSIRHKFPINVLPKDDSPPFLITNVVIELEEGQTILIQGSMLRASDVDASDDYIFFNITK
+PPQAGEIMKKPGPGLIGYPVHGFLQRDLFNGIIYYRHFGGEIFEDSFQFVLWD
+>Q5H8C1_PF16184_624 <unknown description>
+SVPQVATIHITPVDDQLPKEAPGVSRHLVVKETEVAYITKKQLHFIDSESYDRELVYTIT
+TPPFFSFSHRHLDAGKLFMVDSIPKVVKNPTALELRSFTQHAVNYMKVAYMPPMQDIGPH
+CRDVQFTFSVS
+>Q5H8C1_PF16184_764 <unknown description>
+ICFNITILPVDNQVPEAFTNPLKVTEGGQSIISTEHILISDADTKLDNIDLSLRELPLHG
+RVELNGFPLNSGGTFSWGDLHTLKVRYQHDGTEVLQDDLLLEVTDG
+>Q5H8C1_PF16184_872 <unknown description>
+SAEFVLHVEVFPVNDEPPVLKADLMPVMNCSEGGEVVITSEYIFATDVDSDNLKLMFVIA
+REPQHGVVRRAGVTVDQFSQRDVISEAVTYKHTGGEIGLMPCFDTITLVVSDG
+>Q5H8C1_PF16184_1012 <unknown description>
+DLNITVYPVDNQPPSIAIGPVFVVDEGCSTALTVNHLSATDPDTAADDLEFVLVSPPQFG
+YLENILPSVGFEKSNIGISIDSFQWKDMNAFHINYVQSRHLRIEPTADQFTVYVTDG
+>Q5H8C1_PF16184_1132 <unknown description>
+SLEIPFSIIINPTNDEAPDFVVQNITVCEGQMKELDSSIISAVDLDIPQDALLFSITQKP
+RHGLLIDRGFSKDFSENKQPANPHQKHAPVHSFSMELLKTGMRLTYMHDDSESLADDFTI
+QLSDG
+>Q5H8C1_PF16184_1262 <unknown description>
+KTISVEVIPVNDEKPMLSKKAEIAMNMGETRIISSAILSAIDEDSPREKIYYVFERLPQN
+GQLQLKIGRDWVPLSPGMKCTQEEVDLNLLRYTHTGAMDSQNQDSFTFYLWDG
+>Q5H8C1_PF16184_1382 <unknown description>
+DCQITIKDMEKGDIVILTKPLVVSKGDRGFLTTTTLLAVDGTDKPEELLYVITSPPRYGQ
+IEYVHYPGVPITNFSQMDVVGQTVCYVHKSKVTVSSDRFRFIISNG
+>Q5H8C1_PF16184_1490 <unknown description>
+TEHGVFEITLETVDRALPVVTRNKGLRLAQGAVGLLSPDLLQLTDPDTPAENLTFLLVQL
+PQHGQLYLWGTGLLQHNFTQQDVDSKNVAYRHSGGDSQTDCFTFMATDG
+>Q5H8C1_PF16184_1612 <unknown description>
+EPVLFTIQVDQLDKTAPRITLLHSPSQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFK
+ILQGPKHGHLENTTTGEFIHEKFSQKDLNSKTILYIINPSLEVNSDTVEFQIMD
+>Q5H8C1_PF03160_1742 <unknown description>
+HIEWSQTEYEVCENVGLLPLEIIRRGYSMDSAFVGIKVNQVSAAVGKDFTVIPSKLIQFD
+PGMSTKMWNIAITYDGLEEDDEVFEVIL
+>Q5H8C1_PF00059_2072 <unknown description>
+KGTWNAAAQACREQYLGNLVTVFSRQHMRWLWDIGGRKSFWIGLNDQVHAGHWEWIGGEP
+VAFTNGRRGPSQRSKLGKSCVLVQRQGKWQTKDCRRAKPHNYVCSR
+>Q5SZK8_PF19309_72 <unknown description>
+IVLANRGLRVPFGREVWLDPLHDLVLQVQPGDRCAVSVLDNDALAQRPGRLSPKRFPCDF
+GPGEVRYSHLGARSPSRDRVRLQLRYDAPGGAVVLPLVLEVEVVFTQLEVVTRNLPLVVE
+ELLGTSNALDARSLEFAFQPETEECRVGILSGLGALPRYGELLHYPQVPGGAREGGAPET
+LLMDCKAFQELGVRYRHTAASRSPNRDWIPMVV
+>Q5SZK8_PF16184_320 <unknown description>
+PSFVAMMMMEVDQFVLTALTPDMLAAEDAESPSDLLIFNLTSPFQPGQGYLVSTDDRSLP
+LSSFTQRDLRLLKIAYQPPSEDSDQER
+>Q5SZK8_PF16184_422 <unknown description>
+SDPFAFMVVVKPMNTMAPVVTRNTGLILYEGQSRPLTGPAGSGPQNLVISDEDDLEAVRL
+EVVAGLRHGHLVILGASSGSSAPKSFTVAELAAGQVVYQHDDRDGSLSDNLVLRMVDG
+>Q5SZK8_PF16184_543 <unknown description>
+HQVQFLFPITLVPVDDQPPVLNANTGLTLAEGETVPILPLSLSATDMDSDDSLLLFVLES
+PFLTTGHLLLRQTHPPHEKQELLRGLWRKEGAFYERTVTEWQQQDITEGRLFYRHSGPHS
+PGPVTDQFTFRVQD
+>Q5SZK8_PF16184_687 <unknown description>
+QRFVIRIHPVDRLPPELGSGCPLRMVVQESQLTPLRKKWLRYTDLDTDDRELRYTVTQPP
+TDTDENHLPAPLGTLVLTDNPSVVVTHFTQAQINHHKIAYRPPGQELGVATRVAQFQFQV
+ED
+>Q5SZK8_PF16184_815 <unknown description>
+PGTFTLYLHPVDNQPPEILNTGFTIQEKGHHILSETELHVNDVDTDVAHISFTLTQAPKH
+GHMRVSGQILHVGGLFHLEDIKQGRVSYAHNGDKSLTDSCSLEVSD
+>Q5SZK8_PF16184_925 <unknown description>
+VPITLRVNVRPVDDEVPILSHPTGTLESYLDVLENGATEITANVIKGTNEETDDLMLTFL
+LEDPPLYGEILVNGIPAEQFTQRDILEGSVVYTHTSGEIGLLPKADSFNLSLSD
+>Q5SZK8_PF16184_1053 <unknown description>
+VTIWVTILPVDSQAPEIFVGEQLIVMEGDKSVITSVHISAEDVDSLNDDILCTIVIQPTS
+GYVENISPAPGSEKSRAGIAISAFNLKDLRQGHINYVQSVHKGVEPVEDRFVFRCSDG
+>Q5SZK8_PF16184_1174 <unknown description>
+SERQFFPIVIIPTNDEQPEMFMREFMVMEGMSLVIDTPILNAADADVPLDDLTFTITQFP
+THGHIMNQLINGTVLVESFTLDQIIESSSIIYEHDDSETQEDSFVIKLTDG
+>Q5SZK8_PF16184_1286 <unknown description>
+HSVEKTVLIIVIPVDDETPRMTINNGLEIEIGDTKIINNKILMATDLDSEDKSLVYIIRY
+GPGHGLLQRRKPTGAFENITLGMNFTQDEVDRNLIQYVHLGQEGIRDLIKFDVTDG
+>Q5SZK8_PF16184_1408 <unknown description>
+RYFYVSIGSIDIVFPDVISKGVSLKEGGKVTLTTDLLSTSDLNSPDENLVFTITRAPMRG
+HLECTDQPGVSITSFTQLQLAGNKIYYIHTADDEVKMDSFEFQVTDG
+>Q5SZK8_PF16184_1520 <unknown description>
+RTFRISISDVDNKKPVVTIHKLVVSESENKLITPFELTVEDRDTPDKLLKFTITQVPIHG
+HLLFNNTRPVMVFTKQDLNENLISYKHDGTESSEDSFSFTVTDG
+>Q5SZK8_PF16184_1640 <unknown description>
+RPQVMKIQVLAVDNSVPQIAVNKGASTLRTLATGHLGFMITSKILKVEDRDSLHISLRFI
+VTEAPQHGYLLNLDKGNHSITQFTQADIDDMKICYVLREGANATSDMFYFAVEDG
+>Q5SZK8_PF03160_1770 <unknown description>
+WISFEKEYYLVNEDSKFLDVVLKRRGYLGETSFISIGTRDRTAEKDKDFKGKAQKQVQFN
+PGQTRATWRVRILSDGEHEQSETFQVVLS
+>Q5SZK8_PF03160_1871 <unknown description>
+ATVEIVDPGDEPTVFIPQSKYSVEEDVGELFIPIRRSGDVSQELMVVCYTQQGTATGTVP
+TSVLSYSDYISRPEDHTSVVRFDKDEREKLCRIVIIDDSLYEEEETFHVLLS
+>Q5SZK8_PF03160_1998 <unknown description>
+VTIVPDKDDEPIFYFGDVEYSVDESAGYVEVQVWRTGTDLSKSSSVTVRSRKTDPPSADA
+GTDYVGISRNLDFAPGVNMQPVRVVILDDLGQPALEGIEKFELVL
+>Q5SZK8_PF03160_2117 <unknown description>
+TVSINDSVSDLPKMQFKERIYTGSESDGQIVTMIHRTGDVQYRSSVRCYTRQGSAQVMMD
+FEERPNTDTSIITFLPGETEKPCILELMDDVLYEEVEELRLVL
+>Q5SZK8_PF03160_2244 <unknown description>
+DDADKTVIKFGETKFSVTEPKEPGESVVIRIPVIRQGDTSKVSIVRVHTKDGSATSGEDY
+HPVSEEIEFKEGETQHVVEIEVTFDGVREMREAFTVHL
+>P0C091_PF19309_62 <unknown description>
+VLIANPGLRVPLGRSLWLDPLRDLVIGVQPGDRCEVTVLDALPRLKGALSPRRFPCTFGP
+RQVQYTHFGSHSPGRARVLLQLRYDAPTHTLVLPFTLAVDLVFSQLELVTRNRPLVVEKL
+RSWSRAIDRRVLDFASLKSGATATRRCRLTPLPHEDGPLPKYGRLVDAVGAPLPRGKGVD
+CEAFLRAGVRYQHTATSSPNRDYVPMMV
+>P0C091_PF16184_322 <unknown description>
+LTALTPDALAAEDVESDPGDLVFNILNAPTHPPGHPGQQGYVVSTDDPLGLPVSFFTQQE
+LRELKIAYQPPAENSHGERLFQLELEVVD
+>P0C091_PF16184_416 <unknown description>
+SDPFAFMVTVKSMNTLVPVASHNRGLVLFEGQSRPLSSTHSIPISDKDNLEEVKMAAVRG
+LRHGQLVVFGAPAGCKYFTPADLAAGRVVYQHDGSNTYSDNIIFRMEDG
+>P0C091_PF16184_527 <unknown description>
+QVDFLFPLTILPVDDEPPMVNTNTGLSLTEGQVVQISPFVLSATDIDSEDSTIHFVLENQ
+PLKG
+>P0C091_PF16184_686 <unknown description>
+SKQHIFTIKVQPVDILSPQLYPGTTLEMTVQEYQLTHFQKNFLRYIDQDSDDQNLWYTLL
+TLPTDTDGNHQVRAGEIVLTDSPDTLIMHFTQAQVNQHKVAYQPPQKLGIAPRVVQFTYQ
+VED
+>P0C091_PF16184_813 <unknown description>
+SVPGTFTLFLQPVDNQPPEVTNRGFAILEGGSFNLSSNELHVTDPDTDIDQIVFILVRGP
+QHGHLQYFKRCMVPGESFMQADVINGSVSYQHGRDQTTTSDTFHLEVSDG
+>P0C091_PF16184_926 <unknown description>
+IPITIPISVHPNVANRSPRISLRSSSLLDVSIDVLENKATEITMGVIHGKRKDVGDLMLS
+FIVKDSPKLGTILVNGLPTERFTQEDLINGRVAYAHTAGE
+>P0C091_PF16184_1055 <unknown description>
+KVQVQVTVLPVDNVGPKVFVGESFIVYEGEKNSLTLQHLHVEDVDTHQDELLCTVTSQPA
+SGYLEKIASAPGSKMSQSGSPISAFSLRDIQVRHINYVQSIHKGVEPQEDQFTFYCSDG
+>P0C091_PF16184_1179 <unknown description>
+NVFFPIIILPTNDEQPKLFAHEFKVLEGMSLVIDTQLLNGADADLPPNELHFQLTALPRH
+GRIIQQLATGSQPIHSFTLKEIQEASTIVYEHDDSETKEDSFEVWLSDG
+>P0C091_PF16184_1290 <unknown description>
+TTHRKVPIVVTLVDDETPHLTVNNGLKVEKGHSEIITNRILKATDLDSDDKSLSFVLHSG
+PQQGLLQRLRKPRGEVRNNLTLGMNFTQDEINRGLICYIHTGQEGIVDIIKFDVTDG
+>P0C091_PF16184_1412 <unknown description>
+DHYFYVTIGNLDSVFPEVISKRITLIEGARVTLTNNLLTNSDINSSDEHHFSITRAPSLG
+HLESSDYAGEPIASFTQLQLASNKISYVHTSNDEKKMDSFEFQV
+>P0C091_PF16184_1524 <unknown description>
+RTFRIFITDVDNKKPILTIHRLTLQKEDSQLITLLELTVEDSDTPDDLILFTITQVPMHG
+KILYNGSRPVTTFTKQDLNKNLISYKHDGSETTEDSFSLTVTDG
+>P0C091_PF16184_1643 <unknown description>
+HKPQVMRVQIRSLDNRLPQITTNRGAPALKRLHTGHMGFLITSKSLKAEDQDSPHRLLKY
+KVTRGPEHGFIIKTGLGNQSTRVFTQADIDEMKISYVLNEGSNASKDIFYFSVED
+>P0C091_PF03160_1775 <unknown description>
+ICLEKEYYIVDEDSTFLEVTLTRRGYLGETSFISIGTKDETAKKDKDFKWKTNKQIQFNP
+GQTTATWRVRIIPDNEYETSETFQIILS
+>P0C091_PF03160_1875 <unknown description>
+ATVEIVDPGDESTVYIPEAEYKIEEDIGELLIPVRRSGDASQELIVICSTRQGSATGTIS
+STVLFSDYISRPEDHTSILHFDKNETQKTCQVLIIDDSLYEEEESFSVSL
+>P0C091_PF03160_2001 <unknown description>
+VTILADRYDEPVLHFGDAEYHVNESARYVEVCVWRRGTDLSQPSSIAVRSRKSEQESAEA
+GTDYVGISRNLDFAPGVRMQTFQVTILDDLGQPTLEGPEKFELLL
+>C9JXX5_PF15878_4 <unknown description>
+AMLGALHPRAGLSLFLHLILAVALLRSQPLRSQRSVPEAFSAPLELSQPLSGLVDDYGIL
+PKHPRPRGPRPLLSRAQQRKRDGPDLAEYYYDA
+>Q14331_PF06229_68 <unknown description>
+TYIHALDNGLFTLGAPHKEVDEGPSPPEQFTAVKLSDSRIALKSGYGKYLGINSDGLVVG
+RSDAIGPREQWEPVFQNGKMALLASNSCFIRCNEAGDIEAKSKTAGEEEMIKIRSCAERE
+TKKKDDIPEEDKGNVKQCEINYVKKFQSFQDHKLKISKEDSKILKKARKDGFLHETLLDR
+RAKLKADRY
+>Q96QU4_PF15315_60 <unknown description>
+GSEPNPNKENSEETKLKAGNSTAGSEPESSSYRENCRKRKMSSKDSCQDTAGNCPEKECS
+LSLNKKSRSSTPVHNSEIQETCDAHHRGRSRACTGRSKRHRSRALGVQTPSIRKSLVTSV
+RAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAES
+WL
+>A6NGY1_PF15315_61 <unknown description>
+GSDPNPNKENSEETKLKAGNSTAGSEPESSSYQENCRKRKISSKDICQDRAGNCPEEECN
+LTLNKKSRSSTAVHNSEIQETCDAHHRGSSRACTGRSKRHRSRALEVQTPSLRKSLVTSV
+RAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAES
+WL
+>Q64ET8_PF15315_61 <unknown description>
+GSEPNPNKENSEETKLKAGNSTAGSEPESSSYRENCRKRKMSSKDSCQDTAGNCPEKECS
+LSLNKKSRSSTAVHNSEIQETCDAHHRGHSRACTGHSKRHRSRALGVQTPSIRKSLVTSV
+RAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAES
+WL
+>P02794_PF00210_19 <unknown description>
+AAINRQINLELYASYVYLSMSYYFDRDDVALKNFAKYFLHQSHEEREHAEKLMKLQNQRG
+GRIFLQDIKKPDCDDWESGLNAMECALHLEKNVNQSLLELHKLATDKNDPHLCDFIETHY
+LNEQVKAIKELGDHVTNLRKM
+>P02792_PF00210_15 <unknown description>
+AAVNSLVNLYLQASYTYLSLGFYFDRDDVALEGVSHFFRELAEEKREGYERLLKMQNQRG
+GRALFQDIKKPAEDEWGKTPDAMKAAMALEKKLNQALLDLHALGSARTDPHLCDFLETHF
+LDEEVKLIKKMGDHLTNLHR
+>O95876_PF11768_79 <unknown description>
+KQKLAESRDYPWTLKNRRPEKLRDSLKELEELMQNSRCVLSKWKNKYVCQLLFGSGVLVS
+LSLSGPQLEKVVIDRSLVGKLISDTISDALLTDSFIILSFLAQNKLCFIQFTKKMESSDV
+NKRLEKLSALDYKIFYYEIPGPINKTTERHLAINCVHDRVVCWWPLVNDDAWPWAPISSE
+KDRANLLLLGYAQGRLEVLSSVRTEWDPLDVRFGTKQPYQVFTVEHSVSVDKEPMADSCI
+YECIRNKIQCVSVTRIPLKSKAISCCRNVTEDKLILGCEDSSLILYETHRRVTLLAQTEL
+LPSLISCHPSGAILLVGSNQGELQIFDMALSPINIQLLAEDRLPRETLQFSKLFDASSSL
+VQMQWIAPQVVSQKGEGSDIYDLLFLRFERGPLGVLLFKLGVFTRGQLGLIDIIFQYIHC
+DEIYEAINILSSMNWDTLGHQCFISMSAIVNHLLRQKLTPEREAQLETSLGTFYAPTRPL
+LDSTILEYRDQISKYARRFFHHLLRYQRFEKAFLLAVDVGARDLFMDIHYLALDKGELAL
+AEVA
+>P42685_PF00018_48 <unknown description>
+VALFDYQARTAEDLSFRAGDKLQVLDTLHEGWWFARHLEKR
+>P42685_PF00017_116 <unknown description>
+WFFGAIGRSDAEKQLLYSENKTGSFLIRESESQKGEFSLSVLDGAVVKHYRIKRLDEGGF
+FLTRRRIFSTLNEFVSHY
+>P42685_PF07714_234 <unknown description>
+IQLLKRLGSGQFGEVWEGLWNNTTPVAVKTLKPGSMDPNDFLREAQIMKNLRHPKLIQLY
+AVCTLEDPIYIITELMRHGSLQEYLQNDTGSKIHLTQQVDMAAQVASGMAYLESRNYIHR
+DLAARNVLVGEHNIYKVADFGLARVFKVDNEDIYESRHEIKLPVKWTAPEAIRSNKFSIK
+SDVWSFGILLYEIITYGKMPYSGMTGAQVIQMLAQNYRLPQPSNCPQQFYNIMLECWNAE
+PKERPTFETLRWK
+>Q9P2Q2_PF09379_24 <unknown description>
+VHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWLQLDRRVLE
+H
+>Q9P2Q2_PF00373_108 <unknown description>
+YLKDNATIELFFLNAKSCIYKELIDVDSEVVFELASYILQEAKGDFSSNEVVRSDLKKLP
+ALPTQALKEHPSLAYCEDRVIEHYKKLNGQTRGQAIVNYMSIVESLPTYGVHYY
+>Q9P2Q2_PF09380_225 <unknown description>
+DKQGIPWWLGLSYKGIFQYDYHDKVKPRKIFQWRQLENLYFREKKFSVEVHDPRRASVTR
+RTFGHSGIAVHTWYACPALIKSIWAMAISQHQFYLDRKQSK
+>Q9P2Q2_PF11819_357 <unknown description>
+SKGKIISGSSGSLLSSGSQESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKKLCLRE
+AELTGKLPVEYPLDPGEEPPIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQIT
+EAARRLASDPNVSKK
+>Q9Y2L6_PF09379_63 <unknown description>
+VHLLDDRRLELLVQPKLLARELLDLVASHFNLKEKEYFGITFIDDTGQQNWLQLDHRVLD
+H
+>Q9Y2L6_PF00373_148 <unknown description>
+LKDKTTVELFFLNAKACVHKGQIEVESETIFKLAAFILQEAKGDYTSDENARKDLKTLPA
+FPTKTLQEHPSLAYCEDRVIEHYLKIKGLTRGQAVVQYMKIVEALPTYGVHYY
+>Q9Y2L6_PF09380_264 <unknown description>
+DKQGLPWWLGISYKGIGQYDIQDKVKPRKLFQWKQLENLYFREKKFAVEVHDPRRISVSR
+RTFGQSGLFVQTWYANSSLIKSIWVMAISQHQFYLDRKQSK
+>Q9Y2L6_PF11819_395 <unknown description>
+ETKSQFIMASNGSLISSGSQDSEVSEEQKREKILELKKKEKLLQEKLLKKVEELKKICLR
+EAELTGKMPKEYPLNIGEKPPQVRRRVGTAFKLDDNLLPSEEDPALQELESNFLIQQKLV
+EAAKKLANEPDLCKT
+>Q8N878_PF09379_58 <unknown description>
+VLLPSREQLRLAVGVKATGRELFQQVCNVASIRDAQFFGLCVVRNNEYIFMDLEQKLSKY
+FS
+>Q8N878_PF00373_153 <unknown description>
+ISDHRARHLYYCHLKERVLRSQCAHREEAYFLLAACALQADLGEHRESAHAGRYFEPHSY
+FPQWIITKRGIDYILRHMPTLHRERQGLSPKEAMLCFIQEACRLEDVPVHFF
+>A2A2Y4_PF09379_36 <unknown description>
+IRLLDDSEISCHIQRETKGQFLIDHICNYYSLLEKDYFGIRYVDPEKQRHWLEPNKSIFK
+QMK
+>A2A2Y4_PF00373_117 <unknown description>
+LKIKEELTRYLLYLQIKRDIFHGRLLCSFSDAAYLGACIVQAELGDYDPDEHPENYISEF
+EIFPKQSQKLERKIVEIHKNELRGQSPPVAEFNLLLKAHTLETYGVDP
+>A2A2Y4_PF09380_229 <unknown description>
+DSTGTTTFLGFTAAGFVVFQGNKRIHLIKWPDVCKLKFEGKTFYVIGTQKEKKAMLAFHT
+STPAACKHLWKCGVENQAFYKYAKSSQ
+>A2A2Y4_PF08736_323 <unknown description>
+KIFFKGSRFRYSGKVAKEVVEASSKIQREPPEVHRANITQSRS
+>Q7Z6J6_PF09379_21 <unknown description>
+VRLLDDSEYTCTIQRDAKGQYLFDLLCHHLNLLEKDYFGIRFVDPDKQRHWLEFTKSVVK
+QLR
+>Q7Z6J6_PF00373_102 <unknown description>
+AALKEEITRYLVFLQIKRDLYHGRLLCKTSDAALLAAYILQAEIGDYDSGKHPEGYSSKF
+QFFPKHSEKLERKIAEIHKTELSGQTPATSELNFLRKAQTLETYGVDP
+>Q7Z6J6_PF09380_216 <unknown description>
+SGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTKLKFEGKTFYLYVSQKEEKKIILTYFAP
+TPEACKHLWKCGIENQAFYKLEKSSQ
+>Q7Z6J6_PF08736_309 <unknown description>
+NLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRA
+>Q96NE9_PF09379_20 <unknown description>
+IFLPNDESLNIIINVKILCHQLLVQVCDLLRLKDCHLFGLSVIQNNEHVYMELSQKLYKY
+CPK
+>Q96NE9_PF00373_123 <unknown description>
+ISDRAARYYYYWHLRKQVLHSQCVLREEAYFLLAAFALQADLGNFKRNKHYGKYFEPEAY
+FPSWVVSKRGKDYILKHIPNMHKDQFALTASEAHLKYIKEAVRLDDVAVHYY
+>Q96NE9_PF09380_245 <unknown description>
+ASLTLGLTMRGIQIFQNLDEEKQLLYDFPWTNVGKLVFVGKKFEILPDGLPSARKLIYYT
+GCPMRSRHLLQLLSNSHRLYMNLQP
+>Q6ZUT3_PF09379_6 <unknown description>
+VQFLDDSQKIFVVDQKSSGKALFNLSCSHLNLAEKEYFGLEFCSHSGNNVWLELLKPITK
+QVKN
+>Q6ZUT3_PF00373_85 <unknown description>
+DPGHLREELTRYLFTLQIKKDLALGRLPCSDNCTALMVSHILQSELGDFHEETDRKHLAQ
+TRYLPNQDCLEGKIMHFHQKHIGRSPAESDILLLDIARKLDMYGIRP
+>Q6ZUT3_PF09380_197 <unknown description>
+GEGMQIHLAVAHMGVLVLRGNTKINTFNWAKIRKLSFKRKHFLIKLHANILVLCKDTLEF
+TMASRDACKAFWKTCVEYHAFFRLSEEPK
+>Q6ZUT3_PF08736_293 <unknown description>
+CSKGSSFRYSGRTQRQLLEYGRKGRLKSLPFERKHYPSQY
+>Q9BZ67_PF00373_139 <unknown description>
+IHDEEVLRLLYEEAKGNVLAARYPCDVEDCEALGALVCRVQLGPYQPGRPAACDLREKLD
+SFLPAHLCKRGQSLFAALRGRGARAGPGEQGLLNAYRQVQEVSSDGGCEAALGTHYRAYL
+LKCHELPFYGCAFF
+>Q5SYB0_PF00595_66 <unknown description>
+LQDYGFHISESLPLTVVAVTAGGSAHGKLFPGDQILQMNNEPAEDLSWERAVDILREAED
+SLSITV
+>Q5SYB0_PF00373_271 <unknown description>
+DLLKEDPVAFEYLYLQSCSDVLQERFAVEMKCSSALRLAALHIQERIYACAQPQKISLKY
+IEKDWGIENFISPTLLRNMKGKDIKKAISFHMKRNQNLLEPRQKQLISAAQLRLNYLQIL
+GELKTYGGRIF
+>Q68DX3_PF09379_346 <unknown description>
+VVLLNGQHLEVKCDVESTVGAVFNAVTSFANLEELTYFGLAYMKSKEFFFLDSETRLCKI
+AP
+>Q68DX3_PF00373_438 <unknown description>
+LQHSLTRHQFYLQLRKDILEERLYCNEEILLQLGVLALQAEFGNYPKEQVESKPYFHVED
+YIPASLIERMTALRVQVEVSEMHRLSSALWGEDAELKFLRVTQQLPEYGVLV
+>Q68DX3_PF09380_560 <unknown description>
+EEEMALGICAKGVIVYEVKNNSRIAMLRFQWRETGKISTYQKKFTITSSVTGKKHTFVTD
+SAKTSKYLLDLCSAQHGFNAQMGS
+>Q68DX3_PF00595_776 <unknown description>
+VTLKRDPHRGFGFVINEGEYSGQADPGIFISSIIPGGPAEKAKTIKPGGQILALNHISLE
+GFTFNMAVRMIQNSPDNIELII
+>Q68DX3_PF00595_953 <unknown description>
+LVKEDGTLGFSVTGGINTSVPYGGIYVKSIVPGGPAAKEGQILQGDRLLQVDGVILCGLT
+HKQAVQCLTGPGQVARLVL
+>Q68DX3_PF00595_1080 <unknown description>
+VKLKKNANGLGFSFVQMEKESCSHLKSDLVRIKRLFPGQPAEENGAIAAGDIILAVNGRS
+TEGLIFQEVLHLLRGAPQEVTLL
+>Q6ZNA5_PF02014_32 <unknown description>
+CHGMIPEHGHSPQSVPVHDIYVSQMTFRPGDQIEVTLSGHPFKGFLLEARNAEDLNGPPI
+GSFTLIDSEVSQLLTCEDIQGSAVSHRSASKKTEIKVYWNAPSSAPNHTQFLVTVVEKYK
+IYWV
+>Q6ZNA5_PF03351_218 <unknown description>
+SCVFLSFTRDDQSVMVEMSGPSKGYLSFALSHDQWMGDDDAYLCIHEDQTVYIQPSHLTG
+RSHPVMDSRDTLEDMAWRLADGVMQCSFRRNITLPGVKNRFDLNTSYYIFLADG
+>Q9P0K9_PF03351_118 <unknown description>
+TCDYFLSYRMIGADVEFELSADTDGWVAVGFSSDKKMGGDDVMACVHDDNGRVRIQHFYN
+VGQWAKEIQRNPARDEEGVFENNRVTCRFKRPVNVPRDETIVDLHLSWYYLFAWG
+>Q8WU20_PF02174_19 <unknown description>
+NKFKVINVDDDGNELGSGIMELTDTELILYTRKRDSVKWHYLCLRRYGYDSNLFSFESGR
+RCQTGQGIFAFKCARAEELFNMLQEIMQN
+>O43559_PF02174_20 <unknown description>
+KFKVTNVDDEGVELGSGVMELTQSELVLHLHRREAVRWPYLCLRRYGYDSNLFSFESGRR
+CQTGQGIFAFKCSRAEEIFNLLQDLMQC
+>O94915_PF14222_117 <unknown description>
+ERRDLAVDFIFCLVLVEVLKQIPVHPVPDPLVHEVLNLAFKHFKHKEGYSGTNTGNVHII
+ADLYAEVIGVLAQSKFQAVRKKFVTELKELRQKEQSPHVVQSVISLIMGMKFFRVKMYPV
+EDFEASFQFMQECAQYFLEVKDKDIKHALAGLFVEILIPVAAAVKNEVNVPCLKNFVEML
+YQTTFELSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKNKDPKMSRVAL
+ESLYRLLWVYVIRIKCESNTVTQSRLMSIVSALFPKGSRSVVPRDTPLNIFVKIIQFIAQ
+ERLDFAMKEIIFDLLSVGKSTKTFTINPERMNIGLRVFLVIADSLQQKDGEPPMPTTGVI
+LPSGNTLRVKKIFLNKTLTDEEAKVIGMSVYYPQVRKALDSILRHLDKEVGRPMCMTSVQ
+MSNKEPEDMITGERKPKIDLFRTCIAAIPRLIPDGMSRTDLIELLARLTIHMDEELRALA
+FNTLQALMLDFPDWREDVLSGFVYFIVREVTDVHPTLLDNAVKMLVQLINQWK
+>O94915_PF14228_1167 <unknown description>
+SNLMYWAVDRCYTGSGRVAAGCFKAIANVFQNRDYQCDTVMLLNLILFKAADSSRSIYEV
+AMQLLQILEPKMFRYAHKLEVQRTDGVLSQLSPLPHLYSVSYYQLSEELARAYPELTLAI
+FSEISQRIQTAHPAGRQVMLHYLLPWMNNIELVDLK
+>O94915_PF14228_1364 <unknown description>
+MVLNNLMYMTAKYGDELAWSEVENVWTTLADGWPKNLKIILHFLISI
+>O94915_PF14228_1593 <unknown description>
+LHRCNIAVILLTDLIIDHSVKVEWGSYLHLLLHAIFIGFDHCHPEVYEHCKRLLLHLLIV
+>O94915_PF14228_1744 <unknown description>
+VEQDGKVKTLMEFITSRKRGPLWNHEDVSAKNPSIKSAEQLTTFLKHVVSVFKQSSSEGI
+HLEHHLSEVALQTALSCSSRHYAGRSFQIFRALKQPLTATTLSDVLSRLVETVGDP
+>O94915_PF14225_2001 <unknown description>
+ATIFWIAASLLESDYEYEYLLALRLLNKLLIHLPLDKSESREKIENVQSKLKWTNFPGLQ
+QLFLKGFTSASTQEMTVHLLSKLISVSKHTLVDPSQLSGFPLNILCLLPHLIQHFDSPTQ
+FCKETASRIAKVCAEEKCPTLVNLAHMMSLYSTHTYSRDCSNWINVVCRYLHDSFSDTTF
+NLVTYLAELLEKGLSSMQQSLLQIIYSLLSHIDLSAAPAKQFNLEIIKIIGKYVQSPYWK
+EALNILKLVVSRS
+>O94915_PF19421_2285 <unknown description>
+SKELPGKTLDFHFDISETPIIGNKYGDQHSAAGRNGKPKVIAVTRSTSSTSSGSNSNALV
+PVSWKRPQLSQRRTREKLMNVLSLCGPESGLPKNPSVVFSSNEDLEVGDQQTSLISTTED
+INQEEEVAVEDNSSEQQFGVFKDFDFLDVELEDAEGESMDNFNWGVRRRSLDSIDKGDTP
+SLQEYQCSSSTPSLNLTNQEDTDESSEEEAALTASQILSRTQMLNSDSATDETIPDHPDL
+LLQSEDSTGSITTEEVLQIRDETPTLEASLDNANSRLPEDTTSVLKEEHVTTFEDEGSYI
+IQEQQESLVCQGILDLEETEMPEPLAPESYPESVCEEDVTLALKELDERCEEEEADFSGL
+SSQDEEEQDGFPEVQTSPLPSPFLSAIIAAFQPVAYDDEEEAWRCHVNQMLSDTDGSSAV
+FTFHVFSRLFQTIQRKFGEITNEAVSFLGDSLQRIGTKFKSSLEVMMLCSECPTVFVDAE
+TLMSCGLLETLKFGVLELQEHLDTYNVKREAAEQWLDDCKRTFGAKEDMYRINTDAQQME
+ILAELELCRRLYKLHFQLLLLFQAYCKLINQVNTIKNEAEVINMSEELAQLESILKEAES
+ASENEEIDISKAAQTTIETAIHSLIETLKNKEFISAVAQVKAFRSLWPSDIFGSCEDDPV
+QTLLHIYFHHQTLGQTGSFAVIGSNLDMSEANYKLMELNLEIRESLRMVQSYQLLAQAKP
+MGNMVSTG
+>Q5TBA9_PF14222_165 <unknown description>
+ERRDLAIDFIFSLVLIEVLKQIPLHPVIDSLIHDVINLAFKHFKYKEGYLGPNTGNMHIV
+ADLYAEVIGVLAQAKFPAVKKKFMAELKELRHKEQNPYVVQSIISLIMGMKFFRIKMYPV
+EDFEASLQFMQECAHYFLEVKDKDIKHALAGLFVEILVPVAAAVKNEVNVPCLRNFVESL
+YDTTLELSSRKKHSLALYPLVTCLLCVSQKQLFLNRWHIFLNNCLSNLKNKDPKMARVAL
+ESLYRLLWVYMIRIKCESNTATQSRLITIITTLFPKGSRGVVPRDMPLNIFVKIIQFIAQ
+ERLDFAMKEIIFDFLCVGKPAKAFSLNPERMNIGLRAFLVIADSLQQKDGEPPMPVTGAV
+LPSGNTLRVKKTYLSKTLTEEEAKMIGMSLYYSQVRKAVDNILRHLDKEVGRCMMLTNVQ
+MLNKEPEDMITGERKPKIDLFRTCVAAIPRLLPDGMSKLELIDLLARLSIHMDDELRHIA
+QNSLQGLLVDFSDWREDVLFGFTNFLLREVNDMHHTLLDSSLKLLLQLLTQWK
+>Q5TBA9_PF14228_1209 <unknown description>
+LLELNPDQINLFNWAIDRCYTGSYQLASGCFKAIATVCGSRNYPFDIVTLLNLVLFKASD
+TNREIYEISMQLMQILEAKLFVYSKKVAEQRPGSILYGTHGPLPPLYSVSLALLSCELAR
+MYPELTLPLFSEVSQRFPTTHPNGRQIMLTYLLPWLHNIEL
+>Q5TBA9_PF14228_1637 <unknown description>
+LHRCNIAVIFMTEMVVDHSVREDWALHLPLLLHAVFLGLDHYRPEVFEHSKKLLLHLLIA
+LS
+>Q5TBA9_PF14228_1781 <unknown description>
+DVDTAAETDEKANKLIEFLTTRAFGPLWCHEDITPKNQNSKSAEQLTNFLRHVVSVFKDS
+KSGFHLEHQLSEVALQTALASSSRHYAGRSFQIFRALKQPLSAHALSDLLSRLVEVIG
+>Q5TBA9_PF14225_2042 <unknown description>
+ATIFWVTVALMESDFEFEYLMALRLLSRLLAHMPLDKAENREKLEKLQAQLKWADFSGLQ
+QLLLKGFTSLTTTDLTLQLFSLLTPVSKISMVDASHAIGFPLNVLCLLPQLIQHFENPNQ
+FCKDIAERIAQVCLEEKNPKLSNLAHVMTLYKTHSYTRDCATWVNVVCRYLHEAYADITL
+NMVTYLAELLEKGLPSVQQPLLQVIYSLLSYMDLSVVPVKQFNVEVLKTIEKYVQSVHWR
+EALNILKLVVSRS
+>Q5TBA9_PF19421_2319 <unknown description>
+ASKELPGKTLDFHFDISETPIIGRRYDELQNSSGRDGKPRAMAVTRSTSSTSSGSNSNVL
+VPVSWKRPQYSQKRTKEKLVHVLSLCGQEVGLSKNPSVIFSSCGDLDLLEHQTSLVSSED
+GAREQENMDDTNSEQQFRVFRDFDFLDVELEDGEGESMDNFNWGVRRRSLDSLDKCDMQI
+LEERQLSGSTPSLNKMHHEDSDESSEEEDLTASQILEHSDLIMTLSPSEETNPMELLTTA
+CDSTPAEPHSFNTRMSSFDASLPDMNNLQISEGSKAEAVREEEDTTVHEDDLSSSINELP
+AAFECSDSFSLDMTEGEEKGNRALDQFTLASFGEGDRGVSPPPSPFFSAILAAFQPAACD
+DAEEAWRSHINQLMCDSDGSCAVYTFHVFSSLFKNIQKRFCFLTCDAASYLGDNLRGIGS
+KFVSSSQMLTSCSECPTLFVDAETLLSCGLLDKLKFSVLELQEYLDTYNNRKEATLSWLA
+NCKATFAGGSRDGVITCQPGDSEEKQLELCQRLYKLHFQLLLLFQSYCKLIGQVHEVSSM
+PELLNMSRELSDLKKHLKEASAVIAADPLYSDGAWSEPTFTSTEAAIQSMLECLKNNELG
+KALRQIRECRSLWPNDIFGSSSDDEVQTLLNIYFRHQTLGQTGTYALVGSNQSLTEICTK
+LMELNMEIRDMIRRAQSYRVLTTFLPDSSVSGTS
+>O95073_PF13873_6 <unknown description>
+RSSNFTLSEKLDLLKLVKPYVKILEEHTNKHSVIVEKNRCWDIIAVNYNAIGVDRPPRTA
+QGLRTLYKRLKEYAKQELLQ
+>Q16658_PF06268_21 <unknown description>
+NKYLTAEAFGFKVNASASSLKKKQIWTLEQPPDEAGSAAVCLRSHLGRYLAADKDGNVTC
+EREVPGPDCRFLIVAHDDGRWSLQSEAHRRYFGGTEDRLSCFAQTVSPAEKWSV
+>Q16658_PF06268_143 <unknown description>
+NIYSVTRKRYAHLSARPADEIAVDRDVPWGVDSLITLAFQDQRYSVQTADHRFLRHDGRL
+VARPEPATGYTLEFRSGKVAFRDCEGRYLAPSGPSGTLKAGKATKVGKDELFAL
+>Q16658_PF06268_268 <unknown description>
+ANERNVSTRQGMDLSANQDEETDQETFQLEIDRDTKKCAFRTHTGKYWTLTATGGVQSTA
+SSKNASCYFDIEWRDRRITLRASNGKFVTSKKNGQLAASVETAGDSELFLM
+>Q16658_PF06268_392 <unknown description>
+HGFIGCRKVTGTLDANRSSYDVFQLEFNDGAYNIKDSTGKYWTVGSDSAVTSSGDTPVDF
+FFEFCDYNKVAIKVGGRYLKGDHAGVLKASAETVDPASLWEY
+>O14926_PF06268_21 <unknown description>
+DRYLTAESFGFKVNASAPSLKRKQTWVLEPDPGQGTAVLLRSSHLGRYLSAEEDGRVACE
+AEQPGRDCRFLVLPQPDGRWVLRSEPHGRFFGGTEDQLSCFATAVSPAELWTV
+>O14926_PF06268_142 <unknown description>
+HLLSVSRRRYVHLCPREDEMAADGDKPWGVDALLTLIFRSRRYCLKSCDSRYLRSDGRLV
+WEPEPRACYTLEFKAGKLAFKDCDGHYLAPVGPAGTLKAGRNTRPGKDELFDL
+>O14926_PF06268_266 <unknown description>
+ANHRYVSVRQGVNVSANQDDELDHETFLMQIDQETKKCTFYSSTGGYWTLVTHGGIHATA
+TQVSANTMFEMEWRGRRVALKASNGRYVCMKKNGQLAAISDFVGKDEEFTL
+>O14926_PF06268_390 <unknown description>
+DGFVCHHRGSNQLDTNRSVYDVFHLSFSDGAYRIRGRDGGFWYTGSHGSVCSDGERAEDF
+VFEFRERGRLAIRARSGKYLRGGASGLLRADADAPAGTALWEY
+>Q9NQT6_PF06268_25 <unknown description>
+GTYLTFEACKNTVTATAKSLGRRQTWEILVSNEHETQAVVRLKSVQGLYLLCECDGTVCY
+GRPRTSHHGCFLLRFHRNSKWTLQCLISGRYLESNGKDVFCTSHVLSAYHMWT
+>Q9NQT6_PF06268_272 <unknown description>
+TGRFISVIYDGEVRAASERLNRMSLFQFECDSESPTVQLRSANGYYLSQRRHRAVMADGH
+PLESDTFFRMHWNCGRIILQSCRGRFLGIAPNSLLMANVILPGPNEEFG
+>Q9BXM9_PF00622_385 <unknown description>
+HYWEVKAQKDCKSYSVGVAYKTLGKFDQLGKTNTSWCIHVNNWLQNTFAAKHNNKVKALD
+VTVPEKIGVFCDFDGGQLSFYDANSKQLLYSFKTKFTQPVLPGFMV
+>Q9BTV5_PF00041_179 <unknown description>
+DNCVTLVWRMPDEDSKIDHYVLEYRRTNFEGPPRLKEDQPWMVIEGIRQTEYTLTGLKFD
+MKYMNFRVKACNKAVAGEFS
+>Q9BTV5_PF00622_356 <unknown description>
+HYWEVRYEPDSKAFGVGVAYRSLGRFEQLGKTAASWCLHVNNWLQVSFTAKHANKVKVLD
+APVPDCLGVHCDFHQGLLSFYNARTKQVLHTFKTRFTQPLLPAFTVWCGSFQVTTG
+>A1L4K1_PF00041_378 <unknown description>
+NPQVPNSATGSSVRVCWSLYSDDTVESYQLSYRPVQDSSPGTDQAEFTVTVKETYCSVTN
+LVPNTQYEFWVTAHNRAGPSPSS
+>A1L4K1_PF00622_622 <unknown description>
+HYWEVEVDEHLDYRVGVAFADVRKQEDLGANCLSWCMRHTFASSRHKYEFLHNRTTPDIR
+ITVPPKKIGILLDYEHSKLSFFNVDLSQHLYTFSCQLHEFVHPCFSLEKPGCLKV
+>P01225_PF00007_19 <unknown description>
+NSCELTNITIAIEKEECRFCISINTTWCAGYCYTRDLVYKDPARPKIQKTCTFKELVYET
+VRVPGCAHHADSLYTYPVATQCHCGKCDSDSTDCTVRGLGPSYC
+>Q8NA03_PF15554_2 <unknown description>
+DIIKGNLDGISKPASNSRIRPGSRSSNASLEVLSTEPGSFKVDTASNLNSGKEDHSESSN
+TENRRTSNDDKQESCSEKIKLAEEGSDEDLDLVQHQIISECSDEPKLKELDSQLQDAIQK
+MKKLDKILAKKQRREKEIKKQGLEMRIKLWEEIKSAKYSEAWQSKEEMENTKKFLSLTAV
+SEETVGPSHEEEDTFSSVFHTQIPPEEYEMQMQKLNKDFTCDVERNESLIKSGKKPFSNT
+EKIELRGKHNQDFIKRNIELAKESRNPVVMVDREKKRLVELLKDLDEKDSGLSSSEGDQS
+GWVVPVKGYELAVTQHQQLAEIDIKLQELSAASPTISSFSPRLENRNNQKPDRDGERNME
+VTPGEKILRNTKEQRDLHNRLREIDEKLKMMKE
+>Q5CZC0_PF15783_4071 <unknown description>
+DNASIAEQITNGILLEILDYKLPSCFKEHLIPHSYYPLKPEIILQKLQSNLTEFTSLPRS
+SSDYSTMLSHSFLEDVIRRLLSQLIPPPITCSSLGKKYLMSSDFNEMSTCIINKVMSAIS
+KHKIWFTIYDNQYLYTGKNLQKMVDSVYCNILQMSDSLVSIQKSIVSRSPIMIDQIASFI
+IQEIIENHLQPFLSGEVLCHPRTPLDPVSTIVTQVLSEVIESHRPQKQSPLDIHLDSFVR
+EIVARLLSKIFSPKHNTEIELKNMTQRIVNSINRHFNKAKIHILYDDKEQAFFSFNTDIV
+DELATSVYRNALKQHGLDLAVDKESEDSGIFVENITNLIVAAISDYLLHPLFSGDFSAST
+YSNSVAENIVQDILSNISKSTEPSQSVPLYNTLLPYTFLEDMIRVLLSKLFSSASSLVLN
+RDTQKDISRVNFNDIASNLVSDIRMKVSQHEIRFSKEEEETKFIYSEDDIQHLVDSVFAN
+VVQTSGSQESAVQNITSSNDILIDRIAGFIIKHICQKHLQPFVSGKSLS
+>Q5CZC0_PF15783_4712 <unknown description>
+EVVPNKDFLNDTKTLAARITNIILAEIFDFQIHPDLIANLPFKSHSKLSANVLIQRVQYD
+ISKSRFQRQASTMYTTMLSHSHLEKIVTQLTSQISPLNTSAEQSDTTKSDLSNTVIKLIN
+EIMSIISKHEICIIKYGNKKQSMISAKDIQSMVDSIYADLSHSNIYQSITKDKKSISDIP
+VSKIASFIIKEIFNHHIQSFLSEDKT
+>Q5CZC0_PF15783_4878 <unknown description>
+QSITKDKKSISDIPVSKIASFIIKEIFNHHIQSFLSEDKTLLLAAVDQTYKLKAIDPKQR
+ELSFIVNSSVFLEEVISELLCKILYAFSHNMLVTENPDRVKLKLTRIVTTLVNSIVLEFT
+TSEILVADNFDKNLCFSERYKEMVQKIVNSVYGKVLDQYKSLIQIHRVIQSDTICFGRKI
+YYLLLEEIYDYQVQSLVSGELESSSYSYPQADNIIRNVLNIITKDSHALPPYITVLPHSL
+LEDMVYRLLGHVFPSTHTENELKEKKFPPDDEFVEAASKLTDEIIKEISEHEIRLSMAED
+NAESMQLEPIENLVDSICNNILKTSEFQAEVQKDADKKGCSFLSKLAGFIMKEIMYHHLQ
+PFLHGEESS
+>Q5CZC0_PF15783_5036 <unknown description>
+YKSLIQIHRVIQSDTICFGRKIYYLLLEEIYDYQVQSLVSGELESSSYSYPQADNIIRNV
+LNIITKDSHALPPYITVLPHSLLEDMVYRLLGHVFPSTHTENELKEKKFPPDDEFVEAAS
+KLTDEIIKEISEHEIRLSMAEDNAESMQLEPIENLVDSICNNILKTSEFQAEVQKDADKK
+GCSFLSKLAGFIMKEIMYHHLQPFLHGEESSFSDLSDYDHVSELAKSGKEKTQPSLYSAT
+FLEDIIIDLVHKFCSLLIITEDSKKNEMAELDIMGLALKLANSLIREFKKSDIKVLPNAE
+KMFSFPPIDKETVDKISNFVYEQFIEKCTSHDIQKGDESNIAIGMIAALTQKAISAFRIQ
+PLFSGDWSST
+>Q5CZC0_PF15783_5902 <unknown description>
+SDKIPSIDKTLVNKVVHSSVCNILNDYGSQDSIWKNINSNGENLARRLTSAVINEIFQRQ
+VNLIFCDEVSVSACLPLESKDVVKKVQKLAQTASKECQTSSPYTIILPHKFLENVISALF
+SKIFSTISSTKTKEPEDNLSTELNFLQMKLVSAVATEISQDKYMTIQYVETLQSDDDEII
+QLVVQSVYNNLLPQFGSQEIIQNCVTSGCKILSENIVDLVLREVASNQLQSYFCGELTPH
+QCVEVENIVEKILKDVFQTTDVPLPKPSHADKLSYNIIEEIAVKFLSKLLSIFPKVHKER
+TKSLETDMQKITSKVLNSVQEFISKSKIKLVPPTKESPTVPVADNATIENIVNSIYTSVL
+KHSGSYTSVFKDLMGKSNVLSDTIGFLMVNAISNSEFQPQVEEEVSNSELVLEAVKIMEK
+VIKIIDELKSKEKSSSRKGLTLDAKLLEEVLALFLAKLIRLPSSSSKDEKNLSKTELNKI
+ASQLSKLVTAEISRSSISLIASDPEEHCLNPENTERIYQVVDSVYSNILQQSGTNKEFYY
+DIKDTNTAFPKKVASLIIDGVSSFPLDTINSTISNADLSGELDVNRIVQKAQEHAFNVIP
+ELEQEKLDQNLSEEESPIKIVPHVGKKPVKIDPKIISEHLAVISIKTQPLEKLKQECLKR
+TGHSIAELRRASISGRNYSLGSPDLEKRKTERRTSLDKTGRLDVKPLEAVARNSFQNIRK
+PDITKVELLKDVQSKNDLIVRLVAHDIDQVYLENYIKEERDSDEDEVVLTQTFAKEEGIK
+VFEDQVKEVKKPIQSKLSPKSTLSTSSLKKFLSLSKCCQTTASANIESTEAISNQVIESK
+ETHVKRAVAELDMATPKTMPETASSSWEEKPQC
+>Q9BRQ8_PF07992_13 <unknown description>
+HVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVETGFAKKTFISYSVT
+FKDNFRQGLVVGIDLKNQMVLLQGGEALPFSHLILATGSTGPFPGKFNEVSSQQAAIQAY
+EDMVRQVQRSRFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSQVALADKELLPSVRQEV
+KEILLRKGVQLLLSERVSNLEELPLNEYREYIKVQTDKGTEVATNLVILCTGIKINSSAY
+RKAFESRLASSGALRVNEHLQVEGHSNVYAIGDCADVRTPKMAYLAGL
+>Q12841_PF09289_31 <unknown description>
+CANVFCGAGRECAVTEKGEPTC
+>Q12841_PF07648_54 <unknown description>
+CIEQCKPHKRPVCGSNGKTYLNHCELHRDACLTGSKIQVDYDGHC
+>O95633_PF09289_99 <unknown description>
+CDGVECGPGKACRMLGGRPRC
+>O95633_PF07648_120 <unknown description>
+ECAPDCSGLPARLQVCGSDGATYRDECELRAARCRGHPDLSVMYRGRC
+>O95633_PF07648_199 <unknown description>
+PCPVPSSPGQELCGNNNVTYISSCHMRQATCFLGRSIGVRHAGSC
+>Q6MZW2_PF07648_89 <unknown description>
+CLEACRPSYVPVCGSDGRFYENHCKLHRAACLLGKRITVIHSKDC
+>Q6MZW2_PF13927_258 <unknown description>
+TTVTVGLSTVLTCAVHGDLRPPIIWKRNGLTLNFLDLEDINDFGEDDSLYITKVTTIHMG
+NYTCHAS
+>Q6MZW2_PF13927_340 <unknown description>
+PPVIRVYPESQAQEPGVAASLRCHAEGIPMPRITWLKNGVDVSTQMSKQLSLLANGSELH
+ISSVRYEDTGAYTCIAKN
+>Q8N475_PF07648_89 <unknown description>
+CMDLCKRHYKPVCGSDGEFYENHCEVHRAACLKKQKITIVHNEDC
+>Q8N475_PF13927_258 <unknown description>
+TAATVGQSAVLSCAIQGTLRPPIIWKRNNIILNNLDLEDINDFGDDGSLYITKVTTTHVG
+NYTCYA
+>Q8N475_PF13927_340 <unknown description>
+PPVIRVYPESQAREPGVTASLRCHAEGIPKPQLGWLKNGIDITPKLSKQLTLQANGSEVH
+ISNVRYEDTGAYTCIAKN
+>P19883_PF09289_95 <unknown description>
+CENVDCGPGKKCRMNKKNKPRC
+>P19883_PF07648_118 <unknown description>
+CAPDCSNITWKGPVCGLDGKTYRNECALLKARCKEQPELEVQYQGRC
+>P19883_PF07648_192 <unknown description>
+CNRICPEPASSEQYLCGNDGVTYSSACHLRKATCLLGRSIGLAYEGKC
+>P19883_PF07648_270 <unknown description>
+CDELCPDSKSDEPVCASDNATYASECAMKEAACSSGVLLEVKHSGSC
+>O95954_PF07837_4 <unknown description>
+LVECVPNFSEGKNQEVIDAISGAITQTPGCVLLDVDAGPSTNRTVYTFVGPPECVVEGAL
+NAARVASRLIDMSRHQGEHPRMGALDVCPFIPVRGVSVDECVLCAQAFGQRLAEELDVPV
+YLYGEAARMDSRRTLPAIRAGEYEALPKKLQQADWAPDFGPSSFVPSWGATATGAR
+>O95954_PF02971_181 <unknown description>
+FLIAFNINLLGTKEQAHRIALNLREQGRGKDQPGRLKKVQGIGWYLDEKNLAQVSTNLLD
+FEVTALHTVYEETCREAQELSLPVVGSQLVGLVPLKALLDAAAFYCEKENLFILEEEQRI
+RLVVSRLGLDSLCPFSPKERIIEY
+>O95954_PF04961_339 <unknown description>
+SLRAFVGEVGARSAAPGGGSVAAAAAAMGAALGSMVGLMTYGRRQFQSLDTTMRRLIPPF
+REASAKLTTLVDADAEAFTAYLEAMRLPKNTPEEKDRRTAALQEGLRRAVSVPLTLAETV
+ASLWPALQELARCGNLACRSDLQVAAKALEMGVFGAYFNVLINLRDITDEAFKDQIHHRV
+S
+>Q8N4E7_PF00210_78 <unknown description>
+AAINRQINLELYASYVYLSMAYYFSRDDVALNNFSRYFLHQSREETEHAEKLMRLQNQRG
+GRIRLQDIKKPEQDDWESGLHAMECALLLEKNVNQSLLELHALASDKGDPHLCDFLETYY
+LNEQVKSIKELGDHVHNLVK
+>Q68CZ1_PF11618_598 <unknown description>
+IHLERGENLFEIHINKVTFSSEVLQASGDKEPVTFCTYAFYDFELQTTPVVRGLHPEYNF
+TSQYLVHVNDLFLQYIQKNTITLEVHQAYSTEYETIAACQLKFHEILEKSGRIFCTASLI
+GTKGDIPNFGTVEYWFRLRVP
+>Q68CZ1_PF00168_791 <unknown description>
+ELHITIRCCNHLQSRASHLQPHPYVVYKFFDFADHDTAIIPSSNDPQFDDHMYFPVPMNM
+DLDRYLKSESLSFYVFDDSDTQENIYIGKVNVPLISLAHDRCISGIFE
+>Q68CZ1_PF18111_1146 <unknown description>
+SEKIRIEIIALSLNDSQVTMDDTIQRLFVECRFYSLPAEETPVSLPKPKSGQWVYYNYSN
+VIYVDKENNKAKRDILKAILQKQEMPNRSLRFTVVSDPPEDEQDLECEDIGVAHVDLADM
+FQEGRDLIEQNIDVFDARADGEGIGKLRVTVEALHALQSVYKQ
+>Q9C0B1_PF12933_37 <unknown description>
+EFYQQWQLKYPKLILREASSVSEELHKEVQEAFLTLHKHGCLFRDLVRIQGKDLLTPVSR
+ILIGNPGCTYKYLNTRLFTVPWPVKGSNIKHTEAEIAAACETFLKLNDYLQIETIQALEE
+LAAKEKANEDAVPLCMSADFPRVGMGSSYNGQDEVDIKSRAAYNVTLLNFMDPQKMPYLK
+EEPYFGMGKMAVSWHHDENLVDRSAVAVYSYSCEGPEEESEDDSHLEGRDPDIWHVGFKI
+SWDIETPGLAIPLHQGDCYFMLDDLNATHQHCVLAGSQPRFSSTHRVAE
+>Q9C0B1_PF12934_329 <unknown description>
+GTLDYILQRCQLALQNVCDDVDNDDVSLKSFEPAVLKQGEEIHNEVEFEWLRQFWFQGNR
+YRKCTDWWCQPMAQLEALWKKMEGVTNAVLHEVKREGLPVEQRNEILTAILASLTARQNL
+RREWHARCQSRIARTLPADQKPECRPYWEKDDASMPLPFDLTDIVSELR
+>Q96AE4_PF00013_102 <unknown description>
+TEEYKVPDGMVGFIIGRGGEQISRIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAK
+RLLD
+>Q96AE4_PF00013_188 <unknown description>
+QEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQQA
+KEMVLE
+>Q96AE4_PF00013_280 <unknown description>
+VPIPRFAVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGTTPERIAQITGPPDRCQHAAEII
+T
+>Q96AE4_PF00013_381 <unknown description>
+FIVPTGKTGLIIGKGGETIKSISQQSGARIELQRNPPPNADPNMKLFTIRGTPQQIDYAR
+QLIEE
+>Q96AE4_PF09005_576 <unknown description>
+PAGQVDYTKAWEEYYKKMGQ
+>Q96AE4_PF09005_604 <unknown description>
+PPGGQPDYSAAWAEYYRQQ
+>Q96I24_PF00013_79 <unknown description>
+TEEFKVPDKMVGFIIGRGGEQISRIQAESGCKIQIASESSGIPERPCVLTGTPESIEQAK
+RLL
+>Q96I24_PF00013_165 <unknown description>
+QEILIPASKVGLVIGRGGETIKQLQERTGVKMVMIQDGPLPTGADKPLRITGDAFKVQQA
+REMVLE
+>Q96I24_PF00013_256 <unknown description>
+IEVSVPRFAVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERAAQVMGPPDRCQHAAH
+IISE
+>Q96I24_PF00013_358 <unknown description>
+TYTVPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNSDPNLRRFTIRGVPQQIEVA
+RQLIDE
+>A2VDF0_PF05025_6 <unknown description>
+GVPALLSPELLYALARMGHGDEIVLADLNFPASSICQCGPMEIRADGLGIPQLLEAVLKL
+LPLDTYVESPAAVMELVPSDKERGLQTPVWTEYESILRRAGCVRALAKIERFEFYERAKK
+AFAVVATGETALYGNLILRKGV
+>Q9BTY2_PF01120_28 <unknown description>
+AHSATRFDPTWESLDARQLPAWFDQAKFGIFIHWGVFSVPSFGSEWFWWYWQKEKIPKYV
+EFMKDNYPPSFKYEDFGPLFTAKFFNANQWADIFQASGAKYIVLTSKHHEGFTLWGSEYS
+WNWNAIDEGPKRDIVKELEVAIRNRTDLRFGLYYSLFEWFHPLFLEDESSSFHKRQFPVS
+KTLPELYELVNNYQPEVLWSDGDGGAPDQYWNSTGFLAWLYNESPVRGTVVTNDRWGAGS
+ICKHGGFYTCSDRYNPGHLLPHKWENCMTIDKLSWGYRREAGISDYLTIEELVKQLVETV
+SCGGNLLMNIGPTLDGTISVVFEERLRQMGSWLKVNGE
+>Q9BTY2_PF16757_376 <unknown description>
+QNDTVTPDVWYTSKPKEKLVYAIFLKWPTSGQLFLGHPKAILGATEVKLLGHGQPLNWIS
+LEQNGIMVELPQLTIHQMPCKWGWALALT
+>P04066_PF01120_30 <unknown description>
+RAQPPRRYTPDWPSLDSRPLPAWFDEAKFGVFIHWGVFSVPAWGSEWFWWHWQGEGRPQY
+QRFMRDNYPPGFSYADFGPQFTARFFHPEEWADLFQAAGAKYVVLTTKHHEGFTNWPSPV
+SWNWNSKDVGPHRDLVGELGTALRKRNIRYGLYHSLLEWFHPLYLLDKKNGFKTQHFVSA
+KTMPELYDLVNSYKPDLIWSDGEWECPDTYWNSTNFLSWLYNDSPVKDEVVVNDRWGQNC
+SCHHGGYYNCEDKFKPQSLPDHKWEMCTSIDKFSWGYRRDMALSDVTEESEIISELVQTV
+SLGGNYLLNIGPTKDGLIVPIFQERLLAVGKWLSINGE
+>P04066_PF16757_378 <unknown description>
+QWEKNTTSVWYTSKGSAVYAIFLHWPENGVLNLESPITTSTTKITMLGIQGDLKWSTDPD
+KGLFISLPQLPPSAVPAEFAWTIKLT
+>Q96A29_PF03151_40 <unknown description>
+QIALVVSLYWVTSISMVFLNKYLLDSPSLRLDTPIFVTFYQCLVTTLLCKGLSALAACCP
+GAVDFPSLRLDLRVARSVLPLSVVFIGMITFNNLCLKYVGVAFYNVGRSLTTVFNVLLSY
+LLLKQTTSFYALLTCGIIIGGFWLGVDQEGAEGTLSWLGTVFGVLASLCVSLNAIYTTKV
+LPAVDGSIWRLTFYNNVNACILFLPLLLLLGELQALRDFAQLGSAHFWGMMTLGGLFGFA
+IGYVTGLQIKFTSPLTHNVSGTAKACAQTVLAVLYYEETKSFLWWTSNMMVLGGS
+>P07954_PF00206_58 <unknown description>
+GELKVPNDKYYGAQTVRSTMNFKIGGVTERMPTPVIKAFGILKRAAAEVNQDYGLDPKIA
+NAIMKAADEVAEGKLNDHFPLVVWQTGSGTQTNMNVNEVISNRAIEMLGGELGSKIPVHP
+NDHVNKSQSSNDTFPTAMHIAAAIEVHEVLLPGLQKLHDALDAKSKEFAQIIKIGRTHTQ
+DAVPLTLGQEFSGYVQQVKYAMTRIKAAMPRIYELAAGGTAVGTGLNTRIGFAEKVAAKV
+AALTGLPFVTAPNKFEALAAHDALVELSGAMNTTACSLMKIANDIRFLGSGPRSGLGELI
+LPENEPGSSIMPGKVNPTQCEAMTMVAAQVMG
+>P07954_PF10415_455 <unknown description>
+LVTALNPHIGYDKAAKIAKTAHKNGSTLKETAIELGYLTAEQFDEWVKPKDML
+>Q8IVP5_PF04930_54 <unknown description>
+IVMGGVTGWCAGFLFQKVGKLAATAVGGGFLLLQIASHSGYVQIDWKRVEKDVNKAKRQI
+KKRANKAAPEINNLIEEATEFIKQNIVISSGFVGGFLLGL
+>Q9BWH2_PF04930_87 <unknown description>
+LFIGGVTGWCTGFIFQKVGKLAATAVGGGFFLLQLANHTGYIKVDWQRVEKDMKKAKEQL
+KIRKSNQIPTEVRSKAEEVVSFVKKNVLVTGGFFGGFLLGM
+>P09958_PF16470_33 <unknown description>
+TWAVRIPGGPAVANSVARKHGFLNLGQIFGDYYHFWHRGVTKRSLSPHRPRHSRLQREPQ
+VQWLEQQVAKRRTKR
+>P09958_PF00082_144 <unknown description>
+GHGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDPDPQPRYTQMNDNRHGTRCAGEVA
+AVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSLGLNPNHIHIYSASWGPEDDGKTV
+DGPARLAEEAFFRGVSQGRGGLGSIFVWASGNGGREHDSCNCDGYTNSIYTLSISSATQF
+GNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKCTESHTGTSASAPLAAGIIALTLE
+ANKNLTWRDMQHLVVQTSKPAHLNANDWATNGVGRKVSHSYGYG
+>P09958_PF01483_484 <unknown description>
+LEHAQARLTLSYNRRGDLAIHLVSPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWDEDP
+SGEWVLEIENTSEANNYGTLTKFTLV
+>P35637_PF00076_287 <unknown description>
+IFVQGLGENVTIESVADYFKQIGIIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPS
+AKAAIDWFDGKEFSGNPIK
+>P35637_PF00641_422 <unknown description>
+RAGDWKCPNPTCENMNFSWRNECNQCKAPKPD
+>Q6P4F1_PF17039_83 <unknown description>
+IMLWWSPLTGETGRLGQCGADACFFTINRTYLHHHMTKAFLFYGTDFNIDSLPLPRKAHH
+DWAVFHEESPKNNYKLFHKPVITLFNYTATFSRHSHLP
+>Q6P4F1_PF00852_214 <unknown description>
+LVYVQSDCDPPSDRDSYVRELMTYIEVDSYGECLRNKDLPQQLKNPASMDADGFYRIIAQ
+YKFILAFENAVCDDYITEKFWRPLKLGVVPVYYGSPSITDWLPSNKSAILVSEFSHPREL
+ASYIRRLDSDDRLYEAYVEWKLKGEISNQ
+>Q495W5_PF17039_77 <unknown description>
+VLLWWSPGLFPHFPGDSERIECARGACVASRNRRALRDSRTRALLFYGTDFRASAAPLPR
+LAHQSWALLHEESPLNNFLLSHGPGIRLFNLTSTFSRHSDYP
+>Q495W5_PF00852_212 <unknown description>
+LYLQSHCDVPADRDRYVRELMRHIPVDSYGKCLQNRELPTARLQDTATATTEDPELLAFL
+SRYKFHLALENAICNDYMTEKLWRPMHLGAVPVYRGSPSVRDWMPNNHSVILIDDFESPQ
+KLAEFIDFLDKNDEEYMKYLAYKQP
+>P19526_PF01531_38 <unknown description>
+LSILCPDRRLVTPPVAIFCLPGTAMGPNASSSCPQHPASLSGTWTVYPNGRFGNQMGQYA
+TLLALAQLNGRRAFILPAMHAALAPVFRITLPVLAPEVDSRTPWRELQLHDWMSEEYADL
+RDPFLKLSGFPCSWTFFHHLREQIRREFTLHDHLREEAQSVLGQLRLGRTGDRPRTFVGV
+HVRRGDYLQVMPQRWKGVVGDSAYLRQAMDWFRARHEAPVFVVTSNGMEWCKENIDTSQG
+DVTFAGDGQEATPWKDFALLTQCNHTIMTIGTFGFWAAYLAGGDTVYLANFTLPDSEFLK
+IFKPEAAFLPEWVGIN
+>Q10981_PF01531_21 <unknown description>
+TVSTIFHVQQRLAKIQAMWELPVQIPVLASTSKALGPSQLRGMWTINAIGRLGNQMGEYA
+TLYALAKMNGRPAFIPAQMHSTLAPIFRITLPVLHSATASRIPWQNYHLNDWMEEEYRHI
+PGEYVRFTGYPCSWTFYHHLRQEILQEFTLHDHVREEAQKFLRGLQVNGSRPGTFVGVHV
+RRGDYVHVMPKVWKGVVADRRYLQQALDWFRARYSSLIFVVTSNGMAWCRENIDTSHGDV
+VFAGDGIEGSPAKDFALLTQCNHTIMTIGTFGIWAAYLTGGDTIYLANYTLPDSPFLKIF
+KPEAAFLPEWTGIA
+>P22083_PF17039_187 <unknown description>
+RPVGVLLWWEPFGGRDSAPRPPPDCRLRFNISGCRLLTDRASYGEAQAVLFHHRDLVKGP
+PDWPPPWGIQAHTAEEVDLRVLDYEEAAAAAEALATSSPRPPGQRWVWMNFESPSHSPGL
+RSLASNLFNWTLSYRADSDVFVPYG
+>P22083_PF00852_351 <unknown description>
+SRKQGLVAWVVSHWDERQARVRYYHQLSQHVTVDVFGRGGPGQPVPEIGLLHTVARYKFY
+LAFENSQHLDYITEKLWRNALLAGAVPVVLGPDRANYERFVPRGAFIHVDDFPSASSLAS
+YLLFLDRNPAVYRRYFHWRRSYAVHITSFWDEPWCRVCQAVQRAGDRPKSIRNLASW
+>P51993_PF17039_62 <unknown description>
+PLILLWTWPFNKPIALPRCSEMVPGTADCNITADRKVYPQADAVIVHHREVMYNPSAQLP
+RSPRRQGQRWIWFSMESPSHCWQLKAMDGYFNLTMSYRSDSDIFTPYG
+>P51993_PF00852_186 <unknown description>
+SAKTELVAWAVSNWGPNSARVRYYQSLQAHLKVDVYGRSHKPLPQGTMMETLSRYKFYLA
+FENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYL
+QELDKDHARYLSYFRWRETLRPRSFSWALAFCKACWKLQEESRYQT
+>Q11130_PF17039_46 <unknown description>
+TITILVWHWPFTDQPPELPSDTCTRYGIARCHLSANRSLLASADAVVFHHRELQTRRSHL
+PLAQRPRGQPWVWASMESPSHTHGLSHLRGIFNWVLSYRRDSDIFVPYG
+>Q11130_PF00852_167 <unknown description>
+PAKSRVAAWVVSNFQERQLRARLYRQLAPHLRVDVFGRANGRPLCASCLVPTVAQYRFYL
+SFENSQHRDYITEKFWRNALVAGTVPVVLGPPRATYEAFVPADAFVHVDDFGSARELAAF
+LTGMNESRYQRFFAWRDRLRVRLFTDWRERFCAICDRYPHLPRSQVYEDLEGW
+>Q9BYC5_PF19745_2 <unknown description>
+RPWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDLR
+RMAESLRIPEGPIDQGPAIGRVRVLEEQLVKAKEQIENYKKQTRNGLGKDHEILRRRIEN
+GAKELWFFLQSELKKLKNLEGNELQRHADEFLLDLGHHERSIMTDLYYLSQTDGAGDWRE
+KEAKDLTELVQRRITYLQNPKDCSKAKKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRTL
+ILESQNWRYATGGWETVFRPVSETCTDRSGISTGHWSGEVKDKNVQVVELPIVDSLHPRP
+PYLPLAVPEDLADRLVRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVIG
+VHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPSLLKEAKTKY
+PNYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQT
+LHPDASANFHSLDDIYY
+>Q9BYC5_PF14604_509 <unknown description>
+AIYAHQPRTADEIPMEPGDIIGVAGNHWDGYSKGVNRKLGRTGLYPSYKV
+>Q9Y231_PF17039_63 <unknown description>
+ETTILVWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNLPQ
+QARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYG
+>Q9Y231_PF00852_183 <unknown description>
+PSKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVNDKNLIPTISTCKFYL
+SFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDYNSPSELAKYL
+KEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKW
+>Q9BT04_PF19036_11 <unknown description>
+HLLCLAASSGVPLFCRSSRGGAPARQQLPFSVIGSLNGVHMFGQNLEVQLSSARTENTTV
+VWKSFHDSITLIVLSSEVGISELRLERLLQMVFGAMVLLVGLEELTNIRNVERLKKDLRA
+SYCLIDS
+>Q9BT04_PF19037_177 <unknown description>
+TFVSLVVSGRVVAATEGWWRLGTPEAVLLPWLVGSLPPQTARDYPVYLPHGSPTVPHRLL
+TLTLLPSLELCLLCGPSPPLSQLYPQLLERWW
+>Q9BT04_PF19038_296 <unknown description>
+ILGLLLLHLELKRCLFTVEPLGDKEPSPEQRRRLLRNFYTLVTSTHFPPEPGPPEKTEDE
+VYQAQLPRACYLVLGTEEPGTGVRLVALQLGLRRLLLLLSPQSPTHGLRSLATHTLHALT
+>Q4VC44_PF15423_1 <unknown description>
+MPLPEPSEQEGESVKAGQEPSPKPGTDVIPAAPRKPREFSKLVLLTASDQDEDGVGSKPQ
+EVHCVLSLEMAGPATLASTLQILPVEEQGGVVQPALEMPEQKCSKLD
+>Q4VC44_PF04500_116 <unknown description>
+FLRTPFGGRLLVLESFLYKQEKAVGDKVYWKCRQHAELGCRGRAITRGLRATVMRGHCH
+>Q4VC44_PF16662_175 <unknown description>
+APDEQGLEARRQREKLPSLALPEGLGEPQGPEGPGGRVEEPLEG
+>Q4VC44_PF04500_273 <unknown description>
+FLRTCYGGSFLVHESFLYKREKAVGDKVYWTCRDHALHGCRSRAITQGQRVTVMRGHCH
+>Q4VC44_PF16662_332 <unknown description>
+QPDMEGLEARRQQEKAVETLQAGQDGPGSQVDTLLRGVDSLLYRRGPGPLTLTRPRPRKR
+AK
+>Q4VC44_PF04500_421 <unknown description>
+FLKTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVTVMRGHCH
+>Q4VC44_PF16662_480 <unknown description>
+PPDLGGLEALRQREKRPNTAQRGSPGGPE
+>Q4VC44_PF04500_509 <unknown description>
+FLKTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVMVMRRHCH
+>Q4VC44_PF16662_568 <unknown description>
+PPDLGGLEALRQREH
+>Q4VC44_PF04500_600 <unknown description>
+FLRTSLGGRFLVHESFLYRKEKAAGEKVYWMCRDQARLGCRSRAITQGHRIMVMRSHCH
+>Q4VC44_PF16662_659 <unknown description>
+QPDLAGLEALRQRERL
+>Q96CP2_PF15423_1 <unknown description>
+MPLPEPSEQEGESVKASQEPSPKPGTEVIPAAPRKPRKFSKLVLLTASKDSTKVAGAKRK
+GVHCVMSLGVPGPATLAKALLQTHPEAQRAIEAAPQEPEQKRSRQD
+>Q9NU39_PF00250_107 <unknown description>
+KPPYSYIALITMAILQSPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGHPGKGTYWSLDPASQDMF
+>Q6VB84_PF00250_108 <unknown description>
+KPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGHPGKGNYWSLDPASQDMF
+>Q8WXT5_PF00250_108 <unknown description>
+KPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGHPGKGNYWSLDPASQDMF
+>Q5VV16_PF00250_108 <unknown description>
+KPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGHPGKGNYWSLDPASQDMF
+>Q3SYB3_PF00250_108 <unknown description>
+KPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFV
+KIPREPGHPGKGNYWSLDPASQDMF
+>Q96IV6_PF04116_176 <unknown description>
+AIFTLIEEVLFYYSHRLLHHPTFYKKIHKKHHEWTAPIGVISLYAHPIEHAVSNMLPVIV
+GPLVMGSHLSSITMWFSLALIITTISHCGYHLPFLPSPEFHDYHHLKFNQCYGVLGVLDH
+LHGT
+>Q9NXK8_PF12937_6 <unknown description>
+ELPDSVLLEIFSYLPVRDRIRISRVCHRWKRLVDDRWLWRHVDL
+>Q8N1E6_PF12937_10 <unknown description>
+PELLAMIFGYLDVRDKGRAAQVCTAWRDAAYHKSVWR
+>Q8N1E6_PF13516_118 <unknown description>
+SLRALNLSLCKQITDSSL
+>Q8N1E6_PF13516_169 <unknown description>
+QRLKSLNLRSCRHLSDVGIGHLA
+>Q8N1E6_PF13516_230 <unknown description>
+LRLLNLSFCGGISDAGLLHLS
+>Q8N1E6_PF13516_254 <unknown description>
+SLRSLNLRSCDNISDTGIMHLA
+>Q8N1E6_PF13516_332 <unknown description>
+LRTLNIGQCVRITDKGLELIA
+>Q9H469_PF00646_19 <unknown description>
+FLDLPWEDVLLPHVLNRVPLRQLLRLQRVSRAFRSLVQ
+>Q9H469_PF13516_218 <unknown description>
+CPELHHLDLTGCLRVGSDGVRTLA
+>Q8N461_PF13516_319 <unknown description>
+LPNLTALSLSGCSKVTDDGVELV
+>Q8N461_PF13516_345 <unknown description>
+LRKLRSLDLSWCPRITD
+>Q9UF56_PF12937_321 <unknown description>
+INQLPPSILLKIFSNLSLDERCLSASLVCKYWRDLCLDFQFWKQLDL
+>Q9UF56_PF13516_616 <unknown description>
+SMTIETVDVGWCKEITDQGATLIA
+>Q96ME1_PF00646_30 <unknown description>
+GFSDEILLHILSHVPSTDLILNVRRTCRKLAALCLDKSL
+>Q96ME1_PF19729_85 <unknown description>
+VRQLVKEIGREIQQLSMAGCYWLPGSTVEHVARCRSLVKVNLSGCHLTSLRLSKMLSALQ
+HLRSLAIDVSPGFDASQLSSECKATLSRVRELKQTLFTPSYGVVPCCTSLEKLLLYFEIL
+DRTREGAILSGQLMVGQSNVPHYQNLRVFYARLAPGYINQEVVRLYLAVLSDRTPQNLHA
+FLISVPGSFAESGATKNLLDSMARNVVLDALQLPKSWLNGSSLLQHMKFNNPFYFSFSRC
+TLSGGHLIQQVINGGKDLRSLASLNLSGCVHCLSPDSLLRKAEDDIDSSILETLVASCCN
+LRHLNLSAAHHHSSEGLGRHLCQLLARLRHLRSLSLPVCSVADSAPRADRAPAQPAMHAV
+PRGFGKKVRVGVQSCPSPFSGQACPQPSSVFWSLLKNLPFLEHLELIGSNFSSAMPRNEP
+AIRNSLPPCSRAQSVGDSEVAAIGQLAFLRHLTLAQLPSVLTGSGLVNIGLQCQQLRSLS
+LANLGMMGKVVYMPALSDMLKHCKRLRDLRLEQPYFSANAQFFQALSQCPSLQRLCLVSR
+SGTLQPDAVLAFMARCLQVVMCHLFTGESLATCKSLQQSLLRSFQAERPAL
+>Q96IG2_PF12937_27 <unknown description>
+KLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLALDGSNWQRID
+>Q96IG2_PF13516_142 <unknown description>
+CSKLRHLDLASCTSITNMSLKALS
+>Q96IG2_PF13516_168 <unknown description>
+CPLLEQLNISWCDQVTKDGIQALV
+>Q96IG2_PF13516_219 <unknown description>
+HCPELVTLNLQTCLQITDEGLITIC
+>Q96IG2_PF13516_323 <unknown description>
+HCPRLQVLSLSHCELITDDGIRHL
+>A0A2Z4LIS9_PF00250_244 <unknown description>
+NLSYADLITRAIESSPDRRLTLSQIYEWMVSCVPYFKDK
+>P51114_PF18336_4 <unknown description>
+LTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPP
+>P51114_PF05641_62 <unknown description>
+GDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVN
+>P51114_PF17904_123 <unknown description>
+KNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKRVN
+ILSDMHLRSIRTKLMLMSRNEEATK
+>P51114_PF00013_285 <unknown description>
+FIQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESI
+GNVQVLL
+>P51114_PF12235_354 <unknown description>
+HIAYLKEVEQLRMERLQIDEQLRQIGS
+>P51114_PF12235_380 <unknown description>
+SRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRDSRHQRDSR
+RRPGGRGR
+>P51114_PF16096_460 <unknown description>
+GGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMD
+GMTESDTASVNENGL
+>P51114_PF16097_554 <unknown description>
+PRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDDISKLQRTPGEEKINTLKEENTQE
+AAVLNGV
+>P51116_PF18336_14 <unknown description>
+LPVEVRGSNGAFYKGFVKDVHEDSVTIFFENNWQSERQIPFGDVRLPPP
+>P51116_PF05641_72 <unknown description>
+GDEVEVYSRANEQEPCGWWLARVRMMKGDFYVIEYAACDATYNEIVTLERLRPVNP
+>P51116_PF17904_133 <unknown description>
+KGSFFKVTMAVPEDLREACSNENVHKEFKKALGANCIFLNITNSELFILSTTEAPVKRAS
+LLGDMHFRSLRTKLLLMSRNEEATK
+>P51116_PF00013_231 <unknown description>
+EEFTVREDLMGLAIGTHGANIQQARKVPGVTAIELGEETCTFRIYGETPEACRQARSYL
+>P51116_PF00013_295 <unknown description>
+SVQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRVEGDNDKKNPREEGMVPFIFVGTRENI
+SNAQALLE
+>P51116_PF12235_364 <unknown description>
+HLSYLQEVEQLRLERLQIDEQLRQIGLGFRPPGSGRGSGGSDKAGYSTDESSSSSLHATR
+TYGGSYGGRGRGRRTGGPAYGPSSDVSTASETESEKREEPNRAGPGDRDPPTRGEESRRR
+PTGGRGRG
+>P51116_PF16096_502 <unknown description>
+YNSSSISSVLKDPDSNPYSLLDTSEPEPPVDSEPGEPPPASARRRRSRRRRTDEDRTVMD
+GGLESDGPNMTENGLE
+>Q96CU9_PF01266_65 <unknown description>
+DVVIVGGGVLGLSVAYWLKKLESRRGAIRVLVVERDHTYSQASTGLSVGGICQQFSLPEN
+IQLSLFSASFLRNINEYLAVVDAPPLDLRFNPSGYLLLASEKDAAAMESNVKVQRQEGAK
+VSLMSPDQLRNKFPWINTEGVALASYGMEDEGWFDPWCLLQGLRRKVQSLGVLFCQGEVT
+RFVSSSQRMLTTDDKAVVLKRIHEVHVKMDRSLEYQPVECAIVINAAGAWSAQIAALAGV
+GEGPPGTLQGTKLPVEPRKRYVYVWHCPQGPGLETPLVADTSGAYFRREGLGSNYLGGRS
+PTEQEEPDPANLEVDHDFFQDKVWPHLALRVPAFETLKVQSAWAGYYDYNTFDQNGVVGP
+HPLVVNMYFATGFSGHGLQQAPGIGRAVAEM
+>Q8IWF2_PF13738_35 <unknown description>
+VLGAGPAGLQMAYFLQRAGRDYAVFERAPRPGSFFTRYPRHRKLISINKRYTGKANAEFN
+LRHDWNSLLSHDPRLLFRHYSRAYFPDARDMVRYLGDFADTLGLRVQYNTTIAHVTLDKD
+RQAWNGHYFILTDQKGQVHQCSVLFVATGLSVPNQVDFPGSEYAEGYESVSVDPEDFVGQ
+NVLILGRGNSAFETAENILGVTNFIHMLSRSRVRLSWATHYVGDLRAINNGLLDTYQLKS
+LDGLLESDLT
+>Q14802_PF02038_25 <unknown description>
+DKNSPFYYDWHSLQVGGLICAGVLCAMGIIIVMSAKCKCKFGQKSGH
+>P59646_PF02038_25 <unknown description>
+NKDDPFYYDWKNLQLSGLICGGLLAIAGIAAVLSGKCKCKSSQKQHSP
+>Q96DB9_PF02038_131 <unknown description>
+EDDPFFYDEHTLRKRGLLVAAVLFITGIIILTSGKCR
+>Q9H0Q3_PF02038_25 <unknown description>
+KEMDPFHYDYQTLRIGGLVFAVVLFSVGILLILSRRCKCSFNQKPRA
+>P58549_PF02038_13 <unknown description>
+EEPDPFYYDYNTVQTVGMTLATILFLLGILIVISKKVKCRKADSRSES
+>O15117_PF07653_516 <unknown description>
+AKACCDVKGGKNELSFKQGEQIEIIRITDNPEGKWLGRTARGSYGYIKTTAVEI
+>O15117_PF14603_741 <unknown description>
+FRKKFKYDGEIRVLYSTKVTTSITSKKWGTRDLQVKPGESLEVIQTTDDTKVLCRNEEGK
+YGYVLRSYLADNDGEIYDDIADGCIYDND
+>Q5VWT5_PF14603_653 <unknown description>
+FRERFKYDKEIIVINTAVACSNNSRNGIFDLPISPGEELEVIDTTEQNLVICRNSKGKYG
+YVLIEHL
+>Q9BQS8_PF02759_56 <unknown description>
+DQKEKATLLGNKKDYWDYFCACLAKVKGANDGIRFVKSISELRTSLGKGRAFIRYSLVHQ
+RLADTLQQCFMNTKVTSDWYYARSPFLQPKLSSDIVGQLYELTEVQFDLA
+>Q9BQS8_PF01363_1169 <unknown description>
+RWLGDTEANHCLDCKREFSWMVRRHHCRICGRIFCYYCCNNYVLSKHGGKKERCCRACFQ
+KLS
+>P06241_PF00018_88 <unknown description>
+VALYDYEARTEDDLSFHKGEKFQILNSSEGDWWEARSLTTGETGYIPS
+>P06241_PF00017_149 <unknown description>
+WYFGKLGRKDAERQLLSFGNPRGTFLIRESETTKGAYSLSIRDWDDMKGDHVKHYKIRKL
+DNGGYYITTRAQFETLQQLVQHY
+>P06241_PF07714_271 <unknown description>
+LQLIKRLGNGQFGEVWMGTWNGNTKVAIKTLKPGTMSPESFLEEAQIMKKLKHDKLVQLY
+AVVSEEPIYIVTEYMNKGSLLDFLKDGEGRALKLPNLVDMAAQVAAGMAYIERMNYIHRD
+LRSANILVGNGLICKIADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVW
+SFGILLTELVTKGRVPYPGMNNREVLEQVERGYRMPCPQDCPISLHELMIHCWKKDPEER
+PTFEYLQSFL
+>Q9Y2I7_PF01363_154 <unknown description>
+YWMPDSQCKECYDCSEKFTTFRRRHHCRLCGQIFCSRCCNQEIPGKFMGYTGDLRACTYC
+RK
+>Q9Y2I7_PF00610_368 <unknown description>
+GMEFQDHRYWLRTHPNCIVGKELVNWLIRNGHIATRAQAIAIGQAMVDGRWLDCVSHHDQ
+LFRDEYALYR
+>Q9Y2I7_PF00118_610 <unknown description>
+SANHNHMMALLQQLLHSDSLSSSWRDIIVSLVCQVVQTVRPDVKNQDDDMDIRQFVHIKK
+IPGGKKFDSVVVNGFVCTKNIAHKKMSSCIKNPKILLLKCSIEYLYREETKFTCIDPIVL
+QEREFLKNYVQRIVDVRPTLVLVEKTVSRIAQDMLLEHGITLVINVKSQVLERISRMTQG
+DLVMSMDQLLTKPHLGTCHKFYMQIFQLPNEQTKTLMFFEGCPQHLGCTIKLRGGSDYEL
+ARVKE
+>Q9Y2I7_PF01504_1858 <unknown description>
+RGGKSGAAFYATEDDRFILKQMPRLEVQSFLDFAPHYFNYITNAVQQKRPTALAKILGVY
+RIGYKNSQNNTEKKLDLLVMENLFYGRKMAQVFDLKGSLRNRNVKTDTGKESCDVVLLDE
+NLLKMVRDNPLYIRSHSKAVLRTSIHSDSHFLSSHLIIDYSLLVGRDDTS
+>Q9Y2I7_PF01504_2029 <unknown description>
+ELVVGIIDYIRTFTWDKKLEMVVKSTGILGGQGKMPTVVSPELYRTRFCEAMDKY
+>Q9ULW2_PF01392_34 <unknown description>
+CQPIEIPMCKDIGYNMTRMPNLMGHENQREAAIQLHEFAPLVEYGCHGHLRFFLCSLYAP
+MCTEQVSTPIPACRVMCEQARLKCSPIMEQFNFKWPDSLDCRKLPNKN
+>Q9ULW2_PF01534_218 <unknown description>
+YWSREDKRFAVVWLAIWAVLCFFSSAFTVLTFLIDPARFRYPERPIIFLSMCYCVYSVGY
+LIRLFAGAESIACDRDSGQLYVIQEGLESTGCTLVFLVLYYFGMASSLWWVVLTLTWFLA
+AGKKWGHEAIEANSSYFHLAAWAIPAVKTILILVMRRVAGDELTGVCYVGSMDVNALTGF
+VLIPLACYLVIGTSFILSGFVALFHIRRVMKTGGENTDKLEKLMVRIGLFSVLYTVPATC
+VIACYFYERLNMDYWKILAAQHKCKMNNQTKTLDCLMAASIPAVEIFMVKIFMLLVVGIT
+SGMWIWTSKTLQSWQQVCSR
+>Q9UP38_PF01392_116 <unknown description>
+CQPISIPLCTDIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSAELKFFLCSMYAP
+VCTVLEQALPPCRSLCERARQGCEALMNKFGFQWPDTLKCEKFPV
+>Q9UP38_PF01534_310 <unknown description>
+YFGPEELRFSRTWIGIWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYTAVAVAY
+IAGFLLEDRVVCNDKFAEDGARTVAQGTKKEGCTILFMMLYFFSMASSIWWVILSLTWFL
+AAGMKWGHEAIEANSQYFHLAAWAVPAIKTITILALGQVDGDVLSGVCFVGLNNVDALRG
+FVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYTVPAT
+IVIACYFYEQAFRDQWERSWVAQSCKSYAIPCPHLQAGGGAPPHPPMSPDFTVFMIKYLM
+TLIVGITSGFWIWSGKTLNSWRKFYTR
+>Q14332_PF01392_39 <unknown description>
+CQPISIPLCTDIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAP
+VCTVLEQAIPPCRSICERARQGCEALMNKFGFQWPERLRCEHFPRH
+>Q14332_PF01534_235 <unknown description>
+FFSQEETRFARLWILTWSVLCCASTFFTVTTYLVDMQRFRYPERPIIFLSGCYTMVSVAY
+IAGFVLQERVVCNERFSEDGYRTVVQGTKKEGCTILFMMLYFFSMASSIWWVILSLTWFL
+AAGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQIDGDLLSGVCFVGLNSLDPLRG
+FVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLERLMVRIGVFSVLYTVPAT
+IVIACYFYEQAFREHWERSWVSQHCKSLAIPCPAHYTPRMSPDFTVYMIKYLMTLIVGIT
+SGFWIWSGKTLHSWRKFYTR
+>Q9NPG1_PF01392_28 <unknown description>
+CEPITLRMCQDLPYNTTFMPNLLNHYDQQTAALAMEPFHPMVNLDCSRDFRPFLCALYAP
+ICMEYGRVTLPCRRLCQRAYSECSKLMEMFGVPWPEDMECSRFPDC
+>Q9NPG1_PF01534_193 <unknown description>
+YFRREELSFARYFIGLISIICLSATLFTFLTFLIDVTRFRYPERPIIFYAVCYMMVSLIF
+FIGFLLEDRVACNASIPAQYKASTVTQGSHNKACTMLFMILYFFTMAGSVWWVILTITWF
+LAAVPKWGSEAIEKKALLFHASAWGIPGTLTIILLAMNKIEGDNISGVCFVGLYDVDALR
+YFVLAPLCLYVVVGVSLLLAGIISLNRVRIEIPLEKENQDKLVKFMIRIGVFSILYLVPL
+LVVIGCYFYEQAYRGIWETTWIQERCREYHIPCPYQVTQMSRPDLILFLMKYLMALIVGI
+PSVFWVGSKKTCFEWASFFH
+>Q9ULV1_PF01392_45 <unknown description>
+CDPIRISMCQNLGYNVTKMPNLVGHELQTDAELQLTTFTPLIQYGCSSQLQFFLCSVYVP
+MCTEKINIPIGPCGGMCLSVKRRCEPVLKEFGFAWPESLNCSKFPPQ
+>Q9ULV1_PF01534_210 <unknown description>
+LYSRSAKEFTDIWMAVWASLCFISTAFTVLTFLIDSSRFSYPERPIIFLSMCYNIYSIAY
+IVRLTVGRERISCDFEEAAEPVLIQEGLKNTGCAIIFLLMYFFGMASSIWWVILTLTWFL
+AAGLKWGHEAIEMHSSYFHIAAWAIPAVKTIVILIMRLVDADELTGLCYVGNQNLDALTG
+FVVAPLFTYLVIGTLFIAAGLVALFKIRSNLQKDGTKTDKLERLMVKIGVFSVLYTVPAT
+CVIACYFYEISNWALFRYSADDSNMAVEMLKIFMSLLVGITSGMWIWSAKTLHTWQKCSN
+R
+>Q13467_PF01392_33 <unknown description>
+CQEITVPMCRGIGYNLTHMPNQFNHDTQDEAGLEVHQFWPLVEIQCSPDLRFFLCSMYTP
+ICLPDYHKPLPPCRSVCERAKAGCSPLMRQYGFAWPERMSCDRLPV
+>Q13467_PF01534_227 <unknown description>
+FSADERTFATFWIGLWSVLCFISTSTTVATFLIDMERFRYPERPIIFLSACYLCVSLGFL
+VRLVVGHASVACSREHNHIHYETTGPALCTIVFLLVYFFGMASSIWWVILSLTWFLAAGM
+KWGNEAIAGYAQYFHLAAWLIPSVKSITALALSSVDGDPVAGICYVGNQNLNSLRGFVLG
+PLVLYLLVGTLFLLAGFVSLFRIRSVIKQGGTKTDKLEKLMIRIGIFTLLYTVPASIVVA
+CYLYEQHYRESWEAALTCACPGHDTGQPRAKPEYWVLMLKYFMCLVVGITSGVWIWSGKT
+VESWRRFTSRC
+>O60353_PF01392_24 <unknown description>
+CEPITVPRCMKMAYNMTFFPNLMGHYDQSIAAVEMEHFLPLANLECSPNIETFLCKAFVP
+TCIEQIHVVPPCRKLCEKVYSDCKKLIDTFGIRWPEELECDRLQ
+>O60353_PF01534_189 <unknown description>
+YFKSDELEFAKSFIGTVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVCYSIVSLMY
+FIGFLLGDSTACNKADEKLELGDTVVLGSQNKACTVLFMLLYFFTMAGTVWWVILTITWF
+LAAGRKWSCEAIEQKAVWFHAVAWGTPGFLTVMLLAMNKVEGDNISGVCFVGLYDLDASR
+YFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVFSGLYLVPL
+VTLLGCYVYEQVNRITWEITWVSDHCRQYHIPCPYQAKAKARPELALFMIKYLMTLIVGI
+SAVFWVGSKKTCTEWAGFFKR
+>O75084_PF01392_49 <unknown description>
+CQPISIPLCTDIAYNQTILPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAP
+VCTVLDQAIPPCRSLCERARQGCEALMNKFGFQWPERLRCENFPV
+>O75084_PF01534_244 <unknown description>
+YFKEEERRFARLWVGVWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYFMVAVAH
+VAGFLLEDRAVCVERFSDDGYRTVAQGTKKEGCTILFMVLYFFGMASSIWWVILSLTWFL
+AAGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQVDGDLLSGVCYVGLSSVDALRG
+FVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYTVPAT
+IVLACYFYEQAFREHWERTWLLQTCKSYAVPCPPGHFPPMSPDFTVFMIKYLMTMIVGIT
+TGFWIWSGKTLQSWRRFYHR
+>Q9H461_PF01392_35 <unknown description>
+CQEITVPLCKGIGYNYTYMPNQFNHDTQDEAGLEVHQFWPLVEIQCSPDLKFFLCSMYTP
+ICLEDYKKPLPPCRSVCERAKAGCAPLMRQYGFAWPDRMRCDRLPEQ
+>Q9H461_PF01534_268 <unknown description>
+FFSQDERAFTVFWIGLWSVLCFVSTFATVSTFLIDMERFKYPERPIIFLSACYLFVSVGY
+LVRLVAGHEKVACSGGAPGAGGAGGAGGAAAGAGAAGAGAGGPGGRGEYEELGAVEQHVR
+YETTGPALCTVVFLLVYFFGMASSIWWVILSLTWFLAAGMKWGNEAIAGYSQYFHLAAWL
+VPSVKSIAVLALSSVDGDPVAGICYVGNQSLDNLRGFVLAPLVIYLFIGTMFLLAGFVSL
+FRIRSVIKQQDGPTKTHKLEKLMIRLGLFTVLYTVPAAVVVACLFYEQHNRPRWEATHNC
+PCLRDLQPDQARRPDYAVFMLKYFMCLVVGITSGVWVWSGKTLESWRSLCTRC
+>O00144_PF01392_39 <unknown description>
+CQAVEIPMCRGIGYNLTRMPNLLGHTSQGEAAAELAEFAPLVQYGCHSHLRFFLCSLYAP
+MCTDQVSTPIPACRPMCEQARLRCAPIMEQFNFGWPDSLDCARLPTR
+>O00144_PF01534_222 <unknown description>
+FWSRRDKDFALVWMAVWSALCFFSTAFTVLTFLLEPHRFQYPERPIIFLSMCYNVYSLAF
+LIRAVAGAQSVACDQEAGALYVIQEGLENTGCTLVFLLLYYFGMASSLWWVVLTLTWFLA
+AGKKWGHEAIEAHGSYFHMAAWGLPALKTIVILTLRKVAGDELTGLCYVASTDAAALTGF
+VLVPLSGYLVLGSSFLLTGFVALFHIRKIMKTGGTNTEKLEKLMVKIGVFSILYTVPATC
+VIVCYVYERLNMDFWRLRATEQPCAAAAGPGGRRDCSLPGGSVPTVAVFMLKIFMSLVVG
+ITSGVWVWSSKTFQTWQSLCYR
+>Q9UM11_PF12894_226 <unknown description>
+SVEGDSVTSVGWSERGNLVAVGTHKGFVQIWDAAAGKKLSMLEGHTARVGALAWNA
+>Q9UM11_PF00400_307 <unknown description>
+RRLQGHRQEVCGLKWSTDHQLLASGGNDNKLLVWN
+>Q9UM11_PF00400_347 <unknown description>
+PVQQYTEHLAAVKAIAWSPHQHGLLASGGGTADRCIRFWN
+>Q9UM11_PF00400_436 <unknown description>
+VAKLTGHSYRVLYLAMSPDGEAIVTGAGDETLRFWN
+>P27469_PF15103_1 <unknown description>
+METVQELIPLAKEMMAQKRKGKMVKLYVLGSVLALFGVVLGLMETVCSPFTAARRLRDQE
+AAVAELQAALERQALQKQALQEKGKQQDTVLGGRALSNRQHAS
+>Q7L622_PF13771_41 <unknown description>
+HYYCLLMSSGIWQRGKEEEGVYGFLIEDIRKEVNRASKLKCCVCKKNGASIGCVAPRCKR
+SYHFPCGLQRECIFQFTGNFASFCWDHR
+>Q7L622_PF00632_425 <unknown description>
+LFEGSLSKNLSLNSQALKENLYYEAGKMLAISLVHGGPSPGFFSKTLFNCLVYGPENTQP
+ILDDVSDFDVAQIIIRINTATTVADLKSIINECYNYLELIGCLRLITTLSDKYMLVKDIL
+GYHVIQRVHTPFESFKQGLKTLGVLEKIQAYPEAFCSILCHKPESLSAKILSELFTVHTL
+PDVKALGFWNSYLQAVEDGKSTTTMEDILIFATGCSSIPPAGFKPTPSIECLHVDFPVGN
+KCNNCLAIPITNTYKEFQENMDFTIRNT
+>O60883_PF00001_147 <unknown description>
+GNLSVMCIVWHSYYLKSAWNSILASLALWDFLVLFFCLPIVIFNEITKQRLLGDVSCRAV
+PFMEVSSLGVTTFSLCALGIDRFHVATSTLPKVRPIERCQSILAKLAVIWVGSMTLAVPE
+LLLWQLAQEPAPTMGTLDSCIMKPSASLPESLYSLVMTYQNARMWWYFGCYFCLPILFTV
+TCQLVTWRVRGPPGRKSECRASKHEQCESQLNSTVVGLTVVYAFCTLPENVCNIVVAYLS
+TELTRQTLDLLGLINQFSTFFKGAITP
+>Q13283_PF02136_11 <unknown description>
+VGREFVRQYYTLLNQAPDMLHRFYGKNSSYVHGGLDSNGKPADAVYGQKEIHRKVMSQNF
+TNCHTKIRHVDAHATLNDGVVVQVMGLLSNNNQALRRFMQTFVLAPEGSVANKFYVHNDI
+FRY
+>Q13283_PF00076_342 <unknown description>
+LFIGNLPHEVDKSELKDFFQSYGNVVELRINSGGKLPNFGFVVFDDSEPVQKVLSN
+>Q9UN86_PF02136_11 <unknown description>
+VGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQNDIHHKVLSLNF
+SECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSVPNKFYVHNDM
+FRY
+>Q9UN86_PF00076_333 <unknown description>
+LFVGNLPHDIDENELKEFFMSFGNVVELRINTKGVGGKLPNFGFVVFDDSEPVQRIL
+>O14556_PF00044_77 <unknown description>
+TVGINGFGRIGRLVLRACMEKGVKVVAVNDPFIDPEYMVYMFKYDSTHGRYKGSVEFRNG
+QLVVDNHEISVYQCKEPKQIPWRAVGSPYVVESTGVYL
+>O14556_PF02800_229 <unknown description>
+LAPLAKVIHERFGIVEGLMTTVHSYTATQKTVDGPSRKAWRDGRGAHQNIIPASTGAAKA
+VTKVIPELKGKLTGMAFRVPTPDVSVVDLTCRLAQPAPYSAIKEAVKAAAKGPMAGILAY
+TEDEVVSTDFLGDTHSSIFDAKAGIALNDNFVKLISWY
+>P04406_PF00044_4 <unknown description>
+VKVGVNGFGRIGRLVTRAAFNSGKVDIVAINDPFIDLNYMVYMFQYDSTHGKFHGTVKAE
+NGKLVINGNPITIFQERDPSKIKWGDAGAEYVVESTGVFTT
+>P04406_PF02800_157 <unknown description>
+LAPLAKVIHDNFGIVEGLMTTVHAITATQKTVDGPSGKLWRDGRGALQNIIPASTGAAKA
+VGKVIPELNGKLTGMAFRVPTANVSVVDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGY
+TEHQVVSSDFNSDTHSSTFDAGAGIALNDHFVKLISWY
+>Q99999_PF06990_4 <unknown description>
+PQKKPWESMAKGLVLGALFTSFLLLVYSYAVPPLHAGLASTTPEAAASCSPPALEPEAVI
+RANGSAGECQPRRNIVFLKTHKTASSTLLNILFRFGQKHRLKFAFPNGRNDFDYPTFFAR
+SLVQDYRPGACFNIICNHMRFHYDEVRGLVPTNAIFITVLRDPARLFESSFHYFGPVVPL
+TWKLSAGDKLTEFLQDPDRYYDPNGFNAHYLRNLLFFDLGYDNSLDPSSPQVQEHILEVE
+RRFHLVLLQEYFDESLVLLKDLLCWELEDVLYFKLNARRDSPVPRLSGELYGRATAWNML
+DSHLYRHFNASFWRKVEAFGRERMAREVAALRHANERMRTICIDGGHAVDAAAIQDEAMQ
+PWQPLGTKSILGYNLKKSIGQRHAQLCRRMLTPEIQYLMDLGAN
+>Q9H3Q3_PF06990_1 <unknown description>
+MMSMLGGLQRYFRVILLLLLALTLLLLAGFLHSDLELDTPLFGGQAEGPPVTNIMFLKTH
+KTASSTVLNILYRFAETHNLSVALPAGSRVHLGYPWLFLARYVEGVGSQQRFNIMCNHLR
+FNLPQVQKVMPNDTFYFSILRNPVFQLESSFIYYKTYAPAFRGAPSLDAFLASPRTFYND
+SRHLRNVYAKNNMWFDFGFDPNAQCEEGYVRARIAEVERRFRLVLIAEHLDESLVLLRRR
+LRWALDDVVAFRLNSRSARSVARLSPETRERARSWCALDWRLYEHFNRTLWAQLRAELGP
+RRLRGEVERLRARRRELASLCLQDGGALKNHTQIRDPRLRPYQSGKADILGYNLRPGLDN
+QTLGVCQRLVMPELQYMARLYALQ
+>Q96A11_PF06990_1 <unknown description>
+MPPILQRLQQATKMMSRRKILLLVLGCSTVSLLIHQGAQLSWYPKLFPLSCPPLRNSPPR
+PKHMTVAFLKTHKTAGTTVQNILFRFAERHNLTVALPHPSCEHQFCYPRNFSAHFVHPAT
+RPPHVLASHLRFDRAELERLMPPSTVYVTILREPAAMFESLFSYYNQYCPAFRRVPNASL
+EAFLRAPEAYYRAGEHFAMFAHNTLAYDLGGDNERSPRDDAAYLAGLIRQVEEVFSLVMI
+AEYFDESLVLLRRLLAWDLDDVLYAKLNARAASSRLAAIPAALARAARTWNALDAGLYDH
+FNATFWRHVARAGRACVEREARELREARQRLLRRCFGDEPLLRPAAQIRTKQLQPWQPSR
+KVDIMGYDLPGGGAGPATEACLKLAMPEVQYSNYLLRKQ
+>Q96RP7_PF06990_18 <unknown description>
+LGVALGVFMTIGFALQLLGGPFQRRLPGLQLRQPSAPSLRPALPSCPPRQRLVFLKTHKS
+GSSSVLSLLHRYGDQHGLRFALPARYQFGYPKLFQASRVKGYRPQGGGTQLPFHILCHHM
+RFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSTSSAFRKSPSLAAFLANPRGFYR
+PGARGDHYARNLLWFDFGLPFPPEKRAKRG
+>Q96RP7_PF06990_286 <unknown description>
+FIQWGLAWLDSVFDLVMVAEYFDESLVLLADALCWGLDDVVGFMHNAQAGHKQGLSTVSN
+SGLTAEDRQLTARARAWNNLDWALYVHFNRSLWARIEKYGQGRLQTAVAELRARREALAK
+HCLVGGEASDPKYITDRRFRPFQFGSAKVLGYILRSGLSPQDQEECERLATPELQYKDKL
+DAKQ
+>Q8TAE8_PF10147_1 <unknown description>
+MAASVRQARSLLGVAATLAPGSRGYRARPPPRRRPGPRWPDPEDLLTPRWQLGPRYAAKQ
+FARYGAASGVVPGSLWPSPEQLRELEAEEREWYPSLATMQESLRVKQLAEEQKRREREQH
+IAECMAKMPQMIVNWQQQQRENWEKAQADKERRARLQAEAQELLGYQVDPRSARFQELLQ
+DLEKKERKRLKEEKQKRKKEARAAALAAA
+>O95866_PF15096_18 <unknown description>
+NPGASLDGRPGDRVNLSCGGVSHPIRWVWAPSFPACKGLSKGRRPILWASSSGTPTVPPL
+QPFVGRLRSLDSGIRRLELLLSAGDSGTFFCKGRHEDESRTVLHVLGDRTYCKAPGPTHG
+SVYPQLLIPLLGAGLVLGLGALGLVWWLHRRLPPQPIRPLPRFAPLVKTEPQRPVKEEEP
+KIPGDLDQEPSLLYADLDHLALSRPRRLSTADPADASTIYAVVV
+>P35575_PF01569_60 <unknown description>
+KLLWVAVIGDWLNLVFKWILFGQRPYWWVLDTDYYSNTSVPLIKQFPVTCETGPGSPSGH
+AMGTAGVYYVMVTSTLSIFQGKIKPTYRFRCLNVILWLGFWAVQLNVCLSRIYLAAHFPH
+QVVAGVLSGIAVA
+>Q9NQR9_PF01569_56 <unknown description>
+KMIWVAVIGDWLNLIFKWILFGHRPYWWVQETQIYPNHSSPCLEQFPTTCETGPGSPSGH
+AMGASCVWYVMVTAALSHTVCGMDKFSITLHRLTWSFLWSVFWLIQISVCISRVFIATHF
+PHQVILGVIGGMLVA
+>Q9BUM1_PF01569_57 <unknown description>
+VLWISLITEWLNLIFKWFLFGDRPFWWVHESGYYSQAPAQVHQFPSSCETGPGSPSGHCM
+ITGAALWPIMTALSSQVATRARSRWVRVMPSLAYCTFLLAVGLSRIFILAHFPHQVLAGL
+ITGAVLGWLMTPR
+>P11413_PF00479_35 <unknown description>
+IIMGASGDLAKKKIYPTIWWLFRDGLLPENTFIVGYARSRLTVADIRKQSEPFFKATPEE
+KLKLEDFFARNSYVAGQYDDAASYQRLNSHMNALHLGSQANRLFYLALPPTVYEAVTKNI
+HESCMSQIGWNRIIVEKPFGRDLQSSDRLSNHISSLFREDQIYRIDHYLGKEMVQN
+>P11413_PF02781_212 <unknown description>
+MVLRFANRIFGPIWNRDNIACVILTFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQMLCLV
+AMEKPASTNSDDVRDEKVKVLKCISEVQANNVVLGQYVGNPDGEGEATKGYLDDPTVPRG
+STTATFAAVVLYVENERWDGVPFILRCGKALNERKAEVRLQFHDVAGDIFHQQCKRNELV
+IRVQPNEAVYTKMMTKKPGMFFNPEESELDLTYGNRYKNVKLPDAYERLILDVFCGSQMH
+FVRSDELREAWRIFTPLLHQIELEKPKPIPYIYGSRGPTEADELMKRVGFQY
+>O95479_PF00479_29 <unknown description>
+ILLGATGDLAKKYLWQGLFQLYLDEAGRGHSFSFHGAALTAPKQGQELMAKALESLSCPK
+DMAPSHCAEHKDQFLQLSQYRQLKTAEDYQALNKDIEAQLQHAGLREAGRIFYFSVPPFA
+YEDIARNINSSCRPGPGAWLRVVLEKPFGHDHFSAQQLATELGTFFQEEEMYRVDHYLGK
+QAV
+>O95479_PF02781_217 <unknown description>
+FRDQNRKALDGLWNRHHVERVEIIMKETVDAEGRTSFYEEYGVIRDVLQNHLTEVLTLVA
+MELPHNVSSAEAVLRHKLQVFQALRGLQRGSAVVGQYQSYSEQVRRELQKPDSFHSLTPT
+FAAVLVHIDNLRWEGVPFILMSGKALDERVGYARILFKNQACCVQSEKHWAAAQSQCLPR
+QLVFHIGHGDLGSPAVLVSRNLFRPSLPSSWKEMEGPPGLRLFGSPLSDYYAYSPVRERD
+AHSVLLSHIFHGRKNFFITTENLLASWNFWTPLLESLAHKAPRLYPGGAENG
+>O95479_PF01182_560 <unknown description>
+SEELISKLANDIEATAVRAVRRFGQFHLALSGGSSPVALFQQLATAHYGFPWAHTHLWLV
+DERCVPLSDPESNFQGLQAHLLQHVRIPYYNIHPMPVHLQQRLCAEEDQGAQIYAREISA
+LVANSSFDLVLLGMGADGHTASLFPQSPTGLDGEQLVVLTTSPSQPHRRMSLSLPLINRA
+KKVAVLVMGRMKREITTLVSRVGHEPKKWPISGVLPHSGQLVW
+>P06744_PF00342_54 <unknown description>
+DYSKNLVTEDVMRMLVDLAKSRGVEAARERMFNGEKINYTEGRAVLHVALRNRSNTPILV
+DGKDVMPEVNKVLDKMKSFCQRVRSGDWKGYTGKTITDVINIGIGGSDLGPLMVTEALKP
+YSSGGPRVWYVSNIDGTHIAKTLAQLNPESSLFIIASKTFTTQETITNAETAKEWFLQAA
+KDPSAVAKHFVALSTNTTKVKEFGIDPQNMFEFWDWVGGRYSLWSAIGLSIALHVGFDNF
+EQLLSGAHWMDQHFRTTPLEKNAPVLLALLGIWYINCFGCETHAMLPYDQYLHRFAAYFQ
+QGDMESNGKYITKSGTRVDHQTGPIVWGEPGTNGQHAFYQLIHQGTKMIPCDFLIPVQTQ
+HPIRKGLHHKILLANFLAQTEALMRGKSTEEARKELQAAGKSPEDLERLLPHKVFEGNRP
+TNSIVFTKLTPFMLGALVAMYEHKIFVQGIIWDINSFDQWGVELGKQLAKKIEPELDGSA
+QVTSHDASTNGLI
+>P57057_PF07690_98 <unknown description>
+LLGALDYSFLCAYAVGMYLSGIIGERLPIRYYLTFGMLASGAFTALFGLGYFYNIHSFGF
+YVVTQVINGLVQTTGWPSVVTCLGNWFGKGRRGLIMGVWNSHTSVGNILGSLIAGYWVST
+CWGLSFVVPGAIVAAMGIVCFLFLIEHPNDVRCSSTLVTHSKGYENGTNRLRLQKQILKS
+EKNKPLDPEMQCLLLSDGKGSIHPNHVVILPGDGGSGTAAISFTGALKIPGVIEFSLCLL
+FAKLVSYTFLFWLPLYITNVDHLDAKKAGELSTLFDVGGIFGGILAGVISDRLEKRASTC
+GLMLLLAAPTLYIFSTVSKMGLEATIAMLLLSGALVSGPYTLITTAVSADLGT
+>Q8TED4_PF07690_91 <unknown description>
+DNAFLIAYAIGMFISGVFGERLPLRYYLSAGMLLSGLFTSLFGLGYFWNIHELWYFVVIQ
+VCNGLVQTTGWPSVVTCVGNWFGKGKRGFIMGIWNSHTSVGNILGSLIAGIWVNGQWGLS
+FIVPGIITAVMGVITFLFLIEHPEDVDCAPPQHHGEPAENQDNPEDPGNSPCSIRESGLE
+TVAKCSKGPCEEPAAISFFGALRIPGVVEFSLCLLFAKLVSYTFLYWLPLYIANVAHFSA
+KEAGDLSTLFDVGGIIGGIVAGLVSDYTNGRATTCCVMLILAAPMMFLYNYIGQDGIASS
+IVMLIICGGLVNGPYALITTAVSAD
+>Q8NHY3_PF00307_33 <unknown description>
+AMKEDLAEWLRDLYGLDIDAANFLQVLETGLVLCQHANVVTDAALAFLAEAPAQAQKIPM
+PRVGVSCNGAAQPGTFQARDNVSNFIQWCRKEMGIQEVLMFETEDLVLRKNVKNVVLCLL
+ELGRR
+>Q8NHY3_PF02187_206 <unknown description>
+LDQMVQSLVSHCTCPVQFSMVKVSEGKYRVGDSNTLIFIRILRNHVMVRVGGGWDTLGHY
+LDKHDPCRC
+>Q86XJ1_PF00307_50 <unknown description>
+MQEDLSIWLSGLLGIKVKAEKLLEELDNGVLLCQLIDVLQNMVKTCNSEESGNFPMRKVP
+CKKDAASGSFFARDNTANFLHWCRDIGVDETYLFESEGLVLHKDPRQVYLCLLEIGRI
+>Q86XJ1_PF02187_213 <unknown description>
+LHEAVKHIAEDPPCSCSHRFSIEYLSEGRYRLGDKILFIRMLHGKHVMVRVGGGWDTLQG
+FLLKYDPCR
+>P24522_PF01248_21 <unknown description>
+DALEEVLSKALSQRTITVGVYEAAKLLNVDPDNVVLCLLAADEDDDRDVALQIHFTLIQA
+FCCENDINILRVSNPGRLAELLLLETDAGPAASEGAEQPPDL
+>O75293_PF01248_22 <unknown description>
+AVEELLVAAQRQDRLTVGVYESAKLMNVDPDSVVLCLLAIDEEEEDDIALQIHFTLIQSF
+CCDNDINIVRVSGMQRLAQLLGEPAETQGTTEARDLHCL
+>O95257_PF01248_25 <unknown description>
+ALHELLLSAQRQGCLTAGVYESAKVLNVDPDNVTFCVLAAGEEDEGDIALQIHFTLIQAF
+CCENDIDIVRVGDVQRLAAIVGA
+>Q13480_PF00169_6 <unknown description>
+VVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRIIDLNLC
+QQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEEMNKWVRCICDIC
+>Q9UQC2_PF00169_7 <unknown description>
+VVCTGWLRKSPPEKKLRRYAWKKRWFILRSGRMSGDPDVLEYYKNDHSKKPLRIINLNFC
+EQVDAGLTFNKKELQDSFVFDIKTSERTFYLVAETEEDMNKWVQSICQIC
+>Q8WWW8_PF00169_7 <unknown description>
+VCTGWLVKSPPERKLQRYAWRKRWFVLRRGRMSGNPDVLEYYRNKHSSKPIRVIDLSECA
+VWKHVGPSFVRKEFQNNFVFIVKTTSRTFYLVAKTEQEMQVWVHSISQVC
+>Q2WGN9_PF00169_40 <unknown description>
+VLYSGWLRKSPPEKKLRLFAWRKRWFILRRGQTSSDPDVLEYYKNDGSKKPLRTINLNLC
+EQLDVDVTLNFNKKEIQKGYMFDIKTSERTFYLVAETREDMNEWVQSICQIC
+>Q06547_PF12796_11 <unknown description>
+LEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHYSTTEVLLRAGVSRDARTKV
+DRTPLHMAASEGHASIVEVLLKHGADVNAKD
+>Q06547_PF13637_105 <unknown description>
+MTALHWATEHNHQEVVELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEIL
+>Q8TAK5_PF12796_10 <unknown description>
+LLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRAGVSRDARTK
+VDRTPLHMAAADGHAHIVELLVRNGADVNAKD
+>Q8TAK5_PF13637_105 <unknown description>
+MTALHWATERHHRDVVELLIKYGADVHAFSKFDKSAFDIALEKNNAEIL
+>Q06546_PF11620_37 <unknown description>
+CVSQAIDINEPIGNLKKLLEPRLQCSLDAHEICLQDIQLDPERSLFDQGVKTDGTVQLSV
+QVISYQGIEPKLNILEIVKPAD
+>Q06546_PF02198_171 <unknown description>
+EGYRKEQERLGIPYDPIQWSTDQVLHWVVWVMKEFSMTDIDLTTLNISGRELCSLNQEDF
+FQRVPRGEILWSHLELLRKY
+>Q06546_PF00178_321 <unknown description>
+QLWQFLLELLTDKDARDCISWVGDEGEFKLNQPELVAQKWGQRKNKPTMNYEKLSRALRY
+YYDGDMICKVQGKRFVYKFV
+>Q9UBS5_PF00084_119 <unknown description>
+LPALDGARVDFRCDPDFHLVGSSRSICSQGQWSTPKPHC
+>Q9UBS5_PF01094_188 <unknown description>
+CQPAVEMALEDVNSRRDILPDYELKLIHHDSKCDPGQATKYLYELLYNDPIKIILMPGCS
+SVSTLVAEAARMWNLIVLSYGSSSPALSNRQRFPTFFRTHPSATLHNPTRVKLFEKWGWK
+KIATIQQTTEVFTSTLDDLEERVKEAGIEITFRQSFFSDPAVPVKNLKRQDARIIVGLFY
+ETEARKVFCEVYKERLFGKKYVWFLIGWYADNWFKIYDPSINCTVDEMTEAVEGHITTEI
+VMLNPANTRSISNMTSQEFVEKLTKRLKRHPEETGGFQEAPLAYDAIWALALALNKTSGG
+GGRSGVRLEDFNYNNQTITDQIYRAMNSSSFEGVSGHVVFDASGSRMAWTLIEQLQG
+>Q9UBS5_PF00003_588 <unknown description>
+SQKLFISVSVLSSLGIVLAVVCLSFNIYNSHVRYIQNSQPNLNNLTAVGCSLALAAVFPL
+GLDGYHIGRNQFPFVCQARLWLLGLGFSLGYGSMFTKIWWVHTVFTKKEEKKEWRKTLEP
+WKLYATVGLLVGMDVLTLAIWQIVDPLHRTIETFAKEEPKEDIDVSILPQLEHCSSRKMN
+TWLGIFYGYKGLLLLLGIFLAYETKSVSTEKINDHRAVGMAIYNVAVLCLITAPVTMILS
+SQQDAAFAFASLAIVFSSYITLVVLFVPK
+>O75899_PF01094_77 <unknown description>
+VLPAVELAIEQIRNESLLRPYFLDLRLYDTECDNAKGLKAFYDAIKYGPNHLMVFGGVCP
+SVTSIIAESLQGWNLVQLSFAATTPVLADKKKYPYFFRTVPSDNAVNPAILKLLKHYQWK
+RVGTLTQDVQRFSEVRNDLTGVLYGEDIEISDTESFSNDPCTSVKKLKGNDVRIILGQFD
+QNMAAKVFCCAYEENMYGSKYQWIIPGWYEPSWWEQVHTEANSSRCLRKNLLAAMEGYIG
+VDFEPLSSKQIKTISGKTPQQYEREYNNKRSGVGPSKFHGYAYDGIWVIAKTLQRAMETL
+HASSRHQRIQDFNYTDHTLGRIILNAMNETNFFGVTGQVVFRNGERMGTIKFTQF
+>O75899_PF00003_477 <unknown description>
+ISLPLYSILSALTILGMIMASAFLFFNIKNRNQKLIKMSSPYMNNLIILGGMLSYASIFL
+FGLDGSFVSEKTFETLCTVRTWILTVGYTTAFGAMFAKTWRVHAIFKNVKMKKKIIKDQK
+LLVIVGGMLLIDLCILICWQAVDPLRRTVEKYSMEPDPAGRDISIRPLLEHCENTHMTIW
+LGIVYAYKGLLMLFGCFLAWETRNVSIPALNDSKYIGMSVYNVGIMCIIGAAVSFLTRDQ
+PNVQFCIVALVIIFCSTITLCLVFVPK
+>O75899_PF18455_779 <unknown description>
+SVNQASTSRLEGLQSENHRLRMKITELDKDLEEVTMQLQ
+>P80404_PF00202_66 <unknown description>
+QNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYSHPALLKLIQQPQNASMF
+VNRPALGILPPENFVEKLRQSLLSVAPKGMSQLITMACGSCSNENALKTIFMWYRSKERG
+QRGFSQEELETCMINQAPGCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPSFDWPI
+APFPRLKYPLEEFVKENQQEEARCLEEVEDLIVKYRKKKKTVAGIIVEPIQSEGGDNHAS
+DDFFRKLRDIARKHGCAFLVDEVQTGGGCTGKFWAHEHWGLDDPADVMTFSKKMMTGGFF
+HKEEFRPNAPYRIFNTWLGDPSKNLLLAEVINIIKREDLLNNAAHAGKALLTGLLDLQAR
+YPQFISRVRGRGTFCSFDTPDDSIRNKLILIARNKGVVLGGCGDKSIRFRPTLVFRDHHA
+HLFLNIFSDIL
+>Q6ZQY3_PF00282_77 <unknown description>
+PEQLKQLLDLEMRDSGEPPHKLLELCRDVIHYSVKTNHPRFFNQLYAGLDYYSLVARFMT
+EALNPSVYTYEVSPVFLLVEEAVLKKMIEFIGWKEGDGIFNPGGSVSNMYAMNLARYKYC
+PDIKEKGLSGSPRLILFTSAECHYSMKKAASFLGIGTENVCFVETDGRGKMIPEELEKQV
+WQARKEGAAPFLVCATSGTTVLGAFDPLDEIADICERHSLWLHVDASWGGSALMSRKHRK
+LLHGIHRADSVAWNPHKMLMAGIQCCALLVKDKSDLLKKCYSAKASYLFQQDKFYDVSYD
+TGDKSIQCSRRPDAFKFWMTWKALGTLGLEERVNRALALSRYLVDEIKKREGFKLLMEPE
+YANICFWY
+>A6NGK3_PF05831_1 <unknown description>
+MSWRGRSTYRPRPRRYVEPPEMIGPMLPEQFSDEVEPATPEEGEPATQRQDPAAAQEGED
+EGASAGQGPKPEADSQEQVHPKTGCECGDGPDGQEMGLPNPEEVKRPEEG
+>Q4V321_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>Q6NT46_PF05831_1 <unknown description>
+MSWRGRSTYRPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGQD
+EGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>P0DTW1_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>O76087_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>Q9UFP1_PF15051_254 <unknown description>
+GGQAPPWLTDHDVQMLRLLAQGEVVDKARVPAHGQVLQVGFSTEAALQDLSSPRLSQLCS
+QGLCGLIKRPGDLPEVLSFHVDRVLGLRRSLPAVARRFHSPLLPYRYTDGGARPVIWWAP
+DVQHLSDPDEDQNSLALGWLQYQALLAHSCNWPGQAPCPGIHHTEWARLALFDFLLQVHD
+RLDRYCCGFEPEPSDPCVEERLREKCQNPAELRLVHILVRSSDPSHLVYIDNAGNLQHPE
+DKLNFRLLEGIDGFPESAVKVLASGCLQNMLLKSLQMDPVFWESQGGAQGLKQVLQTLEQ
+RGQVLLGHIQKHNLTLFRD
+>Q6UWH4_PF15051_203 <unknown description>
+SNIRIYSESAPSWLSKDDIRRMRLLADSAVAGLRPVSSRSGARLLVLEGGAPGAVLRCGP
+SPCGLLKQPLDMSEVFAFHLDRILGLNRTLPSVSRKAEFIQDGRPCPIILWDASLSSASN
+DTHSSVKLTWGTYQQLLKQKCWQNGRVPKPESGCTEIHHHEWSKMALFDFLLQIYNRLDT
+NCCGFRPRKEDACVQNGLRPKCDDQGSAALAHIIQRKHDPRHLVFIDNKGFFDRSEDNLN
+FKLLEGIKEFPASAVSVLKSQHLRQKLLQSLFLDKVYWESQGGRQGIEKLIDVIEHRAKI
+LITYINAHGVKVLPMN
+>O14976_PF00069_43 <unknown description>
+RRVLAEGGFAFVYEAQDVGSGREYALKRLLSNEEEKNRAIIQEVCFMKKLSGHPNIVQFC
+SAASIGKEESDTGQAEFLLLTELCKGQLVEFLKKMESRGPLSCDTVLKIFYQTCRAVQHM
+HRQKPPIIHRDLKVENLLLSNQGTIKLCDFGSATTISHYPDYSWSAQRRALVEEEITRNT
+TPMYRTPEIIDLYSNFPIGEKQDIWALGCILYLLCFRQHPFEDGAKLRIVNGKYSIPPHD
+TQYTVFHSLIRAMLQVNPEERLSIAEVVH
+>O14976_PF10409_570 <unknown description>
+PHSKPILVRAVVMTPVPLFSKQRSGCRPFCEVYVGDERVASTSQEYDKMRDFKIEDGKAV
+IPLGVTVQGDVLIVIYHARSTLGGRLQAKMASMKMFQIQFHTGFVPRNATTVKFAKYDLD
+ACDIQEKYPDLFQVNLEVE
+>P22466_PF01296_33 <unknown description>
+GWTLNSAGYLLGPHAVGNHRSFSDKNGL
+>P22466_PF06540_62 <unknown description>
+SKRELRPEDDMKPGSFDRSIPENNIMRTIIEFLSFLHLKEAGALDRLLDLPAAASSEDIE
+>P54803_PF02057_55 <unknown description>
+FDGIGAVSGGGATSRLLVNYPEPYRSQILDYLFKPNFGASLHILKVEIGGDGQTTDGTEP
+SHMHYALDENYFRGYEWWLMKEAKKRNPNITLIGLPWSFPGWLGKGFDWPYVNLQLTAYY
+VVTWIVGAKRYHDLDIDYIGIWNERSYNANYIKILRKMLNYQGLQRVKIIASDNLWESIS
+ASMLLDAELFKVVDVIGAHYPGTHSAKDAKLTGKKLWSSEDFSTLNSDMGAGCWGRILNQ
+NYINGYMTSTIAWNLVASYYEQLPYGRCGLMTAQEPWSGHYVVESPVWVSAHTTQ
+>P54803_PF17387_357 <unknown description>
+YLKTVGHLEKGGSYVALTDGLGNLTIIIETMSHKHSKCIRPFLPYFNVSQQFATFVLKGS
+FSEIPELQVWYTKLGKTSERFLFKQLDSLWLLDSDGSFTLSLHEDELFTLTTLTTG
+>Q14376_PF16363_6 <unknown description>
+LVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAFRGGGSLPESLRRVQELTGRSVEFEEM
+DILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVK
+NLVFSSSATVYGNPQYLPLDEAHPTGGCTNPYGKSKFFIEEMIRDLCQADKTWNAVLLRY
+FNPTGAHASGCIGEDPQGIPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVV
+DLAKGHIAALRKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARREGDVA
+ACYANPSLAQEELGWTAALGLDRMCED
+>P51570_PF10509_19 <unknown description>
+AFREEFGAEPELAVSAPGRVNLIGEHTDYNQGLVLPMALELMTVLVGSP
+>P51570_PF00288_128 <unknown description>
+VVVSSVPLGGGLSSSASLEVATYTFLQQLCPDSGTIAARAQVCQQAEHSFAGMPCGIMDQ
+FISLMG
+>P51570_PF08544_292 <unknown description>
+AAALRRGDYRAFGRLMVESHRSLRDDYEVSCPELDQLVEAALAVPGVYGSRMTGGGFGGC
+TVTLLEASAAPHAMRHIQEHY
+>Q01415_PF10509_25 <unknown description>
+MFNSKFGSIPKFYVRAPGRVNIIGEHIDYCGYSVLPMAVEQDVLIAVEP
+>Q01415_PF00288_134 <unknown description>
+VDGNIPPSSGLSSSSALVCCAGLVTLTVLGRNLSKVELAEICAKSERYIGTEGGGMDQSI
+SFL
+>Q01415_PF08544_355 <unknown description>
+NMVQLLGELMNQSHMSCRDMYECSCPELDQLVDICRKFGAQGSRLTGAGWGGCTVSMVPA
+DKL
+>Q96C23_PF01263_22 <unknown description>
+FQLQSDLLRVDIISWGCTITALEVKDRQGRASDVVLGFAELEGYLQKQPYFGAVIGRVAN
+RIAKGTFKVDGKEYHLAINKEPNSLHGGVRGFDKVLWTPRVLSNGVQFSRISPDGEEGYP
+GELKVWVTYTLDGGELIVNYRAQASQATPVNLTNHSYFNLAGQASPNINDHEVTIEADTY
+LPVDETLIPTGEVAPVQGTAFDLRKPVELGKHLQDFHLNGFDHNFCLKGSKEKHFCARVH
+HAASGRVLEVYTTQPGVQFYTGNFLDGTLKGKNGAVYPKHSGFCLETQNWPDAVNQPRFP
+PVLLRPGEEYDHTTWF
+>P34059_PF00884_31 <unknown description>
+PNILLLLMDDMGWGDLGVYGEPSRETPNLDRMAAEGLLFPNFYSANPLCSPSRAALLTGR
+LPIRNGFYTTNAHARNAYTPQEIVGGIPDSEQLLPELLKKAGYVSKIVGKWHLGHRPQFH
+PLKHGFDEWFGSPNCHFGPYDNKARPNIPVYRDWEMVGRYYEEFPINLKTGEANLTQIYL
+QEALDFIKRQARHHPFFLYWAVDATHAPVYASKPFLGTSQRGRYGDAVREIDDSIGKILE
+LLQDLHVADNTFVFFTSDNGAALISAPEQGGSNGPFLCGKQTTFEGGMREPALAWWPGHV
+TAGQVSHQLGSIMDLFTTSLALAG
+>P34059_PF14707_380 <unknown description>
+RPIFYYRGDTLMAATLGQHKAHFWTWTNSWENFRQGIDFCPGQNVSGVTTHNLEDHTKLP
+LIFHLGRDPGERFPLSFASAEYQEALSRITSVVQQHQEALVPAQPQLNVCNWAVMNWAPP
+GCEKLGKCL
+>Q9UBC7_PF01296_33 <unknown description>
+GWTLNSAGYLLGP
+>P47211_PF00001_50 <unknown description>
+GNSLVITVLARSKPGKPRSTTNLFILNLSIADLAYLLFCIPFQATVYALPTWVLGAFICK
+FIHYFFTVSMLVSIFTLAAMSVDRYVAIVHSRRSSSLRVSRNALLGVGCIWALSIAMASP
+VAYHQGLFHPRASNQTFCWEQWPDPRHKKAYVVCTFVFGYLLPLLLICFCYAKVLNHLHK
+KLKNMSKKSEASKKKTAQTVLVVVVVFGISWLPHHIIHLWAEFGVFPLTPASFLFRITAH
+CLAYSNSSVNPIIY
+>O43603_PF00001_42 <unknown description>
+GNTLVLAVLLRGGQAVSTTNLFILNLGVADLCFILCCVPFQATIYTLDGWVFGSLLCKAV
+HFLIFLTMHASSFTLAAVSLDRYLAIRYPLHSRELRTPRNALAAIGLIWGLSLLFSGPYL
+SYYRQSQLANLTVCHPAWSAPRRRAMDICTFVFSYLLPVLVLGLTYARTLRYLWRAVDPV
+AAGSGARRAKRKVTRMILIVAALFCLCWMPHHALILCVWFGQFPLTRATYALRILSHLVS
+YANSCVNPIVY
+>O60755_PF00001_34 <unknown description>
+GNGLVLAVLLQPGPSAWQEPGSTTDLFILNLAVADLCFILCCVPFQATIYTLDAWLFGAL
+VCKAVHLLIYLTMYASSFTLAAVSVDRYLAVRHPLRSRALRTPRNARAAVGLVWLLAALF
+SAPYLSYYGTVRYGALELCVPAWEDARRRALDVATFAAGYLLPVAVVSLAYGRTLRFLWA
+AVGPAGAAAAEARRRATGRAGRAMLAVAALYALCWGPHHALILCFWYGRFAFSPATYACR
+LASHCLAYANSCLNPLVY
+>Q10472_PF00535_119 <unknown description>
+SVVIVFHNEAWSTLLRTVHSVINRSPRHMIEEIVLVDDASERDFLKRPLESYVKKLKVPV
+HVIRMEQRSGLIRARLKGAAVSKGQVITFLDAHCECTVGWLEPLLARIKHDRRTVVCPII
+DVISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSI
+DRDYF
+>Q10472_PF00652_431 <unknown description>
+LGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDDLCLDVSKLNG
+PVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATEEDSQVPSIRDCNGSRSQQW
+>Q10471_PF00535_139 <unknown description>
+SVVITFHNEARSALLRTVVSVLKKSPPHLIKEIILVDDYSNDPEDGALLGKIEKVRVLRN
+DRREGLMRSRVRGADAAQAKVLTFLDSHCECNEHWLEPLLERVAEDRTRVVSPIIDVINM
+DNFQYVGASADLKGGFDWNLVFKWDYMTPEQRRSRQGNPVAPIKTPMIAGGLF
+>Q10471_PF00652_451 <unknown description>
+QQGTNCLDTLGHFADGVVGVYECHNAGGNQEWALTKEKSVKHMDLCLTVVDRAPGSLIKL
+QGCRENDSRQKWEQIEGNSKLRHVGSNLCLDSRTAKSGGLSVEVCGPALSQQW
+>Q14435_PF00535_188 <unknown description>
+SVIIVFHNEAWSTLLRTVHSVLYSSPAILLKEIILVDDASVDEYLHDKLDEYVKQFSIVK
+IVRQRERKGLITARLLGATVATAETLTFLDAHCECFYGWLEPLLARIAENYTAVVSPDIA
+SIDLNTFEFNKPSPYGSNHNRGNFDWSLSFGWESLPDHEKQRRKDETYPIKTPTFAGGLF
+SISKEYF
+>Q14435_PF00652_506 <unknown description>
+SGYIKSVGQPLCLDVGENNQGGKPLIMYTCHGLGGNQYFEYSAQHEIRHNIQKELCLHAA
+QGLVQLKACTYKGHKTVVTGEQIWEIQKDQLLYNPFLKMCLSANGEHPSLVSCNPSDPLQ
+KW
+>Q8N4A0_PF00535_138 <unknown description>
+SVIIAFYNEAWSTLLRTIHSVLETSPAVLLKEIILVDDLSDRVYLKTQLETYISNLDRVR
+LIRTNKREGLVRARLIGATFATGDVLTFLDCHCECNSGWLEPLLERIGRDETAVVCPVID
+TIDWNTFEFYMQIGEPMIGGFDWRLTFQWHSVPKQERDRRISRIDPIRSPTMAGGLFAVS
+KKYF
+>Q8N4A0_PF00652_445 <unknown description>
+HGAIRSRGISSECLDYNSPDNNPTGANLSLFGCHGQGGNQFFEYTSNKEIRFNSVTELCA
+EVPEQKNYVGMQNCPKDGFPVPANIIWHFKEDGTIFHPHSGLCLSAYRTPEGRPDVQMRT
+CDALDKNQIW
+>Q7Z7M9_PF00535_499 <unknown description>
+SVIMCFVDEVWSTLLRSVHSVINRSPPHLIKEILLVDDFSTKDYLKDNLDKYMSQFPKVR
+ILRLKERHGLIRARLAGAQNATGDVLTFLDSHVECNVGWLEPLLERVYLSRKKVACPVIE
+VINDKDMSYMTVDNFQRGIFVWPMNFGWRTIPPDVIAKNRIKETDTIRCPVMAGGLFSID
+KSY
+>Q7Z7M9_PF00652_811 <unknown description>
+SGVLINVALGKCISIENTTVILEDCDGSKELQQFNYTWLRLIKCGEWCIAPIPDKGAVRL
+HPCDNRNKGLKWLHKSTSVFHPELVNHIVFENNQQLLCLEGNFSQKILKVAACDPVKPYQ
+KW
+>Q8NCL4_PF00535_180 <unknown description>
+SVIIVFHNEAWSTLLRTVYSVLHTTPAILLKEIILVDDASTEEHLKEKLEQYVKQLQVVR
+VVRQEERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDKTVVVSPDIV
+TIDLNTFEFAKPVQRGRVHSRGNFDWSLTFGWETLPPHEKQRRKDETYPIKSPTFAGGLF
+SISKSY
+>Q8NCL4_PF00652_498 <unknown description>
+YGAIKNLGTNQCLDVGENNRGGKPLIMYSCHGLGGNQYFEYTTQRDLRHNIAKQLCLHVS
+KGALGLGSCHFTGKNSQVPKDEEWELAQDQLIRNSGSGTCLTSQDKKPAMAPCNPSDPHQ
+LW
+>Q86SF2_PF00535_210 <unknown description>
+SVVIVFHNEGWSTLMRTVHSVIKRTPRKYLAEIVLIDDFSNKEHLKEKLDEYIKLWNGLV
+KVFRNERREGLIQARSIGAQKAKLGQVLIYLDAHCEVAVNWYAPLVAPISKDRTICTVPL
+IDVINGNTYEIIPQGGGDEDGYARGAWDWSMLWKRVPLTPQEKRLRKTKTEPYRSPAMAG
+GLFAI
+>Q86SF2_PF00652_534 <unknown description>
+WGEIRGFETAYCIDSMGKTNGGFVELGPCHRMGGNQLFRINEANQLMQYDQCLTKGADGS
+KVMITHCNLNEFKEWQYFKNLHRFTHIPSGKCLDRSEVLHQVFISNCDSSKTTQKW
+>Q9NY28_PF00535_184 <unknown description>
+SVILIFVNEALSIIQRAITSIINRTPSRLLKEIILVDDFSSNGELKVHLDEKIKLYNQKY
+PGLLKIIRHPERKGLAQARNTGWEAATADVVAILDAHIEVNVGWAEPILARIQEDRTVIV
+SPVFDNIRFDTFKLDKY
+>Q9NY28_PF00652_497 <unknown description>
+YGRMKNLLDENVCLDQGPVPGNTPIMYYCHEFSSQNVYYHLTGELYVGQLIAEASASDRC
+LTDPGKAEKPTLEPCSKAAKNRLHIYWDFKPGGAVINRDTKRCLEMKKDLLGSHVLVLQT
+CSTQV
+>P07902_PF01087_24 <unknown description>
+FRANDHQHIRYNPLQDEWVLVSAHRMKRPWQGQVEPQLLKTVPRHDPLNPLCPGAIRANG
+EVNPQYDSTFLFDNDFPALQPDAPSPGPSDHPLFQAKSARGVCKVMCFHPWSDVTLPLMS
+VPEIRAVVDAWASVTEELGAQYPWVQIFENKGAMMGCSNPHPHCQVWASSFLP
+>P07902_PF02744_203 <unknown description>
+ERSQQAYKSQHGEPLLMEYSRQELLRKERLVLTSEHWLVLVPFWATWPYQTLLLPRRHVR
+RLPELTPAERDDLASIMKKLLTKYDNLFETSFPYSMGWHGAPTGSEAGANWNHWQLHAHY
+YPPLLRSATVRKFMVGYEMLAQAQRDLTPEQAAERLRALPEVHYHL
+>Q14697_PF13802_254 <unknown description>
+EHVYGIPEHADNLRLKVTEGGEPYRLYNLDVFQYELYNPMALYGSVPVLLAHNPHRDLGI
+FWLNAAETWVD
+>Q14697_PF01055_365 <unknown description>
+FLLLGPSISDVFRQYASLTGTQALPPLFSLGYHQSRWNYRDEADVLEVDQGFDDHNLPCD
+VIWLDIEHADGKRYFTWDPSRFPQPRTMLERLASKRRKLVAIVDPHIKVDSGYRVHEELR
+NLGLYVKTRDGSDYEGWCWPGSAGYPDFTNPTMRAWWANMFSYDNYEGSAPNLFVWNDMN
+EPSVFNGPEVTMLKDAQHYGGWEHRDVHNIYGLYVHMATADGLRQRSGGMERPFVLARAF
+FAGSQRFGAVWTGDNTAEWDHLKISIPMCLSLGLVGLSFCGADVGGFFKNPEPELLVRWY
+QMGAYQPFFRAHAHLDTGRREPWLLPSQHNDIIRDALGQRYSLLPFWYTLLYQAHREGIP
+VMRPLWVQYPQDVTTFNIDDQYLLGDALLVHPVSDSGAHGVQVYLPGQGEVWYDIQSYQK
+HHGPQTLYLPVTLSSIPVFQRGGTIV
+>Q8TET4_PF13802_223 <unknown description>
+EHLYGIPQHAESHQLKNTGDGDAYRLYNLDVYGYQIYDKMGIYGSVPYLLAHKLGRTIGI
+FWLNASETLV
+>Q8TET4_PF01055_334 <unknown description>
+FLLTGPTPSDVFKQYSHLTGTQAMPPLFSLGYHQCRWNYEDEQDVKAVDAGFDEHDIPYD
+AMWLDIEHTEGKRYFTWDKNRFPNPKRMQELLRSKKRKLVVISDPHIKIDPDYSVYVKAK
+DQGFFVKNQEGEDFEGVCWPGLSSYLDFTNPKVREWYSSLFAFPVYQGSTDILFLWNDMN
+EPSVFRGPEQTMQKNAIHHGNWEHRELHNIYGFYHQMATAEGLIKRSKGKERPFVLTRSF
+FAGSQKYGAVWTGDNTAEWSNLKISIPMLLTLSITGISFCGADIGGFIGNPETELLVRWY
+QAGAYQPFFRGHATMNTKRREPWLFGEEHTRLIREAIRERYGLLPYWYSLFYHAHVASQP
+VMRPLWVEFPDELKTFDMEDEYMLGSALLVHPVTEPKATTVDVFLPGSNEVWYDYKTFAH
+WEGGCTVKIPVALDTIPVFQRGGSVI
+>O60318_PF16768_2 <unknown description>
+NPTNPFSGQQPSAFSASSSNVGTLPSKPPFRFGQPSLFGQNSTLSGKSSGFSQVSSFPAS
+SGVSHSSSVQTLGFTQTSSVGPFSGLEHTSTFVATSGPSSSSVLGNTGFSFKSPTSVGAF
+PSTSAFGQEAGEIVNSGFGKTEFSFKPLENAVFKPILGAESEPEKTQSQIASGFFTFSHP
+ISSAPGGLAPFSFPQVTSSSATTSNFTFSKPVSSNNSLSAFTPALSNQNVEEEKRGPKSI
+FGSSNNSFSSFPVSSAVLGEPFQASKAGVRQGCEEAVSQVEPLPSLMKGL
+>O60318_PF03399_640 <unknown description>
+MCPEKERYMRETRSQLSVFEVVPGTDQVDHAAAVKEYSRSSADQEEPLPHELRPLPVLSR
+TMDYLVTQIMDQKEGSLRDWYDFVWNRTRGIRKDITQQHLCDPLTVSLIEKCTRFHIHCA
+HFMCEEPMSSFDAKINNENMTKCLQSLKEMYQDLRNKGVFCASEAEFQGYNVLLSLNKGD
+ILREVQQFHPAVRNSSEVKFAVQAFAALNSNNFVRFFKLVQSASYLNACLLHCYFSQIRK
+DALRALNFAYTVSTQRSTIFPLDGVVRMLLFRDCEEATDFLTCHGLTVS
+>O60318_PF16766_1164 <unknown description>
+VLSELSQGLAVELMERVMMEFVRETCSQELKNAVETDQRVRVARCCEDVCAHLVDLFLVE
+EIFQTAKETLQ
+>O60318_PF16769_1262 <unknown description>
+AFPAAPCCVDVSDRLRALAPSAECPIAEENLARGLLDLGHAGRLGISCTRLRRLRNKTAH
+QMKVQHFYQQLLSDVAWASLDLPSLVAEHLPGRQEHVFWKLVLVLPDVEEQSPESCGRIL
+ANWLKVKFMGDEGSVDDTSSDAGGIQTLSLFNSLSSKGDQMISVNVCIKVAHGALSDGAI
+DAVETQKDLLGASGLMLLLPPKMKSEDMAEEDVYWLSALLQLKQLLQAKPFQPALPLVVL
+VPSPGGDAVEKEVEDGLMLQDLVSAKLISDYTVTEIPDTINDLQGSTKVLQAVQWLVSHC
+PHSLDLCCQTLIQYVEDGIGHEFSGRFFHDRRERRLGGLASQEPGAIIELFNSVLQFLAS
+VVSSEQLCDLSWPVTEFAEAGGSRLLPHLHWNAPEHLAWLKQAVLGFQLPQMDLPPLGAP
+WLPVCSMVVQYASQIPSSRQTQPVLQSQVENLLHRTYCRWKSKSPSPVHGAGPSVMEIPW
+DDLIALCINHKLRDWTPPRLPVTSEALSEDGQICVYFFKNDLKKYDVPLSWEQARLQTQK
+ELQLREGRLAIKPFHPSANNFPIPLLHMHRNWKRSTECAQEGRIPSTEDLMRGASAEELL
+AQCLSSSLLLEKEENKRFEDQLQQWLSEDSGAFTDLTSLPLYLPQTLVSLSHTIEPVMKT
+SVTTSPQSDMMREQLQLSEATGTCLGERLKHLERLIRSSREEEVASELHLSALLD
+>Q9H2C0_PF00651_21 <unknown description>
+SSFREESRFCDAHLVLDGEEIPVQKNILAAASPYIRTKLNYNPPKDDGSTYKIELEGISV
+MVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKTLCCEFLE
+>Q9H2C0_PF07707_134 <unknown description>
+CIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKEVISLEKLNVGNER
+YVFEAVIRWIAHDTEIRKVHMKDVMSALWVSGLDSSYLREQM
+>Q9H2C0_PF01344_315 <unknown description>
+PRINHGVLSAEGFLFVFGGQDENKQTLSSGEKYDPDANTWTALPPMN
+>Q9H2C0_PF01344_363 <unknown description>
+ARHNFGIVEIDGMLYILGGEDGEKELISMECYDIYSKTWTKQPDL
+>Q9H2C0_PF01344_417 <unknown description>
+AAMKKKIYAMGGGSYGKLFESVECYDPRTQQWTA
+>Q14C86_PF00616_153 <unknown description>
+LRYLIEFELKESDNPRRLLRRGTCAFSILFKLFSEGLFSAKLFLTATLHEPIMQLLVEDE
+DHLETDPNKLIERFSPSQQEKLFGEKGSDRFRQKVQEMVESNEAKLVALVNKFIGYLKQN
+TYCFPHSLRWIVSQMYKTLSCVDRLEVGEVRAMCTDLLLACFICPAVVNPEQYGIISDAP
+INEVARFNLMQVGRLLQQLA
+>Q14C86_PF18151_1245 <unknown description>
+KKIREFIQDFQKLTAADDKTAQVEDFLQFLYGAMAQDVIWQNASEEQLQDAQLAIERSVM
+NRIF
+>Q14C86_PF02204_1356 <unknown description>
+WPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADDFVPVLVFVLIKA
+NPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEFIKTID
+>Q9H4G4_PF00188_14 <unknown description>
+LKAHNEYRQKHGVPPLKLCKNLNREAQQYSEALASTRILKHSPESSRGQCGENLAWASYD
+QTGKEVADRWYSEIKNYNFQQPGFTSGTGHFTAMVWKNTKKMGVGKASASDGSSFVV
+>Q8N292_PF11770_1 <unknown description>
+MSKSCGNNLAAISVGISLLLLLVVCGIGCVWHWKHRVATRFTLPRFLQRRSSRRKVCTKT
+FLGPRIIGLRHEISVETQDHKSAVRGNNTHDNYENVEAGPPKAKGKTDKELYENTGQSNF
+EEHIYGNETSSDYYNFQKPRPSEVPQDEDIYILPD
+>Q6NXP2_PF12480_109 <unknown description>
+INLSRLLPLKYVELRIYDRLQRILRVRTVTEKIYYLKLHEKHPEIVFQFWVRLVKILQKG
+LSITTKDP
+>Q96KD3_PF12480_141 <unknown description>
+IFLKRILPLRFVELQVCDHYQRILQLRTVTEKIYYLKLHPDHPETVFHFWIRLVQILQKG
+LSITTKDP
+>Q9NY12_PF04410_71 <unknown description>
+VLLGEFLHPCEDDIVCKCTTDENKVPYFNAPVYLENKEQIGKVDEIFGQLRDFYFSVKLS
+ENMKASSFKKLQKFYIDPYKLLPLQRFL
+>Q8TC56_PF12480_116 <unknown description>
+TLELTRLLPLKFVKISIHDHEKQQLRLKLATGRTFYLQLCPSSDTREDLFCYWEKLVYLL
+RPPVESYCST
+>Q8IYT1_PF12480_115 <unknown description>
+NLELTRLLPLRFVRISVQDHEKQQLRLKFATGRSCYLQLCPALDTRDDLFAYWEKLIYLL
+RPPMESNSS
+>Q6IPT2_PF12480_180 <unknown description>
+GLFPLKFVQLFVHDKSRCQLEVKLNTSRTFYLQLRAPLKTRDREFGQWVRLLYRLR
+>Q8N5Q1_PF12480_98 <unknown description>
+LVLTRMIPLDLVHLCVHDLSAWRLKLRLVSGRQYYLALDAPDNEVGFLFHCWVRLINLLQ
+EPAPTWTP
+>Q8NEG0_PF12480_115 <unknown description>
+LELTRLLPLMFVKITIHNSVKKQLHLKLATGRSFYLQLCPPSDASEDLFVHWENLVYILR
+PPVEAYSDT
+>Q9H706_PF12736_32 <unknown description>
+LPQIARLDNGECVEGLRENDYLLIHSCRQWTTITAHSLEEGHYVIGPKIEIPVHYAGQFK
+LLEQDRDIKEPVQYFNSVEEVAKAFPERVYVMEDITFNVKVASGECNEDTEVYNITLCTG
+DELTLMGQAEILYAKTFKEKSRLNTIFKKIGKLNSISKLGKGKMPCLICMNHRTNESISL
+PFQCKGRFSTRSPLELQMQEGEHTIRNIVEKTRLPVNVTVPSPPPRNPYDLHFIREGHRY
+KFVNIQTKTVVVCCVLRNNKILPMHFPLHLTVPKFSL
+>Q75VX8_PF12736_29 <unknown description>
+LPTLACLGPGEYAEGVSERDILLIHSCRQWTTVTAHTLEEGHYVIGPKIDIPLQYPGKFK
+LLEQARDVREPVRYFSSVEEVASVFPDRIFVMEAITFSVKVVSGEFSEDSEVYNFTLHAG
+DELTLMGQAEILCAKTTKERSRFTTLLRKLGRAGALAGVGGGGPASAGAAGGTGGGGARP
+VKGKMPCLICMNHRTNESLSLPFQCQGRFSTRSPLELQMQEGEHTVRAIIERVRLPVNVL
+VPSRPPRNPYDLHPVREGHCYKLVSIISKTVVLGLALRREGPAPLHFLLLTDTPRFALPQ
+GLLAGDPRVE
+>Q5VVW2_PF02145_220 <unknown description>
+MFSNEIGSEPFQKFLNLLGDTITLKGWTGYRGGLDTKNDTTGIHSVYTVYQGHEIMFHVS
+TMLPYSKENKQQVERKRHIGNDIVTIVFQEGEESSPAFKPSMIRSHFTHIFALVRYNQQN
+DNYRLKIFSEESVPLFGPPLPTPPVFTDHQEFRDFLLVKLINGEKATLETPTFAQKRRRT
+>Q5VVW2_PF00780_498 <unknown description>
+WGQALLVSTDAGVLLVDDDLPSVPVFDRTLPVKQMHVLETLDLLVLRADKGKDARLFVFR
+LSALQKGLEGKQAGKSRSDCRENKLEKTKGCHLYAINTHHSRELRIVVAIRNKLLLITRK
+HNKPSGVTSTSLLSPLSESPVEEFQYIREICLSDSPMVMTLVDGPAEESDNLICVAYRHQ
+FDVVNESTGEAFRLHHVEANRVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFL
+VQPSASDFQFCWNQAPYAIVCAFPYLLAFTTDSMEIRLVVNGNLVHTAVVPQLQLVASR
+>P41250_PF00458_68 <unknown description>
+RLAVRQQGDLVRKLKEDKAPQVDVDKAVAELKARKRVLEAKE
+>P41250_PF00587_295 <unknown description>
+YLRPETAQGIFLNFKRLLEFNQGKLPFAAAQIGNSFRNEISPRSGLIRVREFTMAEIEHF
+VDPSE
+>P41250_PF03129_615 <unknown description>
+KCSVLPLSQNQEFMPFVKELSEALTRHGVSHKVDDSSGSIGRRYARTDEIGVAFGVTIDF
+DTVNKTPHTATLRDRDSMRQIRAEISELPSIVQD
+>P54826_PF02351_166 <unknown description>
+CTEARRRCDRDSRCNLALSRYLTYCGKVFNGLRCTDECRTVIEDMLAMPKAALLNDCVCD
+GLERPICESVKENMA
+>O43903_PF00307_35 <unknown description>
+PMKEDLALWLTNLLGKEITAETFMEKLDNGALLCQLAETMQEKFKESMDANKPTKNLPLK
+KIPCKTSAPSGSFFARDNTANFLSWCRDLGVDETCLFESEGLVLHKQPREVCLCLLELGR
+IA
+>O43903_PF02187_202 <unknown description>
+LDDAVKRISEDPPCKCPNKFCVERLSQGRYRVGEKILFIRMLHNKHVMVRVGGGWETFAG
+YLLKHDPCRM
+>Q14393_PF00594_53 <unknown description>
+FEEAKQGHLERECVEELCSREEAREVFENDPETDYFYPRY
+>Q14393_PF12661_133 <unknown description>
+CQDLMGNFFCLCKAGW
+>Q14393_PF12662_177 <unknown description>
+SFHCSCHSGFELSSDGRTCQDIDE
+>Q14393_PF12661_209 <unknown description>
+EARCKNLPGSYSCLCDEGF
+>Q14393_PF07645_238 <unknown description>
+DVDECLQGRCEQVCVNSPGSYTCHCD
+>Q14393_PF00054_325 <unknown description>
+FRTFDPEGILLFAGGHQDSTWIVLALRAGRLELQLRYNGVGRVTSSGPVINHGMWQTISV
+EELARNLVIKVNRDAVMKIAVAGDLFQPERGLYHLNLTVGGIPFHEKDLVQPINPRLDGC
+MRSWNWLN
+>Q14393_PF02210_559 <unknown description>
+HTALALMEIKVCDGQEHVVTVSLRDGEATLEVDGTRGQSEVSAAQLQERLAVLERHLRSP
+VLTFAGGLPDVPVTSAPVTAFYRGCMTLEVN
+>O60861_PF14604_10 <unknown description>
+YPFSGERHGQGLRFAAGELITLLQVPDGGWWEGEKEDGLRGWFPASYVQ
+>O60861_PF00397_79 <unknown description>
+LPPGWQSYLSPQGRRYYVNTTTNETTWERP
+>O60861_PF16623_109 <unknown description>
+SSSPGIPASPGSHRSSLPPTVNGYHASGTPAHPPETAHMSVRKSTGDSQNLGSSSPSKKQ
+SKENTITINCVTFPHPDTMPEQQLLKPTEWSYC
+>O60861_PF00611_219 <unknown description>
+GFELLLQKQLKGKQMQKEMSEFIRERIKIEEDYAKNLAKLSQNSLASQEEGSLGEAWAQV
+KKSLADEAEVHLK
+>Q5JY77_PF04826_1153 <unknown description>
+SEEFEELLLLMEKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRV
+RTSFLENMIRMAPPYPNLNIIQTYICKVCEETLAYSVDSPEQLSGIRMIRHLTTTTDYHT
+LVANYMSGFLSLLATGNAKTRFHVLKMLLNLSENLFMTKELLSAEAVSEFIGLFNREETN
+DNIQIVLAIFENIGNNIKKETVFSDDDFNIEPLISAFHK
+>Q96D09_PF04826_595 <unknown description>
+SEEFEEFLLLMDKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRV
+RTSFLENMIHMAPPYPNLNMIETFICQVCEETLAHSVDSLEQLTGIRMLRHLTMTIDYHT
+LIANYMSGFLSLLTTANARTKFHVLKMLLNLSENPAVAKKLFSAKALSIFVGLFNIEETN
+DNIQIVIKMFQNISNIIKSGKMSLIDDDFSLEPLISAFREF
+>Q6PI77_PF04826_321 <unknown description>
+DSEEFEKLVSLLKSTTDPLIHKIARIAMGVHNVHPFAQEFINEVGVVTLIESLLSFPSPE
+MRKKTVITLNPPSGDERQRKIELHVKHMCKETMSFPLNSPGQQSGLKILGQLTTDFVHHY
+IVANYFSELFHLLSSGNCKTRNLVLKLLLNMSENPTAARDMINMKALAALKLIFNQKEAK
+ANLVSGVAIFINIKEHIRKGSIVVVDHLSYNTLMAIFREVK
+>P32239_PF00001_71 <unknown description>
+GNMLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTFIFGTVICKAV
+SYLMGVSVSVSTLSLVAIALERYSAICRPLQARVWQTRSHAARVIVATWLLSGLLMVPYP
+VYTVVQPVGPRVLQCVHRWPSARVRQTWSVLLLLLLFFIPGVVMAVAYGLISRELYLGLR
+FDGDSDSDSQSRVRNQGGLPGAVHQNGRCRPETGAVGEDSDGCYVQLPRSRPALELTALT
+APGPGSGSRPTQAKLLAKKRVVRMLLVIVVLFFLCWLPVYSANTWRAFDGPGAHRALSGA
+PISFIHLLSYASACVNPLVY
+>P01350_PF00918_87 <unknown description>
+YGWMDFGRRSAED
+>P15976_PF00320_204 <unknown description>
+CVNCGATATPLWRRDRTGHYLCNACGLYHKMNGQ
+>P15976_PF00320_258 <unknown description>
+CTNCQTTTTTLWRRNASGDPVCNACGLYYKLHQV
+>P23769_PF00320_295 <unknown description>
+CVNCGATATPLWRRDGTGHYLCNACGLYHKMNGQ
+>P23769_PF00320_349 <unknown description>
+CANCQTTTTTLWRRNANGDPVCNACGLYYKLHNV
+>P23771_PF00320_264 <unknown description>
+CVNCGATSTPLWRRDGTGHYLCNACGLYHKMNGQ
+>P23771_PF00320_318 <unknown description>
+CANCQTTTTTLWRRNANGDPVCNACGLYYKLHN
+>P43694_PF05349_1 <unknown description>
+MYQSLAMAANHGPPPGAYEAGGPGAFMHGAGAASSPVYVPTPRVPSSVLGLSYLQGGGAG
+SASGGASGGSSGGAASGAGPGTQQGSPGWSQAGADGAAYTPPPVSPRFSFPGTTGSLAAA
+AAAAAAREAAAYSSGGGAAGAGLAGREQYGRAGFAGSYSSPYPAYMADVGASWAAAAAAS
+AGPFDSPVLHSLPGRANPAARHPNL
+>P43694_PF00320_218 <unknown description>
+CVNCGAMSTPLWRRDGTGHYLCNACGLYHKMNG
+>P43694_PF00320_272 <unknown description>
+CANCQTTTTTLWRRNAEGEPVCNACGLYMKLHGV
+>Q9BWX5_PF05349_1 <unknown description>
+MYQSLALAASPRQAAYADSGSFLHAPGAGSPMFVPPARVPSMLSYLSGCEPSPQPPELAA
+RPGWAQTATADSSAFGPGSPHPPAAHPPGATAFPFAHSPSGPGSGGSAGGRDGSAYQGAL
+LPREQFAAPLGRPVGTSYSATYPAYVSPDVAQSWTAGPFDGSVLHGLPGRRP
+>Q9BWX5_PF00320_189 <unknown description>
+CVNCGALSTPLWRRDGTGHYLCNACGLYHKMNGV
+>Q9BWX5_PF00320_243 <unknown description>
+CTNCHTTNTTLWRRNSEGEPVCNACGLYMKLHGV
+>Q92908_PF05349_147 <unknown description>
+MYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLPGLPYHLQ
+GSGSGPANHAGGAGAHPGWPQASADSPPYGSGGGAAGGGAAGPGGAGSAAAHVSARFPYS
+PSPPMANGAAREPGGYAAAGSGGAGGVSGGGSSLAAMGGREPQYSSLSAARPLNGTYHHH
+HHHHHHHPSPYSPYVGAPLTPAWPAGPFETPVLHSLQSRAGAPLPVPRGPS
+>Q92908_PF00320_390 <unknown description>
+CVNCGSIQTPLWRRDGTGHYLCNACGLYSKMNGL
+>Q92908_PF00320_444 <unknown description>
+CANCHTTTTTLWRRNAEGEPVCNACGLYMKLHGV
+>Q9H0R6_PF01425_25 <unknown description>
+QKCLSLIKKTKFLNAYITVSEEVALKQAEESEKRYKNGQSLGDLDGIPIAVKDNFSTSGI
+ETTCASNMLKGYIPPYNATVVQKLLDQGALLMGKTNLDEFAMGSGSTDGVFGPVKNPWSY
+SKQYREKRKQNPHSENEDSDWLITGGSSGGSAAAVSAFTCYAALGSDTGGSTRNPAAHCG
+LVGFKPSYGLVSRHGLIPLVNSMDVPGILTRCVDDAAIVLGALAGPDPRDSTTVHEPINK
+PFMLPSLADVSKLCIGIPKEYLVPELSSEVQSLWSKAADLFESEGAKVIEVSLPHTSYSI
+VCYHVLCTSEVASNMARFDGLQYGHRCDIDVSTEAMYAATRREGFNDVVRGRILSGNFFL
+LKENYENYFVKAQKVRRLIANDFVNAFNSGVDVLLTPTTLSEAVPYLEFIKEDNRTRSAQ
+DDIFTQAVNMAGLPAVSIPVALSNQGLPIGLQFIGRAFCDQQLL
+>O75879_PF02934_66 <unknown description>
+VVGLEIHAQISSNSKLFSGSQVRFSAPPNSLVSFFDASLPGTLPVLNRRCVEAAVMTGLA
+LNCHINKKSLFDRKHYFYADLPAGYQITQQRLPIAVNGSLIYGVCAGKKQSQVIPKTVRI
+KQIQLEQDSGKSLHDNLRSQTLIDLNRAGVGLLEVVLEPDMSCGEEAATAVRELQLILQA
+LGTSQANMAEGQLRVDANISVHHPGEPLGVRTEVKNLNSIRFLAKAIDYEIQRQINELEN
+GGEILNETRSFHHKLGCTMSMRDKEGKQDYRFMPEPNLPPLVLYD
+>O75879_PF02637_406 <unknown description>
+EFFQNVIKETRAEPKKVTSWVLNTFLGYLKQQNLAVSESPVTPSALAELLDLLDSRTISS
+SAAKQVFEELWKREGKTPGQIVSEKQLELMQDQGALEQLCHSVMEAHPQVVMDVKNRNPR
+AINKLIGLVRKATQSRADPVMIKEILEKKL
+>O43716_PF02686_55 <unknown description>
+ARLEKAIAFADRLRAVDTDGVEPMESVLEDRCLYLRSDNVVEGNCADELLQNSHRVVEEY
+FV
+>P04062_PF02055_117 <unknown description>
+VKGFGGAMTDAAALNILALSPPAQNLLLKSYFSEEGIGYNIIRVPMASCDFSIRTYTYAD
+TPDDFQLHNFSLPEEDTKLKIPLIHRALQLAQRPVSLLASPWTSPTWLKTNGAVNGKGSL
+KGQPGDIYHQTWARYFVKFLDAYAEHKLQFWAVTAENEPSAGLLSGYPFQCLGFTPEHQR
+DFIARDLGPTLANSTHHNVRLLMLDDQRLLLPHWAKVVLTDPEAAKYVHGIAVHWYLDFL
+APAKATLGETHRLFPNTMLFASEACVGSKFWEQSVRLGSWDRGMQYSHSIITNLLYHVVG
+WTDWNLALNPEGGPNWVRNFVDSPIIVDITKDTFYKQPMFYHLGHFSKFI
+>P04062_PF17189_469 <unknown description>
+GSQRVGLVASQKNDLDAVALMHPDGSAVVVVLNRSSKDVPLTIKDPAVGFLETISPGYSI
+HTY
+>Q9HCG7_PF12215_151 <unknown description>
+GCPLGGIGGGTITRGWRGQFCRWQLNPGMYQHRTVIADQFTVCLRREGQTVYQQVLSLER
+PSVLRSWNWGLCGYFAFYHALYPRAWTVYQLPGQNVTLTCRQITPILPHDYQDSSLPVGV
+FVWDVENEGDEALDVSIMFSMRNGLGGGDDAPGGLWNEPFCLERSGETVRGLLLHHPTLP
+NPYTMAVAARVTAATTVTHITAFDPDSTGQQVWQDLLQDGQLDSPTGQSTPTQKGVGIAG
+AVCVSSKLRPRGQCRLEFSLAWDMPRIMFGAKGQVHYRRYTRFFGQDGDAAPALSHYALC
+RYAEW
+>Q9HCG7_PF04685_521 <unknown description>
+GRFGYLEGQEYRMYNTYDVHFYASFALIMLWPKLELSLQYDMALATLREDLTRRRYLMSG
+VMAPVKRRNVIPHDIGDPDDEPWLRVNAYLIHDTADWKDLNLKFVLQVYRDYYLTGDQNF
+LKDMWPVCLAVMESEMKFDKDHDGLIENGGYADQTYDGWVTTGPSAYCGGLWLAAVAVMV
+QMAALCGAQDIQDKFSSILSRGQEAYERLLWNGRYYNYDSSSRPQSRSVMSDQCAGQWFL
+KACGLGEGDTEVFPTQHVVRALQTIFELNVQAFAGGAMGAVNGMQPHGVPDKSSVQSDEV
+WVGVVYGLAATMIQEGLTWEGFQTAEGCYRTVWERLGLAFQTPEAYCQQRVFRSLAYMRP
+LSIWAM
+>P62873_PF00400_48 <unknown description>
+RRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWD
+>P62873_PF00400_99 <unknown description>
+WVMTCAYAPSGNYVACGGLDNICSIYN
+>P62873_PF00400_137 <unknown description>
+RELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWD
+>P62873_PF00400_174 <unknown description>
+GQQTTTFTGHTGDVMSLSLAPDTRLFVSGACDASAKLWD
+>P62873_PF00400_217 <unknown description>
+MCRQTFTGHESDINAICFFPNGNAFATGSDDATCRLFD
+>P62873_PF00400_260 <unknown description>
+ELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWD
+>P62873_PF00400_307 <unknown description>
+VLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>P62879_PF00400_48 <unknown description>
+RRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWD
+>P62879_PF00400_99 <unknown description>
+WVMTCAYAPSGNFVACGGLDNICSIY
+>P62879_PF00400_136 <unknown description>
+SRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWD
+>P62879_PF00400_180 <unknown description>
+FAGHSGDVMSLSLAPDGRTFVSGACDASIKLWD
+>P62879_PF00400_218 <unknown description>
+CRQTFIGHESDINAVAFFPNGYAFTTGSDDATCRLFD
+>P62879_PF00400_266 <unknown description>
+HDNIICGITSVAFSRSGRLLLAGYDDFNCNIWD
+>P62879_PF00400_306 <unknown description>
+GVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>P16520_PF00400_48 <unknown description>
+RRTLRGHLAKIYAMHWATDSKLLVSASQDGKLIVWD
+>P16520_PF00400_99 <unknown description>
+WVMTCAYAPSGNFVACGGLDNMCSIYN
+>P16520_PF00400_136 <unknown description>
+SRELSAHTGYLSCCRFLDDNNIVTSSGDTTCALWD
+>P16520_PF00400_175 <unknown description>
+QQKTVFVGHTGDCMSLAVSPDFNLFISGACDASAKLWD
+>P16520_PF00400_216 <unknown description>
+GTCRQTFTGHESDINAICFFPNGEAICTGSDDASCRLFD
+>P16520_PF00400_266 <unknown description>
+HESIICGITSVAFSLSGRLLFAGYDDFNCNVWD
+>P16520_PF00400_305 <unknown description>
+VGILSGHDNRVSCLGVTADGMAVATGSWDSFLKIWN
+>Q9HAV0_PF00400_48 <unknown description>
+RRTLRGHLAKIYAMHWGYDSRLLVSASQDGKLIIWD
+>Q9HAV0_PF00400_99 <unknown description>
+WVMTCAYAPSGNYVACGGLDNICSIYN
+>Q9HAV0_PF00400_136 <unknown description>
+SRELPGHTGYLSCCRFLDDSQIVTSSGDTTCALWD
+>Q9HAV0_PF00400_175 <unknown description>
+QQTTTFTGHSGDVMSLSLSPDMRTFVSGACDASSKLWD
+>Q9HAV0_PF00400_218 <unknown description>
+CRQSFTGHVSDINAVSFFPNGYAFATGSDDATCRLFD
+>Q9HAV0_PF00400_265 <unknown description>
+SHDNIICGITSVAFSKSGRLLLAGYDDFNCNVWD
+>Q9HAV0_PF00400_306 <unknown description>
+GVLAGHDNRVSCLGVTDDGMAVATGSWDSFLRIWN
+>P50151_PF00631_9 <unknown description>
+ALQRLVEQLKLEAGVERIKVSQAAAELQQYCMQNACKDALLVGVPAGSNPFREPRSCAL
+>P61952_PF00631_11 <unknown description>
+EKEKLKMEVEQLRKEVKLQRQQVSKCSEEIKNYIEERSGEDPLVKGIPEDKNPFKEKGSC
+VI
+>Q9UBI6_PF00631_13 <unknown description>
+QARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLIGIPTSENPFKDKKTCIIL
+>Q9P2W3_PF00631_5 <unknown description>
+DVPQMKKEVESLKYQLAFQREMASKTIPELLKWIEDGIPKDPFLNPDLMKNNPWVEKGKC
+TIL
+>P63211_PF00631_10 <unknown description>
+TEKDKLKMEVDQLKKEVTLERMLVSKCCEEVRDYVEERSGEDPLVKGIPEDKNPFKELKG
+GCVI
+>P59768_PF00631_8 <unknown description>
+SIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENPFREKKFF
+CAIL
+>P63215_PF00631_13 <unknown description>
+IGQARKMVEQLKIEASLCRIKVSKAAADLMTYCDAHACEDPLITPVPTSENPFREKKFFC
+AL
+>P50150_PF00631_12 <unknown description>
+SISQARKAVEQLKMEACMDRVKVSQAAADLLAYCEAHVREDPLIIPVPASENPFREKKFF
+CTIL
+>A0A804HLA8_PF00631_9 <unknown description>
+ATKKVVQQLQLEAGLNSVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFRPQKVCS
+>P63218_PF00631_7 <unknown description>
+VAAMKKVVQQLRLEAGLNRVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFRPQKVCS
+>O60262_PF00631_5 <unknown description>
+NNIAQARKLVEQLRIEAGIERIKVSKAASDLMSYCEQHARNDPLLVGVPASENPFKDKKP
+CIIL
+>Q9UK08_PF00631_12 <unknown description>
+RKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPFRDKRLFCVL
+>Q8N5D6_PF03414_57 <unknown description>
+PLQPVVWSQYPQPKLLEHRPTQLLTLTPWLAPIVSEGTFNPELLQHIYQPLNLTIGVTVF
+AVGKYTHFIQSFLESAEEFFMRGYRVHYYIFTDNPAAVPGVPLGPHRLLSSIPIQGHSHW
+EETSMRRMETISQHIAKRAHREVDYLFCLDVDMVFRNPWGPETLGDLVAAIHPSYYAVPR
+QQFPYERRRVSTAFVADSEGDFYYGGAVFGGQVARVYEFTRGCHMAILADKANGIMAAWR
+EESHLNRHFISNKPSKVLSPEYLWDDRKPQPPSLKLIRFSTLDKDISCLRS
+>O14610_PF00631_7 <unknown description>
+EKDLLKMEVEQLKKEVKNTRIPISKAGKEIKEYVEAQAGNDPFLKGIPEDKNPFKEKGGC
+LI
+>P32455_PF02263_19 <unknown description>
+GRLMANPEALKILSAITQPMVVVAIVGLYRTGKSYLMNKLAGKKKGFSLGSTVQSHTKGI
+WMWCVPHPKKPGHILVLLDTEGLGDVEKGDNQNDSWIFALAVLLSSTFVYNSIGTINQQA
+MDQLYYVTELTHRIRSKSSPDENENEVEDSADFVSFFPDFVWTLRDFSLDLEADGQPLTP
+DEYLTYSLKLKKGTSQKDETFNLPRLCIRKFFPKKKCFVFDRPVHRRKLAQLEKLQDEEL
+DPEFVQQVADFCSYIFSNSKTKT
+>P32455_PF02841_284 <unknown description>
+GGIQVNGPRLESLVLTYVNAISSGDLPCMENAVLALAQIENSAAVQKAIAHYEQQMGQKV
+QLPTETLQELLDLHRDSEREAIEVFIRSSFKDVDHLFQKELAAQLEKKRDDFCKQNQEAS
+SDRCSALLQVIFSPLEEEVKAGIYSKPGGYRLFVQKLQDLKKKYYEEPRKGIQAEEILQT
+YLKSKESMTDAILQTDQTLTEKEKEIEVERVKAESAQASAKMLQEMQRKNEQMMEQKERS
+YQEHLKQLTEKMENDRVQLLKEQERTLALKLQEQEQLLKEGFQKESRIMKNEIQDLQ
+>P32456_PF02263_19 <unknown description>
+GQLVVNPEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKKNGFSLGSTVKSHTKGI
+WMWCVPHPKKPEHTLVLLDTEGLGDIEKGDNENDSWIFALAILLSSTFVYNSMGTINQQA
+MDQLHYVTELTDRIKANSSPGNNSVDDSADFVSFFPAFVWTLRDFTLELEVDGEPITADD
+YLELSLKLRKGTDKKSKSFNDPRLCIRKFFPKRKCFVFDWPAPKKYLAHLEQLKEEELNP
+DFIEQVAEFCSYILSHSNVKT
+>P32456_PF02841_282 <unknown description>
+GGIPVNGPRLESLVLTYVNAISSGDLPCMENAVLALAQIENSAAVEKAIAHYEQQMGQKV
+QLPTETLQELLDLHRDSEREAIEVFMKNSFKDVDQMFQRKLGAQLEARRDDFCKQNSKAS
+SDCCMALLQDIFGPLEEDVKQGTFSKPGGYRLFTQKLQELKNKYYQVPRKGIQAKEVLKK
+YLESKEDVADALLQTDQSLSEKEKAIEVERIKAESAEAAKKMLEEIQKKNEEMMEQKEKS
+YQEHVKQLTEKMERDRAQLMAEQEKTLALKLQEQERLLKEGFENESKRLQKDIWDIQ
+>Q9H0R5_PF02263_19 <unknown description>
+GELVANPEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFSLGSTVKSHTKGI
+WMWCVPHPKKPEHTLVLLDTEGLGDVKKGDNQNDSWIFTLAVLLSSTLVYNSMGTINQQA
+MDQLYYVTELTHRIRSKSSPDENENEDSADFVSFFPDFVWTLRDFSLDLEADGQPLTPDE
+YLEYSLKLTQGTSQKDKNFNLPRLCIRKFFPKKKCFVFDLPIHRRKLAQLEKLQDEELDP
+EFVQQVADFCSYIFSNSKTKT
+>Q9H0R5_PF02841_282 <unknown description>
+GGIKVNGPRLESLVLTYINAISRGDLPCMENAVLALAQIENSAAVQKAIAHYDQQMGQKV
+QLPAETLQELLDLHRVSEREATEVYMKNSFKDVDHLFQKKLAAQLDKKRDDFCKQNQEAS
+SDRCSALLQVIFSPLEEEVKAGIYSKPGGYCLFIQKLQDLEKKYYEEPRKGIQAEEILQT
+YLKSKESVTDAILQTDQILTEKEKEIEVECVKAESAQASAKMVEEMQIKYQQMMEEKEKS
+YQEHVKQLTEKMERERAQLLEEQEKTLTSKLQEQARVLKERCQGESTQLQNEIQKLQ
+>Q96PP9_PF02263_33 <unknown description>
+EEQLTVNSKALEILDKISQPVVVVAIVGLYRTGKSYLMNRLAGKRNGFPLGSTVQSETKG
+IWMWCVPHLSKPNHTLVLLDTEGLGDVEKSNPKNDSWIFALAVLLSSSFVYNSVSTINHQ
+ALEQLHYVTELAELIRAKSCPRPDEAEDSSEFASFFPDFIWTVRDFTLELKLDGNPITED
+EYLENALKLIPGKNPKIQNSNMPRECIRHFFRKRKCFVFDRPTNDKQYLNHMDEVPEENL
+ERHFLMQSDNFCSYIFTHAKTKT
+>Q96PP9_PF02841_298 <unknown description>
+EGIIVTGKRLGTLVVTYVDAINSGAVPCLENAVTALAQLENPAAVQRAADHYSQQMAQQL
+RLPTDTLQELLDVHAACEREAIAVFMEHSFKDENHEFQKKLVDTIEKKKGDFVLQNEEAS
+AKYCQAELKRLSEHLTESILRGIFSVPGGHNLYLEEKKQVEWDYKLVPRKGVKANEVLQN
+FLQSQVVVEESILQSDKALTAGEKAIAAERAMKEAAEKEQELLREKQKEQQQMMEAQERS
+FQEYMAQMEKKLEEERENLLREHERLLKHKLKVQEEMLKEEFQKKSEQLNKEINQLK
+>Q96PP8_PF02263_18 <unknown description>
+NEQLKVNQEALEILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFSVASTVQSHTKG
+IWIWCVPHPNWPNHTLVLLDTEGLGDVEKADNKNDIQIFALALLLSSTFVYNTVNKIDQG
+AIDLLHNVTELTDLLKARNSPDLDRVEDPADSASFFPDLVWTLRDFCLGLEIDGQLVTPD
+EYLENSLRPKQGSDQRVQNFNLPRLCIQKFFPKKKCFIFDLPAHQKKLAQLETLPDDELE
+PEFVQQVTEFCSYIFSHSMTKT
+>Q96PP8_PF02841_282 <unknown description>
+GGIMVNGSRLKNLVLTYVNAISSGDLPCIENAVLALAQRENSAAVQKAIAHYDQQMGQKV
+QLPMETLQELLDLHRTSEREAIEVFMKNSFKDVDQSFQKELETLLDAKQNDICKRNLEAS
+SDYCSALLKDIFGPLEEAVKQGIYSKPGGHNLFIQKTEELKAKYYREPRKGIQAEEVLQK
+YLKSKESVSHAILQTDQALTETEKKKKEAQVKAEAEKAEAQRLAAIQRQNEQMMQERERL
+HQEQVRQMEIAKQNWLAEQQKMQEQQMQEQAAQLSTTFQAQNRSLLSELQHA
+>Q6ZN66_PF02263_18 <unknown description>
+NEQLLVNQQAIQILEKISQPVVVVAIVGLYRTGKSYLMNHLAGQNHGFPLGSTVQSETKG
+IWMWCVPHPSKPNHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLCSTFVYNSMSTINHQ
+ALEQLHYVTELTELIKAKSSPRPDGVEDSTEFVSFFPDFLWTVRDFTLELKLNGHPITED
+EYLENALKLIQGNNPRVQTSNFPRECIRRFFPKRKCFVFDRPTNDKDLLANIEKVSEKQL
+DPKFQEQTNIFCSYIFTHARTKT
+>Q6ZN66_PF02841_283 <unknown description>
+EGITVTGNRLGTLAVTYVEAINSGAVPCLENAVITLAQRENSAAVQRAADYYSQQMAQRV
+KLPTDTLQELLDMHAACEREAIAIFMEHSFKDENQEFQKKFMETTMNKKGDFLLQNEESS
+VQYCQAKLNELSKGLMESISAGSFSVPGGHKLYMETKERIEQDYWQVPRKGVKAKEVFQR
+FLESQMVIEESILQSDKALTDREKAVAVDRAKKEAAEKEQELLKQKLQEQQQQMEAQDKS
+RKENIAQLKEKLQMEREHLLREQIMMLEHTQKVQNDWLHEGFKKKYEEMNAEISQFK
+>Q8N8V2_PF02263_19 <unknown description>
+GHLVVNSEALEILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFPLGCTVKSETKGI
+WMWCVPHPSKPNHTLILLDTEGLGDMEKSDPKSDSWIFALAVLLSSSFVYNSMGTINHQA
+LEQLHYVTELTELIRAKSCPRPDEVEDSSEFVSFFPDFIWTVRDFTLELKLDGHPITEDE
+YLENALKLISGKNPQIQNSNKPREWIRHFFPKQKCFVFDRPINDKKLLLHVEEVREDQLD
+SNFQMQSENFCSYIFTHAKTKT
+>Q8N8V2_PF02841_283 <unknown description>
+EGILVTGNRLGMLVETYLDAINSGATPCLENAMAVLAQCENSAAVQRAANHYSQQMAQQV
+RFPTDTLQELLDVHAVCEREAIAVFMEYSFKDKSQEFQKKLVDTMEKKKEDFVLQNEEAS
+AKYCQAELKRLSELLTESISRGTFFVPGGHNIYLEAKKKIEQDYTLVPRKGVKADEVLQS
+FLQSQVVIEESILQSDKALTAGEKAIAAKQAKKEAAEKEQELLRQKQKEQQQMMEAQERS
+FQENIAQLKKKMERERENYMRELRKMLSHKMKVLEELLTEGFKEIFESLNEEINRLK
+>P14867_PF02931_43 <unknown description>
+TRILDRLLDGYDNRLRPGLGERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERL
+KFKGPMTVLRLNNLMASKIWTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTV
+RAECPMHLEDFPMDAHACPLKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLG
+QTVDSGIVQSSTGEYVVMTTHFHLKRKI
+>P14867_PF02932_257 <unknown description>
+TYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWF
+IAVCYAFVFSALIEFATVNYFTKRG
+>P47869_PF02931_43 <unknown description>
+TRILDRLLDGYDNRLRPGLGDSITEVFTNIYVTSFGPVSDTDMEYTIDVFFRQKWKDERL
+KFKGPMNILRLNNLMASKIWTPDTFFHNGKKSVAHNMTMPNKLLRIQDDGTLLYTMRLTV
+QAECPMHLEDFPMDAHSCPLKFGSYAYTTSEVTYIWTYNASDSVQVAPDGSRLNQYDLLG
+QSIGKETIKSSTGEYTVMTAHFHLKRKI
+>P47869_PF02932_257 <unknown description>
+TYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWF
+IAVCYAFVFSALIEFATVNYFTKR
+>P34903_PF02931_68 <unknown description>
+TRILDRLLDGYDNRLRPGLGDAVTEVKTDIYVTSFGPVSDTDMEYTIDVFFRQTWHDERL
+KFDGPMKILPLNNLLASKIWTPDTFFHNGKKSVAHNMTTPNKLLRLVDNGTLLYTMRLTI
+HAECPMHLEDFPMDVHACPLKFGSYAYTTAEVVYSWTLGKNKSVEVAQDGSRLNQYDLLG
+HVVGTEIIRSSTGEYVVMTTHFHLKRKI
+>P34903_PF02932_282 <unknown description>
+TYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWF
+IAVCYAFVFSALIEFATVNYFTKRSW
+>P48169_PF02931_49 <unknown description>
+TRILDSLLDGYDNRLRPGFGGPVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWIDKRL
+KYDGPIEILRLNNMMVTKVWTPDTFFRNGKKSVSHNMTAPNKLFRIMRNGTILYTMRLTI
+SAECPMRLVDFPMDGHACPLKFGSYAYPKSEMIYTWTKGPEKSVEVPKESSSLVQYDLIG
+QTVSSETIKSITGEYIVMTVYFHLRRKM
+>P48169_PF02932_263 <unknown description>
+TYIPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWF
+IAVCFAFVFSALIEFAAVNYFTNIQMEKAKRKTSKPPQEVPAAPVQREKHPEAPLQNTNA
+NLNMRKRTNALVHSESDVGNRTEVGNHSSKSSTVVQESSKGTPRSYLASSPNPFSRANAA
+ETISAARALPSASPTSIRTGYMPRKASVGSASTRHVFGSRLQRIKTTVNTIGATGKLSAT
+PPPSAPPPSGSGTSKIDKYARILFPVTFGAFNMVYW
+>P31644_PF02931_50 <unknown description>
+TRILDGLLDGYDNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERL
+RFKGPMQRLPLNNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTI
+SAECPMQLEDFPMDAHACPLKFGSYAYPNSEVVYVWTNGSTKSVVVAEDGSRLNQYHLMG
+QTVGTENISTSTGEYTIMTAHFHLKRKI
+>P31644_PF02932_264 <unknown description>
+TYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWF
+IAVCYAFVFSALIEFATVNYFTKRG
+>Q16445_PF02931_33 <unknown description>
+SRILDNLLEGYDNRLRPGFGGAVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWTDERL
+KFGGPTEILSLNNLMVSKIWTPDTFFRNGKKSIAHNMTTPNKLFRIMQNGTILYTMRLTI
+NADCPMRLVNFPMDGHACPLKFGSYAYPKSEIIYTWKKGPLYSVEVPEESSSLLQYDLIG
+QTVSSETIKSNTGEYVIMTVYFHLQRKM
+>Q16445_PF02932_247 <unknown description>
+IYTPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWF
+IAVCFAFVFSALIEFAAVNYFTNLQTQKAKRKA
+>O95166_PF02991_13 <unknown description>
+KRRSEGEKIRKKYPDRVPVIVEKAPKARIGDLDKKKYLVPSDLTVGQFYFLIRKRIHLRA
+EDALFFFVNNVIPPTSATMGQLYQEHHEEDFFLYIAYSDESVYG
+>P18505_PF02931_39 <unknown description>
+ETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLS
+YSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTT
+AACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKM
+VSKKVEFTTGAYPRLSLSFRLKRN
+>P18505_PF02932_250 <unknown description>
+TYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKIPYVKAIDIY
+LMGCFVFVFLALLEYAFVNYIFFGKGPQKKGASKQDQSANEKNKLEMNKVQVDAHGNILL
+STLEIRNETSGSEVLTSVSDPKATMYSYDSASIQYRKPLSSREAYGRALDRHGVPSKGRI
+RRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYW
+>P47870_PF02931_38 <unknown description>
+ETVDRLLKGYDIRLRPDFGGPPVAVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLS
+YNVIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTT
+AACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKL
+ITKKVVFSTGSYPRLSLSFKLKRN
+>P47870_PF02932_249 <unknown description>
+TYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMY
+LMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAASANNEKMRLDVNKIFYKDIKQN
+GTQYRSLWDPTGNLSPTRRTTNYDFSLYTMDPHENILLSTLEIKNEMATSEAVMGLGDPR
+STMLAYDASSIQYRKAGLPRHSFGRNALERHVAQKKSRLRRRASQLKITIPDLTDVNAID
+RWSRIFFPVVFSFFNIVYW
+>P28472_PF02931_39 <unknown description>
+ETVDKLLKGYDIRLRPDFGGPPVCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLA
+YSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTT
+AACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRL
+VSRNVVFATGAYPRLSLSFRLKRN
+>P28472_PF02932_250 <unknown description>
+TYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMY
+LMGCFVFVFLALLEYAFVNYIFFGRGPQRQKKLAEKTAKAKNDRSKSESNRVDAHGNILL
+TSLEVHNEMNEVSGGIGDTRNSAISFDNSGIQYRKQSMPREGHGRFLGDRSLPHKKTHLR
+RRSSQLKIKIPDLTDVNAIDRWSRIVFPFTFSLFNLVYW
+>O14764_PF02931_45 <unknown description>
+DGLIAGYARNFRPGIGGPPVNVALALEVASIDHISEANMEYTMTVFLHQSWRDSRLSYNH
+TNETLGLDSRFVDKLWLPDTFIVNAKSAWFHDVTVENKLIRLQPDGVILYSIRITSTVAC
+DMDLAKYPMDEQECMLDLESYGYSSEDIVYYWSESQEHIHGLDKLQLAQFTITSYRFTTE
+LMNFKSAGQFPRLSLHFHLRRN
+>O14764_PF02932_255 <unknown description>
+YMPSVLLVAMSWVSFWISQAAVPARVSLGITTVLTMTTLMVSARSSLPRASAIKALDVYF
+WICYVFVFAALVEYAFAHFNADYRKKQKAKVKVSRPRAEMDVRNAIVLF
+>P78334_PF02931_72 <unknown description>
+SRILNTILSNYDHKLRPGIGEKPTVVTVEISVNSLGPLSILDMEYTIDIIFSQTWYDERL
+CYNDTFESLVLNGNVVSQLWIPDTFFRNSKRTHEHEITMPNQMVRIYKDGKVLYTIRMTI
+DAGCSLHMLRFPMDSHSCPLSFSSFSYPENEMIYKWENFKLEINEKNSWKLFQFDFTGVS
+NKTEI
+>P78334_PF02932_285 <unknown description>
+YVPSSVTTMLSWVSFWIKTESAPARTSLGITSVLTMTTLGTFSRKNFPRVSYITALDFYI
+AICFVFCFCALLEFAVLNFLIYNQTKAHASPKLRHPRINSRAHARTRARSRACARQHQEA
+FVCQIVTTEGSDGEERPSCSAQQPPSPGSPEGPRSLCSKLACCEWCKRFKKYFCMVPDCE
+GSTWQQGRLCIHVYRLDNYSRVVFPVTFFFFNVLYW
+>Q8N1C3_PF02931_65 <unknown description>
+TQILNSLLQGYDNKLRPDIGVRPTVIETDVYVNSIGPVDPINMEYTIDIIFAQTWFDSRL
+KFNSTMKVLMLNSNMVGKIWIPDTFFRNSRKSDAHWITTPNRLLRIWNDGRVLYTLRLTI
+NAECYLQLHNFPMDEHSCPLEFSSYGYPKNEIEYKWKKPSVEVADPKYWRLYQFAFVGLR
+NSTEITHTISGDYVIMTIFFDLSRR
+>Q8N1C3_PF02932_277 <unknown description>
+TYIPCILTVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLF
+VSVCFIFVFAALMEYGTLHYFTSNQKGKTATKDRKL
+>P18507_PF02931_69 <unknown description>
+ILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTWYDRRLKF
+NSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYTLRLTIDA
+ECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFSFVGLRNT
+TEVVKTTSGDYVVMSVYFDLSRRM
+>P18507_PF02932_279 <unknown description>
+TYIPCTLIVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLF
+VSVCFIFVFSALVEYGTLHYFVSNRKPSKDKDKKKKNP
+>Q99928_PF02931_50 <unknown description>
+ILNKLLREYDKKLRPDIGIKPTVIDVDIYVNSIGPVSSINMEYQIDIFFAQTWTDSRLRF
+NSTMKILTLNSNMVGLIWIPDTIFRNSKTAEAHWITTPNQLLRIWNDGKILYTLRLTINA
+ECQLQLHNFPMDEHSCPLIFSSYGYPKEEMIYRWRKNSVEAADQKSWRLYQFDFMGLRNT
+TEIVTTSAGDYVVMTIYFELSRRM
+>Q99928_PF02932_260 <unknown description>
+TYIPCILTVVLSWVSFWIKKDATPARTALGITTVLTMTTLSTIARKSLPRVSYVTAMDLF
+VTVCFLFVFAALMEYATLNYYSSCRKPTTTKKTTSLLHPDSSRWIPERISLQAPSNYSLL
+DMRPPPTAMITLNNSVYWQEFEDTCVYECLDGKDCQSFFCCYEECKSGSWRKGRIHIDIL
+ELDSYSRVFFPTSFLLFNLVYW
+>Q9H0R8_PF02991_14 <unknown description>
+RKKEGEKIRKKYPDRVPVIVEKAPKARVPDLDKRKYLVPSDLTVGQFYFLIRKRIHLRPE
+DALFFFVNNTIPPTSATMGQLYEDNHEEDYFLYVAYSDESVYG
+>P60520_PF02991_13 <unknown description>
+HRCVESAKIRAKYPDRVPVIVEKVSGSQIVDIDKRKYLVPSDITVAQFMWIIRKRIQLPS
+EKAIFLFVDKTVPQSSLTMGQLYEKEKDEDGFLYVAYSGENTFG
+>O00591_PF02931_42 <unknown description>
+ENLTAGYNKFLRPNFGGEPVQIALTLDIASISSISESNMDYTATIYLRQRWMDQRLVFEG
+NKSFTLDARLVEFLWVPDTYIVESKKSFLHEVTVGNRLIRLFSNGTVLYALRITTTVACN
+MDLSKYPMDTQTCKLQLESWGYDGNDVEFTWLRGNDSVRGLEHLRLAQYTIERYFTLVTR
+SQQETGNYTRLVLQFELRRN
+>O00591_PF02932_249 <unknown description>
+TYVPSTFLVVLSWVSFWISLDSVPARTCIGVTTVLSMTTLMIGSRTSLPNTNCFIKAIDV
+YLGICFSFVFGALLEYAVAHYSSLQQMAA
+>P24046_PF02931_82 <unknown description>
+DDHDFSMRPGFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWKDERLSFPSTNNL
+SMTFDGRLVKKIWVPDMFFVHSKRSFIHDTTTDNVMLRVQPDGKVLYSLRVTVTAMCNMD
+FSRFPLDTQTCSLEIESYAYTEDDLMLYWKKGNDSLKTDERISLSQFLIQEFHTTTKLAF
+YSSTGWYNRLYINFTLRRH
+>P24046_PF02932_288 <unknown description>
+TYFPATLMVMLSWVSFWIDRRAVPARVPLGITTVLTMSTIITGVNASMPRVSYIKAVDIY
+LWVSFVFVFLSVLEYAAVNYLTTVQERKEQK
+>P28476_PF02931_62 <unknown description>
+DEHDFSMRPAFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWKDERLAFSSASNK
+SMTFDGRLVKKIWVPDVFFVHSKRSFTHDTTTDNIMLRVFPDGHVLYSMRITVTAMCNMD
+FSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDESLKTDEKISLSQFLIQKFHTTSRLAF
+YSSTGWYNRLYINFTLRRH
+>P28476_PF02932_268 <unknown description>
+TYFPATLMVMLSWVSFWIDRRAVPARVSLGITTVLTMTTIITGVNASMPRVSYVKAVDIY
+LWVSFVFVFLSVLEYAAVNYLTTVQERKERKLREKFPCMCGMLHS
+>A8MPY1_PF02931_70 <unknown description>
+NDFAMRPGFGGSPVPVGIDVHVESIDSISETNMDFTMTFYLRHYWKDERLSFPSTANKSM
+TFDHRLTRKIWVPDIFFVHSKRSFIHDTTMENIMLRVHPDGNVLLSLRITVSAMCFMDFS
+RFPLDTQNCSLELESYAYNEDDLMLYWKHGNKSLNTEEHMSLSQFFIEDFSASSGLAFYS
+STGWYNRLFINFVLRRH
+>A8MPY1_PF02932_274 <unknown description>
+TYFPAILMVMLSWVSFWIDRRAVPARVSLGITTVLTMSTIITAVSASMPQVSYLKAVDVY
+LWVSSLFVFLSVIEYAAVNYLTTVEERKQFKKTGKISRMYNIDAVQAMAFD
+>Q9UN88_PF02931_60 <unknown description>
+QKILDRVLSRYDVRLRPNFGGAPVPVRISIYVTSIEQISEMNMDYTITMFFHQTWKDSRL
+AYYETTLNLTLDYRMHEKLWVPDCYFLNSKDAFVHDVTVENRVFQLHPDGTVRYGIRLTT
+TAACSLDLHKFPMDKQACNLVVESYGYTVEDIILFWDDNGNAIHMTEELHIPQFTFLGRT
+ITSKEVYFYTGSYIRLILKFQVQRE
+>Q9UN88_PF02932_272 <unknown description>
+VYWPTVLTTITSWISFWMNYDSSAARVTIGLTSMLILTTIDSHLRDKLPNISCIKAIDIY
+ILVCLFFVFLSLLEYVYINYLFYSRGPRRQPRRHRRPRRVIAR
+>Q14549_PF00046_262 <unknown description>
+RRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQVKIWFQNRRAKWKR
+>P52951_PF00046_248 <unknown description>
+RRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQVKIWFQNRRAKWKR
+>Q96CN9_PF01465_717 <unknown description>
+GANLEYLKNIIYRFLTLPDSLGRQQTLTAILTILHFSPEEKQVI
+>Q8IWJ2_PF16704_1548 <unknown description>
+EPPLWHAEFTKEELVQKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQ
+EREKS
+>Q8IWJ2_PF01465_1614 <unknown description>
+ANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKL
+>Q92947_PF02771_63 <unknown description>
+DEILIRDTFRTYCQERLMPRILLANRNEVFHREIISEMGELGVLGPTIKGYGCAGVSSVA
+YGLLARELERVDSGYRSAMSVQSSLVMHPIYAYGSEEQRQKYLPQLAKGE
+>Q92947_PF02770_176 <unknown description>
+CFGLTEPNSGSDPSSMETRAHYNSSNKSYTLNGTKTWITNSPMADLFVVWARCEDGCIRG
+FLLEKGMRGLSAPRIQGKFSLRASATGMIIMDGV
+>Q92947_PF00441_289 <unknown description>
+CLNNARYGIAWGVLGASEFCLHTARQYALDRMQFGVPLARNQLIQKKLADMLTEITLGLH
+ACLQLGRLKDQDKAAPEMVSLLKRNNCGKALDIARQARDMLGGNGISDEYHVIRHAMNLE
+AVNTYEGTHDIHALILGRAI
+>P16383_PF07842_468 <unknown description>
+QNILLKFQQWREKFPDSYYEAFISLCIPKLLNPLIRVQLIDWNPLKLESTGLKEMPWFKS
+VEEFMDSSVEDSKKESSSDKKVLSAIINKTIIPRLTDFVEFLWDPLSTSQTTSLITHCRV
+ILEEHSTCENEVSKSRQDLLKSIVSRMKKAVEDDVFIPLYPKSAVENKTSPHSKFQERQF
+WSGLKLFRNILLWNGLLTDDTLQELGLGKLLNRYL
+>P30793_PF01227_73 <unknown description>
+AAYSSILSSLGENPQRQGLLKTPWRAASAMQFFTKGYQETISDVLNDAIFDEDHDEMVIV
+KDIDMFSMCEHHLVPFVGKVHIGYLPNKQVLGLSKLARIVEIYSRRLQVQERLTKQIAVA
+ITEALRPAGVGVVVEATHMCMVMRGVQKMNSKTVTSTMLGVFREDPKTREEFLTLI
+>Q9NP62_PF03615_30 <unknown description>
+TDWFQEWPDSYAKHIYSSEDKNAQRHLSSWAMRNTNNHNSRILKKSCLGVVVCGRDCLAE
+EGRKIYLRPAICDKARQKQQRKRCPNCDGPLKLIPCRGHGGFPVTNFWRHDGRFIFFQSK
+GEHDHPKPETKLEAEARR
+>O75603_PF03615_35 <unknown description>
+FDQFREWPDGYVRFIYSSDEKKAQRHLSGWAMRNTNNHNGHILKKSCLGVVVCTQACTLP
+DGSRLQLRPAICDKARLKQQKKACPNCHSALELIPCRGHSGYPVTNFWRLDGNAIFFQAK
+GVHDHPRPESKSETEARR
+>Q96QF7_PF10263_523 <unknown description>
+QRIYDLFNRSVCDKKLPEKLRIGWNNKMVKTAGLCSTGEMWYPKWRRFAKIQIGLKVCDS
+ADRIRDTLIHEMCHAASWLIDGIHDSHGDAWKYYARKSNRIH
+>Q02742_PF02485_123 <unknown description>
+IAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDTKSEDSYLAAVMGIASCFSNVFVASRL
+ESVVYASWSRVQADLNCMKDLYAMSANWKYLINLCGMDFPIKTNLEIVRKLKLLMGENNL
+ETERMPSHKEERWKKRYEVVNGKLTNTGTVKMLPPLETPLFSGSAYFVVSREYVGYVLQN
+EKIQKLMEWAQDTYSPDEYLWATIQRIPEVPGSLPASHKYDLSDMQAVARFVKWQYFEGD
+VSKGAPYPPCDGVHVRSVCIFGAGDLNWM
+>O95395_PF02485_133 <unknown description>
+IAYSMVIHEKIENFERLLRAVYAPQNIYCVHVDEKSPETFKEAVKAIISCFPNVFIASKL
+VRVVYASWSRVQADLNCMEDLLQSSVPWKYFLNTCGTDFPIKSNAEMVQALKMLNGRNSM
+ESEVPPKHKETRWKYHFEVVRDTLHLTNKKKDPPPYNLTMFTGNAYIVASRDFVQHVLKN
+PKSQQLIEWVKDTYSPDEHLWATLQRARWMPGSVPNHPKYDISDMTSIARLVKWQGHEGD
+IDKGAPYAPCSGIHQRAICVYGAGDLNWM
+>Q9P109_PF02485_133 <unknown description>
+IAYSLVVHKDAIMVERLIHAIYNQHNIYCIHYDRKAPDTFKVAMNNLAKCFSNIFIASKL
+EAVEYAHISRLQADLNCLSDLLKSSIQWKYVINLCGQDFPLKSNFELVSELKKLNGANML
+ETVKPPNSKLERFTYHHELRRVPYEYVKLPIRTNISKEAPPHNIQIFVGSAYFVLSQAFV
+KYIFNNSIVQDFFAWSKDTYSPDEHFWATLIRVPGIPGEISRSAQDVSDLQSKTRLVKWN
+YYEGFFYPSCTGSHLRSVCIYGAAELRWL
+>Q9BSJ2_PF17681_218 <unknown description>
+VEDLLYVLVGVDGRYVSAQPLAGRQSRTFLVDPNLDLSIRELVHRILPVAASYSAVTRFI
+EEKSSFEYGQVNHALAAAMRTLVKEHLILVSQLEQLHRQGLLSLQKLWFYIQPAMRTMDI
+LASLATSVDKGECLGGSTLSLLHDRSFSYTGDSQAQELCLYLTKAASAPYFEVLEKWIYR
+GIIHDPYSEFMVEEHELRKERIQEDYNDKYWDQRYTIVQQQIPSFLQKMADKILSTGKYL
+NVVRECGHDVTCPVAKEIIYTLKERAYVEQIEKAFNYASKVLLDFLMEE
+>Q9BSJ2_PF04130_509 <unknown description>
+LVAHLRSIKRYFLMDQGDFFVHFMDLAEEELRKPVEDITPPRLEALLELALRMSTANTDP
+FKDDLKIDLMPHDLITQLLRVLAIETKQEKAMAHADPTELALSGLEAFSFDYIVKWPLSL
+IINRKALTRYQMLFRHMFYCKHVERQLCSVWISNKTAKQHSLHSAQWFAGAFTLRQRMLN
+FVQNIQYYMMFEVMEPTWHILEKNLKSASNIDDVLGHHTGFLDTCLKDCMLTNPELLKVF
+SKLMSVCVMFTNCMQKFTQSMKLDGELGGQTLEHSTVLGLPAGAEERARKELARKHLAEH
+ADTVQLVSGFEATINKFDKNFSAHLLDLLARLSIYSTSDCEHGMASVISRLDFNGFY
+>Q96CW5_PF17681_251 <unknown description>
+VRDILYVFQGIDGKNIKMNNTENCYKVEGKANLSRSLRDTAVRLSELGWLHNKIRRYTDQ
+RSLDRSFGLVGQSFCAALHQELREYYRLLSVLHSQLQLEDDQGVNLGLESSLTLRRLLVW
+TYDPKIRLKTLAALVDHCQGRKGGELASAVHAYTKTGDPYMRSLVQHILSLVSHPVLSFL
+YRWIYDGELEDTYHEFFVASDPTVKTDRLWHDKYTLRKSMIPSFMTMDQSRKVLLIGKSI
+NFLHQVCHDQTPTTKMIAVTKSAESPQDAADLFTDLENAFQGKIDAAYFETSKYLLDVLN
+KK
+>Q96CW5_PF04130_555 <unknown description>
+LLDHMQAMRRYLLLGQGDFIRHLMDLLKPELVRPATTLYQHNLTGILETAVRATNAQFDS
+PEILRRLDVRLLEVSPGDTGWDVFSLDYHVDGPIATVFTRECMSHYLRVFNFLWRAKRME
+YILTDIRKGHMCNAKLLRNMPEFSGVLHQCHILASEMVHFIHQMQYYITFEVLECSWDEL
+WNKVQQAQDLDHIIAAHEVFLDTIISRCLLDSDSRALLNQLRAVFDQIIELQNAQDAIYR
+AALEELQRRLQFEEKKKQREIEGQWGVTAAEEEEENKRIGEFKESIPKMCSQLRILTHFY
+QGIVQQFLVLLTTSSDESLRFLSFRLDFNEHY
+>Q9UGJ1_PF17681_2 <unknown description>
+IHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIEQ
+YTGHVQQQDHHPSQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLGDPHLSISH
+VNYFLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCHG
+VMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGNVSAQPEEDEEDLGIGGLTGKQLRELQDL
+RLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSILK
+NQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEE
+>Q9UGJ1_PF04130_350 <unknown description>
+LLGQLKIIKDFYLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDN
+LLPLLHLTIEYHGKEHKDATQAREGPSRETSPREAPASGWAALGLSYKVQWPLHILFTPA
+VLEKYNVVFKYLLSVRRVQAELQHCWALQMQRKHLKSNQTDAIKWRLRNHMAFLVDNLQY
+YLQVDVLESQFSQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLC
+HSFCSLVSQNLGPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLD
+YNKYY
+>Q96RT8_PF17681_271 <unknown description>
+IRETLWLLSGVKKLFIFQLIDGKVTVRNNIIVTHLTHSCLRSVLEQIAAYGQVVFRLQEF
+IDEVMGHSSESMLPGSGSVPKKSTEAPFRTYQAFMWALYKYFISFKEELAEIEKCIINND
+TTITLAIVVDKLAPRLSQLKVLHKVFSTGVAEVPPDTRNVVRASHLLNTLYKAILEYDNV
+GEASEQTVSLLFSLWVETVRPYLQTVDEWIVHGHLWDGAREFIIQRNKNVPVNHRDFWYA
+TYTLYSVSEKTENEEKMSDNASASSGSDQGPSSRQHTMVSFLKPVLKQIIMAGKSMQLLK
+NLQCAESTTCQAGARDAERKSLYTLFLESVQSRLR
+>Q96RT8_PF04130_716 <unknown description>
+LVEYLQAMRNFFLMEGGDTMYDFYTSIFDKIREKETWQNVSFLNVQLQEAVGQRYPEDSS
+RLSISFENVDTAKKKLPVHILDGLTLSYKVPWPVDIVISLECQKIYNQVFLLLLQIKWAK
+YSLDVLLFGELVSTAEKPRLKEGLIHEQDTVAQFGPQKEPVRQQIHRMFLLRVKLMHFVN
+SLHNYIMTRILHSTGLEFQHQVEEAKDLDQLIKIHYRYLSTIHDRCLLREKVSFVKEAIM
+KVLNLALMFADGWQAGLGTWRMESIEKMESDFKNCHMFLVTILNKAVCRGSFPHLESLAL
+S
+>Q9H3P7_PF00887_85 <unknown description>
+ELYGLALRFFKEKDGKAFHPTYEEKLKLVALHKQVLMGPYNPDTCPEVGFFDVLGNDRRR
+EWAALGNMSKEDAMVEFVKLL
+>Q9H3P7_PF13897_397 <unknown description>
+VGRGEVVTVRVPTHEEGSYLFWEFATDNYDIGFGVYFEWTDSPNTAVSVHVSESSDDDEE
+EEENIGCEEKAKKNANKPLLDEIVPVYRRDCHEEVYAGSHQYPGRGVYLLKFDNSYSLWR
+SKSVYYRVYYT
+>Q96RT7_PF19340_28 <unknown description>
+VNRKRAKRSLKKVAYNALFTNLFQDETQQLQPDMSKLPARNKILMLSFDLRVGGLGPKAD
+RLEELVEELEAAPCCPLLEVGSVLDLLVQLAGSGPPQVLPRKRDYFLNNKHVGRNVPYSG
+YDCDDLSVFEMDVQSLISREECLCHSMIQETLQVMEAAPGTGLPTVGLFSFGDPCGDRFE
+RDTRVSLFGALVHSRTYDMDVRLGLPPVPDNADLSGLAIKVPPSVDQWEDEGFQSASNLT
+PDSQSEPSVTPDVDLWEAALTYEASKRRCWERVGCPPGHREEPYLTEAGRDAFDKFCRLH
+QGELQLLAGGVLQAP
+>Q96RT7_PF17681_353 <unknown description>
+VKDVLNVLIGVVSATFSLCQPAQAFVVKRGVHVSGASPESISSLLSEVAEYGTCYTRLSH
+FSLQPVLDSLYSKGLVFQAFTSGLRRYLQYYRACVLSTPPTLSLLTIGFLFKKLGRQLRY
+LAELCGVGAVLPGTCGGGPRAAFPTGVKLLSYLYQEALHNCSNEHYPVLLSLLKTSCEPY
+TRFIHDWVYSGVFRDAYGEFMIQVNHEYLSFRDKLYWTHGYVLISKEVEDCVPVFLKHIA
+HDIYVCGKTINLLKLCCPRHYLCWSDVPVPRI
+>Q96RT7_PF04130_1513 <unknown description>
+LEAHYEALRHFLLMEDGEFAQSLSDLLFEKLGAGQTPGELLNPLVLNSVLSKALQCSLHG
+DTPHASNLSLALKYLPEVFAPNAPDVLSCLELRYKVDWPLNIVITEGCVSKYSGVFSFLL
+QLKLMMWALKDVCFHLKRTALLSHMAGSVQFRQLQLFKHEMQHFVKVIQGYIANQILHVT
+WCEFRARLATVGDLEEIQRAHAEYLHKAVFRGLLTEKAAPVMNVIHSIFSLVLKFRSQLI
+SQAWGPPGGPRGAEHPNFALMQQSYNTFKYYSHFLFKVVTKLVNRGYQPHLEDFLLRINF
+NNYY
+>P04150_PF02155_26 <unknown description>
+VMDFYKTLRGGATVKVSASSPSLAVASQSDSKQRRLLVDFPKGSVSNAQQPDLSKAVSLS
+MGLYMGETETKVMGNDLGFPQQGQISLSSGETDLKLLEESIANLNRSTSVPENPKSSAST
+AVSAAPTEKEFPKTHSDVSSEQQHLKGQTGTNGGNVKLYTTDQSTFDILQDLEFSSGSPG
+KETNESPWRSDLLIDENCLLSPLAGEDDSFLLEGNSNEDCKPLILPDTKPKIKDNGDLVL
+SSPSNVTLPQVKTEKEDFIELCTPGVIKQEKLGTVYCQASFPGANIIGNKMSAISVHGVS
+TSGGQMYHYDMNTASLSQQQDQKPIFNVIPPIPVGSENWNRCQGSGDDNLTSLGTLNFPG
+RTVFSNGYSSPSMRPD
+>P04150_PF00105_420 <unknown description>
+LCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRYR
+KCLQAGMN
+>P04150_PF00104_553 <unknown description>
+PDSTWRIMTTLNMLGGRQVIAAVKWAKAIPGFRNLHLDDQMTLLQYSWMFLMAFALGWRS
+YRQSSANLLCFAPDLIINEQRMTLPCMYDQCKHMLYVSSELHRLQVSYEEYLCMKTLLLL
+SSVPKDGLKSQELFDEIRMTYIKELGKAIVKREGNSSQNWQRFYQLTKLLDSMHEVVENL
+LN
+>Q8N6F7_PF15666_66 <unknown description>
+RMSSTPIQDNVDQTYSEELCYTLINHRVLCTRPSGNSAEEYYENVPCKAERPRESLGGTE
+TEYSLLHMPSTDPRHARSPEDEYELLMP
+>P23434_PF01597_51 <unknown description>
+RKFTEKHEWVTTENGIGTVGISNFAQEALGDVVYCSLPEVGTKLNKQDEFGALESVKAAS
+ELYSPLSGEVTEINEALAENPGLVNKSCYEDGWLIKMTLSNPSELDELMSEEAYEKYIKS
+>P23378_PF02347_65 <unknown description>
+RRHIGPGDKDQREMLQTLGLASIDELIEKTVPANIRLKRPLKMEDPVCENEILATLHAIS
+SKNQIWRSYIGMGYYNCSVPQTILRNLLENSGWITQYTPYQPEVSQGRLESLLNYQTMVC
+DITGLDMANASLLDEGTAAAEALQLCYRHNKRRKFLVDPRCHPQTIAVVQTRAKYTGVLT
+ELKLPCEMDFSGKDVSGVLFQYPDTEGKVEDFTELVERAHQSGSLACCATDLLALCILRP
+PGEFGVDIALGSSQRFGVPLGYGGPHAAFFAVRESLVRMMPGRMVGVTRDATGKEVYRLA
+LQTREQHIRRDKATSNICTAQALLANMAAMFAIYHGSHGLEHIARRVHNATLILSEGLKR
+AGHQLQHDLFFDTLKIQCGCSVKEVLGRAAQRQINFRLFEDGTLGISLDETVNEKDLDDL
+LWIF
+>P23378_PF01212_586 <unknown description>
+DQAQGYQQLFRELEKDLCELTGYDQVCFQPNSGAQGEYAGLATIRAYLNQKGEGHRTVCL
+IPKSAHGTNPASAHMAGMKIQPVEVDKYGNIDAVHLKAMVDKHKENLAAIMITYPSTNGV
+FEENISDVCDLIHQHGGQVYLDGA
+>P48728_PF01571_38 <unknown description>
+LYDFHLAHGGKMVAFAGWSLPVQYRDSHTDSHLHTRQHCSLFDVSHMLQTKILGSDRVKL
+MESLVVGDIAELRPNQGTLSLFTNEAGGILDDLIVTNTSEGHLYVVSNAGCWEKDLALMQ
+DKVRELQNQGRDVGLEVLDNALLALQGPTAAQVLQAGVADDLRKLPFMTSAVMEVFGVSG
+CRVTRCGYTGEDGVEISVPVAGAVHLATAILKNPEVKLAGLAARDSLRLEAGLCLYGNDI
+DEHTTPVEGSLSWT
+>P48728_PF08669_318 <unknown description>
+RRRVGLMCEGAPMRAHSPILNMEGTKIGTVTSGCPSPSLKKNVAMGYVPCEYSRPGTMLL
+VEVRRKQQMAVVSKMPFV
+>Q02108_PF07700_98 <unknown description>
+AKHKIKESRKSLEREDFEKTIAEQAVAAGVPVEVIKESLGEEVFKICYEEDENILGVVGG
+TLKDFLNSFSTLLKQSSHCQEAGKRGRLEDASILCLDKEDDFLHVYYFFPKRTTSLILPG
+IIKAAAHVLYETEVEVS
+>Q02108_PF07701_277 <unknown description>
+IPTSLFCKTFPFHFMFDKDMTILQFGNGIRRLMNRRDFQGKPNFEEYFEILTPKINQTFS
+GIMTMLNMQFVVRVRRWDNSVKKSSRVMDLKGQMIYIVESSAILFLGSPCVDRLEDFTGR
+GLYLSDIPIHNALRDVVLIGEQARAQDGLKKRLGKLKATLEQAHQALEEEKKKTVDLLCS
+IFPCEVAQQL
+>Q02108_PF00211_472 <unknown description>
+VQAKKFSNVTMLFSDIVGFTAICSQCSPLQVITMLNALYTRFDQQCGELDVYKVETIGDA
+YCVAGGLHKESDTHAVQIALMALKMMELSDEVMSPHGEPIKMRIGLHSGSVFAGVVGVKM
+PRYCLFGNNVTLANKFESCSVPRKINVSPTTYRLLKDCPGFVFTPRSREELP
+>P33402_PF07700_137 <unknown description>
+CSYADHSNKEEIEDVSGILQCTANILGLKFEEIQKRFGEEFFNICFHENERVLRAVGGTL
+QDFFNGFDALLEHIRTSFGKQATLESPSFLCKELPEGTLMLHYFHPHHIVGFAMLGMIKA
+AGKKIYRLDVEVE
+>P33402_PF07701_317 <unknown description>
+RISINTFCRAFPFHLMFDPSMSVLQLGEGLRKQLRCDTHKVLKFEDCFEIVSPKVNATFE
+RVLLRLSTPFVIRTKPEASGSENKDKVMEVKGQMIHVPESNSILFLGSPCVDKLDELMGR
+GLHLSDIPIHDATRDVILVGEQAKAQDGLKKRMDKLKATLERTHQALEEEKKKTVDLLYS
+IFPGDVAQQL
+>P33402_PF00211_513 <unknown description>
+QARKFDDVTMLFSDIVGFTAICAQCTPMQVISMLNELYTRFDHQCGFLDIYKVETIGDAY
+CVAAGLHRKSLCHAKPIALMALKMMELSEEVLTPDGRPIQMRIGIHSGSVLAGVVGVRMP
+RYCLFGNNVTLASKFESGSHPRRINVSPTTYQLLKREESFTFIPRSREELPDNFPKEIPG
+ICYFL
+>Q02153_PF07700_2 <unknown description>
+YGFVNHALELLVIRNYGPEVWEDIKKEAQLDEEGQFLVRIIYDDSKTYDLVAAASKVLNL
+NAGEILQMFGKMFFVFCQESGYDTILRVLGSNVREFLQNLDALHDHLATIYPGMRAPSFR
+CTDAEKGKGLILHYYSEREGLQDIVIGIIKTVAQQIHGTEIDMKV
+>Q02153_PF07701_208 <unknown description>
+ISPYTFCKAFPFHIIFDRDLVVTQCGNAIYRVLPQLQPGNCSLLSVFSLVRPHIDISFHG
+ILSHINTVFVLRSKEGLLDVEKLECEDELTGTEISCLRLKGQMIYLPEADSILFLCSPSV
+MNLDDLTRRGLYLSDIPLHDATRDLVLLGEQFREEYKLTQELEILTDRLQLTLRALEDEK
+KKTDTLLYSVLPPSVANEL
+>Q02153_PF00211_412 <unknown description>
+VPAKRYDNVTILFSGIVGFNAFCSKHASGEGAMKIVNLLNDLYTRFDTLTDSRKNPFVYK
+VETVGDKYMTVSGLPEPCIHHARSICHLALDMMEIAGQVQVDGESVQITIGIHTGEVVTG
+VIGQRMPRYCLFGNTVNLTSRTETTGEKGKINVSEYTYRCLMSPENSDPQFHLEHRGPVS
+MKGKKEPMQVWFL
+>Q96MZ0_PF13417_49 <unknown description>
+LYHWTQSFSSQKVRLVIAEKGLVCEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNII
+SDYDQIIDYVERTFTG
+>Q96MZ0_PF13410_230 <unknown description>
+ILGELAMVLDQIEAELEKRKLENEGQKCELWLCGCAFTLADVLLGATLHRLKFLGLSKKY
+WEDGSRPNLQSFFER
+>Q8TB36_PF13417_28 <unknown description>
+LYHWTHSFSSQKVRLVIAEKALKCEEHDVSLPLSEHNEPWFMRLNSTGEVPVLIHGENII
+CEATQIIDYLEQTF
+>Q8TB36_PF13410_207 <unknown description>
+KILDELEKVLDQVETELQRRNEETPEEGQQPWLCGESFTLADVSLAVTLHRLKFLGFARR
+NWGNGKRPNLETYYER
+>Q9NXN4_PF01661_72 <unknown description>
+VNTSNESLTDKNPVSESIFMLAGPDLKEDLQKLKGCRTGEAKLTKGFNLAARFIIHTVGP
+KYKSRYRTAAESSLYSCYRNVLQLAKEQSMSSVGFCVINSAKRGYPLEDATHIA
+>Q9NXN4_PF13716_349 <unknown description>
+GRTVMVVVGRNIPVTLIDMDKALLYFIHVMDHIAVKEYVLVYFHTLTSEYNHLDSDFLKK
+LYDVVDVKYKRNLKAVYFVHPTFRSKVSTWFFTTFSVSGLKDKIHHVDSLHQLFSAISPE
+QIDFPPFVLEYDA
+>P16260_PF00153_35 <unknown description>
+YWLRSFLAGGIAGCCAKTTVAPLDRVKVLLQAHNHHYKHLGVFSALRAVPQKEGFLGLYK
+GNGAMMIRIFPYGAIQFMAFEHYKTLITT
+>P16260_PF00153_131 <unknown description>
+VHRLMAGSMAGMTAVICTYPLDMVRVRLAFQVKGEHSYTGIIHAFKTIYAKEGGFFGFYR
+GLMPTILGMAPYAGVSFFTFGTLKSV
+>P16260_PF00153_240 <unknown description>
+THVNLLCGGVAGAIAQTISYPFDVTRRRMQLGTVLPEFEKCLTMRDTMKYVYGHHGIRKG
+LYRGLSLNYIRCIPSQAVAFTTYELMKQFFH
+>Q9NZC3_PF03009_70 <unknown description>
+HRGGSHDAPENTLAAIRQAAKNGATGVELDIEFTSDGIPVLMHDNTVDRTTDGTGRLCDL
+TFEQIRKLNPAANHRLRNDFPDEKIPTLREAVAECLNHNLTIFFDVKGHAHKATEALKKM
+YMEFPQLYNNSVVCSFLPEVIYKMRQTDRDVITALTHRPWSLSHTGDGKPRYDTFWKHFI
+FVMMDILLDWSMHNILWYLCGISAFLMQKDFVSPAYLKKWSAKGIQVVGWTVNTFDEKS
+>P35573_PF14699_31 <unknown description>
+LQFRLGPTLQGKAVTVYTNYPFPGETFNREKFRSLDWENPTEREDDSDKYCKLNLQQSGS
+FQYYFLQGNEKSGGGYIVVDPILRVG
+>P35573_PF14701_121 <unknown description>
+VLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLANQLE
+LNPDFSRPNRKYTWNDVGQLVEKLKKEWNVICITDVVYNHTAANSKWIQEHPECAYNLVN
+SPHLKPAWVLDRALWRFSCDVAEGKYKEKGIPALIENDHHMNSIRKIIWEDIFPKLKLWE
+FFQVDVNKAVEQFRRLLTQENRRVTKSDPNQHLTIIQDPEYRRFGCTVDMNIALTTFIPH
+DKGPAAIEECCNWFHKRMEELNSEKHRLINYHQEQAVNCLLGNVFYERLAGHGPKLGPVT
+RKHPLVTRYFTFPFEEIDFSMEESMIHLPNKACFLMAHNGWVMGDDPLRNFAEPGSEVYL
+RRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATYFQGVRLDNCHSTPLHVAEYML
+DAARNLQPNLY
+>P35573_PF14702_697 <unknown description>
+GIIAARCAISKLHQELGAKGFIQVYVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKT
+SFYSKEVPQMCIPGKIEEVVLEARTIERNTKPYRKDENSINGTPDITVEIREHIQLNESK
+IVKQAGVATKGPNEYIQEIEFENLSPGSVIIFRVSLDPHAQVAVGILRNHLTQFSPHFKS
+GSLAVDNADPILKIPFASLASRLTLAELNQILYRCESEEKEDGGGCYDIPNWSALKYAGL
+QGLMSVLAEIRPKNDLGHPFCNNLRSGDWMIDYVSNRL
+>P35573_PF06202_1072 <unknown description>
+EITKEKEQCCVSLAAGLPHFSSGIFRCWGRDTFIALRGILLITGRYVEARNIILAFAGTL
+RHGLIPNLLGEGIYARYNCRDAVWWWLQCIQDYCKMVPNGLDILKCPVSRMYPTDDSAPL
+PAGTLDQPLFEVIQEAMQKHMQGIQFRERNAGPQIDRNMKDEGFNITAGVDEETGFVYGG
+NRFNCGTWMDKMGESDRARNRGIPATPRDGSAVEIVGLSKSAVRWLLELSKKNIFPYHEV
+TVKRHGKAIKVSYDEWNRKIQDNFEKLFHVSEDPSDLNEKHPNLVHKRGIYKDSYGASSP
+WCDYQLRPNFTIAMVVAPELFTTEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGIYDNA
+LDNDNYNLAKGFNYHQGPEWLWPIGYFLRAKLYFSRLMGPETTAKTIVLVKNVLSRHYVH
+LERSPWKGLPELTNENAQYCPFSCETQAWSIATILE
+>P55107_PF00019_376 <unknown description>
+CSRRYLKVDFADIGWNEWIISPKSFDAYYCAGACEFPMPKIVRPSNHATIQSIVRAVGII
+PGIPEPCCVPDKMNSLGVLFLDENRNVVLKVYPNMSVDTCAC
+>O95390_PF00688_64 <unknown description>
+VCVWRQHSRELRLESIKSQILSKLRLKEAPNISREVVKQLLPKAPPLQQILDLHDFQGDA
+LQPEDFLEEDEYHATTETVISMAQETDPAVQTDGSPLCCHFHFSPKVMFTKVLKAQLWVY
+LRPVPRPATVYLQILRLKPLTGEGTAGGGGGGRRHIRIRSLKIELHSRSGHWQSIDFKQV
+LHSWFRQPQSNWGIEINAFDPSGTDLAVTSLGP
+>O95390_PF00019_312 <unknown description>
+RCCRYPLTVDFEAFGWDWIIAPKRYKANYCSGQCEYMFMQKYPHTHLVQQANPRGSAGPC
+CTPTKMSPINMLYFNDKQQIIYGKIPGMVVDRCGC
+>Q99988_PF00019_211 <unknown description>
+CRLHTVRASLEDLGWADWVLSPREVQVTMCIGACPSQFRAANMHAQIKTSLHRLKPDTVP
+APCCVPASYNPMVLIQKTDTGVSLQTYDDLLAKDCHC
+>P27539_PF00019_267 <unknown description>
+CRARRLYVSFREVGWHRWVIAPRGFLANYCQGQCALPVALSGSGGPPALNHAVLRALMHA
+AAPGAADLPCCVPARLSPISVLFFDNSDNVVLRQYEDMVVDECGC
+>Q9UK05_PF00688_60 <unknown description>
+ENVKVDFLRSLNLSGVPSQDKTRVEPPQYMIDLYNRYTSDKSTTPASNIVRSFSMEDAIS
+ITATEDFPFQKHILLFNISIPRHEQITRAELRLYVSCQNHVDPSHDLKGSVVIYDVLDGT
+DAWDSATETKTFLVSQDIQDEGWETLEVSSAVKRWVRSDSTKSKNKLEVTVE
+>Q9UK05_PF00019_326 <unknown description>
+HCQKTSLRVNFEDIGWDSWIIAPKEYEAYECKGGCFFPLADDVTPTKHAIVQTLVHLKFP
+TKVGKACCVPTKLSPISVLYKDDMGVPTLKYHYEGMSVAECGC
+>Q9NR23_PF00688_28 <unknown description>
+VFLQFLGLDKAPSPQKFQPVPYILKKIFQDREAAATTGVSRDLCYVKELGVRGNVLRFLP
+DQGFFLYPKKISQASSCLQKLLYFNLSAIKEREQLTLAQLGLDLGPNSYYNLGPELELAL
+FLVQEPHVWGQTTPKPGKMFVLRSVPWPQGAVHFNLLDVAKDWNDNPRKNFGLFLEILVK
+EDRDSGVNF
+>Q9NR23_PF00019_264 <unknown description>
+CHRHQLFINFRDLGWHKWIIAPKGFMANYCHGECPFSLTISLNSSNYAFMQALMHAVDPE
+IPQAVCIPTKLSPISMLYQDNNDNVILRHYEDMVVDECGC
+>P43026_PF00688_154 <unknown description>
+PPREPKEPFRPPPITPHEYMLSLYRTLSDADRKGGNSSVKLEAGLANTITSFIDKGQDDR
+GPVVRKQRYVFDISALEKDGLLGAELRILRKKPSDTAKPAAPGGGRAAQLKLSSCPSGRQ
+PAALLDVRSVPGLDGSGWEVFDIWKLFRNFKNSAQLCLELEAWERGRAVDLRGLGFDRAA
+RQVHEKALFL
+>P43026_PF00019_399 <unknown description>
+RCSRKALHVNFKDMGWDDWIIAPLEYEAFHCEGLCEFPLRSHLEPTNHAVIQTLMNSMDP
+ESTPPTCCVPTRLSPISILFIDSANNVVYKQYEDMVVESCGC
+>Q6KF10_PF00688_78 <unknown description>
+QQPRAQEPPGRGPRVVPHEYMLSIYRTYSIAEKLGINASFFQSSKSANTITSFVDRGLDD
+LSHTPLRRQKYLFDVSMLSDKEELVGAELRLFRQAPSAPWGPPAGPLHVQLFPCLSPLLL
+DARTLDPQGAPPAGWEVFDVWQGLRHQPW
+>Q6KF10_PF00019_353 <unknown description>
+RCSKKPLHVNFKELGWDDWIIAPLEYEAYHCEGVCDFPLRSHLEPTNHAIIQTLMNSMDP
+GSTPPSCCVPTKLTPISILYIDAGNNVVYKQYEDMVVESCGC
+>Q7Z4P5_PF00688_85 <unknown description>
+SVVPHHFMMSLYRSLAGRAPAGAAAVSASGHGRADTITGFTDQATQDESAAETGQSFLFD
+VSSLNDADEVVGAELRVLRRGSPESGPGSWTSPPLLLLSTCPGAARAPRLLYSRAAEPLV
+GQRWEAFDVADAMRRHRREPRPP
+>Q7Z4P5_PF00019_348 <unknown description>
+RCSRKPLHVDFKELGWDDWIIAPLDYEAYHCEGLCDFPLRSHLEPTNHAIIQTLLNSMAP
+DAAPASCCVPARLSPISILYIDAANNVVYKQYEDMVVEACGC
+>O14793_PF00688_42 <unknown description>
+CTWRQNTKSSRIEAIKIQILSKLRLETAPNISKDVIRQLLPKAPPLRELIDQYDVQRDDS
+SDGSLEDDDYHATTETIITMPTESDFLMQVDGKPKCCFFKFSSKIQYNKVVKAQLWIYLR
+PVETPTTVFVQILRLIKPMKDGTRYTGIRSLKLDMNPGTGIWQSIDVKTVLQNWLKQPES
+NLGIEIKALDENGHD
+>O14793_PF00019_280 <unknown description>
+RCCRYPLTVDFEAFGWDWIIAPKRYKANYCSGECEFVFLQKYPHTHLVHQANPRGSAGPC
+CTPTKMSPINMLYFNGKEQIIYGKIPAMVVDRCGC
+>O60383_PF00019_352 <unknown description>
+ECELHDFRLSFSQLKWDNWIVAPHRYNPRYCKGDCPRAVGHRYGSPVHTMVQNIIYEKLD
+SSVPRPSCVPAKYSPLSVLTIEPDGSIAYKEYEDMIATKCTC
+>P31150_PF00996_1 <unknown description>
+MDEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESSSITPLEELYKRFQLLE
+GPPESMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVVEGSFVYKGGKIYKVP
+STETEALASNLMGMFEKRRFRKFLVFVANFDENDPKTFEGVDPQTTSMRDVYRKFDLGQD
+VIDFTGHALALYRTDDYLDQPCLETVNRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR
+LSAIYGGTYMLNKPVDDIIMENGKVVGVKSEGEVARCKQLICDPSYIPDRVRKAGQVIRI
+ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISYAHNVAAQGKYIAIASTTVETT
+DPEKEVEPALELLEPIDQKFVAISDLYEPIDDGCESQVFCSCSYDATTHFETTCNDIKDI
+YKRMAGTAFDFENMK
+>P50395_PF00996_1 <unknown description>
+MNEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESASITPLEDLYKRFKIPG
+SPPESMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVTEGSFVYKGGKIYKVP
+STEAEALASSLMGLFEKRRFRKFLVYVANFDEKDPRTFEGIDPKKTTMRDVYKKFDLGQD
+VIDFTGHALALYRTDDYLDQPCYETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR
+LSAIYGGTYMLNKPIEEIIVQNGKVIGVKSEGEIARCKQLICDPSYVKDRVEKVGQVIRV
+ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISFAHNVAAQGKYIAIVSTTVETK
+EPEKEIRPALELLEPIEQKFVSISDLLVPKDLGTESQIFISRTYDATTHFETTCDDIKNI
+YKRMTGSEFDFEEMK
+>P52565_PF02115_13 <unknown description>
+QIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVAVSADPNVPNVVV
+TGLTLVCSSAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNREIVSGMKYIQHT
+YRKGVKIDKTDYMVGSYGPRAEEYEFLTPVEEAPKGMLARGSYSIKSRFTDDDKTDHLSW
+EWNLTIKKD
+>P52566_PF02115_11 <unknown description>
+EEDDDDELDSKLNYKPPPQKSLKELQEMDKDDESLIKYKKTLLGDGPVVTDPKAPNVVVT
+RLTLVCESAPGPITMDLTGDLEALKKETIVLKEGSEYRVKIHFKVNRDIVSGLKYVQHTY
+RTGVKVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDDDKQDHLSWE
+WNLSIKKE
+>Q99819_PF02115_44 <unknown description>
+AVPEYRAPGRKSLLEIRQLDPDDRSLAKYKRVLLGPLPPAVDPSLPNVQVTRLTLLSEQA
+PGPVVMDLTGDLAVLKDQVFVLKEGVDYRVKISFKVHREIVSGLKCLHHTYRRGLRVDKT
+VYMVGSYGPSAQEYEFVTPVEEAPRGALVRGPYLVVSLFTDDDRTHHLSWEWGLCICQ
+>P39905_PF00019_118 <unknown description>
+CVLTAIHLNVTDLGLGYETKEELIFRYCSGSCDAAETTYDKILKNLSRNRRLVSDKVGQA
+CCRPIAFDDDLSFLDDNLVYHILRKHSAKRCGC
+>P07093_PF00079_33 <unknown description>
+NTGIQVFNQIVKSRPHDNIVISPHGIASVLGMLQLGADGRTKKQLAMVMRYGVNGVGKIL
+KKINKAIVSKKNKDIVTVANAVFVKNASEIEVPFVTRNKDVFQCEVRNVNFEDPASACDS
+INAWVKNETRDMIDNLLSPDLIDGVLTRLVLVNAVYFKGLWKSRFQPENTKKRTFVAADG
+KSYQVPMLAQLSVFRCGSTSAPNDLWYNFIELPYHGESISMLIALPTESSTPLSAIIPHI
+STKTIDSWMSIMVPKRVQVILPKFTAVAQTDLKEPLKVLGITDMFDSSKANFAKITRSEN
+LHVSHILQKAKIEVSEDGTKASAATTAILIARSSPPWFIVDRPFLFFIRHNPTGAVLFMG
+QINKP
+>Q8N9F7_PF03009_45 <unknown description>
+HRGGAGENLENTMAAFQHAVKIGTDMLELDCHITKDEQVVVSHDENLKRATGVNVNISDL
+KYCELPPYLGKLDVSFQRACQCEGKDNRIPLLKEVFEAFPNTPINIDIKVNNNVLIKKVS
+ELVKRYNRE
+>Q9HCC8_PF03009_229 <unknown description>
+HRGAPMLAPENTLMSLRKTAECGATVFETDVMVSSDGVPFLMHDEHLSRTTNVASVFPTR
+ITAHSSDFSWTELKRLNAGSWFLERRPFWGAKPLAGPDQKEAESQTVPALEELLEEAAAL
+NLSIMFDLRR
+>Q7L5L3_PF03009_44 <unknown description>
+HRGGSGELLENTMEAMENSMAQRSDLLELDCQLTRDRVVVVSHDENLCRQSGLNRDVGSL
+DFEDLPLYKEKLEVYFSPGHFAHGSDRRMVRLEDLFQRFPRTPMSVEIKGKNEELIREIA
+GLVRRYDRNEITIWASEKSSVMKKCKAANPEMPLSFTISRGFWVLLSYYLGLLPFIPIPE
+KFFFCFLPNIINRTYFPFSCSCLNQLLAVVSKWLIMRKSLIRHLEERGVQVVFWCLNEES
+DFEAAFSVGATGVITDYPT
+>Q6W3E5_PF03009_203 <unknown description>
+HRGAPMLGPENTMMSFEKAVEHGAHGLETDIHLSYDHVPFLMHDFDLKRTTNIGEVQPES
+ACENPAFFNWDFLSTLNAGKWFVKPELRPFYNMKPLSEADKERARNQSIPTLADLLTL
+>Q8WTR4_PF03009_233 <unknown description>
+HRGAPMLAPEHTLMSFRKALEQKLYGLQADITISLDGVPFLMHDTTLRRTTNVEEEFPEL
+ARRPASMLNWTTLQRLNAGQWFLKTDPFWTASSLSPSDHREAQNQSICSLAELLELAKGN
+AT
+>P52306_PF00514_82 <unknown description>
+RIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNIC
+>P52306_PF00514_172 <unknown description>
+LQAQLINMGVIPTLVKLLGIHCQNAALTEMCLVAFGNLA
+>P52306_PF00514_346 <unknown description>
+NDANCIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSALRNLA
+>P52306_PF00514_481 <unknown description>
+DVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIA
+>P06396_PF00626_25 <unknown description>
+FDLVPVPTNLYGDFFTGDAYVILKTVQLRNGNLQYDLHYWLGNECSQDESGAAAIFTVQL
+DDYLNGRAVQHREVQGFESATFL
+>P06396_PF00626_147 <unknown description>
+VRATEVPVSWESFNNGDCFILDLGNNIHQWCGSNSNRYERLKATQVSKGIRDNERSGRAR
+VHVSEEGTEPEAM
+>P06396_PF00626_266 <unknown description>
+DENPFAQGALKSEDCFILDHGKDGKIFVWKGKQANTEERKAALKTASDFITKMDYPKQTQ
+VSVLPEGGETPLF
+>P06396_PF00626_404 <unknown description>
+SNKVPVDPATYGQFYGGDSYIILYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLD
+EELGGTPVQSRVVQGKEPAHLM
+>P06396_PF00626_525 <unknown description>
+TRAVEVLPKAGALNSNDAFVLKTPSAAYLWVGTGASEAEKTGAQELLRVLRAQPVQVAEG
+SEPDGFW
+>P06396_PF00626_630 <unknown description>
+IEEVPGELMQEDLATDDVMLLDTWDQVFVWVGKDSQEEEKTEALTSAKRYIETDPANRDR
+RTPITVVKQGFEPPSF
+>O14893_PF04938_29 <unknown description>
+FDPSVPPRTPQEYLRRVQIEAAQCPDVVVAQIDPKKLKRKQSVNISLSGCQPAPEGYSPT
+LQWQQQQVAQFSTVRQNVNKHRSHWKSQQLDSNVTMPKSEDEEGWKKFCLGEKLCADGAV
+GPATNESPGIDYVQIGFPPLLSIVSRMNQATVTSVLEYLSNWFGERDFTPELGRWLYALL
+ACLEKPLLPEAHSLIRQLARRCSEVRLLVDSKDDERVPALNLLICLVSRYFDQR
+>Q8TEQ6_PF00400_57 <unknown description>
+IGELVGHTERVSGFTFSHHPGQYNLCATSSDDGTVKIWD
+>Q8TEQ6_PF00400_186 <unknown description>
+EVIHRLRGHDDEIHSIAWCPLPGEDCLSINQEETSEEAEITNGNAVAQAPVTKGCYLATG
+SKDQTIRIWS
+>Q8TEQ6_PF12894_422 <unknown description>
+WQGVKSKVTALCWHPTKEGCLAFGTDDGKVGLYDTYSNKPPQISSTYHKKTVYTLAWG
+>Q8TEQ6_PF12894_540 <unknown description>
+TEISWKADGKIMALGNEDGSIEIFQIPNLKLICTIQQHHKLVNTISWHH
+>Q8TEQ6_PF00400_631 <unknown description>
+PYRTLSGHTAKITSVAWSPHHDGRLVSASYDGTAQVWD
+>Q8WXD5_PF06372_1 <unknown description>
+MSEWMKKGPLEWQDYIYKEVRVTASEKNEYKGWVLTTDPVSANIVLVNFLEDGSMSVTGI
+MGHAVQTVETMNEGDHRVREKLMHLFTSGDCKAYSPEDLEERKNSLKKWLEKNHIPITEQ
+GDAPRTLCVAGVLTIDPPYGPENCSSSNEIILSRVQDLIEGHLTASQ
+>Q9H840_PF12901_8 <unknown description>
+PVPVLRLPRGPDGFSRGFA
+>Q9H840_PF11095_54 <unknown description>
+QEQRARAALRERYLRSLLAMVGHQVSFTLHEGVRVAAHFGATDLDVANFYVSQLQTPIGV
+QAEALLRCSDIISYTF
+>Q9NWZ8_PF15348_14 <unknown description>
+PWYSHPVYARYWQHYHQAMAWMQSHHNAYRKAVESCFNLPWYLPSALLPQSSYDNEAAYP
+QSFYDHHVAWQDYPCSSSHFRRSGQHPRYSSRIQASTKEDQALSKEEEMETESDAEVECD
+LSNMEITEELRQYFAETERHREERRRQQQLDAERLDSYVNADHDLYCNTRRSVEAPTERP
+GERRQAEMKRLYGDSAAKIQAMEAAVQLSFDKHCDRKQPKYWPVIPLKF
+>O75496_PF07412_1 <unknown description>
+MNPSMKQKQEEIKENIKNSSVPRRTLKMIQPSASGSLVGRENELSAGLSKRKHRNDHLTS
+TTSSPGVIVPESSENKNLGGVTQESFDLMIKENPSSQYWKEVAEKRRKALYEALKENEKL
+HKEIEQKDNEIARLKKENKELAEVAEHVQYMAELIERLNGEPLDNFESLDNQEFDSEEET
+VED
+>P55040_PF00071_77 <unknown description>
+RVVLIGEQGVGKSTLANIFAGVHDSMDSDCEVLGEDTYERTLMVDGESATIILLDMWENK
+GENEWLHDHCMQVGDAYLIVYSITDRASFEKASELRIQLRRARQTEDIPIILVGNKSDLV
+RCREVSVSEGRACAVVFDCKFIETSAAVQHNVKELFEGIVRQV
+>Q17RS7_PF00752_1 <unknown description>
+MGVNDLWQILEPVKQHIPLRNLGGKTIAVDLSLWVCEAQTVKKMMGSVMKPHLRNLFFRI
+SYLTQMDVKLVFVMEGEPPKLKADVISKRNQSR
+>Q17RS7_PF00867_125 <unknown description>
+GIPWVQAAGEAEAMCAYLNAGGHVDGCLTNDGDTFLYGAQTVYRNFTMNTKDPHVDCYTM
+SSIKSKLGLDRDALVGLAILLGCD
+>Q17RS7_PF18704_398 <unknown description>
+QPIRIVKTRIRNGVHCFEIEWEKPEHYAMEDKQHGEFALLTIEEESLFEAAYPEIVAVYQ
+K
+>Q9NQX3_PF00994_18 <unknown description>
+GVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVPDEIEEIKETLIDWCDEK
+ELNLILTTGGTGFAPRDVTPEATKEVIEREAPGMALAMLMGSLNVTPLGMLSRPVCGIRG
+KTLIINLPGSKKGSQECFQFILPALPH
+>Q9NQX3_PF03453_358 <unknown description>
+SMDKAFITVLEMTPVLGTEIINYRDGMGRVLAQDVYAKDNLPPFPASVKDGYAVRAADGP
+GDRFIIGESQAGEQPTQTVMPGQVMRVTTGAPIPCGADAVVQVEDTELIRESDDGTEELE
+VRILVQARPGQDIRPIGHDIKRGECVLAKGTHMGPSEIGLLATVG
+>Q9NQX3_PF00994_535 <unknown description>
+AVMSTGNELLNPEDDLLPGKIRDSNRSTLLATIQEHGYPTINLGIVGDNPDDLLNALNEG
+ISRADVIITSGGVSMGEKDYLKQVLDIDLHAQIHFGRVFMKPGLPTTFATLDIDGVRKII
+FALPGNPVSAVVTCNLFVVPALRK
+>Q9NQX3_PF03454_691 <unknown description>
+KARLSCDVKLDPRPEYHRCILTWHHQEPLPWAQSTGNQMSSRLMSMRSANGLLMLPPKTE
+QYVELHKGEVVDVMV
+>O00258_PF04420_17 <unknown description>
+FVFGCNVLRILLPSFSSFMSRVLQKDAEQESQMRAEIQDMKQELSTVNMMDEFARYARLE
+RKINKMTDKLKTHVKARTAQLAKIKWVISVAFYVLQAALMISLIWKYYSVPVAVVPSKWI
+TPLDRLVAFPTRVAGGVGITCWILVCN
+>O43681_PF02374_38 <unknown description>
+KWIFVGGKGGVGKTTCSCSLAVQLSKGRESVLIISTDPAHNISDAFDQKFSKVPTKVKGY
+DNLFAMEIDPSLGVAELPDEFFEEDNMLSMGKKMMQEAMSAFPGIDEAMSYAEVMRLVKG
+MNFSVVVFDTAPTGHTLRLLNFPTIVERGLGRLMQIKNQISPFISQMCNMLGLGDMNADQ
+LASKLEETLPVIRSVSEQFKDPEQTTFICVCIAEFLSLYETERLIQELAKCKIDTHNIIV
+NQLVFPDPEKPCKMCEARHKIQAKYLDQMEDLYEDFHIVKLPLLPHEVRGADKVNTFSAL
+LL
+>Q7L5D6_PF04190_56 <unknown description>
+QSKHTEARELMYSGALLFFSHGQQNSAADLSMLVLESLEKAEVEVADELLENLAKVFSLM
+DPNSPERVTFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSADGEGC
+ANMLVEYSTSRGFRSEVDMFVAQAVLQFLCLKNKSSASVVFTTYTQKHPSIEDGPPFVEP
+LLNFIWFLLLAVDGGKLTVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSSYG
+GLLGNLLTSL
+>P14136_PF04732_7 <unknown description>
+TSAARRSYVSSGEMMVGGLAPGRRLGPGTRLSLARMPPPLPTRVDFSLAGALNAGFKETR
+>P14136_PF00038_68 <unknown description>
+SERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELRELRLRL
+DQLTANSARLEVERDNLAQDLATVRQKLQDETNLRLEAENNLAAYRQEADEATLARLDLE
+RKIESLEEEIRFLRKIHEEEVRELQEQLARQQVHVELDVAKPDLTAALKEIRTQYEAMAS
+SNMHEAEEWYRSKFADLTDAAARNAELLRQAKHEANDYRRQLQSLTCDLESLRGTNESLE
+RQMREQEERHVREAASYQEALARLEEEGQSLKDEMARHLQEYQDLLNVKLALDIEIATYR
+KLLEGEENR
+>Q5VTD9_PF00096_163 <unknown description>
+YHCVKCNKVFSTPHGLEVHVRRSH
+>Q5VTD9_PF00096_192 <unknown description>
+FACDICGKTFGHAVSLEQHTHVH
+>Q5VTD9_PF00096_220 <unknown description>
+FECRMCGKAFKRSSTLSTHLLIH
+>Q5VTD9_PF00096_276 <unknown description>
+HKCQVCGKAFSQSSNLITHSRKH
+>Q5VTD9_PF00096_304 <unknown description>
+FSCELCTKGFQRKVDLRRHRESQH
+>Q99684_PF00096_255 <unknown description>
+YKCIKCSKVFSTPHGLEVHVRRSH
+>Q99684_PF00096_284 <unknown description>
+FACEMCGKTFGHAVSLEQHKAVH
+>Q99684_PF00096_312 <unknown description>
+FDCKICGKSFKRSSTLSTHLLIH
+>Q99684_PF00096_340 <unknown description>
+YPCQYCGKRFHQKSDMKKHTFIH
+>Q99684_PF00096_368 <unknown description>
+HKCQVCGKAFSQSSNLITHSRKH
+>Q99684_PF00096_398 <unknown description>
+CDLCGKGFQRKVDLRRHRETQH
+>Q9NXC2_PF01408_5 <unknown description>
+VGVFGTSLTARVIIPLLKDEGFAVKALWGRTQEEAEELAKEMSVPFYTSRIDEVLLHQDV
+DLVCINLPPPLTRQIAVKTLGIGKNVICDRTATPLDAFRMTSAAHY
+>Q9NXC2_PF02894_132 <unknown description>
+KQLIEEGYVGEPLVCEVQVHGGSLLGKKYNWSCDDLMGGGGLHSVGTYIIDLLTFLTGQK
+AVKVHGLLKTFVKQTDHIKGIRQITSDDFCTFQMVLEGGVCCTVTLNFNVPGEFKQDVTV
+VGSAGRLLAVGTDLYGQRNSAPEQELLVQDATPVSNSLLPEKAFSDIPSPYLRGTIKMMQ
+AVRQAFQDQDDRRTWDGRPLTMAATFDDCLYALCVVDTIKRSSQTGEW
+>Q3B7J2_PF01408_7 <unknown description>
+VGVFGTGSSARVLVPLLRAEGFTVEALWGKTEEEAKQLAEEMNIAFYTSRTDDILLHQDV
+DLVCISIPPPLTRQISVKALGIGKNVVCEKAATSVDAFRMVTA
+>Q3B7J2_PF02894_134 <unknown description>
+KQLISEHYVGAVMICDARIYSGSLLSPSYGWICDELMGGGGLHTMGTYIVDLLTHLTGRR
+AEKVHGLLKTFVRQNAAIRGIRHVTSDDFCFFQMLMGGGVCSTVTLNFNMPGAFVHEVMV
+VGSAGRLVARGADLYGQK
+>Q06210_PF13522_86 <unknown description>
+DVHLGIAHTRWATHGEPSPVNSHPQRSDKNNEFIVIHNGIITNYKDLKKFLESKGYDFES
+ETDTETIAKLVKYMYDNRESQDTSFTTLVERVIQQLEGAFALVF
+>Q06210_PF01380_381 <unknown description>
+IQRCRRLILIACGTSYHAGVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFFLSQ
+SGETADTLMGLRYCKERGALTVGITNTVGSSISRETDCGVHINAGPEIGVASTKAYTSQF
+VSLVMFALM
+>Q06210_PF01380_551 <unknown description>
+ELYHQKSVLIMGRGYHYATCLEGALKIKEITYMHSEGILAGELKHGPLALVDKLMPVIMI
+IMRDHTYAKCQNALQQVVARQGRPVVICDKEDTETIKNTKRTIKVPHSVDCLQGILSVIP
+LQLLAFHLAVL
+>O94808_PF13522_82 <unknown description>
+FETHFGIAHTRWATHGVPSAVNSHPQRSDKGNEFVVIHNGIITNYKDLRKFLESKGYEFE
+SETDTETIAKLIKYVFDNRETEDITFSTLVERVIQQLEGAFALVFK
+>O94808_PF01380_364 <unknown description>
+IRRCRRLIVIGCGTSYHAAVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFFISQ
+SGETADTLLALRYCKDRGALTVGVTNTVGSSISRETDCGVHINAGPEIGVASTKAYTSQF
+ISLVMFG
+>O94808_PF01380_534 <unknown description>
+ELYTQRSLLVMGRGYNYATCLEGALKIKEITYMHSEGILAGELKHGPLALIDKQMPVIMV
+IMKDPCFAKCQNALQQVTARQGRPIILCSKDDTESSKFAYKTIELPHTVDCLQGILSVIP
+LQLLSFHLAVL
+>P56159_PF02351_29 <unknown description>
+CVKASDQCLKEQSCSTKYRTLRQCVAGKETNFSLASGLEAKDECRSAMEALKQKSLYNCR
+CKRGMKKEKNCLRIYWSMYQ
+>P56159_PF02351_154 <unknown description>
+CLDAAKACNLDDICKKYRSAYITPCTTSVSNDVCNRRKCHKALRQFFDKVPAKHSYGMLF
+CSCRDIACTERRRQTIVPVC
+>P56159_PF02351_243 <unknown description>
+CLNLQDSCKTNYICRSRLADFFTNCQPESRSVSSCLKENYADCLLAYSGLIGTVMTPNYI
+DSSSLSVAPWCDCSNSGNDLEECLKFLNFFKDNTC
+>O00451_PF02351_40 <unknown description>
+CVRANELCAAESNCSSRYRTLRQCLAGRDRNTMLANKECQAALEVLQESPLYDCRCKRGM
+KKELQCLQIYWSIH
+>O00451_PF02351_161 <unknown description>
+CLDAAKACNLNDNCKKLRSSYISICNREISPTERCNRRKCHKALRQFFDRVPSEYTYRML
+FCSCQDQACAERRRQTILPSC
+>O00451_PF02351_251 <unknown description>
+CLDLRGVCRTDHLCRSRLADFHANCRASYQTVTSCPADNYQACLGSYAGMIGFDMTPNYV
+DSSPTGIVVSPWCSCRGSGNMEEECEKFLRDFTENPC
+>O60609_PF02351_44 <unknown description>
+CLQARRKCQADPTCSAAYHHLDSCTSSISTPLPSEEPSVPADCLEAAQQLRNSSLIGCMC
+HRRMKNQVACLDIYWTVHRA
+>O60609_PF02351_162 <unknown description>
+CLKFAMLCTLNDKCDRLRKAYGEACSGPHCQRHVCLRQLLTFFEKAAEPHAQGLLLCPCA
+PNDRGCGERRRNTIAPNC
+>O60609_PF02351_248 <unknown description>
+CLELRRLCFSDPLCRSRLVDFQTHCHPMDILGTCATEQSRCLRAYLGLIGTAMTPNFVSN
+VNTSVALSCTCRGSGNLQEECEMLEGFFSHNPC
+>Q9GZZ7_PF02351_26 <unknown description>
+CVDAAEACTADARCQRLRSEYVAQCLGRAAQGGCPRARCRRALRRFFARGPPALTHALLF
+CPCAGPACAERRRQTFVPSC
+>Q9GZZ7_PF02351_117 <unknown description>
+CLEPLNFCERSRVCRPRLLAFQVSCTPAPSAPDGCLLDQGARCLRAYAGLVGTAVTPNYV
+DNVSARVAPWCDCGASGNRREDCEAFRGLFTRNRC
+>Q6UXV0_PF02351_131 <unknown description>
+CLEVAEACVGDVVCNAQLASYLKACSANGNPCDLKQCQAAIRFFYQNIPFNIAQMLAFCD
+CAQSDIPCQQSKEALHSKTC
+>Q6UXV0_PF02351_220 <unknown description>
+CLSVIRSCQNDELCRRHYRTFQSKCWQRVTRKCHEDENCISTLSKQDLTCSGSDDCKAAY
+IDILGTVLQVQCTCRTITQSEESLCKIFQHMLHRKSC
+>P30047_PF06399_2 <unknown description>
+PYLLISTQIRMEVGPTMVGDEQSDPELMQHLGASKRRALGNNFYEYYVDDPPRIVLDKLE
+RRGFRVLSMTGVGQTLVWCLHKE
+>A1L429_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQCQDPAAAQEGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>P0CL80_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>P0CL81_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>A6NDE8_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQCQDPAAAQKGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>P0CL82_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>A6NER3_PF05831_1 <unknown description>
+MSWRGRSTYYWPRPRPYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGE
+DEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEG
+>A6NEF3_PF15070_83 <unknown description>
+TLEDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKN
+QTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLR
+EQEERLREQEERLREQE
+>A0A1B0GV03_PF19046_576 <unknown description>
+SHDNRTAQIMQLPPGMKNAQERPGLGSTSCIPFFYGGDKKK
+>A6NEM1_PF15070_83 <unknown description>
+TLQDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKN
+QTAEPLAPQPPAGPSKMEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLR
+EQEERLREQEERLCEQ
+>A6NI86_PF15070_83 <unknown description>
+TLQDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKN
+QTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLR
+EQEERLHEQEERLHEQEE
+>Q9UJY5_PF00790_8 <unknown description>
+ETLEARINRATNPLNKELDWASINGFCEQLNEDFEGPPLATRLLAHKIQSPQEWEAIQAL
+TVLETCMKSCGKRFHDEVGKFRFLNELIKVVSPKYLGSRTSEKVKNKILELLYSWTVGLP
+EEVKIAEAYQMLKKQG
+>Q9UJY5_PF18308_169 <unknown description>
+FEDEEKSKMLARLLKSSHPEDLRAANKLIKEMVQEDQKR
+>Q9UJY5_PF03127_222 <unknown description>
+NNNVKLLTEMVMSHSQGGAAAGSSEDLMKELYQRCERMRPTLFRLASDTEDNDEALAEIL
+QANDNLTQVINLYKQLVRG
+>Q9UJY5_PF02883_514 <unknown description>
+PVTVYDQHGFRILFHFARDPLPGRSDVLVVVVSMLSTAPQPIRNIVFQSAVPKVMKVKLQ
+PPSGTELPAFNPIVHPSAITQVLLLANPQKEKVRLRYKLTFTMGDQTYNEMGDVDQFP
+>Q9UJY4_PF00790_22 <unknown description>
+PAASLELWLNKATDPSMSEQDWSAIQNFCEQVNTDPNGPTHAPWLLAHKIQSPQEKEALY
+ALTVLEMCMNHCGEKFHSEVAKFRFLNELIKVLSPKYLGSWATGKVKGRVIEILFSWTVW
+FPEDIKIRDAYQMLKKQG
+>Q9UJY4_PF18308_188 <unknown description>
+DEEKSKLLTRLLKSNHPEDLQAANRLIKNLVKEEQEK
+>Q9UJY4_PF03127_239 <unknown description>
+RSHVKVLQEMLSMYRRPGQAPPDQEALQVVYERCEKLRPTLFRLASDTTDDDDALAEILQ
+ANDLLTQGVLLYKQVMEG
+>Q9UJY4_PF02883_487 <unknown description>
+PPLIVYDRNGFRILLHFSQTGAPGHPEVQVLLLTMMSTAPQPVWDIMFQVAVPKSMRVKL
+QPASSSKLPAFSPLMPPAVISQMLLLDNPHKEPIRLRYKLTFNQGGQPFSEVGEVKDFP
+>Q9NZ52_PF00790_5 <unknown description>
+EGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEWEALQ
+ALTVLEACMKNCGRRFHNEVGKFRFLNELIKVVSPKYLGDRVSEKVKTKVIELLYSWTMA
+LPEEAKIKDAYHMLKRQG
+>Q9NZ52_PF18308_169 <unknown description>
+FDDEEKSKLLAKLLKSKNPDDLQEANKLIKSMVKEDEAR
+>Q9NZ52_PF03127_222 <unknown description>
+NNNVRLLSEMLLHYSQEDSSDGDRELMKELFDQCENKRRTLFKLASETEDNDNSLGDILQ
+ASDNLSRVINSYKTIIEG
+>Q9NZ52_PF02883_598 <unknown description>
+PVTAYDKNGFRILFHFAKECPPGRPDVLVVVVSMLNTAPLPVKSIVLQAAVPKSMKVKLQ
+PPSGTELSPFSPIQPPAAITQVMLLANPLKEKVRLRYKLTFALGEQLSTEVGEVDQFP
+>Q9BVM4_PF06094_4 <unknown description>
+VFVYGTLKRGQPNHRVLRDGAHGSAAFRARGRTLEPYPLVIAGEHNIPWLLHLPGSGRLV
+EGEVYAVDERMLRFLDDFESCPALYQRTVLRVQLLEDRAPGAEEPPAPTAVQCFVYSRAT
+>O75223_PF13772_78 <unknown description>
+EVWGVVWKMNKSNLNSLDEQEGVKSGMYVVIEVKVATQEGKEITCRSYLMTNYESAPPSP
+QYKKIICMGAKENGLPLEYQEKL
+>Q5JRK9_PF05831_1 <unknown description>
+MSEHVRTRSQSSERGNDQESSQPVGSVIVQEPTEEKRQEEEPPTDNQGIAPSGEIENEGA
+PAVQGPDMEAFQQELALLKIEDEPGDGPDVREGIMPTFDLTKVLEAG
+>Q92820_PF07722_34 <unknown description>
+PIIGILMQKCRNKVMKNYGRYYIAASYVKYLESAGARVVPVRLDLTEKDYEILFKSINGI
+LFPGGSVDLRRSDYAKVAKIFYNLSIQSFDDGDYFPVWGTCLGFEELSLLISGECLLTAT
+DTVDVAMPLNFTGGQLHSRMFQNFPTELLLSLAVEPLTANFHKWSLSVKNFTMNEKLKKF
+FNVLTTNTDGKIEFISTMEGYKYPVYGVQWHPE
+>Q86UU5_PF15685_2 <unknown description>
+GNLQSEPSAGGGSRKVQPSDRAPDSRRTSLVEPEMTSQAMRLTRGLGVWFPGSATPPGLM
+VPREPQASPSTLPLTLERPSPVMPPPEEAAAVSAPPPAPAGTLLPGPSKWQKPAGTPVPR
+IRRLLEASHRGQGDPPSLRPLKPPPPPRQLSVKDTVPRAPSQFPPPLETWKPPPPLPSER
+QPADRRITPALATPASPPTESQAGPRNQGQTAGRARGGAPPHAGEGEMAQPADSESGLSL
+LCKITFKSRPSLAPPAASSSLAAKASLGGGGGGGLFAASGAISYAEVLKQGPLPPGAARP
+LGEVSRGAQEAEGGDGDGEGCSGPPSAPASQARALPPPPYTTFPGSKPKFDWVSAPDGPE
+RHFRFNGAGGGIGAPRRRAAALSGPWGSPPPPPEQIHSAPGPRRPAPALLAPPTFIFPAP
+TNGEPMRPGPPGLQELPPLPPPTPPPTLQPPALQPTPLPVAPPLTPGLGHKESALAPTAA
+PALPPALAADQAPAPSPAPAPTVAEPSPPVSAPAPAAAPIKTRTRRNKGSRAARGATRKD
+GLHGDGPRERATATVPDSSGGGGGGSGASQTGAANTRAARHWLPFQVLNSCPCKCYCHHQ
+PRHRRLPRNVSAWLSTSTNHLGEPPWVATIKLSGSLVAKLEHYDLQATHSN
+>O95749_PF00348_10 <unknown description>
+RILLEPYKYLLQLPGKQVRTKLSQAFNHWLKVPEDKLQIIIEVTEMLHNASLLIDDIEDN
+SKLRRGFPVAHSIYGIPSVINSANYVYFLGLEKVLTLDHPDAVKLFTRQLLELHQGQGLD
+IYWRDNYTCPTEEEYKAMVLQKTGGLFGLAVGLMQLFSDYKEDLKPLLNTLGLFFQIRDD
+YANLHSKEYSENKSFCEDLTEGKFSFPTIHAIWSRPESTQVQNILRQRTENIDIKKYCVH
+Y
+>P19440_PF01019_56 <unknown description>
+DALRDGGSAVDAAIAALLCVGLMNAHSMGIGGGLFLTIYNSTTRKAEVINAREVAPRLAF
+ATMFNSSEQSQKGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARQGFPVGKGLA
+AALENKRTVIEQQPVLCEVFCRDRKVLREGERLTLPQLADTYETLAIEGAQAFYNGSLTA
+QIVKDIQAAGGIVTAEDLNNYRAELIEHPLNISLGDVVLYMPSAPLSGPVLALILNILKG
+YNFSRESVESPEQKGLTYHRIVEAFRFAYAKRTLLGDPKFVDVTEVVRNMTSEFFAAQLR
+AQISDDTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVRSPVSGI
+LFNNEMDDFSSPSITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQVRMVVGAAGGT
+QITTATALAIIYNLWFGYDVKRAVEEPRLHNQLLPNVTTVERNIDQAVTAALETRHHHTQ
+IASTFIAVVQAIVRTAGGWAAASDSRKGG
+>P36269_PF01019_59 <unknown description>
+AILQQQGSPVDATIAALVCTSVVNPQSMGLGGGVIFTIYNVTTGKVEVINARETVPASHA
+PSLLDQCAQALPLGTGAQWIGVPGELRGYAEAHRRHGRLPWAQLFQPTIALLRGGHVVAP
+VLSRFLHNSILRPSLQASTLRQLFFNGTEPLRPQDPLPWPALATTLETVATEGVEVFYTG
+RLGQMLVEDIAKEGSQLTLQDLAKFQPEVVDALEVPLGDYTLYSPPPPAGGAILSFILNV
+LRGFNFSTESMARPEGRVNVYHHLVETLKFAKGQRWRLGDPRSHPKLQNASRDLLGETLA
+QLIRQQIDGRGDHQLSHYSLAEAWGHGTGTSHVSVLGEDGSAVAATSTINTPFGAMVYSP
+RTGIILNNELLDLCERCPRGSGTTPSPVSGDRVGGAPGRCWPPVPGERSPSSMVPSILIN
+KAQGSKLVIGGAGGELIISAVAQAIMSKLWLGFDLRAAIAAPILHVNSKGCVEYEPNFSQ
+EVQRGLQDRGQNQTQRPFFLNVVQAVSQEGACVYAVSDLRKSG
+>Q9UJ14_PF01019_155 <unknown description>
+EVLSKQGSSVDAAVAAALCLGIVAPHSSGLGGGGVMLVHDIRRNESHLIDFRESAPGALR
+EETLQRSWETKPGLLVGVPGMVKGLHEAHQLYGRLPWSQVLAFAAAVAQDGFNVTHDLAR
+ALAEQLPPNMSERFRETFLPSGRPPLPGSLLHRPDLAEVLDVLGTSGPAAFYAGGNLTLE
+MVAEAQHAGGVITEEDFSNYSALVEKPVCGVYRGHLVLSPPPPHTGPALISALNILEGFN
+LTSLVSREQALHWVAETLKIALALASRLGDPVYDSTITESMDDMLSKVEAAYLRGHINDS
+QAAPAPLLPVYELDGAPTAAQVLIMGPDDFIVAMVSSLNQPFGSGLITPSGILLNSQMLD
+FSWPNRTANHSAPSLENSVQPGKRPLSFLLPTVVRPAEGLCGTYLALGANGAARGLSGLT
+QVLLNVLTLNRNLSDSLARGRLHPDLQSNLLQVDSEFTEEEIEFLEARGHHVEKVDVLSW
+VHGSRRTNNFIIAVKDPRS
+>Q9BX51_PF01019_1 <unknown description>
+MTSEFFSAQLRAQISDDTTHPISYYKPEFYMPDDGGTAHLSVVAEDGSAVSATSTINLYF
+GSKVRSPVSGILLNNEMDDFSSTSITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQ
+VRMVVGAAGGTQITMATALAIIYNLWFGYDVKWAVEEPRLHNQLLPNVTTVERNIDQEVT
+AALETRHHHTQITSTFIAVVQAIVRMAGGWAAASDSRKGG
+>Q14390_PF01019_1 <unknown description>
+MTSEFFAAQLRAQISDDTTHPISYYKPEFYTPVDGGTAHLSVVAEDGSAVSATSTINLYF
+GSKVRSPVSEILFNDEMDDFSSPNITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQ
+PPSHADHTPMPQAIIYNLWFGYDVKRAVEEPRLHNQLLPNVTTVERNIDQAVTAALETRH
+HHTQIASTFIAVVQAIVRTAGGWAAASDSRKGG
+>B5MD39_PF01019_1 <unknown description>
+MTSEFFAAQLRSQISDHTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYF
+GSKVCSPVSGILFNNEWTTSALPAFTNEFGAPPSPANFIQPGKQPLLSMCPTIMVGQDGQ
+VRMVVGAAGGTQITTDTALAIIYNLWFGYDVKRAVEEPRLHNKLLPNVTTVERNIDQAVT
+AALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGG
+>O75420_PF02213_477 <unknown description>
+WFYKDPQGEIQGPFTTQEMAEWFQAGYFSMSLLVKRGCDEGFQPL
+>Q6Y7W6_PF02213_536 <unknown description>
+WYYKDPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPL
+>Q9H936_PF00153_5 <unknown description>
+QISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRVYTSMSDCLIKTVRSEGYFG
+MYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKD
+>Q9H936_PF00153_100 <unknown description>
+KLTLLKEMLAGCGAGTCQVIVTTPMEMLKIQLQDAGRIAAQRKILAAQGQLSAQGGAQPS
+VEAPAAPRPTATQLTRDLLRSRGIAGLYKGLGATLLRDVPFSVVYFPLFANLN
+>Q9H936_PF00153_223 <unknown description>
+SPFYVSFLAGCVAGSAAAVAVNPCDVVKTRLQSLQRGVNEDTYSGILDCARKILRHEGPS
+AFLKGAYCRALVIAPLFGIAQVVY
+>Q9H1K4_PF00153_5 <unknown description>
+DLSITAKLINGGVAGLVGVTCVFPIDLAKTRLQNQHGKAMYKGMIDCLMKTARAEGFFGM
+YRGAAVNLTLVTPEKAIKLAANDFFRRLLME
+>Q9H1K4_PF00153_105 <unknown description>
+EMLAGCGAGMCQVVVTCPMEMLKIQLQDAGRLAVHHQGSASAPSTSRSYTTGSASTHRRP
+SATLIAWELLRTQGLAGLYRGLGATLLRDIPFSIIYFPLFANLNNL
+>Q9H1K4_PF00153_219 <unknown description>
+ASFAHSFVSGCVAGSIAAVAVTPLDVLKTRIQTLKKGLGEDMYSGITDCARKLWIQEGPS
+AFMKGAGCRALVIAPLFGIAQGVY
+>Q8N2G8_PF03321_232 <unknown description>
+PLRERAAELREALEQGPRGLALRLWPKLQVVVTLDAGGQAEAVAALGALWCQGLAFFSPA
+YAASGGVLGLNLQPEQPHGLYLLPPGAPFIELLPVKEGTQEEAASTLLLAEAQQGKEYEL
+VLTDRASLTRCRLGDVVRVVGAYNQCPVVRFICRLDQTLSVRGEDIGEDLFSEALGRAVG
+QWAGAKLLDHGCVESSILDSSAGSAPHYEVFVALRGLRNLSEENRDKLDHCLQEASPRYK
+SLRFWGSVGPARVHLVGQGAFRALRAA
+>Q9H3K2_PF01027_122 <unknown description>
+DRIHSTYMYLAGSIGLTALSAIAISRTPVLMNFMMRGSWVTIGVTFAAMVGAGMLVRSIP
+YDQSPGPKHLAWLLHSGVMGAVVAPLTILGGPLLIRAAWYTAGIVGGLSTVAMCAPSEKF
+LNMGAPLGVGLGLVFVSSLGSMFLPPTTVAGATLYSVAMYGGLVLFSMFLLYDTQKVIKR
+AEVSPMYGVQKYDPINSMLSIYMDTLNIFMRVATM
+>Q02643_PF02793_53 <unknown description>
+LGCPATWDGLLCWPTAGSGEWVTLPCPDFFSHFSSESGAVKRDCTITGWSEPFPPYPVAC
+>Q02643_PF00002_127 <unknown description>
+STVKIIYTVGHSISIVALFVAITILVALRRLHCPRNYVHTQLFTTFILKAGAVFLKDAAL
+FHSDDTDHCSFSTVLCKVSVAASHFATMTNFSWLLAEAVYLNCLLASTSPSSRRAFWWLV
+LAGWGLPVLFTGTWVSCKLAFEDIACWDLDDTSPYWWIIKGPIVLSVGVNFGLFLNIIRI
+LVRKLEPAQGSLHTQSQYWRLSKSTLFLIPLFGIHYIIFNFLPDNAGLGIRLPLELGLGS
+FQGFIV
+>Q9UBU3_PF04644_24 <unknown description>
+GSSFLSPEHQRVQQRKESKKPPAKLQPR
+>Q9UBU3_PF04643_58 <unknown description>
+RPEDGGQAEGAEDELEVRFNAPFDVGIKLSGVQYQQHSQALGKFLQDILWEEAKEAPA
+>P10912_PF09067_47 <unknown description>
+SSKEPKFTKCRSPERETFSCHWTDEVHHGTKNLGPIQLFYTRRNTQEWTQEWKECPDYVS
+AGENSCYFNSSFTSIWIPYCIKLTSNGG
+>P10912_PF00041_170 <unknown description>
+DIQVRWEAPRNADIQKGWMVLEYELQYKEVNETKWKMMDPILTTSVPVYSLKVDKEYEVR
+VRSKQRNS
+>P10912_PF12772_316 <unknown description>
+EGKLEEVNTILAIHDSYKPEFHSDDSWVEFIELDIDEPDEKTEESDTDRLLSSDHEKSHS
+NLGVKDGDSGRTSCCEPDILETDFNANDIHEGTSEVAQPQRLKGEADLLCLDQKNQNNSP
+YHDACPATQQPSVIQAEKNKPQPLPTEGAESTHQAAHIQLSNPSSLSNIDFYAQVSDITP
+AGSVVLSPGQKNKAGMSQCDMHPEMVSLCQENFLMDNAYFCEADAKKCIPVAPHIKVESH
+IQPSLNQEDIYITTESLTTAAGRPGTGEHVPGSEMPVPDYTSIHIVQSPQGLILNATALP
+LP
+>Q92847_PF00001_60 <unknown description>
+GNLLTMLVVSRFRELRTTTNLYLSSMAFSDLLIFLCMPLDLVRLWQYRPWNFGDLLCKLF
+QFVSESCTYATVLTITALSVERYFAICFPLRAKVVVTKGRVKLVIFVIWAVAFCSAGPIF
+VLVGVEHENGTDPWDTNECRPTEFAVRSGLLTVMVWVSSIFFFLPVFCLTVLYSLIGRKL
+WRRRRGDAVVGASLRDQNHKQTVKMLAVVVFAFILCWLPFHVGRYLFSKSFEPGSLEIAQ
+ISQYCNLVSFVLFYLSAAINPILY
+>Q8IVV7_PF09783_122 <unknown description>
+LYSGSKFRGHQKSKGNSYDVEVVLQHVDTGNSYLCGYLKIKGLTEEYPTLTTFFEGEIIS
+KKHPFLTRKWDADEDVDRKHWGKFLAFYQYAKSFNSDDFDYEELKNGDYVFMRWKEQFLV
+PDHTIKDISGASFAGFYYICFQKSAASIEGYYYHRSSEWYQSLNLTHV
+>Q9NWU2_PF08513_28 <unknown description>
+DMNRLIMNYLVTEGFKEAAEKFRMES
+>Q9NWU2_PF10607_65 <unknown description>
+DERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEAALEF
+AQTQLAEQGEESRECLTEMERTLALLAFDSPEESPFGDLLHTMQRQKVWSEVNQAVLDYE
+NRESTPKLAKLLKLLLWAQNEL
+>P13284_PF03227_63 <unknown description>
+NVTLYYEALCGGCRAFLIRELFPTWLLVMEILNVTLVPYGNAQEQNVSGRWEFKCQHGEE
+ECKFNKVEACVLDELDMELAFLTIVCMEEFEDMERSLPLCLQ
+>Q8WWP7_PF04548_29 <unknown description>
+RLILVGRTGAGKSATGNSILGQRRFFSRLGATSVTRACTTGSRRWDKCHVEVVDTPDIFS
+SQVSKTDPGCEERGHCYLLSAPGPHALLLVTQLGRFTAQDQQAVRQVRDMFGEDVLKWMV
+IVFTRKEDLAGGSLHDYVSNTENRALRELVAECGGRVCAFDNRATGREQEAQVEQLLGMV
+EGLVLEHKGAHYSNEVYELAQV
+>Q9UG22_PF04548_23 <unknown description>
+LRIILVGKTGTGKSAAGNSILRKQAFESKLGSQTLTKTCSKSQGSWGNREIVIIDTPDMF
+SWKDHCEALYKEVQRCYLLSAPGPHVLLLVTQLGRYTSQDQQAAQRVKEIFGEDAMGHTI
+VLFTHKEDLNGGSLMDYMHDSDNKALSKLVAACGGRICAFNNRAEGSNQDDQVKELMDCI
+EDLLMEKNGDHYTNGLYSLIQRSKC
+>Q9NUV9_PF04548_31 <unknown description>
+LRIVLVGKTGAGKSATGNSILGRKVFHSGTAAKSITKKCEKRSSSWKETELVVVDTPGIF
+DTEVPNAETSKEIIRCILLTSPGPHALLLVVPLGRYTEEEHKATEKILKMFGERARSFMI
+LIFTRKDDLGDTNLHDYLREAPEDIQDLMDIFGDRYCALNNKATGAEQEAQRAQLLGLIQ
+RVVRENKEGCYTNRMYQRAEEEIQKQTQ
+>Q96F15_PF04548_28 <unknown description>
+LRIILVGKTGCGKSATGNSILGQPVFESKLRAQSVTRTCQVKTGTWNGRKVLVVDTPSIF
+ESQADTQELYKNIGDCYLLSAPGPHVLLLVIQLGRFTAQDTVAIRKVKEVFGTGAMRHVV
+ILFTHKEDLGGQALDDYVANTDNCSLKDLVRECERRYCAFNNWGSVEEQRQQQAELLAVI
+ERLGREREGSFHSNDLFLDAQLL
+>Q6P9H5_PF04548_41 <unknown description>
+LRLILMGKTGSGKSATGNSILGRDVFESKLSTRPVTKTSQRRSREWAGKELEVIDTPNIL
+SPQVSPEVADAICQAIVLSAPGPHAVLLVTQLGRFTDEDQQVVRRLQEVFGVGVLGHTIL
+VFTRKEDLAGGSLEDYVRETNNQALAWLDVTLARRHCGFNNRAQGEEQEAQLRELMEKVE
+AIMWENEGDYYSNKAYQYTQQNFR
+>Q8NHV1_PF04548_9 <unknown description>
+LRIVLVGKTGSGKSATANTILGEEIFDSRIAAQAVTKNCQKASREWQGRDLLVVDTPGLF
+DTKESLDTTCKEISRCIISSCPGPHAIVLVLLLGRYTEEEQKTVALIKAVFGKSAMKHMV
+ILFTRKEELEGQSFHDFIADADVGLKSIVKECGNRCCAFSNSKKTSKAEKESQVQELVEL
+IEKMVQCNEGAYFSDDIYKDTEERLKQ
+>Q8ND71_PF04548_11 <unknown description>
+LRLLLLGKCRSGKSATGNAILGKHVFKSKFSDQTVIKMCQRESWVLRERKVVVIDTPDLF
+SSIACAEDKQRNIQHCLELSAPSLHALLLVIAIGHFTREDEETAKGIQQVFGAEARRHII
+IVFTRKDDLGDDLLQDFIEKNKPLKQLVQDYEGRYCIFNNKTNSKDEQITQVLELLRKVE
+SLVNTNGGPYHVNFK
+>Q8ND71_PF04548_248 <unknown description>
+LTVLLVGKRGAGKSAAGNSILGRQAFQTGFSEQSVTQSFLSESRSWRKKKVSIIDAPDIS
+SLKNIDSEVRKHICTGPHAFLLVTPLGFYTKNDEAVLSTIQNNFGEKFFEYMIILLTRKE
+DLGDQDLDTFLRNSNKALYGLIQKCKNRYSAFNYRATGEEEQRQADELLEKIESMVHQNG
+NKH
+>Q8ND71_PF04548_439 <unknown description>
+LNIVLVGRSGTGKSATGNSILGSLVFTSRLRAQPVTKTSQSGRRTWDGQEVVVVDTPSFN
+QMLDVEKDPSRLEEEVKRCLSCCEKGDTFFVLVFQLGRFTEEDKTAVAKLEAIFGADFTK
+YAIMLFTRKEDLGAGNLEDFMKNSDNKALRRIFKKCGRRVCAFNNKETGQAQETQVKALL
+TKVNDLRKESGWSGYPHTQENVSKLIKNVQEMSQAE
+>P0DJR0_PF04548_10 <unknown description>
+NLALFGMTQSGKSSAGNILLGSTDFHSSFAPCSVTTCCSLGRSCHLHSFMRRGGLEVALQ
+VQVLDTPGYPHSRLSKKYVKQEVKEALAHHFGQGGLHLALLVQRADVPFCGQEVTDPVQM
+IQELLGHAWMNYTAILFTHAEKIEEAGLTEDKYLHEASDTLKTLLNSIQHKYVFQYKKGK
+SLNEQRMKILERIMEFIKENC
+>Q9NXP7_PF17921_66 <unknown description>
+SEEEKKKVLRECHENDSGAHHGISRTLTLVESNYYWTSVTNDVKQWVYACQHCQVAKN
+>O14908_PF00595_134 <unknown description>
+VEVFKSEDALGLTITDNGAGYAFIKRIKEGSVIDHIHLISVGDMIEAINGQSLLGCRHYE
+VARLLKELPR
+>Q8TF65_PF00595_118 <unknown description>
+VNVYKSEDSLGLTITDNGVGYAFIKRIKDGGVIDSVKTICVGDHIESINGENIVGWRHYD
+VAKKLKELKK
+>Q8TF64_PF00595_114 <unknown description>
+EVTKTEDALGLTITDNGAGYAFIKRIKEGSIINRIEAVCVGDSIEAINDHSIVGCRHYEV
+AKMLRELPK
+>P48546_PF02793_59 <unknown description>
+LACNGSFDMYVCWDYAAPNATARASCPWYLPWHHHVAAGFVLRQCGSDGQWGLWRDHTQC
+EN
+>P48546_PF00002_135 <unknown description>
+ERLQVMYTVGYSLSLATLLLALLILSLFRRLHCTRNYIHINLFTSFMLRAAAILSRDRLL
+PRPGPYLGDQALALWNQALAACRTAQIVTQYCVGANYTWLLVEGVYLHSLLVLVGGSEEG
+HFRYYLLLGWGAPALFVIPWVIVRYLYENTQCWERNEVKAIWWIIRTPILMTILINFLIF
+IRILGILLSKLRTRQMRCRDYRLRLARSTLTLVPLLGVHEVVFAPVTEEQARGALRFAKL
+GFEIFLSSFQGFLV
+>P09681_PF00123_52 <unknown description>
+YAEGTFISDYSIAMDKIHQQDFVNWLLA
+>Q9Y2X7_PF01412_5 <unknown description>
+GPRAEVCADCSAPDPGWASISRGVLVCDECCSVHRSLGRHISIVKHLRHSAWPPTLLQMV
+HTLASNGANSIWEHSLLDPAQVQSGRRKANPQDKVHPIKSEFIRAKYQMLAFVH
+>Q9Y2X7_PF12796_137 <unknown description>
+LHSSVRTGNLETCLRLLSLGAQANFFHPEKGTTPLHVAAKAGQTLQAELLVVYGADPGSP
+DVNGRTPIDYARQAGHHELAERLVECQYEL
+>Q9Y2X7_PF08518_264 <unknown description>
+AKKKLQALSNRLFEELAMDVYDEVDRREN
+>Q9Y2X7_PF08518_328 <unknown description>
+GRQKLARFNAREFATLIIDILSEAKRRQQ
+>Q9Y2X7_PF16559_409 <unknown description>
+RSMDSSDLSDGAVTLQEYLELKKALATSEAKVQQLMKVNSSLSDELRRLQREIHKLQAEN
+LQLRQ
+>Q9Y2X7_PF12205_643 <unknown description>
+EDVILKTEQVTKNIQELLRAAQEFKHDSFVPCSEKIHLAVTEMASLFPKRPALEPVRSSL
+RLLNASAYRLQSECRKTVPPEPGAPVDFQLLTQQVIQCAYDIAKAAKQLVTIT
+>Q14161_PF01412_6 <unknown description>
+RSSEVCADCSGPDPSWASVNRGTFLCDECCSVHRSLGRHISQVRHLKHTPWPPTLLQMVE
+TLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVH
+>Q14161_PF12796_137 <unknown description>
+LHSSVRTGNLETCLRLLSLGAQANFFHPEKGNTPLHVASKAGQILQAELLAVYGADPGTQ
+DSSGKTPVDYARQGGHHELAERLVEIQYE
+>Q14161_PF08518_266 <unknown description>
+AKKKLQSLSNHLFEELAMDVYDEVDRRET
+>Q14161_PF08518_330 <unknown description>
+GRQKLARFNAHEFATLVIDILSDAKRRQQ
+>Q14161_PF16559_414 <unknown description>
+KSLDSDLSDGPVTVQEFMEVKNALVASEAKIQQLMKVNNNLSDELRIMQKKLQTLQSENS
+NLRKQ
+>Q14161_PF12205_641 <unknown description>
+EDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHVAVTEMAALFPKKPKSDMVRTSL
+RLLTSSAYRLQSECKKTLPGDPGSPTDVQLVTQQVIQCAYDIAKAAKQLVTIT
+>Q9NS71_PF04089_56 <unknown description>
+GWDSWNSIWDYGNGFAATRLFQKKTCIVHKMNKEVMPSIQSLDALVKEKKLQGKGPGGPP
+PKGLMYSVNPNKVDDLSKFGKNIANMCRGIPTYM
+>Q86XP6_PF04089_56 <unknown description>
+GSCSSTTIFDYKHGYIASRVLSRRACFILKMDHQNIPPLNNLQWYIYEKQALDNMFSSKY
+TWVKYNPLESLIKDVDWFLLGSPIEKLCKHIPLYK
+>Q96MS3_PF00534_141 <unknown description>
+AAGVRLIGEMPQEDLHAVVKNCFAVVNSSVSEGMSAAILEAMDLEVPVLARNIPGNAAVV
+KHEVTGLLFSNPQEFVHLAKRLVSDPALEKEIVVNGRE
+>Q7Z4J2_PF03414_25 <unknown description>
+NHQVEELRLSDWFHPRKRPDVITKTDWLAPVLWEGTFDRRVLEKHYRRRNITVGLAVFAT
+GRFAEEYLRPFLHSANKHFMTGYRVIFYIMVDAFFKLPDIEPSPLRTFKAFKVGTERWWL
+DGPLVHVKSLGEHIASHIQDEVDFLFSMAANQVFQNEFGVETLGPLVAQLHAWWYFRNTK
+NFPYERRPTSAACIPFGQGDFYYGNLMVGGTPHNILDFIKEYLNGVIHDIKNGLNSTYEK
+HLNKYFYLNKPT
+>Q68CQ7_PF01501_68 <unknown description>
+VVIAASEDRLGGAIAAINSIQHNTRSNVIFYIVTLNNTADHLRSWLNSDSLKSIRYKIVN
+FDPKLLEGKVKEDPDQGESMKPLTFARFYLPILVPSAKKAIYMDDDVIVQGDILALYNTA
+LKPGHAAAFSEDCDSASTKVVIRGAGNQYNYIGYLDYKKERIRKLSMKASTCSFNPGVFV
+ANLTEWKRQNITNQLEKWMKLNVEEGLYSRTLAGSITTPPLLIVFYQQHSTIDPMWNVRH
+LGSSAGKRYSPQFVKAAKLLHWNGHLKPWGRT
+>Q9H1C3_PF01501_53 <unknown description>
+VVICAAAGRMGATMAAINSIYSNTDANILFYVVGLRNTLTRIRKWIEHSKLREINFKIVE
+FNPMVLKGKIRPDSSRPELLQPLNFVRFYLPLLIHQHEKVIYLDDDVIVQGDIQELYDTT
+LALGHAAAFSDDCDLPSAQDINRLVGLQNTYMGYLDYRKKAIKDLGISPSTCSFNPGVIV
+ANMTEWKHQRITKQLEKWMQKNVEENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRH
+LGWNPDARYSEHFLQEAKLLHWNGRHKPWD
+>Q6UWU2_PF01301_39 <unknown description>
+FLLDGAPFRYVSGSLHYFRVPRVLWADRLLKMRWSGLNAIQFYVPWNYHEPQPGVYNFNG
+SRDLIAFLNEAALANLLVILRPGPYICAEWEMGGLPSWLLRKPEIHLRTSDPDFLAAVDS
+WFKVLLPKIYPWLYHNGGNIISIQVENEYGSYRACDFSYMRHLAGLFRALLGEKILLFTT
+DGPEGLKCGSLRGLYTTVDFGPADNMTKIFTLLRKYEPHGPLVNSEYYTGWLDYWGQNHS
+TRSVSAVTKGLENMLKLGASVNMYMFHGGTNFGYWNGADKKGRFLPITTSYDYDAPISEA
+GDPTPKLFALRDVISK
+>Q8IW92_PF01301_54 <unknown description>
+FMLEDSTFWIFGGSIHYFRVPREYWRDRLLKMKACGLNTLTTYVPWNLHEPERGKFDFSG
+NLDLEAFVLMAAEIGLWVILRPGPYICSEMDLGGLPSWLLQDPGMRLRTTYKGFTEAVDL
+YFDHLMSRVVPLQYKRGGPIIAVQVENEYGSYNKDPAYMPYVKKALEDRGIVELLLTSDN
+KDGLSKGIVQGVLATINLQSTHELQLLTTFLFNVQGTQPKMVMEYWTGWFDSWGGPHNIL
+DSSEVLKTVSAIVDAGSSINLYMFHGGTNFGFMNGAMHFHDYKSDVTSYDYDAVLTEAGD
+YTAKYMKLRDFF
+>Q8NCI6_PF01301_80 <unknown description>
+FTLEGHKFLIFGGSIHYFRVPREYWRDRLLKLKACGFNTVTTYVPWNLHEPERGKFDFSG
+NLDLEAFVLMAAEIGLWVILRPGRYICSEMDLGGLPSWLLQDPRLLLRTTNKSFIEAVEK
+YFDHLIPRVIPLQYRQAGPVIAVQVENEYGSFNKDKTYMPYLHKALLRRGIVELLLTSDG
+EKHVLSGHTKGVLAAINLQKLHQDTFNQLHKVQRDKPLLIMEYWVGWFDRWGDKHHVKDA
+KEVEHAVSEFIKYEISFNVYMFHGGTNFGFMNGATYFGKHSGIVTSYDYDAVLTEAGDYT
+EKYLKLQKLFQ
+>O94923_PF06662_416 <unknown description>
+QDEKGGWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDHIFLNSALRATA
+PYKFLSEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGEKLGKEAR
+SLYERGMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDES
+PVFKEFVKRWKS
+>Q86VQ1_PF15388_167 <unknown description>
+IRRTSSLDTITGPYLTGQWPRDPHVHYPSCMKDKATQTPSCWAEEGAEKRSHQRSASWGS
+ADQLKEQIAKLRQQLQRSKQSSRHSKEKDRQSPLHGNHITISHTQATGSRSVPMPLSNIS
+VPKSSVSRVPCNVEGISPELEKVFIKENNGKEEVSKPLDIPDGRRAPLPAHYRSSSTRSI
+DTQTPSVQERSSSCSSHSPCVSPFCPPESQDGSPCSTEDLLYDRDKDSGSSSPLPKYASS
+PKPNNSYMFKREPPEGCERVKVFEEMASRQPISAPLFSCPDKNKVNFIPTGSAFCPVKLL
+GPLLPASDLM
+>Q9Y223_PF02350_69 <unknown description>
+FFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPD
+VLNRLKPDIMIVHGDRFDALALATSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYH
+VCCTRSAEQHLISMCEDHDRILLAGCPSYDKLLSAKNKDYMSIIRMWLGDDVKSKDYIVA
+LQHPVTTDIKHSIKMFELTLDALISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFR
+AVKHVPFDQFIQLVAHAGCMIGNSSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDA
+DTQDKILQALHLQFGKQYPCSKIYGDGNAVPRILKFLK
+>Q9Y223_PF00480_441 <unknown description>
+LAVDLGGTNLRVAIVSMKGEIVKKYTQFNPKTYEERINLILQMCVEAAAEAVKLNCRILG
+VGISTGGRVNPREGIVLHSTKLIQEWNSVDLRTPLSDTLHLPVWVDNDGNCAALAERKFG
+QGKGLENFVTLITGTGIGGGIIHQHELIHGSSFCAAELGHLVVSLDGPDCSCGSHGCIEA
+YASGMALQREAKKLHDEDLLLVEGMSVPKDEAVGALHLIQAAKLGNAKAQSILRTAGTAL
+GLGVVNILHTMNPSLVILSGVLASHYIHIVKDVIRQQALSSVQDVDVVVSDLVDPALLGA
+ASMVL
+>Q8IVS8_PF13660_37 <unknown description>
+QARQLFESAVGAVLPGPMLHRALSLDPGGRQLKVRDRNFQLRQNLYLVGFGKAVLGMAAA
+AEELLGQHLVQGVISVPKGIRAAMERAGKQEMLLKPHSRVQVFEGAEDNLPDRDALRAAL
+AIQQLAEGLTADDLLLVLISGGGSALLPAPIPPVTLEEKQTLTRLLAARGATIQELNTIR
+KALSQLKGGGLAQAAYPAQVVSLILSDVVGDPVEVIASGPTVASSHNVQDCLHILNRYGL
+RAALPRSVKTVL
+>Q8IVS8_PF05161_402 <unknown description>
+VCLLAGGEPTVQLQGSGRGGRNQELALRVGAELRRWPLGPIDVLFLSGGTDGQDGPTEAA
+GAWVTPELASQAAAEGLDIATFLAHNDSHTFFCCLQGGAHLLHTGMTGTNVMD
+>Q6PIY7_PF19088_171 <unknown description>
+ISDLKKKELCRTQLQREIQLLFPQSRLFLVGSSLNGFGTRSSDGDLCLVVKEEPCFFQVN
+QKTEARHILTLVHKHFCTRLSGYIERPQLIRAKVPIVKFRDKVSCVEFDLNVNNIVGIRN
+TFLLRTYAYLENRVRPLVLVIKKWASHHQINDASRGTLSSYSLVLMVLHYLQTLPEPILP
+SLQKIYPESF
+>Q6PIY7_PF03828_386 <unknown description>
+NLGDLLLGFLKYYATEFDWNSQMISVREAKAIPRPDGIEWRNKYICVEEPFDGTN
+>Q6ZMI3_PF01391_139 <unknown description>
+GPSGPPGPPGAGGLPGHNGLDGQPGPQGPKGEKGANGKRGKMGIPGAAGNPGERG
+>Q6ZMI3_PF01391_166 <unknown description>
+GPKGEKGANGKRGKMGIPGAAGNPGERGEKGDHGELGLQGNEGPPGQKGEKGDKGD
+>Q6ZMI3_PF02191_304 <unknown description>
+ITSIGNPVQVLKVTETFGTWIRESANKSDDRIWVTEHFSGIMVKEFKDQPSLLNGSYTFI
+HLPYYFHGCGHVVYNNSLYYHKGGSNTLVRFEFGQETSQTLKLENALYFDRKYLFANSKT
+YFNLAVDEKGLWIIYASSVDGSSILVAQLDERTFSVVQHVNTTYPKSKAGNAFIARGILY
+VTDTKDMRVTFAFDLLGGKQINANFDLRTSQSVLAMLAYNMRDQHLYSWEDGHLMLYPVQ
+F
+>Q53GS7_PF07817_410 <unknown description>
+SKDSQAKKIKMDLQKAATIPVSQISTIAGSKLKEIFDKIHSLLSGKPVQSGGRSVSVTLN
+PQGLDFVQYKLAEKFVKQGEEEVASHHEAAFPIAVVASGIWELHPRVGDLILAHLHKKCP
+YSVPFYPTFKEGMALEDYQRMLGYQVKDSKVEQQDNFLKRMSGMIRLYAAIIQLRWPYGN
+RQEIHPHGLNHGWRWLAQILNMEPLSDVTATLLFDFLEVCGNALMKQYQVQFWKMLILI
+>Q04446_PF02922_76 <unknown description>
+FGVHRCADGGLYCKEWAPGAEGVFLTGDFNGWNPFSYPYKKLDYGKWELYIPPKQNKSVL
+VPHGSKLKVVITSKSGEILYRISPWA
+>Q04446_PF00128_224 <unknown description>
+LPRIKGLGYNCIQLMAIMEHAYYASFGYQITSFFAASSRYGTPEELQELVDTAHSMGIIV
+LLDVVHSHASKNSADGLNMFDGTDSCYFHSGPRGTHDLWDSRLFAYSSWE
+>Q04446_PF02806_603 <unknown description>
+YVSEKHEGNKIIAFERAGLLFIFNFHPSKSYTDYRVGTALPGKFKIVLDSDAAEYGGHQR
+LDHSTDFFSEAFEHNGRPYSLLVYIPSRVALILQN
+>P01215_PF00236_28 <unknown description>
+VQDCPECTLQENPFFSQPGAPILQCMGCCFSRAYPTPLRSKKTMLVQKNVTSESTCCVAK
+SYNRVTVMGGFKVENHTACHCSTCYYHK
+>P08151_PF00096_268 <unknown description>
+FVCHWGGCSRELRPFKAQYMLVVHMRRH
+>P08151_PF00096_301 <unknown description>
+HKCTFEGCRKSYSRLENLKTHLRSH
+>P08151_PF00096_362 <unknown description>
+YVCKLPGCTKRYTDPSSLRKHVKTVH
+>P10071_PF00096_513 <unknown description>
+FVCRWLDCSREQKPFKAQYMLVVHMRRH
+>P10071_PF00096_546 <unknown description>
+HKCTFEGCTKAYSRLENLKTHLRSH
+>P10071_PF00096_576 <unknown description>
+YVCEHEGCNKAFSNASDRAKHQNRTH
+>P10071_PF00096_607 <unknown description>
+YVCKIPGCTKRYTDPSSLRKHVKTVH
+>P10075_PF00096_183 <unknown description>
+HRCEACGKSFKYNSLLLKHQRIH
+>P10075_PF00096_211 <unknown description>
+YACHECGKRFRGWSGFIQHHRIH
+>P10075_PF00096_239 <unknown description>
+YECGQCGRAFSHSSHFTQHLRIH
+>P10075_PF00096_267 <unknown description>
+YKCGECGQAFSQSSNLVRHQRLH
+>P10075_PF00096_323 <unknown description>
+YECSDCGKAFRGRSHFFRHLRTH
+>P10075_PF00096_351 <unknown description>
+FACGACGKAFGQSSQLIQHQRVH
+>P48060_PF00188_39 <unknown description>
+RIHNKFRSEVKPTASDMLYMTWDPALAQIAKAWASNCQFSHNTRLKPPHKLHPNFTSLGE
+NIWTGSVPIFSVSSAITNWYDEIQDYDFKTRICKKVCGHYTQVVWADSYKVGCAVQFCPK
+VSGFDALSNGAHFICNY
+>Q9BZE0_PF00096_235 <unknown description>
+HRCPTCSKSFSRLENLKIHNRSH
+>Q9BZE0_PF00096_263 <unknown description>
+YVCPYEGCNKRYSNSSDRFKHTRTH
+>Q9BZE0_PF00096_293 <unknown description>
+YYCKMPGCHKRYTDPSSLRKHIKAH
+>Q8NEA6_PF00096_568 <unknown description>
+KCTFEGCEKAFSRLENLKIHLRSH
+>Q8NEA6_PF00096_627 <unknown description>
+YACQIPGCTKRYTDPSSLRKHVKAH
+>Q92990_PF08568_1 <unknown description>
+MAVEELQSIIKRCQILEEQDFKEEDFGLFQLAGQRCIEEGHTDQLLEIIQNEKNKVIIKN
+MGWNLVGPVVRCLLCKDKEDSKRKVYFLIFDLLVKLCNPKELLLGLLELIEEPSGKQISQ
+SILLLLQPLQTVIQKLHNKAYSIGLALSTLWNQLSLLPVPYSKEQIQMDDYGLCQCCKAL
+IEFTKPFVEEVIDNKENSLENEKLKDELLKFCFKSLKCPLLTAQFFEQSEEGGNDPFRYF
+ASEIIGFLSAIGHPFPKMIFNHGRKKRTWNYLEFEEEENKQLADSMASLAYLVFVQGIHI
+DQLPMVLSPLYLLQFNMGHIEVFLQRTEESVISKGLELLENSLLRIEDNSLLYQYLEIKS
+FLTVPQGLVKVMTLCPIETLRKKSLAMLQLYINKLDSQGKYTLFRCLLNTSNHSGVEAFI
+IQNIKNQIDMSLKRTRNNKWFTGPQLISLLDLVLFLPEGAETDLLQNSDRIMASLNLLRY
+LVIKDNENDNQTGLWTELGNIENNFLKPLHIGLNMSKAHYEAEIKNSQEAQKSKDLCSIT
+VSGEEIPNMPPEMQLKVLHS
+>Q8WWB7_PF15065_55 <unknown description>
+NLLHIRAVGTNSTLHYVWSSLGPLAVVMVATNTPHSTLSVNWSLLLSPEPDGGLMVLPKD
+SIQFSSALVFTRLLEFDSTNVSDTAAKPLGRPYPPYSLADFSWNNITDSLDPATLSATFQ
+GHPMNDPTRTFANGSLAFRVQAFSRSSRPAQPPRLLHTADTCQLEVALIGASPRGNRSLF
+GLEVATLGQGPDCPSMQEQHSIDDEYAPAVFQLDQLLWGSLPSGFAQWRPVAYSQKPGGR
+ESALPCQASPLHPALAYSLPQSPIVRAFFGSQNNFCAFNLTFGASTGPGYWDQHYLSWSM
+LLGVGFPPVDGLSPLVLGIMAVALGAPGLMLLGGGLVLLLHHKK
+>P15104_PF03951_28 <unknown description>
+AMYIWIDGTGEGLRCKTRTLDSEPKCVEELPEWNFDGSSTLQSEGSNSDMYLVPAAMFRD
+PFRKDPNKLVLCEVFK
+>P15104_PF00120_128 <unknown description>
+HPWFGMEQEYTLMGTDGHPFGWPSNGFPGPQGPYYCGVGADRAYGRDIVEAHYRACLYAG
+VKIAGTNAEVMPAQWEFQIGPCEGISMGDHLWVARFILHRVCEDFGVIATFDPKPIPGNW
+NGAGCHTNFSTKAMREENGLKYIEEAIEKLSKRHQYHIRAYDPKGGLDNARRLTGFHETS
+NINDFSAGVANRSASIRIPRTVGQEKKGYFEDRRPSANCDPFSVTEALI
+>Q16775_PF00753_62 <unknown description>
+MYLVIDDETKEAAIVDPVQPQKVVDAARKHGVKLTTVLTTHHHWDHAGGNEKLVK
+>Q16775_PF16123_222 <unknown description>
+EYTINNLKFARHVEPGNAAIREKLAWAKEKYSIGEPTVPSTLAEEFTYNPFMRVREKTVQ
+QHAGETDPVTTMRAVRREKDQF
+>A6NK44_PF00903_37 <unknown description>
+RLDHIVMTVKSIKDTTMFYSKILGMEVMTFKEDRKALCFGDQKFNLHEVGKEFEPKAAHP
+VPGSLDICLITEVPLEEMIQHLKACDVPIEEGPVPRTGAKGPIMSIYFRDPDRNLIEV
+>P43220_PF02793_60 <unknown description>
+LFCNRTFDEYACWPDGEPGSFVNVSCPWYLPWASSVPQGHVYRFCTAEGLWLQKDNSSLP
+WRDLSECEE
+>P43220_PF00002_144 <unknown description>
+LYIIYTVGYALSFSALVIASAILLGFRHLHCTRNYIHLNLFASFILRALSVFIKDAALKW
+MYSTAAQQHQWDGLLSYQDSLSCRLVFLLMQYCVAANYYWLLVEGVYLYTLLAFSVLSEQ
+WIFRLYVSIGWGVPLLFVVPWGIVKYLYEDEGCWTRNSNMNYWLIIRLPILFAIGVNFLI
+FVRVICIVVSKLKANLMCKTDIKCRLAKSTLTLIPLLGTHEVIFAFVMDEHARGTLRFIK
+LFTELSFTSFQGLMV
+>O95838_PF02793_95 <unknown description>
+FCNGTFDQYVCWPHSSPGNVSVPCPSYLPWWSEESSGRAYRHCLAQGTWQTIENATDIWQ
+DDSECSE
+>O95838_PF00002_176 <unknown description>
+STLQLMYTVGYSFSLISLFLALTLLLFLRKLHCTRNYIHMNLFASFILRTLAVLVKDVVF
+YNSYSKRPDNENGWMSYLSEMSTSCRSVQVLLHYFVGANYLWLLVEGLYLHTLLEPTVLP
+ERRLWPRYLLLGWAFPVLFVVPWGFARAHLENTGCWTTNGNKKIWWIIRGPMMLCVTVNF
+FIFLKILKLLISKLKAHQMCFRDYKYRLAKSTLVLIPLLGVHEILFSFITDDQVEGFAKL
+IRLFIQLTLSSFHGFLV
+>Q9H4A5_PF05719_48 <unknown description>
+LMEEVLLLGLKDKEGYTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVL
+LKSDSPTGDVLLDETLKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNL
+VEKGILTTEKQNFLLFDMTTHPVTNTTEKQRLVKKLQDSVLERWVNDPQRMDKRTLALLV
+LAHSSDVLENVFSSLTDDKYDVAMNRAKDLVE
+>P06028_PF01102_23 <unknown description>
+TEVAMHTSTSSSVTKSYISSQTNGETGQLVHRFTVPAPVVIILIILCVMAGIIGTILLIS
+YSIRRLIK
+>Q14410_PF00370_15 <unknown description>
+AVVQGTNSTRFLVFNSKTAELLSHHKVELTQEFPKEGWVEQDPKEILQSVYECIARTCEK
+LDELNIDISNIKAVGVSNQRETTVIWDKLTGEPLYNAVVWLDLRTQTTVEDLSKKIPGNS
+NFVKSKTGLPLSTYFSAVKLRWMLDNVRNVQKAVEEGRALFGTIDSWLIWSLTGGVNGGV
+HCTDVTNASRTMLFNIHSLEWDKELCDFFEIPMDLLPNVFSSSEIYGLIKTGALEGVPIS
+GCLGDQCAALVG
+>Q14410_PF02782_275 <unknown description>
+AKNTYGTGCFLLCNTGRKCVFSEHGLLTTVAYKLGREKPAYYALEGSVAIAGAVIRWLRD
+NLGIIETSGDIERLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGILCGLTQFTNKCHIAF
+AALEAVCFQTREILEAMNRDCGIPLRHLQVDGGMTNNKVLMQLQADILHIPVIKPFMPET
+TALGAAMAAGAA
+>Q14409_PF00370_13 <unknown description>
+VGAVDQGTSSTRFLVFNSRTAELLSHHQVEIKQEFPREGWVEQDPKEILHSVYECIEKTC
+EKLGQLNIGISNIKAIGVSNQRETTVVWDKITGEPLYNAVVWLDLRTQSTVESLSKRIPG
+NNNFVKSKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEKRALFGTIDSWLIWSLTGGVNG
+GVHCTDVTNASRTMLFNIHSLEWDKQLCEFFGIPMEILPHVRSSSEIYGLMKAGALEGVP
+ISGCLGDQSAALVG
+>Q14409_PF02782_275 <unknown description>
+AKNTYGTGCFLLCNTGHKCVFSDHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRD
+NLGIIKTSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAF
+AALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPLMPET
+TALGAAMAAGAA
+>Q6ZS86_PF00370_21 <unknown description>
+VLGLDVGSSVIRCHVYDRAARVCGSSVQKVENLYPQIGWVEIDPDVLWIQFVAVIKEAVK
+AAGIQMNQIVGLGISTQRATFITWNKKTGNHFHNFISWQDLRAVELVKSWNNSLLMKIFH
+SSCRVLHFFTRSKRLFTASLFTFTTQQTSLRLVWILQNLTEVQKAVEEENCCFGTIDTWL
+LYKLTKGSVYATDFSNASTTGLFDPYKMCWSGMITSLISIPLSLLPPVRDTSHNFGSVDE
+EIFGVPIPIVALVADQQSAMFG
+>Q6ZS86_PF02782_292 <unknown description>
+KLTMGTGTFLDINTGNSLQQTTGGFYPLIGWKIGQEVVCLAESNAGDTGTAIKWAQQLDL
+FTDAAETEKMAKSLEDSEGVCFVPSFSGLQAPLNDPWACASFMGLKPSTSKYHLVRAILE
+SIAFRNKQLYEMMKKEIHIPVRKIRADGGVCKNGFVMQMTSDLINENIDRPADIDMSCLG
+AASLAGLA
+>P32189_PF00370_13 <unknown description>
+VGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEILHSVYECIEKTC
+EKLGQLNIDISNIKAIGVSNQRETTVVWDKITGEPLYNAVVWLDLRTQSTVESLSKRIPG
+NNNFVKSKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEKRALFGTIDSWLIWSLTGGVNG
+GVHCTDVTNASRTMLFNIHSLEWDKQLCEFFGIPMEILPNVRSSSEIYGLMKISHSVKAG
+ALEGVPISGCLGDQSAALVG
+>P32189_PF02782_281 <unknown description>
+AKNTYGTGCFLLCNTGHKCVFSDHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRD
+NLGIIKTSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAF
+AALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPSMPET
+TALGAAMAAGAA
+>P23415_PF02931_41 <unknown description>
+FLDKLMGRTSGYDARIRPNFKGPPVNVSCNIFINSFGSIAETTMDYRVNIFLRQQWNDPR
+LAYNEYPDDSLDLDPSMLDSIWKPDLFFANEKGAHFHEITTDNKLLRISRNGNVLYSIRI
+TLTLACPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQEQGAVQVADGLTLPQFILKEE
+KDLRYCTKHYNTGKFTCIEARFHLERQM
+>P23415_PF02932_255 <unknown description>
+MYIPSLLIVILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRASLPKVSYVKAIDIW
+MAVCLLFVFSALLEYAAVNFVSRQHKE
+>P23416_PF02931_47 <unknown description>
+FLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNIFLRQQWNDSR
+LAYSEYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHDVTTDNKLLRISKNGKVLYSIRL
+TLTLSCPMDLKNFPMDVQTCTMQLESFGYTMNDLIFEWLSDGPVQVAEGLTLPQFILKEE
+KELGYCTKHYNTGKFTCIEVKFHLERQM
+>P23416_PF02932_261 <unknown description>
+MYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQSSGSRASLPKVSYVKAIDIW
+MAVCLLFVFAALLEYAAVNFVSRQHKE
+>O75311_PF02931_46 <unknown description>
+FLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFGSIAETTMDYRVNIFLRQKWNDPR
+LAYSEYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRL
+TLTLSCPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQDEAPVQVAEGLTLPQFLLKEE
+KDLRYCTKHYNTGKFTCIEVRFHLERQM
+>O75311_PF02932_260 <unknown description>
+MYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQSSGSRASLPKVSYVKAIDIW
+MAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRKNKTEAFALEKFYR
+>P48167_PF02931_57 <unknown description>
+SNILNRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRL
+KLPSDFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMR
+LSITLSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKE
+DIEYGNCTKYYKGTGYYTCVEVIFTLRRQ
+>P48167_PF02932_273 <unknown description>
+VYAPTLLIVVLSWLSFWINPDASAARVPLGIFSVLSLASECTTLAAELPKVSYVKALDVW
+LIACLLFGFASLVEYAVVQVMLNNPKRVEAEKARIAKAEQADGKGGNVAKKNTVNGTGTP
+VHISTLQVGETRCKKVCTSKSDLRSNDFSIVGSLPRDFELSNYDCYGKPIEVNNGLGKSQ
+AKNNKKPPPAKPVIPTAAKRIDLYARALFPFCFLFFNVIYW
+>P35754_PF00462_15 <unknown description>
+VVVFIKPTCPYCRRAQEILSQLPIKQGLLEFVDITATNHTNEIQDYLQQLTGARTVPRVF
+IGKDCI
+>Q9NS18_PF00462_69 <unknown description>
+VVIFSKTSCSYCTMAKKLFHDMNVNYKVVELDLLEYGNQFQDALYKMTGERTVPRIFVNG
+TFI
+>O76003_PF00085_21 <unknown description>
+QFEELLRLKAKSLLVVHFWAPWAPQCAQMNEVMAELAKELPQVSFVKLEAEGVPEVSEKY
+EISSVPTFLFFKNSQKIDRLDGAHAPELTKKV
+>O76003_PF00462_147 <unknown description>
+MLFMKGTPQEPRCGFSKQMVEILHKHNIQFSSFDIFSDEEVRQGLKAYSSWPTYPQLYVS
+GELI
+>O76003_PF00462_248 <unknown description>
+VMLFMKGNKQEAKCGFSKQILEILNSTGVEYETFDILEDEEVRQGLKAYSNWPTYPQLYV
+KGELV
+>Q86SX6_PF00462_54 <unknown description>
+VVVFLKGTPEQPQCGFSNAVVQILRLHGVRDYAAYNVLDDPELRQGIKDYSNWPTIPQVY
+LNGEFV
+>P47871_PF02793_56 <unknown description>
+LVCNRTFDKYSCWPDTPANTTANISCPWYLPWHHKVQHRFVFKRCGPDGQWVRGPRGQPW
+RDASQCQM
+>P47871_PF00002_139 <unknown description>
+SSFQVMYTVGYSLSLGALLLALAILGGLSKLHCTRNAIHANLFASFVLKASSVLVIDGLL
+RTRYSQKIGDDLSVSTWLSDGAVAGCRVAAVFMQYGIVANYCWLLVEGLYLHNLLGLATL
+PERSFFSLYLGIGWGAPMLFVVPWAVVKCLFENVQCWTSNDNMGFWWILRFPVFLAILIN
+FFIFVRIVQLLVAKLRARQMHHTDYKFRLAKSTLTLIPLLGVHEVVFAFVTDEHAQGTLR
+SAKLFFDLFLSSFQGLLV
+>O94925_PF17959_139 <unknown description>
+LEDLLFYTIAEGQEKIPVHKFITALKSTGLRTSDPRLKECMDMLRLTLQTTSDGVMLDKD
+LFKKCVQSNIVLLTQAFRRKFVIP
+>O94925_PF04960_244 <unknown description>
+GKVADYIPQLAKFSPDLWGVSVCTVDGQRHSTGDTKVPFCLQSCVKPLKYAIAVNDLGTE
+YVHRYVGKEPSGLRFNKLFLNEDDKPHNPMVNAGAIVVTSLIKQGVNNAEKFDYVMQFLN
+KMAGNEYVGFSNATFQSERESGDRNFAIGYYLKEKKCFPEGTDMVGILDFYFQLCSIEVT
+CESASVMAATLANGGFCPITGERVLSPEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSG
+VAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSLCNFHNY
+>O94925_PF12796_557 <unknown description>
+LLFAAYTGDVSALRRFALSAMDMEQRDYDSRTALHVAAAEGHVEVVKFLLEACKVNPFPK
+DRWNNTPMDEALHFGHHDVFKILQEYQVQY
+>Q9UI32_PF17959_73 <unknown description>
+GDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLRDCMSEMHRVVQESSSGGLLDRDL
+FRKCVSSNIVLLTQAFRKKFVIP
+>Q9UI32_PF04960_177 <unknown description>
+GKVAAYIPQLAKSNPDLWGVSLCTVDGQRHSVGHTKIPFCLQSCVKPLTYAISISTLGTD
+YVHKFVGKEPSGLRYNKLSLNEEGIPHNPMVNAGAIVVSSLIKMDCNKAEKFDFVLQYLN
+KMAGNEYMGFSNATFQSEKETGDRNYAIGYYLKEKKCFPKGVDMMAALDLYFQLCSVEVT
+CESGSVMAATLANGGICPITGESVLSAEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSA
+VSGAILLVVPNVMGMMCLSPPLDKLGNSHRGTSFCQKLVSLFNFHNY
+>Q9UI32_PF12796_490 <unknown description>
+LLFAAYSGDVSALRRFALSAMDMEQKDYDSRTALHVAAAEGHIEVVKFLIEACKVNPFAK
+DRWGNIPLDDAVQFNHLEVVKLLQDY
+>Q86SR1_PF00535_148 <unknown description>
+SIIIPFHNEGWSSLLRTVHSVLNRSPPELVAEIVLVDDFSDREHLKKPLEDYMALFPSVR
+ILRTKKREGLIRTRMLGASVATGDVITFLDSHCEANVNWLPPLLDRIARNRKTIVCPMID
+VIDHDDFRYETQAGDAMRGAFDWEMYYKRIPIPPELQKADPSDPFESPVMAGGLFAVDRK
+WFWEL
+>Q86SR1_PF00652_459 <unknown description>
+AWGEIRNVGTGLCADTKHGALGSPLRLEGCVRGRGEAAWNNMQVFTFTWREDIRPGDPQH
+TKKFCFDAISHTSPVTLYDCHSMKGNQLWKYRKDKTLYHPVSGSCMDCSESDHRIFMNTC
+NPSSLTQQW
+>Q8NCW6_PF00535_154 <unknown description>
+SVVICFYNEAFSALLRTVHSVIDRTPAHLLHEIILVDDDSDFDDLKGELDEYVQKYLPGK
+IKVIRNTKREGLIRGRMIGAAHATGEVLVFLDSHCEVNVMWLQPLLAAIREDRHTVVCPV
+IDIISADTLAYSSSPVVRGGFNWGLHFKWDLVPLSELGRAEGATAPIKSPTMAGGLFAMN
+RQY
+>Q8NCW6_PF00652_483 <unknown description>
+GRLYHLQTNKCLVAQGRPSQKGGLVVLKACDYSDPNQIWIYNEEHELVLNSLLCLDMSET
+RSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRAVDPLGQKGSVAMAICDGSSSQ
+QW
+>Q8IXK2_PF00535_139 <unknown description>
+SVIIAFYNEAWSTLLRTVYSVLETSPDILLEEVILVDDYSDREHLKERLANELSGLPKVR
+LIRANKREGLVRARLLGASAARGDVLTFLDCHCECHEGWLEPLLQRIHEEESAVVCPVID
+VIDWNTFEYLGNSGEPQIGGFDWRLVFTWHTVPERERIRMQSPVDVIRSPTMAGGLFAVS
+KKYF
+>Q8IXK2_PF00652_446 <unknown description>
+FGMLQNKGLTDYCFDYNPPDENQIVGHQVILYLCHGMGQNQFFEYTSQKEIRYNTHQPEG
+CIAVEAGMDTLIMHLCEETAPENQKFILQEDGSLFHEQSKKCVQAARKESSDSFVPLLRD
+CTNSDHQKW
+>Q8IUC8_PF00535_118 <unknown description>
+SVVIVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKNLEVPV
+KIIRMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPII
+DVISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSI
+DRNY
+>Q8IUC8_PF00652_430 <unknown description>
+LGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDLCLDVSRLNG
+PVIMLKCHHMRGNQLWEYDAERLTLRHVNSNQCLDEPSEEDKMVPTMQDCSGSRSQQW
+>Q96FL9_PF00535_114 <unknown description>
+SIIITFHNEARSTLLRTIRSVLNRTPTHLIREIILVDDFSNDPDDCKQLIKLPKVKCLRN
+NERQGLVRSRIRGADIAQGTTLTFLDSHCEVNRDWLQPLLHRVKEDYTRVVCPVIDIINL
+DTFTYIESASELRGGFDWSLHFQWEQLSPEQKARRLDPTEPIRTPIIAGGLFV
+>Q96FL9_PF00652_421 <unknown description>
+KGNIRQRQKCLESQRQNNQETPNLKLSPCAKVKGEDAKSQVWAFTYTQQILQEELCLSVI
+TLFPGAPVVLVLCKNGDDRQQWTKTGSHIEHIASHLCLDTDMFGDGTENGKEIVVNPCES
+SLMSQHW
+>Q8N3T1_PF00535_194 <unknown description>
+SVILCFHDEAWSTLLRTVHSILDTVPRAFLKEIILVDDLSQQGQLKSALSEYVARLEGVK
+LLRSNKRLGAIRARMLGATRATGDVLVFMDAHCECHPGWLEPLLSRIAGDRSRVVSPVID
+VIDWKTFQYYPSKDLQRGVLDWKLDFHWEPLPEHVRKALQSPISPIR
+>Q8N3T1_PF00652_506 <unknown description>
+SGKLHNTGLGLCADCQAEGDILGCPMVLAPCSDSRQQQYLQHTSRKEIHFGSPQHLCFAV
+RQEQVILQNCTEEGLAIHQQHWDFQENGMIVHILSGKCMEAVVQENNKDLYLRPCDGKAR
+QQW
+>Q8N428_PF00535_126 <unknown description>
+SVIITFHNEARSTLLRTVKSVLNRTPANLIQEIILVDDFSSDPEDCLLLTRIPKVKCLRN
+DRREGLIRSRVRGADVAAATVLTFLDSHCEVNTEWLPPMLQRVKEDHTRVVSPIIDVISL
+DNFAYLAASADLRGGFDWSLHFKWEQIPLEQKMTRTDPTRPIRTPVIAGG
+>Q8N428_PF00652_440 <unknown description>
+NCLESQGQNTAGDFLLGMGICRGSAKNPQPAQAWLFSDHLIQQQGKCLAATSTLMSSPGS
+PVILQMCNPREGKQKWRRKGSFIQHSVSGLCLETKPAQLVTSKCQADAQAQQW
+>Q6IS24_PF00535_155 <unknown description>
+SIIFIFVNEALSVILRSVHSAVNHTPTHLLKEIILVDDNSDEEELKVPLEEYVHKRYPGL
+VKVVRNQKREGLIRARIEGWKVATGQVTGFFDAHVEFTAGWAEPVLSRIQENRKRVILPS
+IDNIKQDNFEVQRYENSAHGYSWELWCMYISPPKDWWDAGDPSLPIRTPAMIGCSFVVNR
+K
+>Q6IS24_PF00652_465 <unknown description>
+AYGELRNNKAKDVCLDQGPLENHTAILYPCHGWGPQLARYTKEGFLHLGALGTTTLLPDT
+RCLVDNSKSRLPQLLDCDKVKSSLYKRWNFIQNGAIMNKGTGRCLEVENRGLAGIDLILR
+SCTGQRW
+>Q6P9A2_PF00535_157 <unknown description>
+SIVFIFVNEALSVLLRSIHSAMERTPPHLLKEIILVDDNSSNEELKEKLTEYVDKVNSQK
+PGFIKVVRHSKQEGLIRSRVSGWRAATAPVVALFDAHVEFNVGWAEPVLTRIKENRKRII
+SPSFDNIKYDNFEIEEYPLAAQGFDWE
+>Q6P9A2_PF00652_470 <unknown description>
+YGVLQNSLKTDLCLDQGPDTENVPIMYICHGMTPQNVYYTSSQQIHVGILSPTVDDDDNR
+CLVDVNSRPRLIECSYAKAKRMKLHWQFSQGGPIQNRKSKRCLELQENSDLEFGFQLVLQ
+KCSGQHW
+>A6NH11_PF08718_101 <unknown description>
+LSPYLAGWRALVEFLTPLGSVFAFATREAFTKVTDLEARVHGPDAEHYWSLVAMAAWERR
+AGLLEQPGAAPRDPTRSSGSRTLLLLHRALRWSQLCLHRVATGALGGPDAGVQCSDAYRA
+ALGPHHPWLVRQTARLAFLAFPGRRRLLELAC
+>Q7Z4T8_PF00535_137 <unknown description>
+SIVICFYNEECNALFQTMSSVTNLTPHYFLEEIILVDDMSKVDDLKEKLDYHLETFRGKV
+KIIRNKKREGLIRARLIGASHASGDVLVFLDSHCEVNRVWLEPLLHAIAKDPKMVVCPLI
+DVIDDRTLEYKPSPLVRGTFDWNLQFKWDNVFSYEMDGPEGSTKPIRSPAMSGGIFAIRR
+HYFN
+>Q49A17_PF00535_143 <unknown description>
+SIIIPFHNEGWTSLLRTIHSIINRTPGSLIAEIILVDDFSEREHLKDKLEEYMARFSKVR
+IVRTKKREGLIRTRLLGASMARGEVLTFLDSHCEVNVNWLPPLLNQIALNHKTIVCPMID
+VIDHNHFGYEAQAGDAMRGAFDWEMYYKRIPIPPELQRADPSDPFESPVMAGGLFAVDRK
+WFWEL
+>Q49A17_PF00652_454 <unknown description>
+AWGEIRNVAANLCVDSKHGATGTELRLDICVKDGSERTWSHEQLFTFGWREDIRPGEPLH
+TRKFCFDAISHNSPVTLYDCHGMKGNQLWGYRKDRTLFHPVSNSCMDCNPAEKKIFMARC
+DPLSETQQW
+>Q9NZD2_PF08718_18 <unknown description>
+IETGPFLEAVSHLPPFFDCLGSPVFTPIKADISGNITKIKAVYDTNPAKFRTLQNILEVE
+KEMYGAEWPKVGATLALMWLKRGLRFIQVFLQSICDGERDENHPNLIRVNATKAYEMALK
+KYHGWIVQKIFQAALYAAPYKSDFLKALS
+>Q7Z3D6_PF07286_118 <unknown description>
+VAFFLGCSFSLEEALEKAGLPRRDPAGHSQAGAYKTTVPCVTHAGFCCPLVVTMRPIPKD
+KLEGLVRACCSLGGEQGQPVHMGDPELLGIKELSKPAYGDAMVCPPGEVPVFWPSPLTSL
+GAVSSCETPLAFASIPGCTVMTD
+>Q7Z3D6_PF14336_303 <unknown description>
+LESMIGIDPGNRGIGHLLCKDELLKASLSLSHARSVLITTGFPTHFNHEPPEETDGPPGA
+VALVAFLQALEKEVAIIVDQRAWNLHQKIVEDAVEQGVLKTQIPILTYQGGSVEAAQAFL
+CKNGDPQTPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDLFLAAKKIPGISSTG
+VGDGGNELGMGKVKEAVRRHIRHGDVIACDVEADFAVIAGVSNWGGYALACALYILYSCA
+VHSQYLRKAVGPSRAPGDQAWTQALPSVIKEEKMLGILVQHKVRSGVSGIVGMEVDGLPF
+HNTHAEMIQKL
+>P01275_PF00123_53 <unknown description>
+HSQGTFTSDYSKYLDSRRAQDFVQWLMN
+>P01275_PF00123_98 <unknown description>
+HAEGTFTSDVSSYLEGQAAKEFIAWLV
+>P01275_PF00123_146 <unknown description>
+HADGSFSDEMNTILDNLAARDFINWLI
+>P14672_PF00083_28 <unknown description>
+VFSAVLGSLQFGYNIGVINAPQKVIEQSYNETWLGRQGPEGPSSIPPGTLTTLWALSVAI
+FSVGGMISSFLIGIISQWLGRKRAMLVNNVLAVLGGSLMGLANAAASYEMLILGRFLIGA
+YSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAIVIGILIAQVLGLESLLGTASLWPLLLG
+LTVLPALLQLVLLPFCPESPRYLYIIQNLEGPARKSLKRLTGWADVSGVLAELKDEKRKL
+ERERPLSLLQLLGSRTHRQPLIIAVVLQLSQQLSGINAVFYYSTSIFETAGVGQPAYATI
+GAGVVNTVFTLVSVLLVERAGRRTLHLLGLAGMCGCAILMTVALLLLERVPAMSYVSIVA
+IFGFVAFFEIGPGPIPWFIVAELFSQGPRPAAMAVAGFSNWTSNFIIGMGFQYVAEAMGP
+YVFLLFAVLLLGFFIFTFLRVPETRGRTFDQISAAF
+>Q6IB77_PF06021_2 <unknown description>
+MLPLQGAQMLQMLEKSLRKSLPASLKVYGTVFHINHGNPFNLKAVVDKWPDFNTVVVCPQ
+EQDMTDDLDHYTNTYQIYSKDPQNCQEFLGSPELINWKQHLQIQSSQPSLNEAIQNLAAI
+KSFKVKQTQRILYMAAETAKELTPFLLKSKILSPNGGKPKAINQEMFKLSSMDVTHAHLV
+NKFWHFGGNERSQRFIERCIQTFPT
+>Q6IB77_PF08444_207 <unknown description>
+CCLLGPEGTPVCWDLMDQTGEMRMAGTLPEYRLHGLVTYVIYSHAQKLGKLGFPVYSHVD
+YSNEAMQKMSYTLQHVPIPRSWNQWNCVP
+>P34896_PF00464_26 <unknown description>
+LKDSDVEVYNIIKKESNRQRVGLELIASENFASRAVLEALGSCLNNKYSEGYPGQRYYGG
+TEFIDELETLCQKRALQAYKLDPQCWGVNVQPYSGSPANFAVYTALVEPHGRIMGLDLPD
+GGHLTHGFMTDKKKISATSIFFESMPYKVNPDTGYINYDQLEENARLFHPKLIIAGTSCY
+SRNLEYARLRKIADENGAYLMADMAHISGLVAAGVVPSPFEHCHVVTTTTHKTLRGCRAG
+MIFYRKGVKSVDPKTGKEILYNLESLINSAVFPGLQGGPHNHAIAGVAVALKQAMTLEFK
+VYQHQVVANCRALSEALTELGYKIVTGGSDNHLILVDLRSKGTDGGRAEKVLEACSIACN
+KNTCPGDRSALRPSGLRLGTPALTSRGLLEKDFQKVAHFI
+>O15488_PF01501_9 <unknown description>
+VTLATNDIYCQGALVLGQSLRRHRLTRKLVVLITPQVSSLLRVILSKVFDEVIEVNLIDS
+ADYIHLAFLKRPELGLTLTKLHCWTLTHYSKCVFLDADTLVLSNVDELFDRGEFSAAPDP
+GWPDCFNSGVFVFQPSLHTHKLLLQHAMEHGSFDGADQGLLNSFFRNWSTTDIHKHLPFI
+YNLSSNTMYTYSPAFKQFGSSAKVVHFLGSMKPWNY
+>P46976_PF01501_7 <unknown description>
+VTLTTNDAYAKGALVLGSSLKQHRTTRRLVVLATPQVSDSMRKVLETVFDEVIMVDVLDS
+GDSAHLTLMKRPELGVTLTKLHCWSLTQYSKCVFMDADTLVLANIDDLFDREELSAAPDP
+GWPDCFNSGVFVYQPSVETYNQLLHLASEQGSFDGGDQGILNTFFSSWATTDIRKHLPFI
+YNLSSISIYSYLPAFKVFGASAKVVHFLGRVKPWNY
+>Q969I3_PF06021_1 <unknown description>
+MILLNNSHKLLALYKSLARSIPESLKVYGSVYHINHGNPFNMEVLVDSWPEYQMVIIRPQ
+KQEMTDDMDSYTNVYRMFSKEPQKSEEVLKNCEIVNWKQRLQIQGLQESLGEGIRVATFS
+KSVKVEHSRALLLVTEDILKLNASSKSKLGSWAETGHPDDEFESETPNFKYAQLDVSYSG
+LVNDNWKRGKNERSLHYIKRCIEDLP
+>Q969I3_PF08444_208 <unknown description>
+ACMLGPEGVPVSWVTMDPSCEVGMAYSMEKYRRTGNMARVMVRYMKYLRQKNIPFYISVL
+EENEDSRRFVGQFGFFEASCEWHQWTCYP
+>Q8WU03_PF06021_1 <unknown description>
+MLVLHNSQKLQILYKSLEKSIPESIKVYGAIFNIKDKNPFNMEVLVDAWPDYQIVITRPQ
+KQEMKDDQDHYTNTYHIFTKAPDKLEEVLSYSNVISWEQTLQIQGCQEGLDEAIRKVATS
+KSVQVDYMKTILFIPELPKKHKTSSNDKMELFEVDDDNKEGNFSNMFLDASHAGLVNEHW
+AFGKNERSLKYIERCLQDFL
+>Q8WU03_PF08444_202 <unknown description>
+FGVLGPEGQLVSWIVMEQSCELRMGYTVPKYRHQGNMLQIGYHLEKYLSQKEIPFYFHVA
+DNNEKSLQALNNLGFKICPCGWHQWKCTP
+>Q5SZD4_PF06021_1 <unknown description>
+MLVLNCSTKLLILEKMLKSCFPESLKVYGAVMNINRGNPFQKEVVLDSWPDFKAVITRRQ
+REAETDNLDHYTNAYAVFYKDVRAYRQLLEECDVFNWDQVFQIQGLQSELYDVSKAVANS
+KQLNIKLTSFKAVHFSPVSSLPDTSFLKGPSPRLTYLSVANADLLNRTWSRGGNEQCLRY
+IANLISCFPS
+>Q5SZD4_PF08444_192 <unknown description>
+CVRDEKGNPVSWSITDQFATMCHGYTLPEHRRKGYSRLVALTLARKLQSRGFPSQGNVLD
+DNTASISLLKSLHAEFLPCRFHRLILTP
+>A0A0U1RQE8_PF06021_1 <unknown description>
+MILLNNSERLLALFKSLARSIPESLKVYGSLFHINHGNPFNMEVLVDSWPEYQMVIIRPQ
+KQEMTDDMDSYTNVYRVFSKDPQKSQEVLKNSEIINWKQKLQIQGFQESLGEGIRAAAFS
+NSVKVEHSRALLFVTEDILKLYATNKSKLGSWAETGHPDDELESETPNFKYAQLNVSYSG
+LVNDNWKLGMNKRSLRYIKRCLGALP
+>A0A0U1RQE8_PF08444_208 <unknown description>
+ACMLGPEGVPVSWVTMDPSCEIGMGYSVEKYRRRGNGTRLIMRCMKYLCQKNIPFYGSVL
+EENQGVIRKTSALGFLEASCQWHQWNCYP
+>P34897_PF00464_49 <unknown description>
+LSDSDPEMWELLQREKDRQCRGLELIASENFCSRAALEALGSCLNNKYSEGYPGKRYYGG
+AEVVDEIELLCQRRALEAFDLDPAQWGVNVQPYSGSPANLAVYTALLQPHDRIMGLDLPD
+GGHLTHGYMSDVKRISATSIFFESMPYKLNPKTGLIDYNQLALTARLFRPRLIIAGTSAY
+ARLIDYARMREVCDEVKAHLLADMAHISGLVAAKVIPSPFKHADIVTTTTHKTLRGARSG
+LIFYRKGVKAVDPKTGREIPYTFEDRINFAVFPSLQGGPHNHAIAAVAVALKQACTPMFR
+EYSLQVLKNARAMADALLERGYSLVSGGTDNHLVLVDLRPKGLDGARAERVLELVSITAN
+KNTCPGDRSAITPGGLRLGAPALTSRQFREDDFRRVVDFI
+>Q49A26_PF00855_9 <unknown description>
+GDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQLKPYHAHKE
+EMIKINKGKRFQQAVDAVEE
+>Q49A26_PF03446_269 <unknown description>
+KIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTAEKCDLFIQEGARLGRTPAEVVSTCDITF
+ACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDMSTVDADTVTELAQVIVSRGGRFLEAPVS
+GNQQLSNDGMLVILAAGDRGLYEDCSSCFQAMGKTSF
+>Q49A26_PF14833_431 <unknown description>
+GNAAKMMLIVNMVQGSFMATIAEGLTLAQVTGQSQQTLLDILNQGQLASIFLDQKCQNIL
+QGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQSDNDMSAVYRA
+Y
+>Q96IK5_PF00651_99 <unknown description>
+QTLFLNGENSDIKICALGEEWSLHKIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNID
+VEALQVAFGSLYRDDVLIKPSRVVAILAAACLLQLDGLIQQCGETMKE
+>Q96IK5_PF07707_213 <unknown description>
+VCGYYTSAGTYGLDSVKKKCLEWLLNNLMTHQNVELFKELSINVMKQLIGSSNLFVMQVE
+MDIYTALKKWM
+>Q8NEA9_PF00651_99 <unknown description>
+QTLFLNGENSDIKICALGEEWRLHKIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNID
+VDALQVAFGSLYRDDVLIKPSRVVAILAAACMLQLDGLIQQCGETMKE
+>O60547_PF16363_27 <unknown description>
+LITGITGQDGSYLAEFLLEKGYEVHGIVRRSSSFNTGRIEHLYKNPQAHIEGNMKLHYGD
+LTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCGLIN
+SVKFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGIL
+FNHESPRRGANFVTRKISRSVAKIYLGQLECFSLGNLDAKRDWGHAKDYVEAMWLMLQND
+EPEDFVIATGEVHSVREFVEKSFLHIGKTIVWEGKNENEVGRCKETGKVHVTVDLKYYRP
+TEVDFLQGDCTKAKQKLNWKPRVAFDELVREM
+>Q9Y692_PF01342_81 <unknown description>
+MEIAYPITCGESKAILLWKKFVCPGINVKCVKFNDQLISPKHFVHLAGKSTLKDWKRAIR
+LGGIMLRKMMDSGQ
+>Q9UKD1_PF01342_89 <unknown description>
+EIVYPITCGDSRANLIWRKFVCPGINVKCVQYDEHVISPKEFVHLAGKSTLKDWKRAIRM
+NGIMLRKIMDSGEL
+>P60983_PF00241_14 <unknown description>
+LVEKLRKFRFRKETNNAAIIMKIDKDKRLVVLDEELEGISPDELKDELPERQPRFIVYSY
+KYQHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNKLVQTAELTKVFEIRNTEDLTEEW
+LREK
+>O60234_PF00241_15 <unknown description>
+TEKLRKFRFRKETDNAAIIMKVDKDRQMVVLEEEFQNISPEELKMELPERQPRFVVYSYK
+YVHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNRLVQTAELTKVFEIRTTDDLTEAWL
+QEK
+>Q9P107_PF00620_565 <unknown description>
+PFVVTKCTAEIEHRALDVQGIYRVSGSRVRVERLCQAFENGRALVELSGNSPHDVSSVLK
+RFLQELTEPVIPFHLYDAFISLAKTLHADPGDDPGTPSPSPEVIRSLKTLLVQLPDSNYN
+TLRHLVAHLFRVAARFMENKMSANNLGIVFGPTLLRPPDG
+>Q96IJ6_PF00483_3 <unknown description>
+KAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQPD
+EPLTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGSPEAFFVLNADVCSDFP
+LSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIINCG
+IYLFSPEALKPLR
+>Q96IJ6_PF00132_286 <unknown description>
+NVYIHPTAKVAPSAVLGPNVSIGKGVTVGEGVRLR
+>Q9Y5P6_PF00483_2 <unknown description>
+KALILVGGYGTRLRPLTLSTPKPLVDFCNKPILLHQVEALAAAGVDHVILAVSYMSQVLE
+KEMKAQEQRLGIRISMSHEEEPLGTAGPLALARDLLSETADPFFVLNSDVICDFPFQAMV
+QFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSPA
+VLQRIQLQPTSIEKEVFPIMAKEGQLYAMELQGFWMDIGQPKDFLTGM
+>Q9Y5P6_PF00132_259 <unknown description>
+SARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLR
+>P36959_PF00478_10 <unknown description>
+LDFKDVLLRPKRSSLKSRAEVDLERTFTFRNSKQTYSGIPIIVANMDTVGTFEMAAVMSQ
+HSMFTAIHKHYSLDDWKLFATNHPECLQNVAVSSGSGQNDLEKMTSILEAVPQVKFICLD
+VANGYSEHFVEFVKLVRAKFPEHTIMAGNVVTGEMVEELILSGADIIKVGVGPGSVCTTR
+TKTGVGYPQLSAVIECADSAHGLKGHIISDGGCTCPGDVAKAFGAGADFVMLGGMFSGHT
+ECAGEVFERNGRKLKLFYGMSSDTAMNKHAGGVAEYRASEGKTVEVPYKGDVENTILDIL
+GGLRSTCTYVGAAKLKELSRRATFIRVTQQ
+>Q9P2T1_PF00478_10 <unknown description>
+LDFKDVLLRPKRSTLKSRSEVDLTRSFSFRNSKQTYSGVPIIAANMDTVGTFEMAKVLCK
+FSLFTAVHKHYSLVQWQEFAGQNPDCLEHLAASSGTGSSDFEQLEQILEAIPQVKYICLD
+VANGYSEHFVEFVKDVRKRFPQHTIMAGNVVTGEMVEELILSGADIIKVGIGPGSVCTTR
+KKTGVGYPQLSAVMECADAAHGLKGHIISDGGCSCPGDVAKAFGAGADFVMLGGMLAGHS
+ESGGELIERDGKKYKLFYGMSSEMAMKKYAGGVAEYRASEGKTVEVPFKGDVEHTIRDIL
+GGIRSTCTYVGAAKLKELSRRTTFIRVTQQ
+>P29992_PF00503_19 <unknown description>
+KRINAEIEKQLRRDKRDARRELKLLLLGTGESGKSTFIKQMRIIHGAGYSEEDKRGFTKL
+VYQNIFTAMQAMIRAMETLKILYKYEQNKANALLIREVDVEKVTTFEHQYVSAIKTLWED
+PGIQECYDRRREYQLSDSAKYYLTDVDRIATLGYLPTQQDVLRVRVPTTGIIEYPFDLEN
+IIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVESDNENRMEESKALFRTI
+ITYPWFQNSSVILFLNKKDLLEDKILYSHLVDYFPEFDGPQRDAQAAREFILKMFVDLNP
+DSDKIIYSHFTCATDTENIRFVFAAVKDTI
+>Q03113_PF00503_38 <unknown description>
+RRSRDIDALLARERRAVRRLVKILLLGAGESGKSTFLKQMRIIHGREFDQKALLEFRDTI
+FDNILKGSRVLVDARDKLGIPWQYSENEKHGMFLMAFENKAGLPVEPATFQLYVPALSAL
+WRDSGIREAFSRRSEFQLGESVKYFLDNLDRIGQLNYFPSKQDILLARKATKGIVEHDFV
+IKKIPFKMVDVGGQRSQRQKWFQCFDGITSILFMVSSSEYDQVLMEDRRTNRLVESMNIF
+ETIVNNKLFFNVSIILFLNKMDLLVEKVKTVSIKKHFPDFRGDPHRLEDVQRYLVQCFDR
+KRRNRSKPLFHHFTTAIDTENVRFVFHAVKDTI
+>Q14344_PF00503_28 <unknown description>
+QRKSKEIDKCLSREKTYVKRLVKILLLGAGESGKSTFLKQMRIIHGQDFDQRAREEFRPT
+IYSNVIKGMRVLVDAREKLHIPWGDNSNQQHGDKMMSFDTRAPMAAQGMVETRVFLQYLP
+AIRALWADSGIQNAYDRRREFQLGESVKYFLDNLDKLGEPDYIPSQQDILLARRPTKGIH
+EYDFEIKNVPFKMVDVGGQRSERKRWFECFDSVTSILFLVSSSEFDQVLMEDRLTNRLTE
+SLNIFETIVNNRVFSNVSIILFLNKTDLLEEKVQIVSIKDYFLEFEGDPHCLRDVQKFLV
+ECFRNKRRDQQQKPLYHHFTTAINTENIRLVFRDVKDTI
+>O95837_PF00503_16 <unknown description>
+RISAEIERQLRRDKKDARRELKLLLLGTGESGKSTFIKQMRIIHGSGYSDEDRKGFTKLV
+YQNIFTAMQAMIRAMDTLRIQYVCEQNKENAQIIREVEVDKVSMLSREQVEAIKQLWQDP
+GIQECYDRRREYQLSDSAKYYLTDIDRIATPSFVPTQQDVLRVRVPTTGIIEYPFDLENI
+IFRMVDVGGQRSERRKWIHCFESVTSIIFLVALSEYDQVLAECDNENRMEESKALFKTII
+TYPWFLNSSVILFLNKKDLLEEKIMYSHLISYFPEYTGPKQDVRAARDFILKLYQDQNPD
+KEKVIYSHFTCATDTDNIRFVFAAVKDTI
+>P30679_PF00503_23 <unknown description>
+RVDQEINRILLEQKKQDRGELKLLLLGPGESGKSTFIKQMRIIHGAGYSEEERKGFRPLV
+YQNIFVSMRAMIEAMERLQIPFSRPESKHHASLVMSQDPYKVTTFEKRYAAAMQWLWRDA
+GIRACYERRREFHLLDSAVYYLSHLERITEEGYVPTAQDVLRSRMPTTGINEYCFSVQKT
+NLRIVDVGGQKSERKKWIHCFENVIALIYLASLSEYDQCLEENNQENRMKESLALFGTIL
+ELPWFKSTSVILFLNKTDILEEKIPTSHLATYFPSFQGPKQDAEAAKRFILDMYTRMYTG
+CVDGPEGSKKGARSRRLFSHYTCATDTQNIRKVFKDVRDSV
+>Q96EK6_PF00583_79 <unknown description>
+KKSGDYYVTVVEDVTLGQIVATATLIIEHKFIHSCAKRGRVEDVVVSDECRGKQLGKLLL
+STLTLLSKKLNCYKITLECLPQNVGFYKKFGY
+>P63096_PF00503_15 <unknown description>
+RSKMIDRNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEAGYSEEECKQYKAVVY
+SNTIQSIIAIIRAMGRLKIDFGDSARADDARQLFVLAGAAEEGFMTAELAGVIKRLWKDS
+GVQACFNRSREYQLNDSAAYYLNDLDRIAQPNYIPTQQDVLRTRVKTTGIVETHFTFKDL
+HFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEMNRMHESMKLFDSIC
+NNKWFTDTSIILFLNKKDLFEEKIKKSPLTICYPEYAGSNTYEEAAAYIQCQFEDLNKRK
+DTKEIYTHFTCATDTKNVQFVFDAVTDVI
+>P04899_PF00503_14 <unknown description>
+ERSKMIDKNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEDGYSEEECRQYRAVV
+YSNTIQSIMAIVKAMGNLQIDFADPSRADDARQLFALSCTAEEQGVLPDDLSGVIRRLWA
+DHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQQDVLRTRVKTTGIVETHFTFK
+DLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSAYDLVLAEDEEMNRMHESMKLFDS
+ICNNKWFTDTSIILFLNKKDLFEEKITHSPLTICFPEYTGANKYDEAASYIQSKFEDLNK
+RKDTKEIYTHFTCATDTKNVQFVFDAVTDVI
+>P08754_PF00503_15 <unknown description>
+RSKMIDRNLREDGEKAAKEVKLLLLGAGESGKSTIVKQMKIIHEDGYSEDECKQYKVVVY
+SNTIQSIIAIIRAMGRLKIDFGEAARADDARQLFVLAGSAEEGVMTPELAGVIKRLWRDG
+GVQACFSRSREYQLNDSASYYLNDLDRISQSNYIPTQQDVLRTRVKTTGIVETHFTFKDL
+YFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEMNRMHESMKLFDSIC
+NNKWFTETSIILFLNKKDLFEEKIKRSPLTICYPEYTGSNTYEEAAAYIQCQFEDLNRRK
+DTKEIYTHFTCATDTKNVQFVFDAVTDVI
+>P38405_PF00503_100 <unknown description>
+KVSRGIDRMLRDQKRDLQQTHRLLLLGAGESGKSTIVKQMRILHVNGFNPEEKKQKILDI
+RKNVKDAIVTIVSAMSTIIPPVPLANPENQFRSDYIKSIAPITDFEYSQEFFDHVKKLWD
+DEGVKACFERSNEYQLIDCAQYFLERIDSVSLVDYTPTDQDLLRCRVLTSGIFETRFQVD
+KVNFHMFDVGGQRDERRKWIQCFNDVTAIIYVAACSSYNMVIREDNNTNRLRESLDLFES
+IWNNRWLRTISIILFLNKQDMLAEKVLAGKSKIEDYFPEYANYTVPEDATPDAGEDPKVT
+RAKFFIRDLFLRISTATGDGKHYCYPHFTCAVDTENIRRVFNDCRDII
+>P09471_PF00503_15 <unknown description>
+RSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDGFSGEDVKQYKPVVY
+SNTIQSLAAIVRAMDTLGIEYGDKERKADAKMVCDVVSRMEDTEPFSAELLSAMMRLWGD
+SGIQECFNRSREYQLNDSAKYYLDSLDRIGAADYQPTEQDILRTRVKTTGIVETHFTFKN
+LHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDETTNRMHESLMLFDSI
+CNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYTGPNTYEDAAAYIQAQFESKNRS
+PNKEIYCHMTCATDTNNIQVVFDAVTDII
+>P50148_PF00503_20 <unknown description>
+RINDEIERQLRRDKRDARRELKLLLLGTGESGKSTFIKQMRIIHGSGYSDEDKRGFTKLV
+YQNIFTAMQAMIRAMDTLKIPYKYEHNKAHAQLVREVDVEKVSAFENPYVDAIKSLWNDP
+GIQECYDRRREYQLSDSTKYYLNDLDRVADPAYLPTQQDVLRVRVPTTGIIEYPFDLQSV
+IFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVESDNENRMEESKALFRTII
+TYPWFQNSSVILFLNKKDLLEEKIMYSHLVDYFPEYDGPQRDAQAAREFILKMFVDLNPD
+SDKIIYSHFTCATDTENIRFVFAAVKDTI
+>P63092_PF00503_21 <unknown description>
+EANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAA
+RSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPDF
+DFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRC
+RVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIRED
+NQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTT
+PEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCR
+DII
+>O95467_PF06390_1 <unknown description>
+MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALATSNARAQQRAAAQQ
+RRSFLNAHHRSGAQVFPESPESESDHEHEEADLELSLPECLEYEEEFDYETESETESEIE
+SETDFETEPETAPTTEPETEPEDDRGPVVPKHSTFGQSLTQRLHALKLRSPDASPSRAPP
+STQEPQSPREGEELKPEDKDPRDPEESKEPKEEKQRRRCKPKKPTRRDASPESPSKKGPI
+PIRRH
+>P11488_PF00503_10 <unknown description>
+KHSRELEKKLKEDAEKDARTVKLLLLGAGESGKSTIVKQMKIIHQDGYSLEECLEFIAII
+YGNTLQSILAIVRAMTTLNIQYGDSARQDDARKLMHMADTIEEGTMPKEMSDIIQRLWKD
+SGIQACFERASEYQLNDSAGYYLSDLERLVTPGYVPTEQDVLRSRVKTTGIIETQFSFKD
+LNFRMFDVGGQRSERKKWIHCFEGVTCIIFIAALSAYDMVLVEDDEVNRMHESLHLFNSI
+CNHRYFATTSIVLFLNKKDVFFEKIKKAHLSICFPDYDGPNTYEDAGNYIKVQFLELNMR
+RDVKEIYSHMTCATDTQNVKFVFDAVTDII
+>P19087_PF00503_14 <unknown description>
+KRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDGYSPEECLEFKAII
+YGNVLQSILAIIRAMTTLGIDYAEPSCADDGRQLNNLADSIEEGTMPPELVEVIRRLWKD
+GGVQACFERAAEYQLNDSASYYLNQLERITDPEYLPSEQDVLRSRVKTTGIIETKFSVKD
+LNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDDEVNRMHESLHLFNSI
+CNHKFFAATSIVLFLNKKDLFEEKIKKVHLSICFPEYDGNNSYDDAGNYIKSQFLDLNMR
+KDVKEIYSHMTCATDTQNVKFVFDAVTDII
+>A8MTJ3_PF00503_14 <unknown description>
+KRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNGYSEQECMEFKAVI
+YSNTLQSILAIVKAMTTLGIDYVNPRSAEDQRQLYAMANTLEDGGMTPQLAEVIKRLWRD
+PGIQACFERASEYQLNDSAAYYLNDLDRITASGYVPNEQDVLHSRVKTTGIIETQFSFKD
+LHFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEVNRMHESLHLFNSI
+CNHKYFSTTSIVLFLNKKDIFQEKVTKVHLSICFPEYTGPNTFEDAGNYIKNQFLDLNLK
+KEDKEIYSHMTCATDTQNVKFVFDAVTDII
+>P19086_PF00503_14 <unknown description>
+RRSRRIDRHLRSESQRQRREIKLLLLGTSNSGKSTIVKQMKIIHSGGFNLEACKEYKPLI
+IYNAIDSLTRIIRALAALRIDFHNPDRAYDAVQLFALTGPAESKGEITPELLGVMRRLWA
+DPGAQACFSRSSEYHLEDNAAYYLNDLERIAAADYIPTVEDILRSRDMTTGIVENKFTFK
+ELTFKMVDVGGQRSERKKWIHCFEGVTAIIFCVELSGYDLKLYEDNQTSRMAESLRLFDS
+ICNNNWFINTSLILFLNKKDLLAEKIRRIPLTICFPEYKGQNTYEEAAVYIQRQFEDLNR
+NKETKEIYSHFTCATDTSNIQFVFDAVTDVI
+>Q9BYB4_PF00400_286 <unknown description>
+QPLAVLAFHSAAVQCVAFTADGLLAAGSKDQRISLWS
+>O14775_PF00400_97 <unknown description>
+TRRTLKGHGNKVLCMDWCKDKRRIVSSSQDGKVIVWD
+>O14775_PF00400_227 <unknown description>
+GQLLQSFHGHGADVLCLDLAPSETGNTFVSGGCDKKAMVWD
+>O14775_PF00400_271 <unknown description>
+GQCVQAFETHESDINSVRYYPSGDAFASGSDDATCRLYD
+>O14775_PF00400_329 <unknown description>
+SSVDFSLSGRLLFAGYNDYTINVWD
+>O14775_PF00400_360 <unknown description>
+VSILFGHENRVSTLRVSPDGTAFCSGSWDHTLRVW
+>Q12967_PF00618_116 <unknown description>
+VKAGTLEKLVEHLVPAFQGSDLSYVTIFLCTYRAFTTTQQVLDLLFKRYGRCDALTASSR
+YGCILPYSDEDGGPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLKQL
+>Q12967_PF00617_390 <unknown description>
+VAEQFTLMDAELFKKVVPYHCLGSIWSQRDKKGKEHLAPTIRATVTQFNSVANCVITTCL
+GNRSTKAPDRARVVEHWIEVARECRILKNFSSLYAILSALQSNSIHRLKKTWEDVSRDSF
+RIFQKLSEIFSDENNYSLSRELLIKEGTSKFATLEMNPKRAQKRPKETGIIQGTVPYLGT
+FLTDLVMLDTAMKDYLYGRLINFEKRR
+>Q12967_PF00788_799 <unknown description>
+CCIIRVSLDVDNGNMYKSILVTSQDKAPAVIRKAMDKHNLEEEEPEDYELLQILSDDRKL
+KIPENANVFYAMNSTANYDFVLKKR
+>P36915_PF01926_363 <unknown description>
+IGCVGFPNVGKSSLINGLVGRKVVSVSRTPGHTRYFQTYFLTPSVKLCDCPGLI
+>Q9NVN8_PF01926_254 <unknown description>
+RVGVVGLPNVGKSSLINSLKRSRACSVGAVPGITKFMQEVYLDKFIRLLDAPGIVPGPNS
+EV
+>Q9BVP2_PF08701_16 <unknown description>
+HKRYKIQKKVREHHRKLRKEAKKRGHKKPRKDPGVPNSAPFKEALLREAELRKQRLEELK
+QQQKLDRQKELEK
+>Q9BVP2_PF01926_256 <unknown description>
+RVGVIGFPNVGKSSIINSLKQEQMCNVGVSMGLTRSMQVVPLDKQITIIDSPSFIV
+>Q14749_PF13649_61 <unknown description>
+VLDVACGTGVDSIMLVEEGFSVTSVDASDKMLKYALKERWNRRHEPAFDKWVIEEANWMT
+LDKDVPQSAEGGFDAVICLGNSFAHLPDCKGDQSEHRLALKNIASMVRAGG
+>O15228_PF01553_146 <unknown description>
+KLQRAIQEHPVVLLPSHRSYIDFLMLSFLLYNYDLPVPVIAAGMDFLGMKMVGELLRMSG
+AFFMRRTFGGNKLYWAVFSEYVKTMLRNGYAPVEFFLEGTRSRSAKTLTPKFGLLNIVME
+PFFKREVFDTYLVPISIS
+>O15228_PF19277_293 <unknown description>
+YVYELLGVPKPKESTTGLLKARKILSENFGSIHVYFGDPVSLRSLAAGRMSRSSYNLVPR
+YIPQKQSEDMHAFVTEVAYKMELLQIENMVLSPWTLIVAVLLQNRPSMDFDALVEKTLWL
+KGLTQAFGGFLIWPDNKPAEEVVPASILLHSNIASLVKDQVILKVDSGDSEVVDGLMLQH
+ITLLMCSAYRNQLLNIFVRPSLVAVALQMTPGFRKEDVYSCFRFLRDVFADEFIFLPGNT
+LKDFEEGCYLLCKSEAIQVTTKDILVTEKGNTVLEFLVGLFKPFVESYQIICKYLLSEEE
+DHFSEEQYLAAVRKFTSQLLDQGTSQCYDVLSSDVQKNALAACVRLGVVEKKKINNN
+>P46926_PF01182_17 <unknown description>
+AKYIRNRIIQFNPGPEKYFTLGLPTGSTPLGCYKKLIEYYKNGDLSFKYVKTFNMDEYVG
+LPRDHPESYHSFMWNNFFKHIDIHPENTHILDGNAVDLQAECDAFEEKIKAAGGIELFVG
+GIGPDGHIAFNEPGSSLVSRTRVKTLAMDTILANARFFDGELTKVPTMALTVGVGTVMDA
+REVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQH
+>Q8TDQ7_PF01182_15 <unknown description>
+WAAKYICNRIIQFKPGQDRYFTLGLPTGSTPLGCYKKLIEYHKNGHLSFKYVKTFNMDEY
+VGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDAFENKIKEAGGIDLF
+VGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSKVPTMALTVGVGTVM
+DAREVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQH
+>Q3T906_PF17101_73 <unknown description>
+MPIDVVYTWVNGTDLELLKELQQVREQM
+>Q3T906_PF18440_218 <unknown description>
+VPGLVLMQDLAFLSGFPPTFKETNQLKTKLPENLSSKVKLLQLYSEASVALLKLNNPKDF
+QELNKQTKKNMTIDGKELTISPAYLLWD
+>Q3T906_PF11380_322 <unknown description>
+RFEDNEELRYSLRSIERHAPWVRNIFIVTNGQIPSWLNLDNPRVTIVTHQDVFRNLSHLP
+TFSSPAIESHIHRIEGLSQKFIYLNDDVMFGKDVWPDDFYSHSKGQK
+>Q3T906_PF00066_436 <unknown description>
+PNCAEGCPGSWIKDGYCDKACNNSACDWDGGDCS
+>Q3T906_PF00066_504 <unknown description>
+YCNQGCANSWLADKFCDQACNVLSCGFDAGDC
+>Q3T906_PF06464_700 <unknown description>
+ISLLPKDAQLSLNTLDLQLEHGDITLKGYNLSKSALLRSFLMNSQHAKIKNQAIITDETN
+DSLVAPQEKQVHKSILPNSLGVSERLQRLTFPAVSVKVNGHDQGQNPPLDLETT
+>Q3T906_PF17102_955 <unknown description>
+AHMPHMIDRIVMQELQDMFPEEFDKTSFHKVRHSEDMQFAFSYFYYLMS
+>Q3T906_PF17103_1138 <unknown description>
+DDIRKNPRKFVCLNDNIDHNHKDAQTVKAVLRDFYESMFPIPSQFELPREYRNRFLH
+>Q9UJJ9_PF13015_64 <unknown description>
+LFRLSGKCFSLVESTYKYEFCPFHNVTQHEQTFRWNAYSGILGIWHEWEIANNTFTGMWM
+RDGDACRSRSRQSKVELACGKSNRLAHVSEPSTCVYALTFETPLVCHPHA
+>P30968_PF00001_73 <unknown description>
+LSRMKLLLKHLTLANLLETLIVMPLDGMWNITVQWYAGELLCKVLSYLKLFSMYAPAFMM
+VVISLDRSLAITRPLALKSNSKVGQSMVGLAWILSSVFAGPQLYIFRMIHLADSSGQTKV
+FSQCVTHCSFSQWWHQAFYNFFTFSCLFIIPLFIMLICNAKIIFTLTRVLHQDPHELQLN
+QSKNNIPRARLKTLKMTVAFATSFTVCWTPYYVLGIWYWFDPEMLNRLSDPVNHFFFLFA
+FLNPCFDPLIY
+>P15586_PF00884_47 <unknown description>
+PNVVLLLTDDQDEVLGGMTPLKKTKALIGEMGMTFSSAYVPSALCCPSRASILTGKYPHN
+HHVVNNTLEGNCSSKSWQKIQEPNTFPAILRSMCGYQTFFAGKYLNEYGAPDAGGLEHVP
+LGWSYWYALEKNSKYYNYTLSINGKARKHGENYSVDYLTDVLANVSLDFLDYKSNFEPFF
+MMIATPAPHSPWTAAPQYQKAFQNVFAPRNKNFNIHGTNKHWLIRQAKTPMTNSSIQFLD
+NAFRKRWQTLLSVDDLVEKLVKRLEFTGELNNTYIFYTSDNGYHTGQFSLPIDKRQLYEF
+DIKVPLLVRGPGIKPNQTSKMLVANIDLGPTILDIAGY
+>Q8N0V5_PF02485_95 <unknown description>
+LAYIMVIHHHFDTFARLFRAIYMPQNIYCVHVDEKATTEFKDAVEQLLSCFPNAFLASKM
+EPVVYGGISRLQADLNCIRDLSAFEVSWKYVINTCGQDFPLKTNKEIVQYLKGFKGKNIT
+PGVLPPAHAIGRTKYVHQEHLGKELSYVIRTTALKPPPPHNLTIYFGSAYVALSREFANF
+VLHDPRAVDLLQWSKDTFSPDEHFWVTLNRIPGVPGSMPNASWTGNLRAIKWSDMEDRHG
+GCHGHYVHGICIYGNGDLKWL
+>Q5T6J7_PF01202_13 <unknown description>
+SGSGKSTVGALLASELGWKFYDADDYHPEENRRKMGKGIPLNDQDRIPWLCNLHDILLRD
+VASGQRVVLACSALKKTYRDILTQGKDGVALKCEESGKEAKQAEMQLLVVHLSGSFEVIS
+GRLLKREGHFMP
+>Q9H2G9_PF08227_181 <unknown description>
+AREKNQLILENEALGRNTAQLSEQLERMSIQCDVWRSKFLASRVMA
+>Q9NYA3_PF15070_217 <unknown description>
+QVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLS
+ELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQK
+QRLQEQEEMLREQEAQRVREQERL
+>Q9NYA3_PF15070_468 <unknown description>
+ELQEKLDEEHLEAASHQNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLES
+REATSSFM
+>Q9NYA3_PF15070_533 <unknown description>
+SFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRL
+AQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQ
+>A6NDN3_PF15070_217 <unknown description>
+QVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLS
+ELKNQMAKPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQK
+QRLQEQEEMLREQEVQRVREQER
+>A6NDN3_PF15070_468 <unknown description>
+ELQEKLDEEHLEAASQQNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLES
+REATSSFM
+>A6NDN3_PF15070_533 <unknown description>
+SFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRL
+AQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQ
+>A6NDK9_PF15070_217 <unknown description>
+QVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLS
+ELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQK
+QRLQEQEEMLREQEAQRVREQERL
+>A6NDK9_PF15070_468 <unknown description>
+ELQEKLDEEHLEAASQRNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLES
+REATSSFM
+>A6NDK9_PF15070_533 <unknown description>
+SFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRL
+AQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQ
+>P0CG33_PF15070_217 <unknown description>
+QVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLS
+ELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQK
+QRLQEQEEMLREQEAQRVREQERL
+>P0CG33_PF15070_468 <unknown description>
+ELQEKLDEEHLEAASQRNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLES
+REATSSFM
+>P0CG33_PF15070_533 <unknown description>
+SFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRL
+AQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQ
+>Q2TAP0_PF10256_21 <unknown description>
+VFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLNGFYAEAEKIGGSSYLEGCLA
+CATAYFIFLCMETHYEKVLKKISRYIQEQNEKIFAPRGLLLTDPVERGMRVIE
+>A7E2F4_PF15070_218 <unknown description>
+QLKESLKEVQLERDQYAEQIKGERAQWQQRMRKMSQEVCTLKEEKKHDTHRVEELERSLS
+RLKNQMAEPLPPDAPAVSSEVELQDLRKELERVAGELQAQVENNQCISLLNRGQKERLRE
+QEERLQEQQERLREREKRLQQL
+>A7E2F4_PF19046_558 <unknown description>
+SSQDNPTAQPVVQLLGEMQDHQEHPGLGSNCCVPCFCWAWLPRRRR
+>A8MQT2_PF15070_218 <unknown description>
+QLKESLKEVQLERDQYAEQIKGERAQWQQRMRKMSQEVCTLKEEKKHDTHRVEELERSLS
+RLKNQMAEPLPPDAPAVSSEVELQDLRKELERVAGELQAQVENNQCISLLNRGQKERLRE
+QEERLQEQQERLREREKRLQQL
+>A8MQT2_PF19046_558 <unknown description>
+SSQDNPTAQPVLQLLGEMQDHQEHPGLGSNCCVPCFCWAWLPRRRR
+>Q08AF8_PF15070_227 <unknown description>
+QLKESLKEVQLERDEYAEHLKGERARWQQRMRKMSQEVCSLKKEKKHDKYRVEKLERSLS
+KLKHQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVEYNQRISLLNEGQKERLRE
+QEERLQEQQERLPEQ
+>Q08AF8_PF15070_380 <unknown description>
+NENKSVLQLEQQVKELQEKLGKERLEAASQQKQQLTAQLSLMALPGEGDGGGHLDSEGEE
+APRPIPSIPQDLESREAMSGFMDH
+>Q08AF8_PF19046_597 <unknown description>
+SPHDNPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>P0CJ92_PF15070_226 <unknown description>
+QLKESFQQVQLERDECAEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKKNQRISLLNQRQEERIQE
+QEERLRKQEERIQEQHKSLQQL
+>P0CJ92_PF15070_377 <unknown description>
+PNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEG
+EEAPRPMPSVPEDPESREAMSSFMDHL
+>P0CJ92_PF19046_596 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPLLCWAWLPRRRR
+>A6NMD2_PF15070_226 <unknown description>
+QFKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKNNQRISLLNQRQEERIRE
+QEERLRKQEERIQEQHKSLQQL
+>A6NMD2_PF15070_370 <unknown description>
+PQSVFKEPNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGG
+EHLDSEGEEAPRPMPSVPEDPESREAMSSFMDHL
+>A6NMD2_PF19046_596 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPFLCWAWLPRRRR
+>D6RF30_PF15070_226 <unknown description>
+QLKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKKNQRISLLNQRQEERIQE
+QEERLRKQEERIQEQHKSLQQL
+>D6RF30_PF15070_377 <unknown description>
+PNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGEHLDSEGE
+EAPQPMPSVPEDLESREAMSSFMDHL
+>D6RF30_PF19046_594 <unknown description>
+DKPTAQPIVQDHKEHPGLGSNCCVPLFCWAWLPRRRR
+>H3BSY2_PF15070_226 <unknown description>
+QLKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKNNQRISLLNQRQEERIRE
+QEERLRKQEERIQEQHKSLQQL
+>H3BSY2_PF15070_377 <unknown description>
+PNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEG
+EEAPQPMPSVPEDPESREAMSSFMDHLEEKADLSELVKKQELRF
+>H3BSY2_PF19046_596 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>A6NCC3_PF15070_226 <unknown description>
+QLKESFQQLQLERDECAEHIEGERARWHQRMSKMSQEICTLKKEKQQDMRRVEELERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIRE
+QEERLRKQEERLQEQHEKLR
+>A6NCC3_PF15070_361 <unknown description>
+HEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLM
+ALPGEGHGGEHLDSEGEEAPRPMPSVPEDPESREAMSSFMDHLKEKADLSELVKKQEL
+>A6NCC3_PF19046_596 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>H3BV12_PF15070_226 <unknown description>
+QLKESFQQLQLERDECAEHIEGERARWHQRMSKMLQEICTLKKEKQQDMRRVEELERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIRE
+QEERLRKQEERLQEQHEKLR
+>H3BV12_PF15070_361 <unknown description>
+HEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLNLM
+ALPGEGHGGEHLDSEGEEAPQPMPSVPEDLESREAMSSFMDHLKEKADLSELVKKQEL
+>H3BV12_PF19046_596 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>I6L899_PF15070_226 <unknown description>
+QLKESFQQLQLERDECAEHIEGERARWHQRMSKMSQEICTLKKEKQDMRWVEQLEWSLSK
+LKNQTAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIREQ
+EERLRKQEERLQEQHEKLR
+>I6L899_PF15070_360 <unknown description>
+HEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEVASQQNQQLTAQLSLM
+ALPGEGHGGEHLDSEGEEAPQPMPSVPEDPESREAMSSFMDHLKEKADLSELLKKQELRF
+IQYWQE
+>I6L899_PF19046_595 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>H3BPF8_PF15070_239 <unknown description>
+QLKESLKEVQLERDEYAEHLKGERARWQQRMRKMSQEVCSLKKEKKHDKYRVETLERSLS
+KLKNQMAEPLPPEPPAVPSEAELQHLRKELERVAGALQAQVEYNQRISLLNEGQKERLRE
+QQERLPEQEE
+>H3BPF8_PF15070_392 <unknown description>
+QLEQQVKELQEKLGKERLEAASQQKQQLTAQLSLMALPGEGDGGGHLDSEGEEAPRPIPS
+IPQDLESREAMSSFMDHLEEKADLSELVKKQELR
+>H3BPF8_PF19046_602 <unknown description>
+DKPTAQPIVQDHQEHPGLGNNCCVPFFCWAWLPRRRR
+>H3BQL2_PF15070_226 <unknown description>
+QLKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEELERSLS
+KLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKKNQRISLLNQRQEERIQE
+QEERLRKQEERIQEQHKSLQQL
+>H3BQL2_PF15070_377 <unknown description>
+PNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEG
+EEAPQPMPSVPEDLESREAMSSFMDHL
+>H3BQL2_PF19046_595 <unknown description>
+DKPTAQPIVQDHQEHPGLGSNCCVPFLCWAWLPRRRR
+>Q92805_PF01465_692 <unknown description>
+EINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENM
+>Q08379_PF15070_408 <unknown description>
+QVMESVRQLQMERDKYAENLKGESAMWRQRMQQMSEQVHTLREEKECSMSRVQELETSLA
+ELRNQMAEPPPPEPPAGPSEVEQQLQAEAEHLRKELEGLAGQLQAQVQDNEGLSRLNREQ
+EERLLELERAAELWGEQAEARRQILETMQNDRTTISRALSQNRELKEQLAELQSGFVKLT
+NENMEITSALQSEQHVKRELGKKLGELQEKLSELKETVELKSQEAQSLQQQRDQYLGHLQ
+QYVAAYQQLTSEKEVLHNQLLLQTQLVDQLQQQEAQGKAVAEMARQELQETQERLEAATQ
+QNQQLRAQLSLMAHPGEGDGLDREEEEDEEEEEEEAVAVPQPMPSIPEDLESREAMVAFF
+NSAVASAEEEQARLRGQLKEQRVRCRRLAHLLASAQKEPEAAAPAPGTGGDSVCGETHRA
+LQGAMEKLQSRFMELMQEKADLKERVEELEHRCIQLSGETDTIGEYIALYQSQRAVLKER
+HREKEEYISRLAQDKEEMKVKLLELQELVLRLVGDRNEWHGRFLAAAQ
+>Q08379_PF19046_980 <unknown description>
+SPRDNPTAQQIMQLLREMQNPRERPGLGSNPCIPFFYRADENDEVK
+>Q13439_PF01465_2173 <unknown description>
+TEFEYLRKVLFEYMMGRETKTMAKVITTVLKFPDDQTQKI
+>Q8TBA6_PF09787_420 <unknown description>
+NLESSKQELIDYKQKATRILQSKEKLINSLKEGSGFEGLDSSTASSMELEELRHEKEMQR
+EEIQKLMGQIHQLRSELQDMEAQQVNEAESAREQLQDLHDQIAGQKASKQELETELERLK
+QEFHYIEEDLYRTKNTLQSRIKDRDEEIQKLRNQLTNKTLSNSSQSELENRLHQLTETLI
+QKQTMLESLSTEKNSLVFQLERLEQQMNSASGSSSNGSSINMSGIDNGEGTRLRNVPVLF
+NDTETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTY
+TPEMH
+>Q7Z5G4_PF10256_12 <unknown description>
+VFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKLGGQSYLEGCLA
+CLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGLRVIE
+>Q86XS8_PF02225_87 <unknown description>
+LGCDPQTRFFVPPNIKQWIALLQRGNCTFKEKISRAAFHNAVAVVIYNNKSKEEPVTMTH
+PGTGDIIAVMITELRGKD
+>Q86XS8_PF13639_263 <unknown description>
+HCAVCIESYKQNDVVRILPCKHVFHKSCVDPWLSEHCTCPMCK
+>Q9H4A6_PF05719_62 <unknown description>
+LMEEVLLLGLKDREGYTSFWNDCISSGLRGCMLIELALRGRLQLEACGMRRKSLLTRKVI
+CKSDAPTGDVLLDEALKHVKETQPPETVQNWIELLSGETWNPLKLHYQLRNVRERLAKNL
+VEKGVLTTEKQNFLLFDMTTHPLTNNNIKQRLIKKVQEAVLDKWVNDPHRMDRRLLALIY
+LAHASDVLENAFAPLLDEQYDLATKRVRQLLD
+>P01148_PF00446_24 <unknown description>
+QHWSYGLRPG
+>O43555_PF00446_24 <unknown description>
+QHWSHGWYPG
+>Q3T8J9_PF02671_1648 <unknown description>
+KYEDFLQVIYEFESSTQRRTAVDLYKSLQILLQDWPQLLKDFAAFL
+>Q9BXV9_PF15387_3 <unknown description>
+LLGEYVGQEGKPQKLRVSCEAPGDGDPFQGLLSGVAQMKDMVTELFDPLVQGEVQHRVAA
+APDEDLDGDDEDDAEDENNIDNRTNFDGPSAKRPKT
+>Q9HD26_PF00595_290 <unknown description>
+LLLKEDHEGLGISITGGKEHGVPILISEIHPGQPADRCGGLHVGDAILAVNGVNLRDTKH
+KEAVTILSQQRGEIEFEV
+>Q5T7V8_PF04949_139 <unknown description>
+ELQEKSRWEVLQQEQRLMEEKNKRKKALLAKAIAERSKRTQAETMKLKRIQKELQALDDM
+VSADIGILRNRIDQASLDYSYARKRFDRAEAEYIAAKLDIQRKTEIKEQLTEHLCTIIQQ
+NELRKAKKLEELMQQLD
+>Q9BQQ3_PF04495_69 <unknown description>
+LEVFNMKTMRVREVEVVPSNMWGGQGLLGASVRFCSFRRASEQVWHVLDVEPSSPAALAG
+LRPYTDYVVGSDQILQESEDFFTLIESHEGKPLKLMVYNSKSDSCREVTVTPNAAWGGEG
+SLGCGIGYGYLHRIPT
+>Q9H8Y8_PF04495_71 <unknown description>
+IYSSKTLELRETSVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVESNSPAALAGLR
+PHSDYIIGADTVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITPNSAWGGEGSL
+GCGIGYGYLHRIPT
+>O14653_PF12352_124 <unknown description>
+LQFNSSLQKVHNGMDDLILDGHNILDGLRTQRLTLKGTQKKILDIANMLGLSNTVMRLIE
+KR
+>Q6ZVE7_PF04178_14 <unknown description>
+ITGFGIFFILFGTLLYFDSVLLAFGNLLFLTGLSLIIGLRKTFWFFFQRHKLKGTSFLLG
+GVVIVLLRWPLLGMFLETYGFFSLFKGFFPVAFGFLG
+>Q9Y3E0_PF04178_14 <unknown description>
+LTGFGVFFLFFGMILFFDKALLAIGNVLFVAGLAFVIGLERTFRFFFQKHKMKATGFFLG
+GVFVVLIGWPLIGMIFEIYGFFLLFRGFFPVVVGFIRRVP
+>Q96P66_PF00001_48 <unknown description>
+GNIVLALVLQRKPQLLQVTNRFIFNLLVTDLLQISLVAPWVVATSVPLFWPLNSHFCTAL
+VSLTHLFAFASVNTIVVVSVDRYLSIIHPLSYPSKMTQRRGYLLLYGTWIVAILQSTPPL
+YGWGQAAFDERNALCSMIWGASPSYTILSVVSFIVIPLIVMIACYSVVFCAARRQHALLY
+NVKRHSLEVRVKDCVENEDEEGAEKKEEFQDESEFRRQHEGEVKAKEGRMEAKDGSLKAK
+EGSTGTSESSVEARGSEEVRESSTVASDGSMEGKEGSTKVEENSMKADKGRTEVNQCSID
+LGEDDMEFGEDDINFSEDDVEAVNIPESLPPSRRNSNSNPPLPRCYQCKAAKVIFIIIFS
+YVLSLGPYCFLAVLAVWVDVETQVPQWVITIIIWLFFLQCCIHPYVY
+>Q5VW38_PF06814_216 <unknown description>
+DQEGLYSLYFHKCLGKELPSDKFTFSLDIEITEKNPDSYLSAGEIPLPKLYISMAFFFFL
+SGTIWIHILRKRRNDVFKIHWLMAALPFTKSLSLVFHAIDYHYISSQGFPIEGWAVVYYI
+THLLKGALLFITIALIGTGWAFIKHILSDKDKKIFMIVIPLQVLANVAYIIIESTEEGTT
+EYGLWKDSLFLVDLLCCGAILFPVVWSIRHLQEASATDGKAAINLAKLKLFRHYYVLIVC
+YIYFTRIIAFLLKLAVPFQWKWLYQLLDETATLVFFVLTGYKFRPASDNP
+>Q9NPR9_PF06814_215 <unknown description>
+AEEGQYSLNFHNCNNSVPGKEHPFDITVMIREKNPDGFLSAAEMPLFKLYMVMSACFLAA
+GIFWVSILCRNTYSVFKIHWLMAALAFTKSISLLFHSINYYFINSQGHPIEGLAVMYYIA
+HLLKGALLFITIALIGSGWAFIKYVLSDKEKKVFGIVIPMQVLANVAYIIIESREEGASD
+YVLWKEILFLVDLICCGAILFPVVWSIRHLQDASGTDGKVAVNLAKLKLFRHYYVMVICY
+VYFTRIIAILLQVAVPFQWQWLYQLLVEGSTLAFFVLTGYKFQPTGNNP
+>Q8TDV5_PF00001_22 <unknown description>
+NTLVAVAVLLLIHKNDGVSLCFTLNLAVADTLIGVAISGLLTDQLSSPSRPTQKTLCSLR
+MAFVTSSAAASVLTVMLITFDRYLAIKQPFRYLKIMSGFVAGACIAGLWLVSYLIGFLPL
+GIPMFQQTAYKGQCSFFAVFHPHFVLTLSCVGFFPAMLLFVFFYCDMLKIASMHSQQIRK
+MEHAGAMAGGYRSPRTPSDFKALRTVSVLIGSFALSWTPFLITGIVQVACQECHLYLVLE
+RYLWLLGVGNSLLNPLIY
+>Q9UNW8_PF00001_60 <unknown description>
+NCLTAWLALLQVLQGNVLAVYLLCLALCELLYTGTLPLWVIYIRNQHRWTLGLLACKVTA
+YIFFCNIYVSILFLCCISCDRFVAVVYALESRGRRRRRTAILISACIFILVGIVHYPVFQ
+TEDKETCFDMLQMDSRIAGYYYARFTVGFAIPLSIIAFTNHRIFRSIKQSMGLSAAQKAK
+VKHSAIAVVVIFLVCFAPYHLVLLVKAAAFSYYRGDRNAMCGLEERLYTASVVFLCLSTV
+NGVADPIIY
+>Q8IZ08_PF00001_120 <unknown description>
+GNCAVMGVIVKHRQLRTVTNAFILSLSLSDLLTALLCLPAAFLDLFTPPGGSAPAAAAGP
+WRGFCAASRFFSSCFGIVSTLSVALISLDRYCAIVRPPREKIGRRRALQLLAGAWLTALG
+FSLPWELLGAPRELAAAQSFHGCLYRTSPDPAQLGAAFSVGLVVACYLLPFLLMCFCHYH
+ICKTVRLSDVRVRPVNTYARVLRFFSEVRTATTVLIMIVFVICCWGPYCFLVLLAAARQA
+QTMQAPSLLSVVAVWLTWANGAINPVIY
+>Q6DWJ6_PF00001_47 <unknown description>
+TVIILSQLVARRQKSSYNYLLALAAADILVLFFIVFVDFLLEDFILNMQMPQVPDKIIEV
+LEFSSIHTSIWITVPLTIDRYIAVCHPLKYHTVSYPARTRKVIVSVYITCFLTSIPYYWW
+PNIWTEDYISTSVHHVLIWIHCFTVYLVPCSIFFILNSIIVYKLRRKSNFRLRGYSTGKT
+TAILFTITSIFATLWAPRIIMILYHLYGAPIQNRWLVHIMSDIANMLALLNTAINFFLY
+>Q7Z602_PF00001_36 <unknown description>
+ISILFLLVKMNTRSVTTMAVINLVVVHSVFLLTVPFRLTYLIKKTWMFGLPFCKFVSAML
+HIHMYLTFLFYVVILVTRYLIFFKCKDKVEFYRKLHAVAASAGMWTLVIVIVVPLVVSRY
+GIHEEYNEEHCFKFHKELAYTYVKIINYMIVIFVIAVAVILLVFQVFIIMLMVQKLRHSL
+LSHQEFWAQLKNLFFIGVILVCFLPYQFFRIYYLNVVTHSNACNSKVAFYNEIFLS
+>P51810_PF02101_1 <unknown description>
+MASPRLGTFCCPTRDAATQLVLSFQPRAFHALCLGSGGLRLALGLLQLLPGRRPAGPGSP
+ATSPPASVRILRAAAACDLLGCLGMVIRSTVWLGFPNFVDSVSDMNHTEIWPAAFCVGSA
+MWIQLLYSACFWWLFCYAVDAYLVIRRSAGLSTILLYHIMAWGLATLLCVEGAAMLYYPS
+VSRCERGLDHAIPHYVTMYLPLLLVLVANPILFQKTVTAVASLLKGRQGIYTENERRMGA
+VIKIRFFKIMLVLIICWLSNIINESLLFYLEMQTDINGGSLKPVRTAAKTTWFIMGILNP
+AQGFLLSLAFYGWTGCSLGFQSPRKEIQWESLTTSAAEGAHPSPLMPHENPASGKVSQVG
+GQTSDEALSMLSEGSDASTIEIHTASESCNKNEGD
+>Q96CH1_PF00001_45 <unknown description>
+NALLVLANLHSKASMTMPDVYFVNMAVAGLVLSALAPVHLLGPPSSRWALWSVGGEVHVA
+LQIPFNVSSLVAMYSTALLSLDHYIERALPRTYMASVYNTRHVCGFVWGGALLTSFSSLL
+FYICSHVSTRALECAKMQNAEAADATLVFIGYVVPALATLYALVLLSRVRREDTPLDRDT
+GRLEPSAHRLLVATVCTQFGLWTPHYLILLGHTVIISRGKPVDAHYLGLLHFVKDFSKLL
+AFSSSFVTPLLY
+>Q8TDV2_PF00001_69 <unknown description>
+LLLVTILRNQRLRQEPHYLLPANILLSDLAYILLHMLISSSSLGGWELGRMACGILTDAV
+FAACTSTILSFTAIVLHTYLAVIHPLRYLSFMSHGAAWKAVALIWLVACCFPTFLIWLSK
+WQDAQLEEQGASYILPPSMGTQPGCGLLVIVTYTSILCVLFLCTALIANCFWRIYAEAKT
+>Q8NGU9_PF00001_78 <unknown description>
+KRRKMDFLLVQLALADLYACGGTALSQLAWELLGEPRAATGDLACRFLQLLQASGRGASA
+HLVVLIALERRRAVRLPHGRPLPARALAALGWLLALLLALPPAFVVRGDSPSPLPPPPPP
+TSLQPGAPPAARAWPGERRCHGIFAPLPRWHLQVYAFYEAVAGFVAPVTVLGVACGHLLS
+VWWRHRPQAPAAAAPWSASPGRAPAPSALPRAKVQSLKMSLLLALLFVGCELPYFAARLA
+AAWSSGPAGDWEGEGLSAALRVVAMANSALNPFVY
+>Q8TDV0_PF00001_53 <unknown description>
+GNLCVIGILLHNAWKGKPSMIHSLILNLSLADLSLLLFSAPIRATAYSKSVWDLGWFVCK
+SSDWFIHTCMAAKSLTIVVVAKVCFMYASDPAKQVSIHNYTIWSVLVAIWTVASLLPLPE
+WFFSTIRHHEGVEMCLVDVPAVAEEFMSMFGKLYPLLAFGLPLFFASFYFWRAYDQCKKR
+GTKTQNLRNQIRSKQVTVMLLSIAIISALLWLPEWVAWLWVWHLKAAGPAPPQGFIALSQ
+VLMFSISSANPLIF
+>Q8TDT2_PF00001_64 <unknown description>
+TRLALLLLSLALSDFLFLAAAAFQILEIRHGGHWPLGTAACRFYYFLWGVSYSSGLFLLA
+ALSLDRCLLALCPHWYPGHRPVRLPLWVCAGVWVLATLFSVPWLVFPEAAVWWYDLVICL
+DFWDSEELSLRMLEVLGGFLPFLLLLVCHVLTQATACRTCHRQQQPAACRGFARVARTIL
+SAYVVLRLPYQLAQLLYLAFLWDVYSGYLLWEALVYSDYLILLNSCLSPFL
+>Q6NV75_PF00001_29 <unknown description>
+ILSVGAKQKKWKPLEFLLCTLAATHMLNVAVPIATYSVVQLRRQRPDFEWNEGLCKVFVS
+TFYTLTLATCFSVTSLSYHRMWMVCWPVNYRLSNAKKQAVHTVMGIWMVSFILSALPAVG
+WHDTSERFYTHGCRFIVAEIGLGFGVCFLLLVGGSVAMGVICTAIALFQTLAVQVGRQAD
+RRAFTVPTIVVEDAQGKRRSSIDGSEPAKTSLQTTGLVTTIVFIYDCLMGFPVLVVSFSS
+LRADASAPWMALCVLWCSVAQALL
+>Q7Z3F1_PF03547_45 <unknown description>
+ALLECFGIVLCGYIAGRANVITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWSFLY
+SILIAKASVFFIVCVLTLLVASPDSRFSKAGLFPIFATQSNDFALGYPIVEALYQTTYPE
+YLQYIYLVA
+>Q7Z3F1_PF03547_210 <unknown description>
+GLLRVLQNPIVFMVFIGIAFNFILDRKVPVYVENFLDGLGNSFSGSALFYLGLTMVGKIK
+RLKKSAFVVLILLITAKLLVLPLLCREMVELLDKGDSVVNHTSLSNYAFLYGVFPVAPGV
+AIFATQFNMEVEIITSGMVISTFVSAPIMYV
+>Q7Z3F1_PF00610_767 <unknown description>
+VKERRCGAKTSAGTFCGCDLVSWLIEVGLASDRGEAVIYGDRLVQGGVIQHITNEYEFRD
+EYLFYRF
+>Q8NFN8_PF00003_49 <unknown description>
+LLGIVWTFLSCGLLLILFFLAFTIHCRKNRIVKMSSPNLNIVTLLGSCLTYSSAYLFGIQ
+DVLVGSSMETLIQTRLSMLCIGTSLVFGPILGKSWRLYKVFTQRVPDKRVIIKDLQLLGL
+VAALLMADVILLMTWVLTDPIQCLQILSVSMTVTGKDVSCTSTSTHFCASRYSDVWIALI
+WGCKGLLLLYGAYLAGLTGHVSSPPVNQSLTIMVGVNLLVLAAGLLFVVTRYLHSWPNLV
+FGLTSGGIFVCTTTINCFIFIP
+>Q5UAW9_PF00002_20 <unknown description>
+LSCALSALGSGLLVATHALWPDLRSRARRLLLFLSLADLLSAASYFYGVLQNFAGPSWDC
+VLQGALSTFANTSSFFWTVAIALYLYLSIVRAARGPRTDRLLWAFHVVSWGVPLVITVAA
+VALKKIGYDASDVSVGWCWIDLEAKDHVLWMLLTGKLWEMLAYVLLPLLYLLVRKHINRA
+HTALSEYRPILSQEHRLLRHSSMADKKLVLIPLIFIGLRVWSTVRFVLTLCGSPAVQTPV
+LVVLHGIGNTFQG
+>Q5T848_PF00003_414 <unknown description>
+KYLRLAIISFQALCMLLDFVSMLVVYHFRKAKSIRASGLILLETILFGSLLLYFPVVILY
+FEPSTFRCILLRWARLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVI
+LLVVFWFLIGWTSSVCQNLEKQISLIGQGKTSDHLIFNMCLIDRWDYMTAVAEFLFLLWG
+VYLCYAVRTVPSAFHEPRYMAVAVHNELIISAIFHTIRFVLASRLQSDWMLMLYFAHTHL
+TVTVTIGLLLIPK
+>Q6UWK7_PF15854_1 <unknown description>
+MRLLVLSSLLCILLLCFSIFSTEGKRRPAKAWSGRRTRLCCHRVPSPNSTNLKGHHVRLC
+KPCKLEPEPRLWVVPGALPQ
+>Q8N6U8_PF00001_44 <unknown description>
+GNLVIVVTLYKKSYLLTLSNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFS
+ALLYLLISSASMLTLGVIAIDRYYAVLYPMVYPMKITGNRAVMALVYIWLHSLIGCLPPL
+FGWSSVEFDEFKWMCVAAWHREPGYTAFWQIWCALFPFLVMLVCYGFIFRVARVKARKVH
+CGTVVIVEEDAQRTGRKNSSTSTSSSGSRRNAFQGVVYSANQCKALITILVVLGAFMVTW
+GPYMVVIASEALWGKSSVSPSLETWATWLSFASAVCHPLIY
+>Q16538_PF00001_31 <unknown description>
+NAWIILSISAKQQKHKPLELLLCFLAGTHILMAAVPLTTFAVVQLRRQASSDYDWNESIC
+KVFVSTYYTLALATCFTVASLSYHRMWMVRWPVNYRLSNAKKQALHAVMGIWMVSFILST
+LPSIGWHNNGERYYARGCQFIVSKIGLGFGVCFSLLLLGGIVMGLVCVAITFYQTLWARP
+RRARQARRVGGGGGTKAGGPGALGTRPAFEVPAIVVEDARGKRRSSLDGSESAKTSLQVT
+NLVSAIVFLYDSLTGVPILVVSF
+>O14626_PF00001_34 <unknown description>
+FATWAFIQKNTNHRCVSIYLINLLTADFLLTLALPVKIVVDLGVAPWKLKIFHCQVTACL
+IYINMYLSIIFLAFVSIDRCLQLTHSCKIYRIQEPGFAKMISTVVWLMVLLIMVPNMMIP
+IKDIKEKSNVGCMEFKKEFGRNWHLLTNFICVAIFLNFSAIILISNCLVIRQLYRNKDNE
+NYPNVKKALINILLVTTGYIICFVPYHIVRIPYTLSQTEVITDCSTRISLFKAKEATLLL
+AVSNLCFDPILY
+>Q9NS66_PF00001_39 <unknown description>
+GNAILSLLVLKERALHKAPYYFLLDLCLADGIRSAVCFPFVLASVRHGSSWTFSALSCKI
+VAFMAVLFCFHAAFMLFCISVTRYMAIAHHRFYAKRMTLWTCAAVICMAWTLSVAMAFPP
+VFDVGTYKFIREEDQCIFEHRYFKANDTLGFMLMLAVLMAATHAVYGKLLLFEYRHRKMK
+PVQMVPAISQNWTFHGPGATGQAAANWIAGFGRGPMPPTLLGIRQNGHAASRRLLGMDEV
+KGEKQLGRMFYAITLLFLLLWSPYIVACYWRVFVKACAVPHRYLATAVWMSFAQAAVNPI
+V
+>Q9BXC1_PF00001_36 <unknown description>
+GNILALWVFYGYMKETKRAVIFMINLAIADLLQVLSLPLRIFYYLNHDWPFGPGLCMFCF
+YLKYVNMYASIYFLVCISVRRFWFLMYPFRFHDCKQKYDLYISIAGWLIICLACVLFPLL
+RTSDDTSGNRTKCFVDLPTRNVNLAQSVVMMTIGELIGFVTPLLIVLYCTWKTVLSLQDK
+YPMAQDLGEKQKALKMILTCAGVFLICFAPYHFSFPLDFLVKSNEIKSCLARRVILIFHS
+VALCLASLNSCLDPVIY
+>Q14439_PF00001_58 <unknown description>
+GNFMVLWSTCRTTVFKSVTNRFIKNLACSGICASLVCVPFDIILSTSPHCCWWIYTMLFC
+KVVKFLHKVFCSVTILSFPAIALDRYYSVLYPLERKISDAKSRELVMYIWAHAVVASVPV
+FAVTNVADIYATSTCTEVWSNSLGHLVYVLVYNITTVIVPVVVVFLFLILIRRALSASQK
+KKVIIAALRTPQNTISIPYASQREAELHATLLSMVMVFILCSVPYATLVVYQTVLNVPDT
+SVFLLLTAVWLPKVSLLANPVL
+>Q86V85_PF10192_143 <unknown description>
+DIPFEMVLLNPDAEGNPFDHFSAGESGLHEFFFLLVLVYFVIACIYAQSLWQAIKKGGPM
+HMILKVLTTALLLQAGSALANYIHFSSYSKDGIGVPFMGSLAEFFDIASQIQMLYLLLSL
+CMGWTIVRMKKSQSRPLQWDSTPASTGIAVFIVMTQSVLLLWEQFEDISHHSYHSHHNLA
+GILLIVLRICLALSLGCGLYQIITVERSTLKREFYITFAKGCILWFLCHPVLACISVIFS
+DYQRDKVITIGVILCQSVSMVIL
+>O15218_PF00001_70 <unknown description>
+ENLLVICVNWRGSGRAGLMNLYILNMAIADLGIVLSLPVWMLEVTLDYTWLWGSFSCRFT
+HYFYFVNMYSSIFFLVCLSVDRYVTLTSASPSWQRYQHRVRRAMCAGIWVLSAIIPLPEV
+VHIQLVEGPEPMCLFMAPFETYSTWALAVALSTTILGFLLPFPLITVFNVLTACRLRQPG
+QPKSRRHCLLLCAYVAVFVMCWLPYHVTLLLLTLHGTHISLHCHLVHLLYFFYDVIDCFS
+MLHCVINPILY
+>P32249_PF00001_48 <unknown description>
+GNLLALVVIVQNRKKINSTTLYSTNLVISDILFTTALPTRIAYYAMGFDWRIGDALCRIT
+ALVFYINTYAGVNFMTCLSIDRFIAVVHPLRYNKIKRIEHAKGVCIFVWILVFAQTLPLL
+INPMSKQEAERITCMEYPNFEETKSLPWILLGACFIGYVLPLIIILICYSQICCKLFRTA
+KQNPLTEKSGVNKKALNTIILIIVVFVLCFTPYHVAIIQHMIKKLRFSNFLECSQRHSFQ
+ISLHFTVCLMNFNCCMDPFIY
+>P07359_PF01462_19 <unknown description>
+ICEVSKVASHLEVNCDKRNLTALPPDLP
+>P07359_PF13855_116 <unknown description>
+PALTVLDVSFNRLTSLPLGALRGLGELQELYLKGNELKTLPPGLLTPTPKLEKLSLANN
+>P13224_PF01463_116 <unknown description>
+LRCVAPPALRGRLLPYLAEDEL
+>P55259_PF00100_226 <unknown description>
+CGPREIKVKVDKCLLGGLGLGEEVIAYLRDPNCSSILQTEERNWVSVTSPVQASACRNIL
+ERNQTHAIYKNTLSLVNDFIIRDTILNINFQCAYPLDMKVSLQAALQPIVSSLNVSVDGN
+GEFIVRMALFQDQNYTNPYEGDAVELSVESVLYVGAILEQGDTSRFNLVLRNCYATPTED
+KADLVKYFIIRNSCSNQRDSTIHVEENGQSSESRFSVQMFMFAGHYDLVFLHCEIHLCDS
+LNEQCQPSCS
+>Q99795_PF07686_27 <unknown description>
+PQDVLRASQGKSVTLPCTYHTSTSSREGLIQWDKLLLTHTERVVIWPFSNKNYIHGELYK
+NRVSISNNAEQSDASITIDQLTMADNGTYECSVSLMSDLEGNTKSRVRLLVL
+>Q99795_PF13927_151 <unknown description>
+ETIIGNNIQLTCQSKEGSPTPQYSWKRYNILNQEQPLAQPASGQPVSLKNISTDTSGYYI
+CTSSN
+>O43292_PF04114_125 <unknown description>
+SGTNVYGILRAPRAASTESLVLTVPCGSDSTNSQAVGLLLALAAHFRGQIYWAKDIVFLV
+TEHDLLGTEAWLEAYHDVNVTGMQSSPLQGRAGAIQAAVALELSSDVVTSLDVAVEGLNG
+QLPNLDLLNLFQTFCQKGGLLCTLQGKLQPEDWTSLDGPLQGLQTLLLMVLRQASGRPHG
+SHGLFLRYRVEALTLRGINSFRQYKYDLVAVGKALEGMFRKLNHLLERLHQSFFLYLLPG
+LSRFVSIGLYMPAVGFLLLVLGLKALELWMQLHEAGMGLEEPGGAPGPSVPLPPSQGVGL
+ASLVAPLLISQAMGLALYVLPVLGQHVATQHFPVAEAEAVVLTLLAIYAAGLALPHNTHR
+VVSTQAPDRGWMALKLVALIYLALQLGCIALTNFSLGFLLATTMVPTAALAKPHGPRTLY
+AALLVLTSPAATLLGSLFLWRELQEAPLSLAEGWQLFLAALAQGVLEHHTYGALLFPLLS
+LGLYPCWLLFW
+>Q8IXQ4_PF12572_196 <unknown description>
+PPEMKDFGLGPRTFKRRADDTSGDRSIWTDTPADRERKAKETQEARKSSSKKDEEHILSG
+RDKRLAEQVSSYNESKRSESLMDIHHKKLKSKAAEDKNKPQERIPFDRDKDLKVNRFDEA
+QKKALIKKSRELNTRFS
+>O95872_PF13637_108 <unknown description>
+ILRAAQEGDLPELRRLLEPHEAGGAGGNINARDAFWWTPLMCAARAGQGAAVSYLL
+>O95872_PF01585_256 <unknown description>
+SSPGFKLLLRGGWEPGMGLGPRGEGRANPIPTVLKRDQEGLGYR
+>Q9HCL2_PF01553_216 <unknown description>
+AATETNLPLLFLPVHRSHIDYLLLTFILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFF
+IRRRLDETPDGRKDVLYRALLHGHIVELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVV
+VDTLSTNVIPDILIIPVGIS
+>Q9HCL2_PF19277_366 <unknown description>
+GEQLGKPKKNESLWSVARGVIRMLRKNYGCVRVDFAQPFSLKEYLESQSQKPVSALLSLE
+QALLPAILPSRPSDAADEGRDTSINESRNATDESLRRRLIANLAEHILFTASKSCAIMST
+HIVACLLLYRHRQGIDLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLGNCVT
+ITHTSRNDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSLYAVLNKRGLGGPTST
+PPNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCHETVGKFIQYGILTVAEHDDQE
+DISPSLAEQQWDKKLPEPLSWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQRLL
+GPLLEAYSSAAIFVHNFSGPVPEPEYLQKLHKYLITRTERNVAVYAESATYCLVKNAVKM
+FKDIGVFKETKQKRVSVLELSSTFLPQCNR
+>Q6NUI2_PF19277_376 <unknown description>
+VHLAQPFSLQEYIVSARSCWGGRQTLEQLLQPIVLGQCTAVPDTEKEQEWTPITGPLLAL
+KEEDQLLVRRLSCHVLSASVGSSAVMSTAIMATLLLFKHQKGVFLSQLLGEFSWLTEEIL
+LRGFDVGFSGQLRSLLQHSLSLLR
+>Q53EU6_PF01553_210 <unknown description>
+IHYHNKQYRPQKGGICVANHTSPIDVLILTTDGCYAMVGQVHGGLMGIIQRAMVKACPHV
+WFERSEMKDRHLVTKRLKEHIADKKKLPILIFPEGTCINNTSVMMFKKGSFEIGGTIHPV
+AI
+>Q86UL3_PF01553_229 <unknown description>
+ITYHDRENRPRNGGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHV
+WFERSEVKDRHLVAKRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPV
+AI
+>Q8TDU6_PF00001_32 <unknown description>
+NLLLALGIAWDRRLRSPPAGCFFLSLLLAGLLTGLALPTLPGLWNQSRRGYWSCLLVYLA
+PNFSFLSLLANLLLVHGERYMAVLRPLQPPGSIRLALLLTWAGPLLFASLPALGWNHWTP
+GANCSSQAIFPAPYLYLEVYGLLLPAVGAAAFLSVRVLATAHRQLQDICRLERAVCRDEP
+SALARALTWRQARAQAGAMLLFGLCWGPYVATLLLSVLA
+>Q9HC44_PF15337_379 <unknown description>
+EVLSHSLEAEHRLLKAMGWQEYPENDENCLPLTEDELKEFHMKTEQLRRNGFGKNGFLQS
+RSSSLFSPWRSTCKAEFEDSDTETSSSETSDDD
+>Q86WP2_PF15337_376 <unknown description>
+DVLSSSLEAEHRLLKEMGWQEDSENDETCAPLTEDEMREFQVISEQLQKNGLRKNGILKN
+GLICDFKFGPWKNSTFKPTTENDDTETSSSDTSDDD
+>P35052_PF01153_19 <unknown description>
+ACARGDPASKSRSCGEVRQIYGAKGFSLSDVPQAEISGEHLRICPQGYTCCTSEMEENLA
+NRSHAELETALRDSSRVLQAMLATQLRSFDDHFQHLLNDSERTLQATFPGAFGELYTQNA
+RAFRDLYSELRLYYRGANLHLEETLAEFWARLLERLFKQLHPQLLLPDDYLDCLGKQAEA
+LRPFGEAPRELRLRATRAFVAARSFVQGLGVASDVVRKVAQVPLGPECSRAVMKLVYCAH
+CLGVPGARPCPDYCRNVLKGCLANQADLDAEWRNLLDSMVLITDKFWGTSGVESVIGSVH
+TWLAEAINALQDNRDTLTAKVIQGCGNPKVNPQGPGPEEKRRRGKLAPRERPPSGTLEKL
+VSEAKAQLRDVQDFWISLPGTLCSEKMALSTASDDRCWNGMARGRYLPEVMGDGLANQIN
+NPEVEVDITKPDMTIRQQIMQLKIMTNRLRSAYNGNDVDFQDASDDGSGSGSGDGCLDDL
+CSRKVSRKSSSSRTPLTHALPGLSEQEGQKTSAASCPQPPTFLLPLLLFLAL
+>Q8N158_PF01153_14 <unknown description>
+LCPGPGPGPGSEAKVTRSCAETRQVLGARGYSLNLIPPALISGEHLRVCPQEYTCCSSET
+EQRLIRETEATFRGLVEDSGSFLVHTLAARHRKFDEFFLEMLSVAQHSLTQLFSHSYGRL
+YAQHALIFNGLFSRLRDFYGESGEGLDDTLADFWAQLLERVFPLLHPQYSFPPDYLLCLS
+RLASSTDGSLQPFGDSPRRLRLQITRTLVAARAFVQGLETGRNVVSEALKVPVSEGCSQA
+LMRLIGCPLCRGVPSLMPCQGFCLNVVRGCLSSRGLEPDWGNYLDGLLILADKLQGPFSF
+ELTAESIGVKISEGLMYLQENSAKVSAQVFQECGPPDPVPARNRRAPPPREEAGRLWSMV
+TEEERPTTAAGTNLHRLVWELRERLARMRGFWARLSLTVCGDSRMAADASLEAAPCWTGA
+GRGRYLPPVVGGSPAEQVNNPELKVDASGPDVPTRRRRLQLRAATARMKTAALGHDLDGQ
+DADEDASGSGGGQQYADDWMAGAVAPPARPPRPPYPPRRDGSGGKGGGGSARYNQGRSR
+>P51654_PF01153_14 <unknown description>
+MLLSLDFPGQAQPPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSDLQVCLPKGPTCC
+SRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRHAKNYTNAMFKNN
+YPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQLMNPGLPDSALD
+INECLRGARRDLKVFGNFPKLIMTQVSKSLQVTRIFLQALNLGIEVINTTDHLKFSKDCG
+RMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYILSLEELVNGMYRI
+YDMENVLLGLFSTIHDSIQYVQKNAGKLTTTIGKLCAHSQQRQYRSAYYPEDLFIDKKVL
+KVAHVEHEETLSSRRRELIQKLKSFISFYSALPGYICSHSPVAENDTLCWNGQELVERYS
+QKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSMPKGRVLDKNLDEEGF
+ESGDCGDDEDECIGGSGDGMIKVKNQLRFLAELAYDLDVDDAPGNSQQATPKDNEISTFH
+NLGNVHSPLKLLTSMAISVVCFFF
+>O75487_PF01153_15 <unknown description>
+VLSAALLAAELKSKSCSEVRRLYVSKGFNKNDAPLHEINGDHLKICPQGSTCCSQEMEEK
+YSLQSKDDFKSVVSEQCNHLQAVFASRYKKFDEFFKELLENAEKSLNDMFVKTYGHLYMQ
+NSELFKDLFVELKRYYVVGNVNLEEMLNDFWARLLERMFRLVNSQYHFTDEYLECVSKYT
+EQLKPFGDVPRKLKLQVTRAFVAARTFAQGLAVAGDVVSKVSVVNPTAQCTHALLKMIYC
+SHCRGLVTVKPCYNYCSNIMRGCLANQGDLDFEWNNFIDAMLMVAERLEGPFNIESVMDP
+IDVKISDAIMNMQDNSVQVSQKVFQGCGPPKPLPAGRISRSISESAFSARFRPHHPEERP
+TTAAGTSLDRLVTDVKEKLKQAKKFWSSLPSNVCNDERMAAGNGNEDDCWNGKGKSRYLF
+AVTGNGLANQGNNPEVQVDTSKPDILILRQIMALRVMTSKMKNAYNGNDVDFFDISDESS
+GEGSGSGCEYQQCPSEFDYNATDHAGKSANEKA
+>Q9NZH0_PF00003_49 <unknown description>
+CDLDAIWGIVVEAVAGAGALITLLLMLILLVRLPFIKEKEKKSPVGLHFLFLLGTLGLFG
+LTFAFIIQEDETICSVRRFLWGVLFALCFSCLLSQAWRVRRLVRHGTGPAGWQLVGLALC
+LMLVQVIIAVEWLVLTVLRDTRPACAYEPMDFVMALIYDMVLLVVTLGLALFTLCGKFKR
+WKLNGAFLLITAFLSVLIWVAWMTMYLFGNVKLQQGDAWNDPTLAITLAASGWVFVIFHA
+IPE
+>Q9NQ84_PF00003_44 <unknown description>
+DRSGAWGIVLEAVAGAGIVTTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCL
+VFACVVKPDFSTCASRRFLFGVLFAICFSCLAAHVFALNFLARKNHGPRGWVIFTVALLL
+TLVEVIINTEWLIITLVRGSGEGGPQGNSSAGWAVASPCAIANMDFVMALIYVMLLLLGA
+FLGAWPALCGRYKRWRKHGVFVLLTTATSVAIWVVWIVMYTYGNKQHNSPTWDDPTLAIA
+LAANAWAFVLFYVIPE
+>Q9NZD1_PF00003_16 <unknown description>
+CDAEGPWGIILESLAILGIVVTILLLLAFLFLMRKIQDCSQWNVLPTQLLFLLSVLGLFG
+LAFAFIIELNQQTAPVRYFLFGVLFALCFSCLLAHASNLVKLVRGCVSFSWTTILCIAIG
+CSLLQIIIATEYVTLIMTRGMMFVNMTPCQLNVDFVVLLVYVLFLMALTFFVSKATFCGP
+CENWKQHGRLIFITVLFSIIIWVVWISMLLRGNPQFQRQPQWDDPVVCIALVTNAWVFLL
+LYIVPE
+>P78333_PF01153_13 <unknown description>
+LLLLALVGSARSEGVQTCEEVRKLFQWRLLGAVRGLPDSPRAGPDLQVCISKKPTCCTRK
+MEERYQIAARQDMQQFLQTSSSTLKFLISRNAAAFQETLETLIKQAENYTSILFCSTYRN
+MALEAAASVQEFFTDVGLYLFGADVNPEEFVNRFFDSLFPLVYNHLINPGVTDSSLEYSE
+CIRMARRDVSPFGNIPQRVMGQMGRSLLPSRTFLQALNLGIEVINTTDYLHFSKECSRAL
+LKMQYCPHCQGLALTKPCMGYCLNVMRGCLAHMAELNPHWHAYIRSLEELSDAMHGTYDI
+GHVLLNFHLLVNDAVLQAHLNGQKLLEQVNRICGRPVRTPTQSPRCSFDQSKEKHGMKTT
+TRNSEETLANRRKEFINSLRLYRSFYGGLADQLCANELAAADGLPCWNGEDIVKSYTQRV
+VGNGIKAQSGNPEVKVKGIDPVINQIIDKLKHVVQLLQGRSPKPDKWELLQLGSGGGMVE
+QVSGDCDDEDGCGGSGSGEVKRTLKITDWMPDDMNFSDVKQIHQTDTGSTLDTTGAGCAV
+ATESMTFTLISVVMLL
+>Q5T6X5_PF01094_75 <unknown description>
+LAMIHSIEMINNSTLLPGVKLGYEIYDTCTEVTVAMAATLRFLSKFNCSRETVEFKCDYS
+SYMPRVKAVIGSGYSEITMAVSRMLNLQLMPQVGYESTAEILSDKIRFPSFLRTVPSDFH
+QIKAMAHLIQKSGWNWIGIITTDDDYGRLALNTFIIQAEANNVCIAFKEVLPAFLSDNTI
+EVRINRTLKKIILEAQVNVIVVFLRQFHVFDLFNKAIEMNINKMWIASDNWSTATKITTI
+PNVKKIGKVVGFAFRRGNISSFHSFLQNLHLLPSDSHKLLHEYAMHLSACAYVKDTDLSQ
+CIFNHSQRTLAYKANKAIERNFVMRNDFLWDYAEPGLIHSIQLAVFALGYAIRDLCQARD
+CQNPNAFQPWELLGVLKNVTFTDGWNSFHFDAHGDLNTGYDVVLWK
+>Q5T6X5_PF07562_519 <unknown description>
+QSKCSKECSPGQMKKTTRSQHICCYECQNCPENHYTNQTDMPHCLLCNNKT
+>Q5T6X5_PF00003_587 <unknown description>
+LNWNDSLAILLLILSLLGIIFVLVVGIIFTRNLNTPVVKSSGGLRVCYVILLCHFLNFAS
+TSFFIGEPQDFTCKTRQTMFGVSFTLCISCILTKSLKILLAFSFDPKLQKFLKCLYRPIL
+IIFTCTGIQVVICTLWLIFAAPTVEVNVSLPRVIILECEEGSILAFGTMLGYIAILAFIC
+FIFAFKGKYENYNEAKFITFGMLIYFIAWITFIPIYATTFGKYVPAVEIIVILISNYGIL
+YCTFIPK
+>Q9Y625_PF01153_22 <unknown description>
+GADVKARSCGEVRQAYGAKGFSLADIPYQEIAGEHLRICPQEYTCCTTEMEDKLSQQSKL
+EFENLVEETSHFVRTTFVSRHKKFDEFFRELLENAEKSLNDMFVRTYGMLYMQNSEVFQD
+LFTELKRYYTGGNVNLEEMLNDFWARLLERMFQLINPQYHFSEDYLECVSKYTDQLKPFG
+DVPRKLKIQVTRAFIAARTFVQGLTVGREVANRVSKVSPTPGCIRALMKMLYCPYCRGLP
+TVRPCNNYCLNVMKGCLANQADLDTEWNLFIDAMLLVAERLEGPFNIESVMDPIDVKISE
+AIMNMQENSMQVSAKVFQGCGQPKPAPALRSARSAPENFNTRFRPYNPEERPTTAAGTSL
+DRLVTDIKEKLKLSKKVWSALPYTICKDESVTAGTSNEEECWNGHSKARYLPEIMNDGLT
+NQINNPEVDVDITRPDTFIRQQIMALRVMTNKLKNAYNGNDVNFQDTSDESSGSGSGSGC
+MDDVCPTEFEFVTTEAPAVDPDRREVDSSAAQ
+>Q9NPB8_PF00686_5 <unknown description>
+QVAFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALLPENDTGESMLWKATIVLSRGVSV
+QYRYFK
+>Q9NPB8_PF03009_323 <unknown description>
+HRGAGNSTTTAQLAKVQENTIASLRNAASHGAAFVEFDVHLSKDFVPVVYHDLTCCLTMK
+KKFDADPVELFEIPVKELTFDQLQLLKLTHVTALKSKDRKESVVQEENSFSENQPFPSLK
+MVLESLPEDVGFNIEIKWICQQRDGMWDGNLSTYFDMNLFLDIILKTVLENSGKRRIVFS
+SFDADICTMVRQKQNKYPILFLTQGKSEIYPELMDLRSRTTPIAMSFAQFENLLGINVHT
+EDLLRNPSYIQEAKAKGLVIFCWGDDTNDPENRRKLKELGVNGLIYDRI
+>Q8N335_PF01210_7 <unknown description>
+KVCIVGSGNWGSAVAKIIGNNVKKLQKFASTVKMWVFEETVNGRKLTDIINNDHENVKYL
+PGHKLPENVVAMSNLSEAVQDADLLVFVIPHQFIHRICDEITGRVPKKALGITLIKGIDE
+GPEGLKLISDIIREKMGIDISVLMGANIANEVAAEKFCETTIGSKVME
+>Q8N335_PF07479_195 <unknown description>
+DADTVELCGALKNIVAVGAGFCDGLRCGDNTKAAVIRLGLMEMIAFARIFCKGQVSTATF
+LESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKEMLNGQKLQGPQTSAEVYRILKQ
+KGLLDKFPLFTAVYQICYESRPVQEML
+>P21695_PF01210_5 <unknown description>
+KVCIVGSGNWGSAIAKIVGGNAAQLAQFDPRVTMWVFEEDIGGKKLTEIINTQHENVKYL
+PGHKLPPNVVAVPDVVQAAEDADILIFVVPHQFIGKICDQLKGHLKANATGISLIKGVDE
+GPNGLKLISEVIGERLGIPMSVLMGANIASEVADEKFCETTIGCKDPA
+>P21695_PF07479_194 <unknown description>
+VDTVEICGALKNVVAVGAGFCDGLGFGDNTKAAVIRLGLMEMIAFAKLFCSGPVSSATFL
+ESCGVADLITTCYGGRNRKVAEAFARTGKSIEQLEKELLNGQKLQGPETARELYSILQHK
+GLVDKFPLFMAVYKVCYEGQPVGEFI
+>P43304_PF01266_71 <unknown description>
+DILVIGGGATGSGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVRYLQKAIMKLD
+IEQYRMVKEALHERANLLEIAPHLSAPLPIMLPVYKWWQLPYYWVGIKLYDLVAGSNCLK
+SSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYGAATANYMEVV
+SLLKKTDPQTGKVRVSGARCKDVLTGQEFDVRAKCVINATGPFTDSVRKMDDKDAAAICQ
+PSAGVHIVMPGYYSPESMGLLDPATSDGRVIFFLPWQKMTIAGTTDTPTDVTHHPIPSEE
+DINFILNEVRNYLSCDVEVRRGDVLAAWSGIRPLVTDPKSADTQSISRNHVVDISESGLI
+TIAGGKWTTYR
+>P43304_PF16901_462 <unknown description>
+SRTVGLFLQGGKDWSPTLYIRLVQDYGLESEVAQHLAATYGDKAFEVAKMASVTGKRWPI
+VGVRLVSEFPYIEAEVKYGIKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGREL
+NWDDYK
+>P43304_PF13499_628 <unknown description>
+YKKRFHKFDADQKGFITIVDVQRVLESINVQMDENTLHEILNEVDLNKNGQVELNEFLQL
+MS
+>Q99527_PF00001_76 <unknown description>
+GNILILVVNISFREKMTIPDLYFINLAVADLILVADSLIEVFNLHERYYDIAVLCTFMSL
+FLQVNMYSSVFFLTWMSFDRYIALARAMRCSLFRTKHHARLSCGLIWMASVSATLVPFTA
+VHLQHTDEACFCFADVREVQWLEVTLGFIVPFAIIGLCYSLIVRVLVRAHRHRGLRPRRQ
+KALRMILAVVLVFFVCWLPENVFISVHLLQRTQPGAAPCKQSFRHAHPLTGHIVNLAAFS
+NSCLNPLIY
+>Q96T91_PF03045_31 <unknown description>
+CHLHPFNVTVRSDRQGTCQGSHVAQACVGHCESSAFPSRYSVLVASGYRHNITSVSQCCT
+ISGLKKVKVQLQCVGSRREELEIFTARACQCD
+>Q86YW7_PF00007_35 <unknown description>
+GCAVREFTFLAKKPGCRGLRITTDACWGRCETWEKPILEPPYIEAHHRVCTYNETKQVTV
+KLPNCAPGVDPFYTYPVAIRCDCGACSTATTECE
+>B7ZAQ6_PF12537_142 <unknown description>
+SIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRNVTDTDILALERRLLQTMDMII
+SKKKRM
+>B7ZAQ6_PF12430_277 <unknown description>
+YSKTFKGKYFNFLGYFFSIYCVWKIFMATINIVFDRVGKTDPVTRGIEITVNYLGIQFDV
+KFWSQHISFILVGIIIVTSIRGLLITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVL
+LIRMSMPLEYRTIITEVLGELQFNFYHRWFDVIFLVSALSSILFLYLAHK
+>P0CG08_PF12537_142 <unknown description>
+SIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRNVTDTDILALERRLLQTMDMII
+SKKKRM
+>P0CG08_PF12430_277 <unknown description>
+YSKTFKGKYFNFLGYFFSIYCVWKIFMATINIVFDRVGKTDPVTRGIEITVNYLGIQFDV
+KFWSQHISFILVGIIIVTSIRGLLITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVL
+LIRMSMPLEYRTIITEVLGELQFNFYHRWFDVIFLVSALSSILFLYLAHK
+>Q92643_PF01650_45 <unknown description>
+NWAVLVCTSRFWFNYRHVANTLSVYRSVKRLGIPDSHIVLMLADDMACNPRNPKPATVFS
+HKNMELNVYGDDVEVDYRSYEVTVENFLRVLTGRIPPSTPRSKRLLSDDRSNILIYMTGH
+GGNGFLKFQDSEEITNIELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALA
+SSQVGEDSLSHQPDPAIGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPK
+>P14770_PF01462_19 <unknown description>
+DCPSPCTCRALETMGLWVDCRGHGLTALPALP
+>P14770_PF13855_54 <unknown description>
+RHLLLANNSLQSVPPGAFDHLPQLQTLDVTQN
+>Q92917_PF12656_155 <unknown description>
+EEANYEAVPVEAYGLAMLRGMGWKPGEGIGRTFNQVVKPRVNSLRPKGLGLGAN
+>Q92917_PF00467_243 <unknown description>
+PGGAVVVLSGPHRGLYGKVEGLDPDNVRAMV
+>P51674_PF01275_16 <unknown description>
+ECCIKCLGGIPYASLIATILLYAGVALFCGCGHEALSGTVNILQTYFEMARTAGDTLDVF
+TMIDIFKYVIYGIAAAFFVYGILLMVEGFFTTGAIKDLYGDFKITTCGRCVSAWFIMLTY
+LFMLAWLGVTAFTSLPVYMYFNLWTICRNTTLVEGANLCLDLRQFGIVTIGEEKKICTVS
+ENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWAYVKDACR
+>Q13491_PF01275_64 <unknown description>
+ECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNASDHALLSEV
+IQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFLTYVL
+GVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQTNGTTGVEQICVDIRQYGIIPWNAFPGK
+ICGSALENICNTNEFYMSYHLFIVACAGAGATVIALIHFLMILSSNWAYLKDASK
+>Q9HCN4_PF03029_24 <unknown description>
+VLGMAGSGKTTFVQRLTGHLHAQGTPPYVINLDPAVHEVPFPANIDIRDTVKYKEVMKQY
+GLGPNGGIVTSLNLFATRFDQVMKFIEKAQNMSKYVLIDTPGQIEVFTWSASGTIITEAL
+ASSFPTVVIYVMDTSRSTNPVTFMSNMLYACSILYKTKLPFIVVMNKTDIIDHSFAVEWM
+QDFEAFQDALNQETTYVSNLTRSMSLVLDEFYSSLRVVGVSAVLGTGLDELFVQVTSAA
+>Q9H9Y4_PF03029_14 <unknown description>
+VIGPPGSGKTTYCLGMSEFLRALGRRVAVVNLDPANEGLPYECAVDVGELVGLGDVMDAL
+RLGPNGGLLYCMEYLEANLDWLRAKLDPLRGHYFLFDCPGQVELCTHHGALRSIFSQMAQ
+WDLRLTAVHLVDSHYCTDPAKFISVLCTSLATMLHVELPHINLLSKMDLIEHYGKLAFNL
+DYYTEVLDLSYLLDHLASDPFFRHYRQLNEKLVQLIEDYSLVSFIPLNIQDKESIQRVLQ
+AVDKANG
+>Q9UHW5_PF03029_8 <unknown description>
+VMGPAGSGKSTYCATMVQHCEALNRSVQVVNLDPAAEHFNYSVMADIRELIEVDDVMEDD
+SLRFGPNGGLVFCMEYFANNFDWLENCLGHVEDDYILFDCPGQIELYTHLPVMKQLVQQL
+EQWEFRVCGVFLVDSQFMVESFKFISGILAALSAMISLEIPQVNIMTKMDLLSKKAKKEI
+EKFLDPDMYSLLEDSTSDLRSKKFKKLTKAICGLIDDYSMVRFLPYDQSDEESMNIVLQH
+IDFAIQY
+>Q14956_PF00801_279 <unknown description>
+INYKWSFGDNTGLFVSTNHTVNHTYVLNGTFSLNLTVKAAA
+>P47775_PF00001_61 <unknown description>
+ENAIVVLIIFHNPSLRAPMFLLIGSLALADLLAGIGLITNFVFAYLLQSEATKLVTIGLI
+VASFSASVCSLLAITVDRYLSLYYALTYHSERTVTFTYVMLVMLWGTSICLGLLPVMGWN
+CLRDESTCSVVRPLTKNNAAILSVSFLFMFALMLQLYIQICKIVMRHAHQIALQHHFLAT
+SHYVTTRKGVSTLAIILGTFAACWMPFTLYSLIADYTYPSIYTYATLLPATYNSIINPVI
+Y
+>P49685_PF00001_50 <unknown description>
+GNLVLMGALHFKPGSRRLIDIFIINLAASDFIFLVTLPLWVDKEASLGLWRTGSFLCKGS
+SYMISVNMHCSVLLLTCMSVDRYLAIVWPVVSRKFRRTDCAYVVCASIWFISCLLGLPTL
+LSRELTLIDDKPYCAEKKATPIKLIWSLVALIFTFFVPLLSIVTCYCCIARKLCAHYQQS
+GKHNKKLKKSIKIIFIVVAAFLVSWLPFNTFKFLAIVSGLRQEHYLPSAILQLGMEVSGP
+LAFANSCVNPFIY
+>Q13304_PF00001_48 <unknown description>
+GNTLALWLFIRDHKSGTPANVFLMHLAVADLSCVLVLPTRLVYHFSGNHWPFGEIACRLT
+GFLFYLNMYASIYFLTCISADRFLAIVHPVKSLKLRRPLYAHLACAFLWVVVAVAMAPLL
+VSPQTVQTNHTVVCLQLYREKASHHALVSLAVAFTFPFITTVTCYLLIIRSLRQGLRVEK
+RLKTKAVRMIAIVLAIFLVCFVPYHVNRSVYVLHYRSHGASCATQRILALANRITSCLTS
+LNGALDPIMY
+>Q14330_PF00001_40 <unknown description>
+NITALWVFSCTTKKRTTVTIYMMNVALVDLIFIMTLPFRMFYYAKDEWPFGEYFCQILGA
+LTVFYPSIALWLLAFISADRYMAIVQPKYAKELKNTCKAVLACVGVWIMTLTTTTPLLLL
+YKDPDKDSTPATCLKISDIIYLKAVNVLNLTRLTFFFLIPLFIMIGCYLVIIHNLLHGRT
+SKLKPKVKEKSIRIIITLLVQVLVCFMPFHICFAFLMLGTGENSYNPWGAFTTFLMNLST
+CLDVILY
+>Q15760_PF00001_82 <unknown description>
+GNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVLLQFTTGRWTLGSATCKVV
+RYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKAKKMIAASWVFDAGFVTPVLFF
+YGSNWDSHCNYFLPSSWEGTAYTVIHFLVGFVIPSVLIILFYQKVIKYIWRIGTDGRTVR
+RTMNIVPRTKVKTIKMFLILNLLFLLSWLPFHVAQLWHPHEQDYKKSSLVFTAITWISFS
+SSASKPTLY
+>Q99678_PF00001_71 <unknown description>
+NGLALYVFCCRTRAKTPSVIYTINLVVTDLLVGLSLPTRFAVYYGARGCLRCAFPHVLGY
+FLNMHCSILFLTCICVDRYLAIVRPEGSRRCRQPACARAVCAFVWLAAGAVTLSVLGVTG
+SRPCCRVFALTVLEFLLPLLVISVFTGRIMCALSRPGLLHQGRQRRVRAMQLLLTVLIIF
+LVCFTPFHARQVAVALWPDMPHHTSLVVYHVAVTLSSLNSCMDPIVY
+>Q99679_PF00001_45 <unknown description>
+GNIIVIFVFHCAPLLNHHTTSYFIQTMAYADLFVGVSCVVPSLSLLHHPLPVEESLTCQI
+FGFVVSVLKSVSMASLACISIDRYIAITKPLTYNTLVTPWRLRLCIFLIWLYSTLVFLPS
+FFHWGKPGYHGDVFQWCAESWHTDSYFTLFIVMMLYAPAALIVCFTYFNIFRICQQHTKD
+ISERQARFSSQSGETGEVQACPDKRYAMVLFRITSVFYILWLPYIIYFLLESSTGHSNRF
+ASFLTTWLAISNSFCNCVIY
+>Q99680_PF00001_59 <unknown description>
+NLTVLVLYCMKSNLINSVSNIITMNLHVLDVIICVGCIPLTIVILLLSLESNTALICCFH
+EACVSFASVSTAINVFAITLDRYDISVKPANRILTMGRAVMLMISIWIFSFFSFLIPFIE
+VNFFSLQSGNTWENKTLLCVSTNEYYTELGMYYHLLVQIPIFFFTVVVMLITYTKILQAL
+NIRIGTRFSTGQKKKARKKKTISLTTQHEATDMSQSSGGRNVVFGVRTSVSVIIALRRAV
+KRHRERRERQKRVFRMSLLIISTFLLCWTPISVLNTTILCLGPSDLLVKLRLCFLVMAYG
+TTIFHPLLY
+>O00155_PF00001_56 <unknown description>
+GNAFVVWLLAGRRGPRRLVDTFVLHLAAADLGFVLTLPLWAAAAALGGRWPFGDGLCKLS
+SFALAGTRCAGALLLAGMSVDRYLAVVKLLEARPLRTPRCALASCCGVWAVALLAGLPSL
+VYRGLQPLPGGQDSQCGEEPSHAFQGLSLLLLLLTFVLPLVVTLFCYCRISRRLRRPPHV
+GRARRNSLRIIFAIESTFVGSWLPFSALRAVFHLARLGALPLPCPLLLALRWGLTIATCL
+AFVNSCANPLIY
+>Q8NDV2_PF00001_23 <unknown description>
+NALVLLCLLHSADIRRQAPALFTLNLTCGNLLCTVVNMPLTLAGVVAQRQPAGDRLCRLA
+AFLDTFLAANSMLSMAALSIDRWVAVVFPLSYRAKMRLRDAALMVAYTWLHALTFPAAAL
+ALSWLGFHQLYASCTLCSRRPDERLRFAVFTGAFHALSFLLSFVVLCCTYLKVLKVARFH
+CKRIDVITMQTLVLLVDLHPSVRERCLEEQKRRRQRATKKISTFIGTFLVCFAPYVITRL
+VELFSTVPIGSHWGVLSKCLAYSKAASDPFVY
+>Q9NS67_PF00001_35 <unknown description>
+GNVLFALLIVRERSLHRAPYYLLLDLCLADGLRALACLPAVMLAARRAAAAAGAPPGALG
+CKLLAFLAALFCFHAAFLLLGVGVTRYLAIAHHRFYAERLAGWPCAAMLVCAAWALALAA
+AFPPVLDGGGDDEDAPCALEQRPDGAPGALGFLLLLAVVVGATHLVYLRLLFFIHDRRKM
+RPARLVPAVSHDWTFHGPGATGQAAANWTAGFGRGPTPPALVGIRPAGPGRGARRLLVLE
+EFKTEKRLCKMFYAVTLLFLLLWGPYVVASYLRVLVRPGAVPQAYLTASVWLTFAQAGIN
+PVV
+>O00270_PF00001_31 <unknown description>
+GNAVALWTFLFRVRVWKPYAVYLLNLALADLLLAACLPFLAAFYLSLQAWHLGRVGCWAL
+HFLLDLSRSVGMAFLAAVALDRYLRVVHPRLKVNLLSPQAALGVSGLVWLLMVALTCPGL
+LISEAAQNSTRCHSFYSRADGSFSIIWQEALSCLQFVLPFGLIVFCNAGIIRALQKRLRE
+PEKQPKLQRAQALVTLVVVLFALCFLPCFLARVLMHIFQNLGSCRALCAVAHTSDVTGSL
+TYLHSVLNPVVY
+>O75388_PF00001_60 <unknown description>
+GNGLVLWMTVFRMARTVSTVCFFHLALADFMLSLSLPIAMYYIVSRQWLLGEWACKLYIT
+FVFLSYFASNCLLVFISVDRCISVLYPVWALNHRTVQRASWLAFGVWLLAAALCSAHLKF
+RTTRKWNGCTHCYLAFNSDNETAQIWIEGVVEGHIIGTIGHFLLGFLGPLAIIGTCAHLI
+RAKLLREGWVHANRPKRLLLVLVSAFFIFWSPFNVVLLVHLWRRVMLKEIYHPRMLLILQ
+ASFALGCVNSSLNPFLY
+>Q49SQ1_PF00001_47 <unknown description>
+NGLYLWVLRFKMKQTVNTLLFFHLILSYFISTMILPFMATSQLQDNHWNFGTALCKVFNG
+TLSLGMFTSVFFLSAIGLDRYLLTLHPVWSQQHRTPRWASSIVLGVWISAAALSIPYLIF
+RETHHDRKGKVTCQNNYAVSTNWESKEMQASRQWIHVACFISRFLLGFLLPFFIIIFCYE
+RVASKVKERSLFKSSKPFKVMMTAIISFFVCWMPYHIHQGLLLTTNQSLLLELTLILTVL
+TTSFNTIFSPTLY
+>Q9UPC5_PF00001_71 <unknown description>
+GNIIALYVFLGIHRKRNSIQIYLLNVAIADLLLIFCLPFRIMYHINQNKWTLGVILCKVV
+GTLFYMNMYISIILLGFISLDRYIKINRSIQQRKAITTKQSIYVCCIVWMLALGGFLTMI
+ILTLKKGGHNSTMCFHYRDKHNAKGEAIFNFILVVMFWLIFLLIILSYIKIGKNLLRISK
+RRSKFPNSGKYATTARNSFIVLIIFTICFVPYHAFRFIYISSQLNVSSCYWKEIVHKTNE
+IMLVLSSFNSCLDPVMY
+>Q9HC97_PF00001_38 <unknown description>
+NSLALWVFCCRMQQWTETRIYMTNLAVADLCLLCTLPFVLHSLRDTSDTPLCQLSQGIYL
+TNRYMSISLVTAIAVDRYVAVRHPLRARGLRSPRQAAAVCAVLWVLVIGSLVARWLLGIQ
+EGGFCFRSTRHNFNSMAFPLLGFYLPLAVVVFCSLKVVTALAQRPPTDVGQAEATRKAAR
+MVWANLLVFVVCFLPLHVGLTVRLAVGWNACALLETIRRALYITSKLSDANCCLDAICY
+>O15354_PF00001_278 <unknown description>
+GNLAVMCIVCHNYYMRSISNSLLANLAFWDFLIIFFCLPLVIFHELTKKWLLEDFSCKIV
+PYIEVASLGVTTFTLCALCIDRFRAATNVQMYYEMIENCSSTTAKLAVIWVGALLLALPE
+VVLRQLSKEDLGFSGRAPAERCIIKISPDLPDTIYVLALTYDSARLWWYFGCYFCLPTLF
+TITCSLVTARKIRKAEKACTRGNKRQIQLESQMNCTVVALTILYGFCIIPENICNIVTAY
+MATGVSQQTMDLLNIISQFLLFFKSCVTPVL
+>O43194_PF00001_57 <unknown description>
+VLQKKGYLQKEVTDHMVSLACSDILVFLIGMPMEFYSIIWNPLTTSSYTLSCKLHTFLFE
+ACSYATLLHVLTLSFERYIAICHPFRYKAVSGPCQVKLLIGFVWVTSALVALPLLFAMGT
+EYPLVNVPSHRGLTCNRSSTRHHEQPETSNMSICTNLSSRWTVFQSSIFGAFVVYLVVLL
+SVAFMCWNMMQVLMKSQKGSLAGGTRPPQLRKSESEESRTARRQTIIFLRLIVVTLAVCW
+MPNQIRRIMAAAKPKHDWTRSYFRAYMILLPFSETFFYLSSVINPLLY
+>P46089_PF00001_57 <unknown description>
+ENALVVAIIVGTPAFRAPMFLLVGSLAVADLLAGLGLVLHFAAVFCIGSAEMSLVLVGVL
+AMAFTASIGSLLAITVDRYLSLYNALTYYSETTVTRTYVMLALVWGGALGLGLLPVLAWN
+CLDGLTTCGVVYPLSKNHLVVLAIAFFMVFGIMLQLYAQICRIVCRHAQQIALQRHLLPA
+SHYVATRKGIATLAVVLGAFAACWLPFTVYCLLGDAHSPPLYTYLTLLPATYNSMINPII
+Y
+>O15529_PF00001_32 <unknown description>
+NLLALVVFVGKLRCRPVAVDVLLLNLTASDLLLLLFLPFRMVEAANGMHWPLPFILCPLS
+GFIFFTTIYLTALFLAAVSIERFLSVAHPLWYKTRPRLGQAGLVSVACWLLASAHCSVVY
+VIEFSGDISHSQGTNGTCYLEFWKDQLAILLPVRLEMAVVLFVVPLIITSYCYSRLVWIL
+GRGGSHRRQRRVAGLVAATLLNFLVCFGPYNVSHVVGYICGESPVWRIYVTLLSTLNSCV
+DPFVY
+>Q9Y5Y3_PF00001_51 <unknown description>
+GNTVVCIIVYQRPAMRSAINLLLATLAFSDIMLSLCCMPFTAVTLITVRWHFGDHFCRLS
+ATLYWFFVLEGVAILLIISVDRFLIIVQRQDKLNPRRAKVIIAVSWVLSFCIAGPSLTGW
+TLVEVPARAPQCVLGYTELPADRAYVVTLVVAVFFAPFGVMLCAYMCILNTVRKNAVRVH
+NQSDSLDLRQLTRAGLRRLQRQQQVSVDLSFKTKAFTTILILFVGFSLCWLPHSVYSLLS
+VFSQRFYCGSSFYATSTCVLWLSYLKSVFNPIVY
+>P46093_PF00001_34 <unknown description>
+TNCLALWAAYRQVQQRNELGVYLMNLSIADLLYICTLPLWVDYFLHHDNWIHGPGSCKLF
+GFIFYTNIYISIAFLCCISVDRYLAVAHPLRFARLRRVKTAVAVSSVVWATELGANSAPL
+FHDELFRDRYNHTFCFEKFPMEGWVAWMNLYRVFVGFLFPWALMLLSYRGILRAVRGSVS
+TERQEKAKIKRLALSLIAIVLVCFAPYHVLLLSRSAIYLGRPWDCGFEERVFSAYHSSLA
+FTSLNCVADPILY
+>Q9Y2T5_PF00001_57 <unknown description>
+GNLTVIFVFHCAPLLHHYTTSYFIQTMAYADLFVGVSCLVPTLSLLHYSTGVHESLTCQV
+FGYIISVLKSVSMACLACISVDRYLAITKPLSYNQLVTPCRLRICIILIWIYSCLIFLPS
+FFGWGKPGYHGDIFEWCATSWLTSAYFTGFIVCLLYAPAAFVVCFTYFHIFKICRQHTKE
+INDRRARFPSHEVDSSRETGHSPDRRYAMVLFRITSVFYMLWLPYIIYFLLESSRVLDNP
+TLSFLTTWLAISNSFCNCVIY
+>Q9Y2T6_PF00001_58 <unknown description>
+ATSIYMINLAVFDLLLVLSLPFKMVLSQVQSPFPSLCTLVECLYFVSMYGSVFTICFISM
+DRFLAIRYPLLVSHLRSPRKIFGICCTIWVLVWTGSIPIYSFHGKVEKYMCFHNMSDDTW
+SAKVFFPLEVFGFLLPMGIMGFCCSRSIHILLGRRDHTQDWVQQKACIYSIAASLAVFVV
+SFLPVHLGFFLQFLVRNSFIVECRAKQSISFFLQLSMCFSNVNCCLDVFCY
+>Q9BZJ8_PF00001_59 <unknown description>
+GNAAVMAVIAKTPALRKFVFVFHLCLVDLLAALTLMPLAMLSSSALFDHALFGEVACRLY
+LFLSVCFVSLAILSVSAINVERYYYVVHPMRYEVRMTLGLVASVLVGVWVKALAMASVPV
+LGRVSWEEGAPSVPPGCSLQWSHSAYCQLFVVVFAVLYFLLPLLLILVVYCSMFRVARVA
+AMQHGPLPTWMETPRQRSESLSSRSTMVTSSGAPQTTPHRTFGGGKAAVVLLAVGGQFLL
+CWLPYFSFHLYVALSAQPISTGQVESVVTWIGYFCFTSNPFFY
+>Q9BZJ7_PF00001_31 <unknown description>
+GNGALLVVVLRTPGLRDALYLAHLCVVDLLAAASIMPLGLLAAPPPGLGRVRLGPAPCRA
+ARFLSAALLPACTLGVAALGLARYRLIVHPLRPGSRPPPVLVLTAVWAAAGLLGALSLLG
+TPPAPPPAPARCSVLAGGLGPFRPLWALLAFALPALLLLGAYGGIFVVARRAALRPPRPA
+RGSRLHSDSLDSRLSILPPLRPRLPGGKAALAPALAVGQFAACWLPYGCACLAPAARAAE
+AEAAVTWVAYSAFAAHPFLY
+>Q9BZJ6_PF00001_98 <unknown description>
+GNLVVCLMVYQKAAMRSAINILLASLAFADMLLAVLNMPFALVTILTTRWIFGKFFCRVS
+AMFFWLFVIEGVAILLIISIDRFLIIVQRQDKLNPYRAKVLIAVSWATSFCVAFPLAVGN
+PDLQIPSRAPQCVFGYTTNPGYQAYVILISLISFFIPFLVILYSFMGILNTLRHNALRIH
+SYPEGICLSQASKLGLMSLQRPFQMSIDMGFKTRAFTTILILFAVFIVCWAPFTTYSLVA
+TFSKHFYYQHNFFEISTWLLWLCYLKSALNPLIY
+>P46095_PF00001_89 <unknown description>
+ENALVVALIASTPALRTPMFVLVGSLATADLLAGCGLILHFVFQYLVPSETVSLLTVGFL
+VASFAASVSSLLAITVDRYLSLYNALTYYSRRTLLGVHLLLAATWTVSLGLGLLPVLGWN
+CLAERAACSVVRPLARSHVALLSAAFFMVFGIMLHLYVRICQVVWRHAHQIALQQHCLAP
+PHLAATRKGVGTLAVVLGTFGASWLPFAIYCVVGSHEDPAVYTYATLLPATYNSMINPII
+Y
+>O95800_PF00001_59 <unknown description>
+GNFIVFLSFFDPAFRKFRTNFDFMILNLSFCDLFICGVTAPMFTFVLFFSSASSIPDAFC
+FTFHLTSSGFIIMSLKTVAVIALHRLRMVLGKQPNRTASFPCTVLLTLLLWATSFTLATL
+ATLKTSKSHLCLPMSSLIAGKGKAILSLYVVDFTFCVAVVSVSYIMIAQTLRKNAQVRKC
+PPVITVDASRPQPFMGVPVQGGGDPIQCAMPALYRNQNYNKLQHVQTRGYTKSPNQLVTP
+AASRLQLVSAINLSTAKDSKAVVTCVIIVLSVLVCCLPLGISLVQVVLSSNGSFILYQFE
+LFGFTLIFFKSGLNPFIY
+>Q96P69_PF00001_23 <unknown description>
+NALVLLCCAYSAELRTRASGVLLVNLSLGHLLLAALDMPFTLLGVMRGRTPSAPGACQVI
+GFLDTFLASNAALSVAALSADQWLAVGFPLRYAGRLRPRYAGLLLGCAWGQSLAFSGAAL
+GCSWLGYSSAFASCSLRLPPEPERPRFAAFTATLHAVGFVLPLAVLCLTSLQVHRVARRH
+CQRMDTVTMKALALLADLHPSVRQRCLIQQKRRRHRATRKIGIAIATFLICFAPYVMTRL
+AELVPFVTVNAQWGILSKCLTYSKAVADPFTY
+>Q96P67_PF00001_32 <unknown description>
+GNTLSQWIFLTKIGKKTSTHIYLSHLVTANLLVCSAMPFMSIYFLKGFQWEYQSAQCRVV
+NFLGTLSMHASMFVSLLILSWIAISRYATLMQKDSSQETTSCYEKIFYGHLLKKFRQPNF
+ARKLCIYIWGVVLGIIIPVTVYYSVIEATEGEESLCYNRQMELGAMISQIAGLIGTTFIG
+FSFLVVLTSYYSFVSHLRKIRTCTSIMEKDLTYSSVKRHLLVIQILLIVCFLPYSIFKPI
+FYVLHQRDNCQQLNYLIETKNILTCLASARSSTDPIIF
+>Q9NYM4_PF00001_88 <unknown description>
+GNVLVCHVIFKNQRMHSATSLFIVNLAVADIMITLLNTPFTLVRFVNSTWIFGKGMCHVS
+RFAQYCSLHVSALTLTAIAVDRHQVIMHPLKPRISITKGVIYIAVIWTMATFFSLPHAIC
+QKLFTFKYSEDIVRSLCLPDFPEPADLFWKYLDLATFILLYILPLLIISVAYARVAKKLW
+LCNMIGDVTTEQYFALRRKKKKTIKMLMLVVVLFALCWFPLNCYVLLLSSKVIRTNNALY
+FAFHWFAMSSTCYNPFIY
+>Q9NQS5_PF00001_37 <unknown description>
+GNVLTLLALAIQPKLRTRFNLLIANLTLADLLYCTLLQPFSVDTYLHLHWRTGATFCRVF
+GLLLFASNSVSILTLCLIALGRYLLIAHPKLFPQVFSAKGIVLALVSTWVVGVASFAPLW
+PIYILVPVVCTCSFDRIRGRPYTTILMGIYFVLGLSSVGIFYCLIHRQVKRAAQALDQYK
+LRQASIHSNHVARTDEAMPGRFQELDSRLASGGPSEGISSEPVSAATTQTLEGDSSEVGD
+QINSKRAKQMAEKSPPEASAKAQPIKGARRAPDSSSEFGKVTRMCFAVFLCFALSYIPFL
+LLNILDARVQAPRVVHMLAANLTWLNGCINPVLY
+>P60893_PF00001_37 <unknown description>
+GNLLISILLVKDKTLHRAPYYFLLDLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKV
+IAFLGVLSCFHTAFMLFCISVTRYLAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPP
+VLDVGTYSFIREEDQCTFQHRSFRANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMK
+PVQFVAAVSQNWTFHGPGASGQAAANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDE
+FKMEKRISRMFYIMTFLFLTLWGPYLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINP
+FV
+>Q9BY21_PF00001_60 <unknown description>
+NGLAVWIFFHIRNKTSFIFYLKNIVVADLIMTLTFPFRIVHDAGFGPWYFKFILCRYTSV
+LFYANMYTSIVFLGLISIDRYLKVVKPFGDSRMYSITFTKVLSVCVWVIMAVLSLPNIIL
+TNGQPTEDNIHDCSKLKSPLGVKWHTAVTYVNSCLFVAVLVILIGCYIAISRYIHKSSRQ
+FISQSSRKRKHNQSIRVVVAVFFTCFLPYHLCRIPFTFSHLDRLLDESAQKILYYCKEIT
+LFLSACNVCLDPIIY
+>Q9GZN0_PF00001_49 <unknown description>
+NGMVIYLVSSFRKLQTTSNAFIVNGCAADLSVCALWMPQEAVLGLLPTGSAEPPADWDGA
+GGSYRLLRGGLLGLGLTVSLLSHCLVALNRYLLITRAPATYQALYQRRHTAGMLALSWAL
+ALGLVLLLPPWAPRPGAAPPRVHYPALLAAAALLAQTALLLHCYLGIVRRVRVSVKRVSV
+LNFHLLHQLPGCAAAAAAFPGAQHAPGPGGAAHPAQAQPLPPALHPRRAQRRLSGLSVLL
+LCCVFLLATQPLVWVSLASGFSLPVPWGVQAASWLLCCALSALNPLLY
+>Q6UWM5_PF00188_39 <unknown description>
+EAHNEWRGKVNPPAADMKYMIWDKGLAKMAKAWANQCKFEHNDCLDKSYKCYAAFEYVGE
+NIWLGGIKSFTPRHAITAWYNETQFYDFDSLSCSRVCGHYTQLVWANSFYVGCAVAMCPN
+LGGASTAIFVCNY
+>Q13227_PF15991_5 <unknown description>
+LERPKLSNAMARALHRHIMMERERKRQEEEEVDKMMEQKMKEEQERRKKKEMEERMSLEE
+TKEQILKLEEKLLALQEEKHQLFLQLKKVLHEEEKRRRKEQSDLTTLTSAAYQQSLTVHT
+GTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQFQGSP
+GGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQTGFL
+QPGGALSLQKQMEHANQQTGFSDSSSLRPMHPQALHPAPGLLASPQLP
+>P81274_PF13176_65 <unknown description>
+YSQLGNAYFYLHDYAKALEYHHHDLTLARTIGD
+>P81274_PF13424_102 <unknown description>
+AKASGNLGNTLKVLGNFDEAIVCCQRHLDISRELNDKVGEARALYNLGNVYHAKGK
+>P81274_PF13176_204 <unknown description>
+AFGNLGNTHYLLGNFRDAVIAHEQRLLIAKEFGDK
+>P81274_PF13424_243 <unknown description>
+RAYSNLGNAYIFLGEFETASEYYKKTLLLARQLKDRAVEAQSCYSLGNTYTLLQDYEKAI
+DYHLKHLAIAQE
+>P81274_PF13181_323 <unknown description>
+RACWSLGNAYTALGNHDQAMHFAEKHLEISR
+>P81274_PF02188_490 <unknown description>
+EGFFDLLSRFQSNRMDDQRC
+>P81274_PF02188_545 <unknown description>
+DEFLDLLASSQSRRLDDQRASF
+>P81274_PF02188_595 <unknown description>
+EDFFDILVKCQGSRLDDQRC
+>P81274_PF02188_629 <unknown description>
+EDFFSLILRSQGKRMDEQRVLL
+>Q9Y4H4_PF02188_65 <unknown description>
+LLDLVAEAQSRRLEEQRATF
+>Q9Y4H4_PF02188_134 <unknown description>
+ELLELLLRVQGGGRMEEQRSR
+>Q9BRR8_PF07713_32 <unknown description>
+IPLQDQTVRDEKGRYKRFHGAFSGGFSAGYFNTVGSKEGWTPSTFVSSRQNRADKSVLGP
+EDFMDEEDLSEFGIAPKAIVTTDDF
+>Q9BRR8_PF01585_154 <unknown description>
+SVGFELLRKMGWKEGQGVGPRVKRR
+>Q9NW75_PF01585_468 <unknown description>
+NNIGNRMLQNMGWTPGSGLGRDGKGISEPIQAMQRPKGLGLG
+>Q96I76_PF01585_410 <unknown description>
+TKGIGRKVMERQGWAEGQGLGCRCSGVPEALDSDGQHPRCKRGLGY
+>Q9UKJ3_PF01585_41 <unknown description>
+DNIGHRLLQKHGWKLGQGLGKSLQGRTDPIPIVVKYDVMGMG
+>Q9UKJ3_PF12171_135 <unknown description>
+NFYCELCDKQYQKHQEFDNHINSYDHAHK
+>Q9H3H5_PF00953_99 <unknown description>
+IGALLAICCMIFLGFADDVLNLRWRHKLLLPTAASLPLLMVYFTNFGNTTIVVPKPFRPI
+LGLHLDLGILYYVYMGLLAVFCTNAINILAGINGLEAGQSLVISASIIVFNLVELEGDCR
+DDHVFSLYFMIPFFFTTLGLLYHNWYPSRVFVGDTFCYFAGMTFAVVGILG
+>Q9HCN6_PF13895_27 <unknown description>
+KPSLQALPSSLVPLEKPVTLRCQGPPGVDLYRLEKLSSSRYQDQAVLFIPAMKRSLAGRY
+RCSYQNGSLWSLPSDQLEL
+>Q9HCN6_PF13895_113 <unknown description>
+KPSLSAQPGPAVSSGGDVTLQCQTRYGFDQFALYKEGDPAPYKNPERWYRASFPIITVTA
+AHSGTYRCYSFSSRDPYLWSAPSDPLELVV
+>P40197_PF13855_76 <unknown description>
+LQRLMISDSHISAVAPGTFSDLIKLKTLRLSRNKITHLPGALLDKMVLLEQLFLDHNAL
+>P40197_PF13855_147 <unknown description>
+NLQELALNQNQLDFLPASLFTNLENLKLLDLSGNNLTHLPKG
+>P40197_PF13855_195 <unknown description>
+KLERLLLHSNRLVSLDSGLLNSLGALTELQFHRNHIRSIAPGAFDRLPNLSSLTLSRNHL
+>P40197_PF13855_267 <unknown description>
+NLTLLTLFENPLAELPGVLFGEMGGLQELWLNRTQLRTLPAAAFRNLSRLRYLG
+>P40197_PF13855_364 <unknown description>
+KLRQVSLRRNRLRALPRALFRNLSSLESVQLDHNQLETLPGDVFGALPRLTEVLLGHN
+>P07203_PF00255_16 <unknown description>
+VYAFSARPLAGGEPVSLGSLRGKVLLIENVASLUGTTVRDYTQMNELQRRLGPRGLVVLG
+FPCNQFGHQENAKNEEILNSLKYVRPGGGFEPNFMLFEKCEVNGAGAHPLFAFLR
+>P18283_PF00255_8 <unknown description>
+FYDLSAISLDGEKVDFNTFRGRAVLIENVASLUGTTTRDFTQLNELQCRFPRRLVVLGFP
+CNQFGHQENCQNEEILNSLKYVRPGGGYQPTFTLVQKCEVNGQNEHPVFAYLK
+>P22352_PF00255_40 <unknown description>
+IYEYGALTIDGEEYIPFKQYAGKYVLFVNVASYUGLTGQYIELNALQEELAPFGLVILGF
+PCNQFGKQEPGENSEILPTLKYVRPGGGFVPNFQLFEKGDVNGEKEQKFYTFLK
+>P36969_PF00255_41 <unknown description>
+MHEFSAKDIDGHMVNLDKYRGFVCIVTNVASQUGKTEVNYTQLVDLHARYAECGLRILAF
+PCNQFGKQEPGSNEEIKEFAAGYNVKFDMFSKICVNGDDAHPLWKWMK
+>O75715_PF00255_40 <unknown description>
+IYDYEAIALNKNEYVSFKQYVGKHILFVNVATYCGLTAQYPELNALQEELKPYGLVVLGF
+PCNQFGKQEPGDNKEILPGLKYVRPGGGFVPSFQLFEKGDVNGEKEQKVFSFLK
+>P59796_PF00255_40 <unknown description>
+IYEYGALTLNGEEYIQFKQFAGKHVLFVNVAAYUGLAAQYPELNALQEELKNFGVIVLAF
+PCNQFGKQEPGTNSEILLGLKYVCPGSGFVPSFQLFEKGDVNGEKEQKVFTFLK
+>Q96SL4_PF00255_25 <unknown description>
+FYDFKAVNIRGKLVSLEKYRGSVSLVVNVASECGFTDQHYRALQQLQRDLGPHHFNVLAF
+PCNQFGQQEPDSNKEIESFARRTYSVSFPMFSKIAVTGTGAHPAFKYL
+>Q8TED1_PF00255_47 <unknown description>
+FYAFEVKDAKGRTVSLEKYKGKVSLVVNVASDCQLTDRNYLGLKELHKEFGPSHFSVLAF
+PCNQFGESEPRPSKEVESFARKNYGVTFPIFHKIKILGSEGEPAFRFL
+>P12544_PF00089_29 <unknown description>
+IIGGNEVTPHSRPYMVLLSLDRKTICAGALIAKDWVLTAAHCNLNKRSQVILGAHSITRE
+EPTKQIMLVKKEFPYPCYDPATREGDLKLLQLMEKAKINKYVTILHLPKKGDDVKPGTMC
+QVAGWGRTHNSASWSDTLREVNITIIDRKVCNDRNHYNFNPVIGMNMVCAGSLRGGRDSC
+NGDSGSPLLCEGVFRGVTSFGLENKCGDPRGPGVYILLSKKHLNWI
+>P10144_PF00089_21 <unknown description>
+IIGGHEAKPHSRPYMAYLMIWDQKSLKRCGGFLIRDDFVLTAAHCWGSSINVTLGAHNIK
+EQEPTQQFIPVKRPIPHPAYNPKNFSNDIMLLQLERKAKRTRAVQPLRLPSNKAQVKPGQ
+TCSVAGWGQTAPLGKHSHTLQEVKMTVQEDRKCESDLRHYYDSTIELCVGDPEIKKTSFK
+GDSGGPLVCNKVAQGIVSYGRNNGMPPRACTKVSSFVHWI
+>P20718_PF00089_21 <unknown description>
+IIGGHEAKPHSRPYMAFVQFLQEKSRKRCGGILVRKDFVLTAAHCQGSSINVTLGAHNIK
+EQERTQQFIPVKRPIPHPAYNPKNFSNDIMLLQLERKAKWTTAVRPLRLPSSKAQVKPGQ
+LCSVAGWGYVSMSTLATTLQEVLLTVQKDCQCERLFHGNYSRATEICVGDPKKTQTGFKG
+DSGGPLVCKDVAQGILSYGNKKGTPPGVYIKVSHFLPWI
+>P49863_PF00089_27 <unknown description>
+IIGGKEVSPHSRPFMASIQYGGHHVCGGVLIDPQWVLTAAHCQYRFTKGQSPTVVLGAHS
+LSKNEASKQTLEIKKFIPFSRVTSDPQSNDIMLVKLQTAAKLNKHVKMLHIRSKTSLRSG
+TKCKVTGWGATDPDSLRPSDTLREVTVTVLSRKLCNSQSYYNGDPFITKDMVCAGDAKGQ
+KDSCKGDSGGPLICKGVFHAIVSGGHECGVATKPGIYTLLTKKYQTWI
+>Q6IC98_PF02893_446 <unknown description>
+NFHEIFNLTENERPLAVCENGWRCCLINRDRKMPTDYIRNGVLYVTENYLCFESSKSGSS
+KRNKVIKLVDITDIQKYKVLSVLPGSGMGIAVSTPSTQKPLVFGAMVHRDEAFETILSQY
+IKI
+>P51124_PF00089_26 <unknown description>
+IIGGREVIPHSRPYMASLQRNGSHLCGGVLVHPKWVLTAAHCLAQRMAQLRLVLGLHTLD
+SPGLTFHIKAAIQHPRYKPVPALENDLALLQLDGKVKPSRTIRPLALPSKRQVVAAGTRC
+SMAGWGLTHQGGRLSRVLRELDLQVLDTRMCNNSRFWNGSLSPSMVCLAADSKDQAPCKG
+DSGGPLVCGKGRVLARVLSFSSRVCTDIFKPPVATAVAPYVSWI
+>P28676_PF13833_64 <unknown description>
+QDGEVDAEELQRCLTQSGINGTYSPFSLETCRIMIAMLDRDHTGKMGFNAFKELWA
+>O75791_PF00018_4 <unknown description>
+VAKFDFTASGEDELSFHTGDVLKILSNQEEWFKAELGSQEGYVPK
+>O75791_PF00017_58 <unknown description>
+WFHEGLSRHQAENLLMGKEVGFFIIRASQSSPGDFSISVRHEDDVQHFKVMRDNKGNYFL
+WTEKFPSLNKLVDYY
+>O75791_PF00018_277 <unknown description>
+RALYDFEALEDDELGFHSGEVVEVLDSSNPSWWTGRLHNKLGLFPA
+>Q8TC17_PF00018_4 <unknown description>
+VALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGVEGFIPK
+>Q8TC17_PF00017_60 <unknown description>
+WYSGRISRQLAEEILMKRNHLGAFLIRESESSPGEFSVSVNNRA
+>Q13588_PF00018_4 <unknown description>
+VALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGVEGFIPK
+>Q13588_PF00017_60 <unknown description>
+WYSGRISRQLAEEILMKRNHLGAFLIRESESSPGEFSVSVNYGDQVQHFKVLREASGKYF
+LWEEKFNSLNELVDFY
+>Q13588_PF00018_164 <unknown description>
+QAQFDFSAQDPSQLSFRRGDIIEVLERPDPHWWRGRSCGRVGFFP
+>Q7Z6J2_PF00595_103 <unknown description>
+TLEKEDNQTFGFEIQTYGLHHREEQRVEMVTFVCRVHESSPAQLAGLTPGDTIASVNGLN
+VEGIRHREIVDIIKASGNVLRLE
+>Q13322_PF00788_167 <unknown description>
+KQDVKVFSEDGTSKVVEILADMTARDLCQLLVYKSHCVDDNSWTLVEHHPHLGLERCLED
+HELVVQVESTMASESKFLFRKN
+>Q13322_PF00169_292 <unknown description>
+EIQGFLHVKELGKKSWKKLYVCLRRSGLYCSTKGTSKEPRHLQLLADLEDSNIFSLIAGR
+KQYNAPTDHGLCIKPNKVRNETKELRLLCAEDEQTRTCWMTAFRL
+>Q13322_PF08947_425 <unknown description>
+RSVSENSLVAMDFSGQTGRVIENPAEAQSAALEEGHAWRKRSTRMN
+>Q13322_PF00017_493 <unknown description>
+WFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHHQKIKNFQILPCEDDGQ
+TFFSLDDGNTKFSDLIQLVDFY
+>Q14449_PF00788_107 <unknown description>
+KQVIKVYSEDETSRALDVPSDITARDVCQLLILKNHYIDDHSWTLFEHLPHIGVERTIED
+HELVIEVLSNWGIEEENKLYFRKN
+>Q14449_PF00169_236 <unknown description>
+EIHGFLHAKEQGKKSWKKIYFFLRRSGLYFSTKGTSKEPRHLQFFSEFGNSDIYVSLAGK
+KKHGAPTNYGFCFKPNKAGGPRDLKMLCAEEEQSRTCWVTAIRLL
+>Q14449_PF08947_369 <unknown description>
+RSISENSLVAMDFSGQKSRVIENPTEALSVAVEEGLAWRKKGCLRL
+>Q14449_PF00017_439 <unknown description>
+WFHHKISRDEAQRLIIQQGLVDGVFLVRDSQSNPKTFVLSMSHGQKIKHFQIIPVEDDGE
+MFHTLDDGHTRFTDLIQLVEFY
+>Q9C091_PF15782_1 <unknown description>
+MGNSYAGQLKSARFEEALHNSIEASLRCSSVVPRPIFSQLYLDPDQHPFSSADVKPKVED
+LDKDLVNRYTQNGSLDFSNNLTVNEMEDDEDDEEMSDSNSPPIPYSQKPAPEGSCTTDGF
+CQAGKDLRLVSLCMEQIDIPAGFLLVGAKSPNLPEHILVCAVDKRFLPDDHGKNALLGFS
+GNCIGCGERGFRYFTEFSNHINLKLTTQPKKQKHLKYYLVRSSQGVLSKGPLICWKECRS
+RQSSASCHSIKPSSSVSSTVTPENGTTNGYKSGFTQTDAANGNSSHGGKGSASSSTPAHT
+GNYSLSPRPSYASGDQATMFISGPPKKRHRGWYPGSPLPQPGLVVPVPTVRPLSRTEPLL
+SAPVPQTPLTGILQPRPIPAGETVIVPENLLSNSGVRPVILIGYGTLPYFYGNVGDIVVS
+PLLVNCYKIPQLENKDLEKLGLTGSQFLSVENMILLTIQYLVRLGPDQVPLREEFEQIML
+KAMQEFTLRERALQIGAQCVPVSPGQLPWLARLIASVSQDLVHVVVTQNSLAEGISETLR
+TLSEMRHYQRLPDYVVVICASKIRGNEFCVVVLGQHQSRALAESMLTTSEFLKEISYELI
+TGKVSFLASHFKTTSLGDDLDKLLEKMQQRRGDSVVTPFDGDLNECVSPQEAAAMIPTQN
+LDLDNETFHIYQPQLTVARKLLSQVCAIADSGSQSLDLGHFSKVDFIIIVPRSEVLVQQT
+LQRIRQSGVLVDLGLEENGTAHQRAEKYVVRLDNEIQTKFEVFMRRVKQNPYTLFVLVHD
+NSHVELTSVISGSLSHSEPSHGLADRVINCREVLEAFNLLVLQVSSFPYTLQTQQSRISS
+SNEVHWIQLDTGEDVGCEEKLYFGLSEYSKSLQWGITSPLLRCDETFEKMVNTLLERYPR
+LHSMVVRCYLLIQQYSEALMALTTMASLRDHSTPETLSIMDDLISSPGKNKSGRGHMLII
+RVPSVQLAMLAKERLQEVRDKLGLQYRFEIILGNPATELSVATHFVARLKSWRGNEPEEW
+IPRTYQDLDGLPCIVILTGKDPLGETFPRSLKYCDLRLIDSSYLTRTALEQEVGLACCYV
+SKEVIRGPTVALDLSGKEQERAAVSENDSDELLIDLERPQSNSSAVTGTSGSIMENGVSS
+SSTADKSQKQSLTPSFQSPATSLGLDEGVSASSAGAGAGETLKQECDSLGPQMASSTTSK
+PSSSSSGPRTLPWPGQPIRGCRGPQAALPPVVILSKAAYSLLGSQKSGKLPSSSSLLPHA
+DVAWVSSLRPLLNKDMSSEEQSLYYRQWTLARQHHADYSNQLDPASGTRNFHPRRLLLTG
+PPQVGKTGSYLQFLRILFRMLIRLLEVDVYDEEEINTDHNESSEVSQSEGEPWPDIESFS
+KMPFDVSVHDPKYSLMSLVYTEKLAGVKQEVIKESKVEEPRKRETVSIMLTKYAAYNTFH
+HCEQCRQYMDFTSASQMSDSTLHAFTFSSSMLGEEVQLYFIIPKSKESHFVFSKQGKHLE
+SMRLPLVSDKNLNAVKSPIFTPSSGRHEHGLLNLFHAMEGISHLHLLVVKEYEMPLYRKY
+WPNHIMLVLPGMFNNAGVGAARFLIKELSYHNLELERNRLEELGIKRQCVWPFIVMMDDS
+CVLWNIHSVQEPSSQPMEVGVSSKNVSLKTVLQHIEATPKIVHYAILGIQKWSSKLTSQS
+LKAPFSRCHVHDFILLNTDLTQNVQYDFNRYFCEDADFNLRTNSSGLLICRFNNFSLMKK
+HVQVGGQRDFIIKPKIMVSESLAPILPLQYICAPDSEHTLLAAPAQFLLEKFLQHASYKL
+FPKAIHNFRSPVLAIDCYLNIGPEVAICYISSRPHSSNVNCEGVFFSGLLLYLCDSFVGA
+DLKKFKFLKGATLCVICQDRSSLRQTIVRLELEDEWQFRLRDEFQTANSSDDKPLYFLTG
+RHV
+>P62993_PF00018_4 <unknown description>
+IAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPK
+>P62993_PF00017_60 <unknown description>
+WFFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYF
+LWVVKFNSLNELVDYH
+>P62993_PF00018_162 <unknown description>
+QALFDFDPQEDGELGFRRGDFIHVMDNSDPNWWKGACHGQTGMFP
+>Q14451_PF00788_101 <unknown description>
+PHVVKVYSEDGACRSVEVAAGATARHVCEMLVQRAHALSDETWGLVECHPHLALERGLED
+HESVVEVQAAWPVGGDSRFVFRKN
+>Q14451_PF00169_231 <unknown description>
+EIQGFLQLRGSGRKLWKRFFCFLRRSGLYYSTKGTSKDPRHLQYVADVNESNVYVVTQGR
+KLYGMPTDFGFCVKPNKLRNGHKGLRIFCSEDEQSRTCWLAAFR
+>Q14451_PF08947_365 <unknown description>
+RSASDNTLVAMDFSGHAGRVIENPREALSVALEEAQAWRKKTNHRLS
+>Q14451_PF00017_431 <unknown description>
+WFHGRISREESQRLIGQQGLVDGLFLVRESQRNPQGFVLSLCHLQKVKHYLILPSEEEGR
+LYFSMDDGQTRFTDLLQLVEFH
+>A8MXD5_PF00462_139 <unknown description>
+VVIYTTCLRVVRTTFERCELVRKIFQNHRVKFEEKNIALNGEYGKELDERCRRVSEAPSL
+PVVFIDGHY
+>A4D2P6_PF00595_15 <unknown description>
+EDFGFRLGGSGPCFVLEVAKGSSAHAGGLRPGDQILEVEGLAVGGLSRERLVRLARRC
+>A4D2P6_PF00595_280 <unknown description>
+VRVYKGNKSFGFTLRGHGPVWIESVLPGSPADNAALKSGDRILFLNGLDMRNCSHDKVVS
+MLQGSGAMPTL
+>A4D2P6_PF02181_822 <unknown description>
+RSETSHMSVKRLRWEQVENSEGTIWGQLGEDSDYDKLSDMVKYLDLELHFGTQKPAKPVP
+GPEPFRKKEVVEILSHKKAYNTSILLAHLKLSPAELRQVLMSMEPRRLEPAHLAQLLLFA
+PDADEEQRYQAFREAPGRLSEPDQFVLQMLSVPEYKTRLRSLHFQATLQEKTEEIRGSLE
+CLRQASLELKNSRKLAKILEFVLAMGNYLNDGQPKTNKTTGFKINFLTELNSTKTVDGKS
+TFLHILAKSLSQHFPELLGFAQDLPTVPLAAKVNQRALTSDLADLHGTISEIQDACQSIS
+PSSEDKFAMVMSSFLETAQPALRALDGLQREAMEELGKALAFFGEDSKATTSEAFFGIFA
+EFMSKF
+>Q3V6T2_PF19047_16 <unknown description>
+SPLVTWVKTFGPLAAGNGTNLDEYVALVDGVFLNQVMLQINPKLESQRVNKKVNNDASLR
+MHNLSILVRQIKFYYQETLQQLIMMSLPNVLIIGKNPFSEQGTEEVKKLLLLLLGCAVQC
+QKKEEFIERIQGLDFDTKAAVAAHIQEVTH
+>Q4ZG55_PF15782_1 <unknown description>
+MGNSYAGQLKTTRFEEVLHNSIEASLRSNNLVPRPIFSQLYLEAEQQLAALEGGSRVDNE
+EEEEEGEGGLETNGPPNPFQLHPLPEGCCTTDGFCQAGKDLRLVSISNEPMDVPAGFLLV
+GVKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLT
+TQPKKQKHLKYYLVRNAQGTLTKGPLICWKGSEFRSRQIPASTCSSSLFPALESTAAFPS
+EPVPGTNPSILMGAQQAGPASDHPSLNAAMGPAVFNGKDSPKCQQLAKNNLLALPRPSAL
+GILSNSGPPKKRHKGWSPESPSAPDGGCPQGGGNRAKYESAGMSCVPQVGLVGPASVTFP
+VVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSVSRA
+YEQYGASAIQPISEEMQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQYQQ
+APPQPFPPAPSAAAPVTSAQLPWLASLAASSCNDSVHVIECAYSLAEGLSEMFRLLVEGK
+LAKTNYVVIICACRSAAIDSCIAVTGKYQARILSESLLTPAEYQKEVNYELVTGKVDSLG
+AFFSTLCPEGDIDILLDKFHQENQGHISSSLAASSVTKAASLDVSGTPVCTSYNLEPHSI
+RPFQLAVAQKLLSHVCSIADSSTQNLDLGSFEKVDFLICIPPSEVTYQQTLLHVWHSGVL
+LELGLKKEHMTKQRVEQYVLKLDTEAQTKFKAFLQNSFQNPHTLFVLIHDHAHWDLVSST
+VHNLYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQTQHTLISPYNEIHWPAS
+CSNGVDLYHENKKYFGLSEFIESTLSGHSLPLLRYDSSFEAMVTALGKRFPRLHSAVIRT
+FVLVQHYAAALMAVSGLPQMKNYTSVETLEITQNLLNSPKQCPCGHGLMVLLRVPCSPLA
+VVAYERLAHVRARLALEEHFEIILGSPSSGVTVGKHFVKQLRMWQKIEDVEWRPQTYLEL
+EGLPCILIFSGMDPHGESLPRSLRYCDLRLINSSCLVRTALEQELGLAAYFVSNEVPLEK
+GARNEALESDAEKLSSTDNEDEELGTEGSTSEKRSPMKRERSRSHDSASSSLSSKASGSA
+LGGESSAQPTALPQGEHARSPQPRGPAEEGRAPGEKQRPRASQGPPSAISRHSPGPTPQP
+DCSLRTGQRSVQVSVTSSCSQLSSSSGSSSSSVAPAAGTWVLQASQCSLTKACRQPPIVF
+LPKLVYDMVVSTDSSGLPKAASLLPSPSVMWASSFRPLLSKTMTSTEQSLYYRQWTVPRP
+SHMDYGNRAEGRVDGFHPRRLLLSGPPQIGKTGAYLQFLSVLSRMLVRLTEVDVYDEEEI
+NINLREESDWHYLQLSDPWPDLELFKKLPFDYIIHDPKYEDASLICSHYQGIKSEDRGMS
+RKPEDLYVRRQTARMRLSKYAAYNTYHHCEQCHQYMGFHPRYQLYESTLHAFAFSYSMLG
+EEIQLHFIIPKSKEHHFVFSQPGGQLESMRLPLVTDKSHEYIKSPTFTPTTGRHEHGLFN
+LYHAMDGASHLHVLVVKEYEMAIYKKYWPNHIMLVLPSIFNSAGVGAAHFLIKELSYHNL
+ELERNRQEELGIKPQDIWPFIVISDDSCVMWNVVDVNSAGERSREFSWSERNVSLKHIMQ
+HIEAAPDIMHYALLGLRKWSSKTRASEVQEPFSRCHVHNFIILNVDLTQNVQYNQNRFLC
+DDVDFNLRVHSAGLLLCRFNRFSVMKKQIVVGGHRSFHITSKVSDNSAAVVPAQYICAPD
+SKHTFLAAPAQLLLEKFLQHHSHLFFPLSLKNHDHPVLSVDCYLNLGSQISVCYVSSRPH
+SLNISCSDLLFSGLLLYLCDSFVGASFLKKFHFLKGATLCVICQDRSSLRQTVVRLELED
+EWQFRLRDEFQTANAREDRPLFFLTGRHI
+>O60565_PF03045_72 <unknown description>
+EVLESSQEALHVTERKYLKRDWCKTQPLKQTIHEEGCNSRTIINRFCYGQCNSFYIPRHI
+RKEEGSFQSCSFCKPKKFTTMMVTLNCPELQPPTKKKRVTRVKQCRCIS
+>Q9H772_PF03045_51 <unknown description>
+EVLASSQEALVVTERKYLKSDWCKTQPLRQTVSEEGCRSRTILNRFCYGQCNSFYIPRHV
+KKEEESFQSCAFCKPQRVTSVLVELECPGLDPPFRLKKIQKVKQCRCMS
+>Q9NZI5_PF04516_246 <unknown description>
+SVSGNNFEYTLEASKSLRQKPGDSTMTYLNKGQFYPITLKEVSSSEGIHHPISKVRSVIM
+VVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISFTWDI
+NDEAKVFISVNCLSTDFSSQKGVKGLPLNIQVDTYSYNNRSNKPVHRAYCQIKVFCDKGA
+ERKIRDEERKQSKRK
+>Q6ISB3_PF04516_226 <unknown description>
+EKFRSASVGAEEYMYDQTSSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGD
+NKCFRHPISKVRSVVMVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIG
+NIEEIAYNAVSFTWDVNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKP
+IHRAYCQIKVFCDKGAERKIRDEERKQNRKKGK
+>Q8TE85_PF04516_227 <unknown description>
+LKSDFEYTLGSPKAIHIKSGESPMAYLNKGQFYPVTLRTPAGGKGLALSSNKVKSVVMVV
+FDNEKVPVEQLRFWKHWHSRQPTAKQRVIDVADCKENFNTVEHIEEVAYNALSFVWNVNE
+EAKVFIGVNCLSTDFSSQKGVKGVPLNLQIDTYDCGLGTERLVHRAVCQIKIFCDKGAER
+KMRDDERKQFRRK
+>Q9UBQ7_PF00389_10 <unknown description>
+FVTRRIPAEGRVALARAADCEVEQWDSDEPIPAKELERGVAGAHGLLCLLSDHVDKRILD
+AAGANLKVISTMSVGIDHLALDEIKKRGIRVGYTPDVLTDTTAELAVSLLLTTCRRLPEA
+IEEVKNGGWTSWKPLWLCGYGLTQSTVGIIGLGRIGQAIARRLKPFGVQRFLYTGRQPRP
+EEAAEFQAEFVSTPELAAQSDFIVVACSLTPATEGLCNKDFFQKMKETAVFINISRGDVV
+NQDDLYQALASGKIAAAGLDVTSPEPLPTNHPLLTLKNCVILPHIGSATHRTRNTMSLLA
+ANNLLAGLRGEPMPSE
+>Q9UBQ7_PF02826_117 <unknown description>
+SLLLTTCRRLPEAIEEVKNGGWTSWKPLWLCGYGLTQSTVGIIGLGRIGQAIARRLKPFG
+VQRFLYTGRQPRPEEAAEFQAEFVSTPELAAQSDFIVVACSLTPATEGLCNKDFFQKMKE
+TAVFINISRGDVVNQDDLYQALASGKIAAAGLDVTSPEPLPTNHPLLTLKNCVILPHIG
+>P42261_PF01094_38 <unknown description>
+EHAAFRFALSQLTEPPKLLPQIDIVNISDSFEMTYRFCSQFSKGVYAIFGFYERRTVNML
+TSFCGALHVCFITPSFPVDTSNQFVLQLRPELQDALISIIDHYKWQKFVYIYDADRGLSV
+LQKVLDTAAEKNWQVTAVNILTTTEEGYRMLFQDLEKKKERLVVVDCESERLNAILGQII
+KLEKNGIGYHYILANLGFMDIDLNKFKESGANVTGFQLVNYTDTIPAKIMQQWKNSDARD
+HTRVDWKRPKYTSALTYDGVKVMAEAFQSLRRQRIDISRRGNAGDCLANPAVPWGQGIDI
+QRALQQVRFEGLTGNVQFNEKGRRTNYTLHVIEMKH
+>P42261_PF10613_408 <unknown description>
+TYIVTTILEDPYVMLKKNANQFEGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGAR
+DPDTKAWNGMVGELVYGRADVAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQ
+>P42261_PF00060_537 <unknown description>
+YEIWMCIVFAYIGVSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLG
+AFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQ
+TEIAYGTLEAGSTKEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAY
+LLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSALRNPVNLAVLKLNEQGLLDKL
+KNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILIGGL
+>P42262_PF01094_55 <unknown description>
+EFRLTPHIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITP
+SFPTDGTHPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQ
+VTAINVGNINNDKKDEMYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYH
+YIIANLGFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTTTIK
+YTSALTYDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVE
+GLSGNIKFDQNGKRINYTINIMELKT
+>P42262_PF10613_414 <unknown description>
+KTVVVTTILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGA
+RDADTKIWNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQ
+>P42262_PF00060_544 <unknown description>
+YEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWFSLG
+AFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLSKQ
+TEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGKYAY
+LLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLRNAVNLAVLKLNEQGLLDKL
+KNKWWYDKGECGSGGGDSKEKTSALSLSNVAGVFYILVGGL
+>P42263_PF01094_47 <unknown description>
+EHSAFRFAVQLYNTNQNTTEKPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYD
+QMSMNTLTSFCGALHTSFVTPSFPTDADVQFVIQMRPALKGAILSLLGHYKWEKFVYLYD
+TERGFSILQAIMEAAVQNNWQVTARSVGNIKDVQEFRRIIEEMDRRQEKRYLIDCEVERI
+NTILEQVVILGKHSRGYHYMLANLGFTDILLERVMHGGANITGFQIVNNENPMVQQFIQR
+WVRLDEREFPEAKNAPLKYTSALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAV
+PWSQGIDIERALKMVQVQGMTGNIQFDTYGRRTNYTIDVYEMKV
+>P42263_PF10613_424 <unknown description>
+TIVVTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGAR
+DPETKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQ
+>P42263_PF00060_553 <unknown description>
+YEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIFNSLWFS
+LGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLA
+KQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVRKSKGKF
+AFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRTPVNLAVLKLSEQGILD
+KLKNKWWYDKGECGAKDSGSKDKTSALSLSNVAGVFYILVGGL
+>P48058_PF01094_40 <unknown description>
+EYTAFRLAIFLHNTSPNASEAPFNLVPHVDNIETANSFAVTNAFCSQYSRGVFAIFGLYD
+KRSVHTLTSFCSALHISLITPSFPTEGESQFVLQLRPSLRGALLSLLDHYEWNCFVFLYD
+TDRGYSILQAIMEKAGQNGWHVSAICVENFNDVSYRQLLEELDRRQEKKFVIDCEIERLQ
+NILEQIVSVGKHVKGYHYIIANLGFKDISLERFIHGGANVTGFQLVDFNTPMVIKLMDRW
+KKLDQREYPGSETPPKYTSALTYDGVLVMAETFRSLRRQKIDISRRGNAGDCLANPAAPW
+GQGIDMERTLKQVRIQGLTGNVQFDHYGRRVNYTMDVFELKS
+>P48058_PF10613_416 <unknown description>
+TVVVTTIMESPYVMYKKNHEMFEGNDKYEGYCVDLASEIAKHIGIKYKIAIVPDGKYGAR
+DADTKIWNGMVGELVYGKAEIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQ
+>P48058_PF00060_545 <unknown description>
+YEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEPEDGKEGPSDQPPNEFGIFNSLWFSLG
+AFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQ
+TEIAYGTLDSGSTKEFFRRSKIAVYEKMWTYMRSAEPSVFTRTTAEGVARVRKSKGKFAF
+LLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSSLRTPVNLAVLKLSEAGVLDKL
+KNKWWYDKGECGPKDSGSKDKTSALSLSNVAGVFYILVGGL
+>Q9ULK0_PF01094_39 <unknown description>
+RVFQLAVSDLSLNDDILQSEKITYSIKVIEANNPFQAVQEACDLMTQGILALVTSTGCAS
+ANALQSLTDAMHIPHLFVQRNPGGSPRTACHLNPSPDGEAYTLASRPPVRLNDVMLRLVT
+ELRWQKFVMFYDSEYDIRGLQSFLDQASRLGLDVSLQKVDKNISHVFTSLFTTMKTEELN
+RYRDTLRRAILLLSPQGAHSFINEAVETNLASKDSHWVFVNEEISDPEILDLVHSALGRM
+TVVRQIFPSAKDNQKCTRNNHRISSLLCDPQEGYLQMLQISNLYLYDSVLMLANAFHRKL
+EDRKWHSMASLNCIRKSTKPWNGGRSMLDTIKKGHITGLTGVMEFREDSSNPYVQFEILG
+TT
+>Q9ULK0_PF10613_438 <unknown description>
+TLKVVTVLEEPFVMVAENILGQPKRYKGFSIDVLDALAKALGFKYEIYQAPDGRYGHQLH
+NTSWNGMIGELISKRADLAISAITITPERESVVDFSKRYMDYSVGILIKKPE
+>Q9ULK0_PF00060_564 <unknown description>
+AVWACIAAAIPVVGVLIFVLNRIQAVRAQSAAQPRPSASATLHSAIWIVYGAFVQQGGES
+SVNSMAMRIVMGSWWLFTLIVCSSYTANLAAFLTVSRMDNPIRTFQDLSKQVEMSYGTVR
+DSAVYEYFRAKGTNPLEQDSTFAELWRTISKNGGADNCVSSPSEGIRKAKKGNYAFLWDV
+AVVEYAALTDDDCSVTVIGNSISSKGYGIALQHGSPYRDLFSQRILELQDTGDLDVLKQK
+WWPHMGRCDLTSHASAQADGKSLKLHSFAGVFCILAIGL
+>O43424_PF01094_42 <unknown description>
+EVFRTAVGDLNQNEEILQTEKITFSVTFVDGNNPFQAVQEACELMNQGILALVSSIGCTS
+AGSLQSLADAMHIPHLFIQRSTAGTPRSGCGLTRSNRNDDYTLSVRPPVYLHDVILRVVT
+EYAWQKFIIFYDSEYDIRGIQEFLDKVSQQGMDVALQKVENNINKMITTLFDTMRIEELN
+RYRDTLRRAILVMNPATAKSFITEVVETNLVAFDCHWIIINEEINDVDVQELVRRSIGRL
+TIIRQTFPVPQNISQRCFRGNHRISSTLCDPKDPFAQNMEISNLYIYDTVLLLANAFHKK
+LEDRKWHSMASLSCIRKNSKPWQGGRSMLETIKKGGVSGLTGELEFGENGGNPNVHFEIL
+GTN
+>O43424_PF10613_443 <unknown description>
+LRVVTVLEEPFVMVSENVLGKPKKYQGFSIDVLDALSNYLGFNYEIYVAPDHKYGSPQED
+GTWNGLVGELVFKRADIGISALTITPDRENVVDFTTRYMDYSVGVLLRRA
+>O43424_PF00060_567 <unknown description>
+LSLWACIAGTVLLVGLLVYLLNWLNPPRLQMGSMTSTTLYNSMWFVYGSFVQQGGEVPYT
+TLATRMMMGAWWLFALIVISSYTANLAAFLTITRIESSIQSLQDLSKQTEIPYGTVLDSA
+VYEHVRMKGLNPFERDSMYSQMWRMINRSNGSENNVLESQAGIQKVKYGNYAFVWDAAVL
+EYVAINDPDCSFYTIGNTVADRGYGIALQHGSPYRDVFSQRILELQQNGDMDILKHKWWP
+KNGQCDLYSSVDTKQKGGALDIKSFAGVFCILAAGI
+>A4D1Z8_PF00337_9 <unknown description>
+CAGGLAPGWKLLVQGHADSGEDRFETNFLLETGDIAFHIKPRFSSATVVGNAFQYGRWGP
+EQVSSIFPLAPGEPFEIEVSWDAEHFHVYAPEHKVLQFPCRQRPLGATTRVRVLSDHCLA
+QVE
+>Q13002_PF01094_54 <unknown description>
+ELAFRFAVNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSS
+SANAVQSICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVT
+VVYDDSTGLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHE
+MAAGILKQALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSII
+EKWSMERLQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPW
+RFGTRFMSLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLK
+>Q13002_PF10613_432 <unknown description>
+SLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEIRLVEDGKYGAQ
+DDANGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISILYRKPN
+>Q13002_PF00060_561 <unknown description>
+PDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSDVVENNFTLLNSFWFGVGALM
+QQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKI
+EYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKSNEEGIQRVLTSDYAFLMEST
+TIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITIAILQLQEEGKLHMMKEKWWR
+GNGCPEEESKEASALGVQNIGGIFIVLAAGL
+>Q13003_PF01094_57 <unknown description>
+EHAFRFSANIINRNRTLLPNTTLTYDIQRIHFHDSFEATKKACDQLALGVVAIFGPSQGS
+CTNAVQSICNALEVPHIQLRWKHHPLDNKDTFYVNLYPDYASLSHAILDLVQYLKWRSAT
+VVYDDSTGLIRLQELIMAPSRYNIRLKIRQLPIDSDDSRPLLKEMKRGREFRIIFDCSHT
+MAAQILKQAMAMGMMTEYYHFIFTTLDLYALDLEPYRYSGVNLTGFRILNVDNPHVSAIV
+EKWSMERLQAAPRSESGLLDGVMMTDAALLYDAVHIVSVCYQRAPQMTVNSLQCHRHKAW
+RFGGRFMNFIKEAQWEGLTGRIVFNKTSGLRTDFDLDIISLKE
+>Q13003_PF10613_435 <unknown description>
+SLIVTTVLEEPFVMFRKSDRTLYGNDRFEGYCIDLLKELAHILGFSYEIRLVEDGKYGAQ
+DDKGQWNGMVKELIDHKADLAVAPLTITHVREKAIDFSKPFMTLGVSILYRKPN
+>Q13003_PF00060_563 <unknown description>
+PDIWMYVLLAYLGVSCVLFVIARFSPYEWYDAHPCNPGSEVVENNFTLLNSFWFGMGSLM
+QQGSELMPKALSTRIIGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKI
+EYGAVKDGATMTFFKKSKISTFEKMWAFMSSKPSALVKNNEEGIQRALTADYALLMESTT
+IEYVTQRNCNLTQIGGLIDSKGYGIGTPMGSPYRDKITIAILQLQEEDKLHIMKEKWWRG
+SGCPEEENKEASALGIQKIGGIFIVLAAGL
+>Q16099_PF01094_44 <unknown description>
+SITLAKNRINRAPERLGKAKVEVDIFELLRDSEYETAETMCQILPKGVVAVLGPSSSPAS
+SSIISNICGEKEVPHFKVAPEEFVKFQFQRFTTLNLHPSNTDISVAVAGILNFFNCTTAC
+LICAKAECLLNLEKLLRQFLISKDTLSVRMLDDTRDPTPLLKEIRDDKTATIIIHANASM
+SHTILLKAAELGMVSAYYTYIFTNLEFSLQRMDSLVDDRVNILGFSIFNQSHAFFQEFAQ
+SLNQSWQENCDHVPFTGPALSSALLFDAVYAVVTAVQELNRSQEIGVKPLSCGSAQIWQH
+GTSLMNYLRMVELEGLTGHIEFNSKGQRSNYALKILQFTRN
+>Q16099_PF10613_417 <unknown description>
+TLVVTTILENPYLMLKGNHQEMEGNDRYEGFCVDMLKELAEILRFNYKIRLVGDGVYGVP
+EANGTWTGMVGELIARKADLAVAGLTITAEREKVIDFSKPFMTLGISILYRV
+>Q16099_PF00060_547 <unknown description>
+VWLFMLLAYLAVSCVLFLVARLTPYEWYSPHPCAQGRCNLLVNQYSLGNSLWFPVGGFMQ
+QGSTIAPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMDVPIESVDDLADQTAIE
+YGTIHGGSSMTFFQNSRYQTYQRMWNYMYSKQPSVFVKSTEEGIARVLNSNYAFLLESTM
+NEYYRQRNCNLTQIGGLLDTKGYGIGMPVGSVFRDEFDLAILQLQENNRLEILKRKWWEG
+GKCPKEEDHRAKGLGMENIGGIFVVLICGL
+>Q16478_PF01094_41 <unknown description>
+RLALALAREQINGIIEVPAKARVEVDIFELQRDSQYETTDTMCQILPKGVVSVLGPSSSP
+ASASTVSHICGEKEIPHIKVGPEETPRLQYLRFASVSLYPSNEDVSLAVSRILKSFNYPS
+ASLICAKAECLLRLEELVRGFLISKETLSVRMLDDSRDPTPLLKEIRDDKVSTIIIDANA
+SISHLILRKASELGMTSAFYKYILTTMDFPILHLDGIVEDSSNILGFSMFNTSHPFYPEF
+VRSLNMSWRENCEASTYLGPALSAALMFDAVHVVVSAVRELNRSQEIGVKPLACTSANIW
+PHGTSLMNYLRMVEYDGLTGRVEFNSKGQRTNYTLRILEK
+>Q16478_PF10613_415 <unknown description>
+KTLVVTTILENPYVMRRPNFQALSGNERFEGFCVDMLRELAELLRFRYRLRLVEDGLYGA
+PEPNGSWTGMVGELINRKADLAVAAFTITAEREKVIDFSKPFMTLGISILYRV
+>Q16478_PF00060_545 <unknown description>
+AVWLFMLLAYLAVSCVLFLAARLSPYEWYNPHPCLRARPHILENQYTLGNSLWFPVGGFM
+QQGSEIMPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMEVPVESADDLADQTNI
+EYGTIHAGSTMTFFQNSRYQTYQRMWNYMQSKQPSVFVKSTEEGIARVLNSRYAFLLEST
+MNEYHRRLNCNLTQIGGLLDTKGYGIGMPLGSPFRDEITLAILQLQENNRLEILKRKWWE
+GGRCPKEEDHRAKGLGMENIGGIFIVLICGL
+>Q7Z2K8_PF15235_873 <unknown description>
+TGPMTPQAAAPPAFPEVRVRPGSALAAAVAPPEPAEPVRDVSWDEKGMTWEVYGAAMEVE
+VLGMAIQKHLERQIEEHGRQGAPAPPPAARAGPGRSGSVRTAPPDGAAKRPPGLFRALLQ
+SVRRPRCCSRAGP
+>O60269_PF15235_357 <unknown description>
+PAALHVFPEVTLGSSLEEVPSPVRDVRWDAEGMTWEVYGAAVDLEVLGVAIQKHLEMQFE
+QLQRAPASEDSLSVEGRRGPLRAVMQSLRRPSCCG
+>Q6ZVF9_PF15235_650 <unknown description>
+TAAAAQVGLTPGDKKKQLGADSKLQLKQSKRVRDVVWDEQGMTWEVYGASLDAESLGIAI
+QNHLQRQIREHEKLIKTQNSQTRRSISSDTSSNKKLRGRQHSVFQSMLQNFRRPNCCVRP
+AP
+>Q9Y3R0_PF00595_55 <unknown description>
+ELMKKEGTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRH
+DEIISLLKNVGERVVLEV
+>Q9Y3R0_PF00595_152 <unknown description>
+EVTLHKEGNTFGFVIRGGAHDDRNKSRPVVITCVRPGGPADREGTIKPGDRLLSVDGIRL
+LGTTHAEAMSILKQCGQEAALLIE
+>Q9Y3R0_PF00595_260 <unknown description>
+GASLGVALTTSMCCNKQVIVIDKIKSASIADRCGALHVGDHILSIDGTSMEYCTLAEATQ
+FLANTTDQVKLEIL
+>Q9Y3R0_PF17820_503 <unknown description>
+ISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEASQLLRDSSITSKVTLEI
+>Q9Y3R0_PF00595_575 <unknown description>
+KLPKKHNVELGITISSPSSRKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNC
+SMEDAVQILQQCEDLVKLKIR
+>Q9Y3R0_PF00595_674 <unknown description>
+VELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRILAINSSSLKGKPL
+SEAIHLLQMAGETVTLKIK
+>Q9Y3R0_PF00595_1006 <unknown description>
+TLYKDSDMEDFGFSVADGLLEKGVYVKNIRPAGPGDLGGLKPYDRLLQVNHVRTRDFDCC
+LVVPLIAESGNKLDLVI
+>Q9C0E4_PF00595_51 <unknown description>
+LIKKEGSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNIGDYIRSVNGIHLTRLRHD
+EIITLLKNVGERVVLEV
+>Q9C0E4_PF00595_149 <unknown description>
+VSLYKEGNSFGFVLRGGAHEDGHKSRPLVLTYVRPGGPADREGSLKVGDRLLSVDGIPLH
+GASHATALATLRQCSH
+>Q9C0E4_PF00595_253 <unknown description>
+KTPGSALGISLTTTSLRNKSVITIDRIKPASVVDRSGALHPGDHILSIDGTSMEHCSLLE
+ATKLLASISEKVRLEIL
+>Q9C0E4_PF17820_490 <unknown description>
+IEPDSPAERCGLLQVGDRVLSINGIATEDGTMEEANQLLRDAALAHKVVLEV
+>Q9C0E4_PF00595_559 <unknown description>
+KLPKKRSVELGITISSASRKRGEPLIISDIKKGSVAHRTGTLEPGDKLLAIDNIRLDNCP
+MEDAVQILRQCEDLVKLKIR
+>Q9C0E4_PF00595_657 <unknown description>
+VELKRYGGPLGITISGTEEPFDPIVISGLTKRGLAERTGAIHVGDRILAINNVSLKGRPL
+SEAIHLLQVAGETVTLKIK
+>Q9C0E4_PF00595_942 <unknown description>
+VTLHKDPMRHDFGFSVSDGLLEKGVYVHTVRPDGPAHRGGLQPFDRVLQVNHVRTRDFDC
+CLAVPLLAEAGDVLELII
+>Q15835_PF00615_58 <unknown description>
+FESVCLEQPIGKKLFQQFLQSAEKHLPALELWKDIEDYDTADNDLQPQKAQTILAQYLDP
+QAKLFCSFLDEGIVAKFKEGPVEIQDGLFQPLLQATLAHLGQAPFQEYLGSLYFLRF
+>Q15835_PF00069_193 <unknown description>
+FRVLGKGGFGEVSACQMKATGKLYACKKLNKKRLKKRKGYQGAMVEKKILMKVHSRFIVS
+LAYAFETKADLCLVMTIMNGGDIRYHIYNVNEENPGFPEPRALFYTAQIICGLEHLHQRR
+IVYRDLKPENVLLDNDGNVRISDLGLAVELLDGQSKTKGYAGTPGFMAPELLQGEEYDFS
+VDYFALGVTLYEMIAARGPFRARGEKVENKELKHRIISEPVKYPDKFSQASKDFCEALLE
+KDPEKRLGFRD
+>P32298_PF00615_53 <unknown description>
+YSSLCDKQPIGRRLFRQFCDTKPTLKRHIEFLDAVAEYEVADDEDRSDCGLSILDRFFND
+KLAAPLPEIPPDVVTECRLGLKEENPSKKAFEECTRVAHNYLRGEPFEEYQESSYFSQF
+>P32298_PF00069_189 <unknown description>
+HYRVLGKGGFGEVCACQVRATGKMYACKKLQKKRIKKRKGEAMALNEKRILEKVQSRFVV
+SLAYAYETKDALCLVLTIMNGGDLKFHIYNLGNPGFDEQRAVFYAAELCCGLEDLQRERI
+VYRDLKPENILLDDRGHIRISDLGLATEIPEGQRVRGRVGTVGYMAPEVVNNEKYTFSPD
+WWGLGCLIYEMIQGHSPFKKYKEKVKWEEVDQRIKNDTEEYSEKFSEDAKSICRMLLTKN
+PSKRLGCRGEGAAGVKQHPVF
+>P34947_PF00615_54 <unknown description>
+CSLCDKQPIGRLLFRQFCETRPGLECYIQFLDSVAEYEVTPDEKLGEKGKEIMTKYLTPK
+SPVFIAQVGQDLVSQTEEKLLQKPCKELFSACAQSVHEYLRGEPFHEYLDSMFFDRF
+>P34947_PF00069_187 <unknown description>
+RQYRVLGKGGFGEVCACQVRATGKMYACKRLEKKRIKKRKGESMALNEKQILEKVNSQFV
+VNLAYAYETKDALCLVLTIMNGGDLKFHIYNMGNPGFEEERALFYAAEILCGLEDLHREN
+TVYRDLKPENILLDDYGHIRISDLGLAVKIPEGDLIRGRVGTVGYMAPEVLNNQRYGLSP
+DYWGLGCLIYEMIEGQSPFRGRKEKVKREEVDRRVLETEEVYSHKFSEEAKSICKMLLTK
+DAKQRLGCQEEGAAEVKRHPFF
+>P43250_PF00615_53 <unknown description>
+YHSLCERQPIGRLLFREFCATRPELSRCVAFLDGVAEYEVTPDDKRKACGRQLTQNFLSH
+TGPDLIPEVPRQLVTNCTQRLEQGPCKDLFQELTRLTHEYLSVAPFADYLDSIYFNRF
+>P43250_PF00069_187 <unknown description>
+RQYRVLGKGGFGEVCACQVRATGKMYACKKLEKKRIKKRKGEAMALNEKQILEKVNSRFV
+VSLAYAYETKDALCLVLTLMNGGDLKFHIYHMGQAGFPEARAVFYAAEICCGLEDLHRER
+IVYRDLKPENILLDDHGHIRISDLGLAVHVPEGQTIKGRVGTVGYMAPEVVKNERYTFSP
+DWWALGCLLYEMIAGQSPFQQRKKKIKREEVERLVKEVPEEYSERFSPQARSLCSQLLCK
+DPAERLGCRGGSAREVKEHPLF
+>Q8WTQ7_PF00615_55 <unknown description>
+NFHSLCEQQPIGRRLFRDFLATVPTFRKAATFLEDVQNWELAEEGPTKDSALQGLVATCA
+SAPAPGNPQPFLSQAVATKCQAATTEEERVAAVTLAKAEAMAFLQEQPFKDFVTSAFYDK
+F
+>Q8WTQ7_PF00069_191 <unknown description>
+FTEFRVLGKGGFGEVCAVQVKNTGKMYACKKLDKKRLKKKGGEKMALLEKEILEKVSSPF
+IVSLAYAFESKTHLCLVMSLMNGGDLKFHIYNVGTRGLDMSRVIFYSAQIACGMLHLHEL
+GIVYRDMKPENVLLDDLGNCRLSDLGLAVEMKGGKPITQRAGTNGYMAPEILMEKVSYSY
+PVDWFAMGCSIYEMVAGRTPFKDYKEKVSKEDLKQRTLQDEVKFQHDNFTEEAKDICRLF
+LAKKPEQRLGSRE
+>P0CAP2_PF15328_27 <unknown description>
+MLKRQERLLRNEKFICKLPDKGKKIFDSFAKLKAAIAECEEVRRKSELFNPVSLDCKLRQ
+KAIAEVDVGTDKAQNSDPILDTSSLVPGCSSVDNIKSSQTSQNQGLGRPTLEGDEETSEV
+EYTVNKGPASSNRDRVPPSSEASEHHPRHRVSSQAEDTSSSFDNLFIDRLQRITIADQGE
+QQSEENASTKNLTGLSSGTEKKPHYMEVLEMRAKN
+>Q13255_PF01094_78 <unknown description>
+RVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSIEFIRDSLISIRDEKDGI
+NRCLPDGQSLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDIPQIAYSATSIDLSDKTL
+YKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESGMDAFKELAAQEGLCIAH
+SDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLLSAMRRLGVVGEFSLIGS
+DGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLDTNTRNPWFPEFWQHRFQ
+CRLPGHLLENPNFKRICTGNESLEENYVQDSKMGFVINAIYAMAHGLQNMHHALCPGHVG
+LCDAMKPIDGSKLLDFLIKSSFIGVSGEEVWFDEKGDAPGRYDIMNLQY
+>Q13255_PF07562_521 <unknown description>
+RSVCSEPCLKGQIKVIRKGEVSCCWICTACKENEYVQDEFTCKACDLGWWP
+>Q13255_PF00003_587 <unknown description>
+EWSNIESIIAIAFSCLGILVTLFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPF
+TLIAKPTTTSCYLQRLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQ
+VIIASILISVQLTLVVTLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPLGYNGLLIMS
+CTYYAFKTRNVPANFNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVA
+LGCMFTPK
+>Q13255_PF10606_1144 <unknown description>
+ALTPPSPFRDSVASGSSVPSSPVSESVLCTPPNVSYASVILRDYKQSSSTL
+>Q8IUY3_PF02893_75 <unknown description>
+HKLFKDVPLEEVVLKVCSCALQRDFLLQGRLYISPNWLCFHASLFGKDIKVVIPVVSVQM
+IKKHKMARLLPNGLAITTNTSQKYIFVSLLSRDSVYDLLRRVC
+>Q96HH9_PF02893_112 <unknown description>
+FHKLFLSVPTEEPLKQSFTCALQKEILYQGKLFVSENWICFHSKVFGKDTKISIPAFSVT
+LIKKTKTALLVPNALIIATVTDRYIFVSLLSRDSTYKLLKSV
+>Q14416_PF01094_60 <unknown description>
+QRLEAMLFALDRINRDPHLLPGVRLGAHILDSCSKDTHALEQALDFVRASLSRGADGSRH
+ICPDGSYATHGDAPTAITGVIGGSYSDVSIQVANLLRLFQIPQISYASTSAKLSDKSRYD
+YFARTVPPDFFQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFELEARARNICVATSE
+KVGRAMSRAAFEGVVRALLQKPSARVAVLFTRSEDARELLAASQRLNASFTWVASDGWGA
+LESVVAGSEGAAEGAITIELASYPISDFASYFQSLDPWNNSRNPWFREFWEQRFRCSFRQ
+RDCAAHSLRAVPFEQESKIMFVVNAVYAMAHALHNMHRALCPNTTRLCDAMRPVNGRRLY
+KDFVLNVKFDAPFRPADTHNEVRFDRFGDGIGRYNIFTY
+>Q14416_PF07562_496 <unknown description>
+PASRCSEPCLQNEVKSVQPGEVCCWLCIPCQPYEYRLDEFTCADCGLGYWP
+>Q14416_PF00003_562 <unknown description>
+RWGDAWAVGPVTIACLGALATLFVLGVFVRHNATPVVKASGRELCYILLGGVFLCYCMTF
+IFIAKPSTAVCTLRRLGLGTAFSVCYSALLTKTNRIARIFGGAREGAQRPRFISPASQVA
+ICLALISGQLLIVVAWLVVEAPGTGKETAPERREVVTLRCNHRDASMLGSLAYNVLLIAL
+CTLYAFKTRKCPENFNEAKFIGFTMYTTCIIWLAFLPIFYVTSSDYRVQTTTMCVSVSLS
+GSVVLGCLFAPK
+>Q14832_PF01094_67 <unknown description>
+QRLEAMLFAIDEINKDDYLLPGVKLGVHILDTCSRDTYALEQSLEFVRASLTKVDEAEYM
+CPDGSYAIQENIPLLIAGVIGGSYSSVSIQVANLLRLFQIPQISYASTSAKLSDKSRYDY
+FARTVPPDFYQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFEQEARLRNICIATAEK
+VGRSNIRKSYDSVIRELLQKPNARVVVLFMRSDDSRELIAAASRANASFTWVASDGWGAQ
+ESIIKGSEHVAYGAITLELASQPVRQFDRYFQSLNPYNNHRNPWFRDFWEQKFQCSLQNK
+RNHRRVCDKHLAIDSSNYEQESKIMFVVNAVYAMAHALHKMQRTLCPNTTKLCDAMKILD
+GKKLYKDYLLKINFTAPFNPNKDADSIVKFDTFGDGMGRYNVFNFQ
+>Q14832_PF07562_505 <unknown description>
+PTSQCSDPCAPNEMKNMQPGDVCCWICIPCEPYEYLADEFTCMDCGSGQWP
+>Q14832_PF00003_571 <unknown description>
+RWEDAWAIGPVTIACLGFMCTCMVVTVFIKHNNTPLVKASGRELCYILLFGVGLSYCMTF
+FFIAKPSPVICALRRLGLGSSFAICYSALLTKTNCIARIFDGVKNGAQRPKFISPSSQVF
+ICLGLILVQIVMVSVWLILEAPGTRRYTLAEKRETVILKCNVKDSSMLISLTYDVILVIL
+CTVYAFKTRKCPENFNEAKFIGFTMYTTCIIWLAFLPIFYVTSSDYRVQTTTMCISVSLS
+GFVVLGCLFAPK
+>Q14833_PF01094_77 <unknown description>
+HRLEAMLFALDRINNDPDLLPNITLGARILDTCSRDTHALEQSLTFVQALIEKDGTEVRC
+GSGGPPIITKPERVVGVIGASGSSVSIMVANILRLFKIPQISYASTAPDLSDNSRYDFFS
+RVVPSDTYQAQAMVDIVRALKWNYVSTVASEGSYGESGVEAFIQKSREDGGVCIAQSVKI
+PREPKAGEFDKIIRRLLETSNARAVIIFANEDDIRRVLEAARRANQTGHFFWMGSDSWGS
+KIAPVLHLEEVAEGAVTILPKRMSVRGFDRYFSSRTLDNNRRNIWFAEFWEDNFHCKLSR
+HALKKGSHVKKCTNRERIGQDSAYEQEGKVQFVIDAVYAMGHALHAMHRDLCPGRVGLCP
+RMDPVDGTQLLKYIRNVNFSGIAGNPVTFNENGDAPGRYDIYQYQ
+>Q14833_PF07562_516 <unknown description>
+PRSICSLPCQPGERKKTVKGMPCCWHCEPCTGYQYQVDRYTCKTCPYDMRP
+>Q14833_PF00003_581 <unknown description>
+LEWGSPWAVLPLFLAVVGIAATLFVVITFVRYNDTPIVKASGRELSYVLLAGIFLCYATT
+FLMIAEPDLGTCSLRRIFLGLGMSISYAALLTKTNRIYRIFEQGKRSVSAPRFISPASQL
+AITFSLISLQLLGICVWFVVDPSHSVVDFQDQRTLDPRFARGVLKCDISDLSLICLLGYS
+MLLMVTCTVYAIKTRGVPETFNEAKPIGFTMYTTCIVWLAFIPIFFGTSQSADKLYIQTT
+TLTVSVSLSASVSLGMLYMPK
+>P41594_PF01094_68 <unknown description>
+RVEAMLHTLERINSDPTLLPNITLGCEIRDSCWHSAVALEQSIEFIRDSLISSEEEEGLV
+RCVDGSSSSFRSKKPIVGVIGPGSSSVAIQVQNLLQLFNIPQIAYSATSMDLSDKTLFKY
+FMRVVPSDAQQARAMVDIVKRYNWTYVSAVHTEGNYGESGMEAFKDMSAKEGICIAHSYK
+IYSNAGEQSFDKLLKKLTSHLPKARVVACFCEGMTVRGLLMAMRRLGLAGEFLLLGSDGW
+ADRYDVTDGYQREAVGGITIKLQSPDVKWFDDYYLKLRPETNHRNPWFQEFWQHRFQCRL
+EGFPQENSKYNKTCNSSLTLKTHHVQDSKMGFVINAIYSMAYGLHNMQMSLCPGYAGLCD
+AMKPIDGRKLLESLMKTNFTGVSGDTILFDENGDSPGRYEIMNFK
+>P41594_PF07562_508 <unknown description>
+RSVCSEPCEKGQIKVIRKGEVSCCWTCTPCKENEYVFDEYTCKACQLGSWP
+>P41594_PF00003_574 <unknown description>
+RWGDPEPIAAVVFACLGLLATLFVTVVFIIYRDTPVVKSSSRELCYIILAGICLGYLCTF
+CLIAKPKQIYCYLQRIGIGLSPAMSYSALVTKTNRIARILAGSKKKICTKKPRFMSACAQ
+LVIAFILICIQLGIIVALFIMEPPDIMHDYPSIREVYLICNTTNLGVVTPLGYNGLLILS
+CTFYAFKTRNVPANFNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITMCFSVSLSATVA
+LGCMFVPK
+>P41594_PF10606_1162 <unknown description>
+ALTPPSPFRDSVDSGSTTPNSPVSESALCIPSSPKYDTLIIRDYTQSSSSL
+>O15303_PF01094_67 <unknown description>
+HRLEAMLYALDRVNADPELLPGVRLGARLLDTCSRDTYALEQALSFVQALIRGRGDGDEV
+GVRCPGGVPPLRPAPPERVVAVVGASASSVSIMVANVLRLFAIPQISYASTAPELSDSTR
+YDFFSRVVPPDSYQAQAMVDIVRALGWNYVSTLASEGNYGESGVEAFVQISREAGGVCIA
+QSIKIPREPKPGEFSKVIRRLMETPNARGIIIFANEDDIRRVLEAARQANLTGHFLWVGS
+DSWGAKTSPILSLEDVAVGAITILPKRASIDGFDQYFMTRSLENNRRNIWFAEFWEENFN
+CKLTSSGTQSDDSTRKCTGEERIGRDSTYEQEGKVQFVIDAVYAIAHALHSMHQALCPGH
+TGLCPAMEPTDGRMLLQYIRAVRFNGSAGTPVMFNENGDAPGRYDIFQYQA
+>O15303_PF07562_514 <unknown description>
+PSSLCSLPCGPGERKKMVKGVPCCWHCEACDGYRFQVDEFTCEACPGDMRP
+>O15303_PF00003_579 <unknown description>
+LSWSSPWAAPPLLLAVLGIVATTTVVATFVRYNNTPIVRASGRELSYVLLTGIFLIYAIT
+FLMVAEPGAAVCAARRLFLGLGTTLSYSALLTKTNRIYRIFEQGKRSVTPPPFISPTSQL
+VITFSLTSLQVVGMIAWLGARPPHSVIDYEEQRTVDPEQARGVLKCDMSDLSLIGCLGYS
+LLLMVTCTVYAIKARGVPETFNEAKPIGFTMYTTCIIWLAFVPIFFGTAQSAEKIYIQTT
+TLTVSLSLSASVSLGMLYVPK
+>Q14831_PF01094_77 <unknown description>
+HRLEAMLYALDQINSDPNLLPNVTLGARILDTCSRDTYALEQSLTFVQALIQKDTSDVRC
+TNGEPPVFVKPEKVVGVIGASGSSVSIMVANILRLFQIPQISYASTAPELSDDRRYDFFS
+RVVPPDSFQAQAMVDIVKALGWNYVSTLASEGSYGEKGVESFTQISKEAGGLCIAQSVRI
+PQERKDRTIDFDRIIKQLLDTPNSRAVVIFANDEDIKQILAAAKRADQVGHFLWVGSDSW
+GSKINPLHQHEDIAEGAITIQPKRATVEGFDAYFTSRTLENNRRNVWFAEYWEENFNCKL
+TISGSKKEDTDRKCTGQERIGKDSNYEQEGKVQFVIDAVYAMAHALHHMNKDLCADYRGV
+CPEMEQAGGKKLLKYIRNVNFNGSAGTPVMFNKNGDAPGRYDIFQYQT
+>Q14831_PF07562_519 <unknown description>
+PASVCTLPCKPGQRKKTQKGTPCCWTCEPCDGYQYQFDEMTCQHCPYDQRP
+>Q14831_PF00003_584 <unknown description>
+LEWHSPWAVIPVFLAMLGIIATIFVMATFIRYNDTPIVRASGRELSYVLLTGIFLCYIIT
+FLMIAKPDVAVCSFRRVFLGLGMCISYAALLTKTNRIYRIFEQGKKSVTAPRLISPTSQL
+AITSSLISVQLLGVFIWFGVDPPNIIIDYDEHKTMNPEQARGVLKCDITDLQIICSLGYS
+ILLMVTCTVYAIKTRGVPENFNEAKPIGFTMYTTCIVWLAFIPIFFGTAQSAEKLYIQTT
+TLTISMNLSASVALGMLYMPK
+>O00222_PF01094_74 <unknown description>
+HRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFVQALIEKDASDVKC
+ANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTAPELSDNTRYDFFS
+RVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISREIGGVCIAQSQKI
+PREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAAKKLNQSGHFLWIGSDSWGS
+KIAPVYQQEEIAEGAVTILPKRASIDGFDRYFRSRTLANNRRNVWFAEFWEENFGCKLGS
+HGKRNSHIKKCTGLERIARDSSYEQEGKVQFVIDAVYSMAYALHNMHKDLCPGYIGLCPR
+MSTIDGKELLGYIRAVNFNGSAGTPVTFNENGDAPGRYDIFQYQ
+>O00222_PF07562_512 <unknown description>
+PASVCSLPCKPGERKKTVKGVPCCWHCERCEGYNYQVDELSCELCPLDQRP
+>O00222_PF00003_577 <unknown description>
+LEWHSPWAVVPVFVAILGIIATTFVIVTFVRYNDTPIVRASGRELSYVLLTGIFLCYSIT
+FLMIAAPDTIICSFRRVFLGLGMCFSYAALLTKTNRIHRIFEQGKKSVTAPKFISPASQL
+VITFSLISVQLLGVFVWFVVDPPHIIIDYGEQRTLDPEKARGVLKCDISDLSLICSLGYS
+ILLMVTCTVYAIKTRGVPETFNEAKPIGFTMYTTCIIWLAFIPIFFGTAQSAEKMYIQTT
+TLTVSMSLSASVSLGMLYMPK
+>P28799_PF00396_72 <unknown description>
+SCIFTVSGTSSCCPFPEAVACGDGHHCCPRGFHCSADGRSC
+>P28799_PF00396_138 <unknown description>
+TCCVMVDGSWGCCPMPQASCCEDRVHCCPHGAFCDLVHTRCI
+>P28799_PF00396_220 <unknown description>
+TCCELPSGKYGCCPMPNATCCSDHLHCCPQDTVCDLIQSKCL
+>P28799_PF00396_295 <unknown description>
+TCCRLQSGAWGCCPFTQAVCCEDHIHCCPAGFTCDTQKGTC
+>P28799_PF00396_377 <unknown description>
+TCCQLTSGEWGCCPIPEAVCCSDHQHCCPQGYTCVAEGQC
+>P28799_PF00396_455 <unknown description>
+TCCPSLGGSWACCQLPHAVCCEDRQHCCPAGYTCNVKARSC
+>P28799_PF00396_532 <unknown description>
+TCCRDNRQGWACCPYRQGVCCADRRHCCPAGFRCAARGTKCL
+>P09341_PF00048_42 <unknown description>
+RCQCLQTLQGIHPKNIQSVNVKSPGPHCAQTEVIATLKNGRKACLNPASPIVKKIIEKM
+>O95267_PF00618_58 <unknown description>
+KGASLDDLIDSCIQSFDADGNLCRSNQLLQVMLTMHRIVISSAELLQKVITLYKDALAKN
+SPGLCLKICYFVRYWITEFWVMFKMDASLTDTMEEF
+>O95267_PF00617_208 <unknown description>
+ELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKENPTMERSIALCNGISQWVQLMVLSRPT
+PQLRAEVFIKFIQVAQKLHQLQNFNTLMAVIGGLCHSSISRLKETSSHVPHEINKVLGEM
+TELLSSSRNYDNYRRAYGECTDFKIPILGVHLKDLISLYEAMPDYLEDGKVNVHKL
+>O95267_PF13405_476 <unknown description>
+DSVFKNYDHDQDGYISQEEFEKI
+>O95267_PF00130_542 <unknown description>
+HNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDCGMNCHKQCKDLVVFECKK
+>Q7LDG7_PF00618_10 <unknown description>
+GCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLAAKLLHIYQQSRKDNSN
+SLQVKTCHLVRYWISAFPAEFDLNPELAEQIKE
+>Q7LDG7_PF00617_157 <unknown description>
+ELAEHLTYLEYRSFCKILFQDYHSFVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPT
+APQRALVITHFVHVAEKLLQLQNFNTLMAVVGGLSHSSISRLKETHSHVSPETIKLWEGL
+TELVTATGNYGNYRRRLAACVGFRFPILGVHLKDLVALQLALPDWLDPAR
+>Q7LDG7_PF13499_433 <unknown description>
+SVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEMVSYF
+>Q7LDG7_PF00130_499 <unknown description>
+HNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQCKDRLSVECRR
+>Q8IV61_PF00617_155 <unknown description>
+ELAEHLTFLEHKSFRRISFTDYQSYVIHGCLENNPTLERSIALFNGISKWVQLMVLSKPT
+PQQRAEVITKFINVAKKLLQLKNFNTLMAVVGGLSHSSISRLKETHSHLSSEVTKNWNEM
+TELVSSNGNYCNYRKAFADCDGFKIPILGVHLKDLIAVHVIFPDWTEENKVNIVKM
+>Q8IV61_PF13202_427 <unknown description>
+SVFRNYDHDHDGYISQEDFES
+>Q8IV61_PF13202_458 <unknown description>
+FCVLDKDQDGLISKDEMMA
+>Q8IV61_PF00130_495 <unknown description>
+HNFQEMTYLKPTFCEHCAGFLWGIIKQGYKCKDCGANCHKQCKDLLVLACR
+>Q8TDF6_PF00617_204 <unknown description>
+ELAQHLTYLEFRSFQAITPQDLRSYVLQGSVRGCPALEGSVGLSNSVSRWVQVMVLSRPG
+PLQRAQVLDKFIHVAQRLHQLQNFNTLMAVTGGLCHSAISRLKDSHAHLSPDSTKALLEL
+TELLASHNNYARYRRTWAGCAGFRLPVLGVHLKDLVSLHEAQPDRLPDGRLHLPK
+>Q8TDF6_PF00130_541 <unknown description>
+HTFHEVTFRKPTFCDSCSGFLWGVTKQGYRCRECGLCCHKHCRDQVKVECKK
+>P38646_PF00012_55 <unknown description>
+VVGIDLGTTNSCVAVMEGKQAKVLENAEGARTTPSVVAFTADGERLVGMPAKRQAVTNPN
+NTFYATKRLIGRRYDDPEVQKDIKNVPFKIVRASNGDAWVEAHGKLYSPSQIGAFVLMKM
+KETAENYLGHTAKNAVITVPAYFNDSQRQATKDAGQISGLNVLRVINEPTAAALAYGLDK
+SEDKVIAVYDLGGGTFDISILEIQKGVFEVKSTNGDTFLGGEDFDQALLRHIVKEFKRET
+GVDLTKDNMALQRVREAAEKAKCELSSSVQTDINLPYLTMDSSGPKHLNMKLTRAQFEGI
+VTDLIRRTIAPCQKAMQDAEVSKSDIGEVILVGGMTRMPKVQQTVQDLFGRAPSKAVNPD
+EAVAIGAAIQGGVLAGDVTDVLLLDVTPLSLGIETLGGVFTKLINRNTTIPTKKSQVFST
+AADGQTQVEIKVCQGEREMAGDNKLLGQFTLIGIPPAPRGVPQIEVTFDIDANGIVHVSA
+KDKGTGREQQIVIQSSGGLSKDDIENMVKNAEKYAEEDRRKKERVEAVNMAEGIIHDTET
+KMEEFKDQLPADECNKLKEEISKMRELLARKDSETGENIRQAASSLQQASLKLFEMAY
+>Q9HAV7_PF01025_47 <unknown description>
+SEQKADPPATEKTLLEEKVKLEEQLKETVEKYKRALADTENLRQRSQKLVEEAKLYGIQA
+FCKDLLEVADVLEKATQCVPKEEIKDDNPHLKNLYEGLVMTEVQIQKVFTKHGLLKLNPV
+GAKFDPYEHEALFHTPVEGKEPGTVALVSKVGYKLHGRTLRPALVGVVK
+>Q8TAA5_PF01025_61 <unknown description>
+ALRVKAVKLEKEVQDLTVRYQRAIADCENIRRRTQRCVEDAKIFGIQSFCKDLVEVADIL
+EKTTECISEESEPEDQKLTLEKVFRGLLLLEAKLKSVFAKHGLEKLTPIGDKYDPHEHEL
+ICHVPAGVGVQPGTVALVRQDGYKLHGRTIRLARVEVA
+>Q4G1C9_PF00188_58 <unknown description>
+VNLHNELRGDVIPRGSNLRFMTWDVALSRTARAWGKKCLFTHNIYLQDVQMVHPKFYGIG
+ENMWVGPENEFTASIAIRSWHAEKKMYNFENGSCSGDCSNYIQLVWDHSYKVGCAVTPCS
+KIGHIIHAAIFICNY
+>P30550_PF00001_57 <unknown description>
+GNITLIKIFCTVKSMRNVPNLFISSLALGDLLLLITCAPVDASRYLADRWLFGRIGCKLI
+PFIQLTSVGVSVFTLTALSADRYKAIVRPMDIQASHALMKICLKAAFIWIISMLLAIPEA
+VFSDLHPFHEESTNQTFISCAPYPHSNELHPKIHSMASFLVFYVIPLSIISVYYYFIAKN
+LIQSAYNLPVEGNIHVKKQIESRKRLAKTVLVFVGLFAFCWLPNHVIYLYRSYHYSEVDT
+SMLHFVTSICARLLAFTNSCVNPF
+>P07492_PF02044_41 <unknown description>
+GNHWAVGHLMGKKS
+>O15063_PF15923_60 <unknown description>
+AGSCHHAMPHTTPIADIQQGISKYLDALNVFCRASTFLTDLFSTVFRNSHYSKAATQLKD
+VQEHVMEAASRLTSAIKPEIAKMLMELSAGAANFTDQKEFSLQDIEVLGRCFLTVVQVHF
+QFLTHALQ
+>Q12849_PF00076_253 <unknown description>
+RLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFEEPEMANQALLKHRE
+EIGNRYI
+>Q5TC63_PF00566_72 <unknown description>
+EHRARVWMVLSGAQAQMDQNPGYYHQLLQGERNPRLEDAIRTDLNRTFPDNVKFRKTTDP
+CLQRTLYNVLLAYGHHNQGVGYCQGMNFIAGYLILITNNEEESFWLLDALVGRILPDYYS
+PAMLGLKTDQEVLGELVRAKLPAVGALMERLGVLWTLLVSRWFICLFVDILPVETVLRIW
+DCLFNEGSKIIFRVALTLIKQHQELIL
+>Q9BQ67_PF12265_45 <unknown description>
+EELVMDEEAYVLYHRAQTGAPCLSFDIVRDHLGDNRTELPLTLYLCAGTQAESAQSNRLM
+MLRMHNLH
+>Q9BQ67_PF00400_254 <unknown description>
+QRPFVGHTRSVEDLQWSPTENTVFASCSADASIRIWD
+>Q9BQ67_PF00400_303 <unknown description>
+TATAHDGDVNVISWSRREPFLLSGGDDGALKIWD
+>Q9BQ67_PF00400_343 <unknown description>
+GSPVATFKQHVAPVTSVEWHPQDSGVFAASGADHQITQWD
+>A8MUP6_PF07803_5 <unknown description>
+KQQQALLLLPVCLALTFSLTAVVSSHWCEGTRRVVKPLCQDQPGGQHCIHFKRDNSSNGR
+MDNNSQAVLYIWELGDDKFIQRGFHVGLWQSCEESLNGEDEKCRSFRSVVPAEEQ
+>Q5JQS6_PF15666_49 <unknown description>
+EVSSTSNQENENGSGSEEVCYTVINHIPHQRSSLSSNDDGYENIDSLTRKVRQFRERSET
+EYALLRTSVSRPCSCTHEHDYEVVFP
+>A4D1B5_PF14959_642 <unknown description>
+LDLICHIVETNWRKHNLHSWVLHFNSRGSAAEFAVFHIMTRILEATNSLFLPLPPGFHTL
+HTILGVQCLPLHNLLHCIDSGVLLLTETAVIRLMKDLDNTEKNEKLKF
+>O15499_PF00046_127 <unknown description>
+RRHRTIFSEEQLQALEALFVQNQYPDVSTRERLAGRIRLREERVEVWFKNRRAKWRH
+>P56915_PF00046_161 <unknown description>
+RRHRTIFTDEQLEALENLFQETKYPDVGTREQLARKVHLREEKVEVWFKNRRAKWRR
+>Q96QA5_PF04598_3 <unknown description>
+MFENVTRALARQLNPRGDLTPLDSLIDFKRFHPFCLVLRKRKSTLFWGARYVRTDYTLLD
+VLEPGSSPSDPTDTGNFGFKNMLDTRVEGDVDVPKTVKVKGTAGLSQNSTLEVQTLSVAP
+KALETVQERKLAADHPFLKEMQDQGENLYVVMEVVETVQEVTLERAGKAEACFSLPFFAP
+LGLQGSINHKEAVTIPKGCVLAFRVRQLMVKGKDEWDIPHICNDNMQTFPP
+>Q96QA5_PF17708_254 <unknown description>
+HEGFRTLKEEVQRETQQVEKLSRVGQSSLLSSLSKLLGKKKELQDLELALEGALDKGHEV
+TLEALPKDVLLSKEAVGAILYFVGALTELSEAQQKLLVKSMEKKILPVQLKLVESTMEQN
+FLLDKEGVFPLQPELLSSLGDEELTLTEALVGLSGLEVQRSGPQY
+>Q8TAX9_PF04598_5 <unknown description>
+FEEITRIVVKEMDAGGDMIAVRSLVDADRFRCFHLVGEKRTFFGCRHYTTGLTLMDILDT
+DGDKWLDELDSGLQGQKAEFQILDNVDSTGELIVRLPKEITISGSFQGFHHQKIKISENR
+ISQQYLATLENRKLKRELPFSFRSINTRENLYLVTETLETVKEETLKSDRQYKFWSQISQ
+GHLSYKHKGQREVTIPPNRVLSYRVKQLVFPNKETMNIHFRGKTKSFP
+>Q8TAX9_PF17708_249 <unknown description>
+EDSRNMKEKLEDMESVLKDLTEEKRKDVLNSLAKCLGKEDIRQDLEQRVSEVLISGELHM
+EDPDKPLLSSLFNAAGVLVEARAKAILDFLDALLELSEEQQFVAEALEKGTLPLLKDQVK
+SVMEQNWD
+>Q9BYG8_PF04598_4 <unknown description>
+MLERISKNLVKEIGSKDLTPVKYLLSATKLRQFVILRKKKDSRSSFWEQSDYVPVEFSLN
+DILEPSSSVLETVVTGPFHFSDIMIQKHKADMGVNVGIEVSVSGEASVDHGCSLEFQIVT
+IPSPNLEDFQKRKLLDPEPSFLKECRRRGDNLYVVTEAVELINNTVLYDSSSVNILGKIA
+LWITYGKGQGQGESLRVKKKALTLQKGMVMAYKRKQLVIKEKAILISDDDEQRTFQD
+>Q9BYG8_PF17708_314 <unknown description>
+QNFKHLQEEVFQKIKTLAQLSKDVQDVMFYSILAMLRDRGALQDLMNMLELDSSGHLDGP
+GGAILKKLQQDSNHAWFNPKDPILYLLEAIMVLSDFQHDLLACSMEKRILLQQQELVRSI
+LEPNFRYPWSIPFTLKPELLAPLQSEGLAITYGLLEECGLRMELDNPR
+>P57764_PF04598_4 <unknown description>
+AFERVVRRVVQELDHGGEFIPVTSLQSSTGFQPYCLVVRKPSSSWFWKPRYKCVNLSIKD
+ILEPDAAEPDVQRGRSFHFYDAMDGQIQGSVELAAPGQAKIAGGAAVSDSSSTSMNVYSL
+SVDPNTWQTLLHERHLRQPEHKVLQQLRSRGDNVYVVTEVLQTQKEVEVTRTHKREGSGR
+FSLPGATCLQGEGQGHLSQKKTVTIPSGSTLAFRVAQLVIDSDLDVLLFPDKKQRTFQP
+>P57764_PF17708_285 <unknown description>
+EDFQGLRAEVETISKELELLDRELCQLLLEGLEGVLRDQLALRALEEALEQGQSLGPVEP
+LDGPAGAVLECLVLSSGMLVPELAIPVVYLLGALTMLSETQHKLLAEALESQTLLGPLEL
+VGSLLEQSAPWQERSTMSLPPGLLGNSWGEGAPAWVLLDECGLELGEDTPHV
+>O60443_PF04598_1 <unknown description>
+MFAKATRNFLREVDADGDLIAVSNLNDSDKLQLLSLVTKKKRFWCWQRPKYQFLSLTLGD
+VLIEDQFPSPVVVESDFVKYEGKFANHVSGTLETALGKVKLNLGGSSRVESQSSFGTLRK
+QEVDLQQLIRDSAERTINLRNPVLQQVLEGRNEVLCVLTQKITTMQKCVISEHMQVEEKC
+GGIVGIQTKTVQVSATEDGNVTKDSNVVLEIPAATTIAYGVIELYVKLDGQFEFCLLRGK
+QGGFEN
+>O60443_PF17708_280 <unknown description>
+GPLSVLKQATLLLERNFHPFAELPEPQQTALSDIFQAVLFDDELLMVLEPVCDDLVSGLS
+PTVAVLGELKPRQQQDLVAFLQLVGCSLQGGCPGPEDAGSKQLFMTAYFLVSALAEMPDS
+AAALLGTCCKLQIIPTLCHLLRALSDDGVSDLEDPTLTPLKDTERFGIVQRLFASADISL
+ERLKSSVK
+>Q14687_PF12540_72 <unknown description>
+EEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIVPPGGHSVPSTPPVVTIAPTKT
+VNGVWRSESRQDAGSRSSSGGRERLIVEPPLPQEKAGGPAIPSHLLSTPYPFGLSPSSVV
+QDSRF
+>Q14687_PF12540_733 <unknown description>
+RRRLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEEVRAHLRCVAEQPPLKLDTSSEK
+LEFLQLFGLTTQQQKEELVAQKRRKRRRMLRERSPSPPTIQSKRQTPSPRLALSTRYSPD
+EMNNSPNFEEKKKFLTIFNL
+>Q6UXU4_PF07803_5 <unknown description>
+RRGRALLAVALNLLALLFATTAFLTTHWCQGTQRVPKPGCGQGGRANCPNSGANATANGT
+AAPAAAAAAATASGNGPPGGALYSWETGDDRFLFRNFHTGIWYSCEEELSGLGEKCRSFI
+DLAPASEK
+>P48507_PF00248_74 <unknown description>
+VSHAVEKINPDEREEMKVSAKLFIVESNSSSSTRSAVDMACSVLGVAQLDSVIIASPPIE
+DGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQVKPNSNQVNLASC
+CVMPPDLTAFAKQFDIQL
+>P48506_PF03074_236 <unknown description>
+IYMDAMGFGMGNCCLQVTFQACSISEARYLYDQLATICPIVMALSAASPFYRGYVSDIDC
+RWGVISASVDDRTREERGLEPLKNNNYRISKSRYDSIDSYLSKCGEKYNDIDLTIDKEIY
+EQLLQEGIDHLLAQHVAHLFIRDPLTLFEEKIHLDDANESDHFENIQSTNWQTMRFKPPP
+PNSDIGWRVEFRPMEVQLTDFENSAYVVFVVLLTRVILSYKLDFLIPLSKVDENMKVAQK
+RDAVLQGMFYFRKDICKGGNAVVDGCGKAQNSTELAAEEYTLMSIDTIINGKEGVFPGLI
+PILNSYLENMEVDVDTRCSILNYLKLIKKRASGELMTVARWMREFIANHPDYKQDSVITD
+EMNYSLILKCNQI
+>P48637_PF03917_13 <unknown description>
+QQLEELARQAVDRALAEGVLLRTSQEPTSSEVVSYAPFTLFPSLVPSALLEQAYAVQMDF
+NLLVDAVSQNAAFLEQTLSSTIKQDDFTARLFDIHKQVLKEGIAQTVFLGLNRSDYMFQR
+SADGSPALKQIEINTISASFGGLASRTPAVHRHVLSVLSKTKEAGKILSNNPSKGLALGI
+AKAWELYGSPNALVLLIAQEKERNIFDQRAIENELLARNIHVIRRTFEDISEKGSLDQDR
+RLFVDGQEIAVVYFRDGYMPRQYSLQNWEARLLLERSHAAKCPDIATQLAGTKKVQQELS
+RPGMLEMLLPGQPEAVARLRATFAGLYSLDVGEEGDQAIAEALAAPSRFVLKPQREGGGN
+NLYGEEMVQALKQLKDSEERASYILMEKIEPEPFENCLLRPGSPARVVQCISELGIFGVY
+VRQEKTLVMNKHVGHLLRTKAIEHADGGVAAGVAVLDNPY
+>P48637_PF03199_205 <unknown description>
+LVLLIAQEKERNIFDQRAIENELLARNIHVIRRTFEDISEKGSLDQDRRLFVDGQEIAVV
+YFRDGYMPRQYSLQNWEARLLLERSHAAKCPDIATQLA
+>P00390_PF07992_65 <unknown description>
+YDYLVIGGGSGGLASARRAAELGARAAVVESHKLGGTCVNVGCVPKKVMWNTAVHSEFMH
+DHADYGFPSCEGKFNWRVIKEKRDAYVSRLNAIYQNNLTKSHIEIIRGHAAFTSDPKPTI
+EVSGKKYTAPHILIATGGMPSTPHESQIPGASLGITSDGFFQLEELPGRSVIVGAGYIAV
+EMAGILSALGSKTSLMIRHDKVLRSFDSMISTNCTEELENAGVEVLKFSQVKEVKKTLSG
+LEVSMVTAVPGRLPVMTMIPDVDCLLWAIGRVPNTKDLSLNKLGIQTDDKGHIIVDEFQN
+TNVKGIYAVGDVCGKALLTPVAIAAG
+>P00390_PF02852_411 <unknown description>
+IPTVVFSHPPIGTVGLTEDEAIHKYGIENVKTYSTSFTPMYHAVTKRKTKCVMKMVCANK
+EEKVVGIHMQGLGCDEMLQGFAVAVKMGATKADFDNTVAIHPTSSEELVTL
+>P49840_PF00069_119 <unknown description>
+YTDIKVIGNGSFGVVYQARLAETRELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYF
+FYSSGEKKDELYLNLVLEYVPETVYRVARHFTKAKLTIPILYVKVYMYQLFRSLAYIHSQ
+GVCHRDIKPQNLLVDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYT
+SSIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQ
+IKAHPWTKVFKSRTPPEAIALCSSLLEYTPSSRLSPLEACAHSFF
+>P49841_PF00069_56 <unknown description>
+YTDTKVIGNGSFGVVYQAKLCDSGELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYF
+FYSSGEKKDEVYLNLVLDYVPETVYRVARHYSRAKQTLPVIYVKLYMYQLFRSLAYIHSF
+GICHRDIKPQNLLLDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYT
+SSIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQ
+IKAHPWTKVFRPRTPPEAIALCSRLLEYTPTARLTPLEACAHSFF
+>Q9P0R6_PF05303_34 <unknown description>
+RLEAEAVVNDVLFAVNNMFVSKSLRCADDVAYINVETKERNRYCLELTEAGLKVVGYAFD
+QVDDHLQTPYHETVYSLLDTLSPAYREAFGNALLQRLEAL
+>Q92896_PF00839_154 <unknown description>
+DCNHLLWNYKLNLTTDPKFESVAREVCKSTITEIKECADEPVGKGYMVSCLVDHRGN
+>Q92896_PF00839_215 <unknown description>
+QCHQYITKMTAIIFSDYRLICGFMDDCKNDINILKCGSIRLGEKDAHSQGEVVSCLEKGL
+>Q92896_PF00839_291 <unknown description>
+CKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEE
+>Q92896_PF00839_349 <unknown description>
+EKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESA
+VHR
+>Q92896_PF00839_418 <unknown description>
+ECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVR
+>Q92896_PF00839_479 <unknown description>
+NCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTE
+>Q92896_PF00839_540 <unknown description>
+EDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSEFMPQGAVFSCLYRH
+AYR
+>Q92896_PF00839_613 <unknown description>
+ECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDL
+>Q92896_PF00839_670 <unknown description>
+ECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQK
+>Q92896_PF00839_732 <unknown description>
+EKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRN
+>Q92896_PF00839_800 <unknown description>
+KCRRQLRVEELEMTEDIRLEPDLYEACKSDIKNFCSAVQYGNAQIIECLKENKKQL
+>Q92896_PF00839_858 <unknown description>
+RCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNS
+>Q92896_PF00839_915 <unknown description>
+PKCKQMITKRQITQNTDYRLNPMLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLR
+>Q92896_PF00839_983 <unknown description>
+DCEDQIRIIIQESALDYRLDPQLQLHCSDEISSLCAEEAAAQEQTGQVEECLKVNL
+>Q92896_PF00839_1046 <unknown description>
+CKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDK
+>P08263_PF02798_6 <unknown description>
+KLHYFNARGRMESTRWLLAAAGVEFEEKFIKSAEDLDKLRNDGYLMFQQVPMVEIDGMKL
+VQTRAILNYIA
+>P08263_PF00043_101 <unknown description>
+DLGEMILLLPVCPPEEKDAKLALIKEKIKNRYFPAFEKVLKSHGQDYLVGNKLSRADIHL
+VELLYYVEELDSSLISSFPLLKALKTRISNLP
+>P09210_PF02798_6 <unknown description>
+KLHYSNIRGRMESIRWLLAAAGVEFEEKFIKSAEDLDKLRNDGYLMFQQVPMVEIDGMKL
+VQTRAILNYIA
+>P09210_PF14497_109 <unknown description>
+LPFSQPEEQDAKLALIQEKTKNRYFPAFEKVLKSHGQDYLVGNKLSRADIHLVELLYYVE
+ELDSSLISSFPLLKALKTRISNLPTVKKFLQ
+>Q16772_PF02798_6 <unknown description>
+KLHYFNGRGRMEPIRWLLAAAGVEFEEKFIGSAEDLGKLRNDGSLMFQQVPMVEIDGMKL
+VQTRAILNYIA
+>Q16772_PF14497_115 <unknown description>
+EEKDAKIALIKEKTKSRYFPAFEKVLQSHGQDYLVGNKLSRADISLVELLYYVEELDSSL
+ISNFPLLKALKTRISNLPTVKKFLQ
+>O15217_PF02798_6 <unknown description>
+KLHYPNGRGRMESVRWVLAAAGVEFDEEFLETKEQLYKLQDGNHLLFQQVPMVEIDGMKL
+VQTRSILHYIA
+>O15217_PF14497_112 <unknown description>
+LKPDDQQKEVVNMAQKAIIRYFPVFEKILRGHGQSFLVGNQLSLADVILLQTILALEEKI
+PNILSAFPFLQEYTVKLSNIPTIKRFLE
+>Q8NEC7_PF13679_423 <unknown description>
+RKQQQLNNLVYVVTNQAKPGDRIVDFCSGGGHVGIVLAHMLPSCQVTLIENKELSLIRAK
+KRSDELGLSNIWFIQANMEYFTGMFNIGVALHACGVATDMVIEHCIKTRASFVTCPCCYG
+FI
+>Q9Y2Q3_PF01323_7 <unknown description>
+TVELFYDVLSPYSWLGFEILCRYQNIWNINLQLRPSLITGIMKDSGNKPPGLLPRKGLYM
+ANDLKLLRHHLQIPIHFPKDFLSVMLEKGSLSAMRFLTAVNLEHPEMLEKASRELWMRVW
+SRNEDITEPQSILAAAEKAGMSAEQAQGLLEKIATPKVKNQLKETTEAACRYGAFGLPIT
+VAHVDGQTHMLFGSDRMELLAHLL
+>P09488_PF02798_5 <unknown description>
+LGYWDIRGLAHAIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPYLI
+DGAHKITQSNAILCYIAR
+>P09488_PF14497_106 <unknown description>
+DNHMQLGMICYNPEFEKLKPKYLEELPEKLKLYSEFLGKRPWFAGNKITFVDFLVYDVLD
+LHRIFEPKCLDAFPNLKDFISRFEGLEKISAYMKS
+>P28161_PF02798_3 <unknown description>
+MTLGYWNIRGLAHSIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPY
+LIDGTHKITQSNAILRYIAR
+>P28161_PF14497_106 <unknown description>
+DSRMQLAKLCYDPDFEKLKPEYLQALPEMLKLYSQFLGKQPWFLGDKITFVDFIAYDVLE
+RNQVFEPSCLDAFPNLKDFISRFEGLEKISAYMKS
+>P21266_PF02798_7 <unknown description>
+MVLGYWDIRGLAHAIRLLLEFTDTSYEEKRYTCGEAPDYDRSQWLDVKFKLDLDFPNLPY
+LLDGKNKITQSNAILRYIAR
+>P21266_PF00043_108 <unknown description>
+VMDFRTQLIRLCYSSDHEKLKPQYLEELPGQLKQFSMFLGKFSWFAGEKLTFVDFLTYDI
+LDQNRIFDPKCLDEFPNLKAFMCRFEAL
+>Q03013_PF02798_3 <unknown description>
+MTLGYWDIRGLAHAIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPY
+LIDGAHKITQSNAILCYIAR
+>Q03013_PF14497_106 <unknown description>
+DVSNQLARVCYSPDFEKLKPEYLEELPTMMQHFSQFLGKRPWFVGDKITFVDFLAYDVLD
+LHRIFEPNCLDAFPNLKDFISRFEGLEKISAYMKS
+>P46439_PF02798_3 <unknown description>
+MTLGYWDIRGLAHAIRLLLEYTDSSYVEKKYTLGDAPDYDRSQWLNEKFKLGLDFPNLPY
+LIDGAHKITQSNAILRYIAR
+>P46439_PF14497_106 <unknown description>
+DNHMELVRLCYDPDFEKLKPKYLEELPEKLKLYSEFLGKRPWFAGDKITFVDFLAYDVLD
+MKRIFEPKCLDAFLNLKDFISRFEGLKKISAYMKS
+>P78417_PF13417_27 <unknown description>
+YSMRFCPFAERTRLVLKAKGIRHEVININLKNKPEWFFKKNPFGLVPVLENSQGQLIYES
+AITCEYLDEAYPGK
+>P78417_PF14497_140 <unknown description>
+AGLKEEFRKEFTKLEEVLTNKKTTFFGGNSISMIDYLIWPWFERLEAMKLNECVDHTPKL
+KLWMAAMKEDPTVSALLTS
+>Q9H4Y5_PF13417_27 <unknown description>
+YSMRFCPYSHRTRLVLKAKDIRHEVVNINLRNKPEWYYTKHPFGHIPVLETSQCQLIYES
+VIACEYLDDAYPGR
+>Q9H4Y5_PF13410_143 <unknown description>
+LKAALRQEFSNLEEILEYQNTTFFGGTCISMIDYLLWPWFERLDVYGILDCVSHTPALRL
+WI
+>P09211_PF02798_6 <unknown description>
+VVYFPVRGRCAALRMLLADQGQSWKEEVVTVETWQEGSLKASCLYGQLPKFQDGDLTLYQ
+SNTILRHLGR
+>P09211_PF14497_98 <unknown description>
+EDLRCKYISLIYTNYEAGKDDYVKALPGQLKPFETLLSQNQGGKTFIVGDQISFADYNLL
+DLLLIHEVLAPGCLDAFPLLSAYVGRLSARPKLKAFLAS
+>P0CG30_PF02798_16 <unknown description>
+AVYIFAKKNGIPLELRTVDLVKGQHKSKEFLQINSLGKLPTLKDGDFILTESSAILIYL
+>P0CG30_PF00043_127 <unknown description>
+VPEEKVERNRTAMDQALQWLEDKFLGDRPFLAGQQVTLADLMALEELMQPVALGYELFEG
+RPRLAAWRGRVE
+>A0A1W2PR19_PF13417_5 <unknown description>
+LYMDLLSAPCRAVYIFSKKHDIQFNFQFVDLLKGHHHSKGYIDINPLRKLPSLKDGKFIL
+SESAAILYYLCRKYSAP
+>A0A1W2PR19_PF00043_121 <unknown description>
+PKITGEEVSAEKMEHAVEEVKNSLQLFEEYFLQDKMFITGNQISLADLVAV
+>Q9H4S2_PF00046_148 <unknown description>
+KRMRTAFTSTQLLELEREFASNMYLSRLRRIEIATYLNLSEKQVKIWFQNRRVKHKK
+>Q9BZM3_PF00046_203 <unknown description>
+KRMRTAFTSTQLLELEREFSSNMYLSRLRRIEIATYLNLSEKQVKIWFQNRRVKHKK
+>Q8NBJ5_PF13704_61 <unknown description>
+RNAAHALPTTLGALERLRHPRERTALWVATDHNMDNTSTVLREWLVAVKSLYHSVEWRPA
+EEPRSYPDEEGPKHWSDSRYEHVMKLRQAALKSARDMWADYILFVDADNLILNPDTLSLL
+>Q8NBJ5_PF01755_341 <unknown description>
+DEVFMINLRRRQDRRERMLRALQAQEIECRLVEAVDGKAMNTSQVEALGIQMLPGYRDPY
+HGRPLTKGELGCFLSHYNIWKEVVDRGLQKSLVFEDDLRFEIFFKRRLMNLMRDVEREGL
+DWDLIYVGRKRMQVEHPEKAVPRVRNLVEADYSYWTLAYVISLQGARKLLAAEPLSKMLP
+VDEF
+>Q8IYK4_PF13704_62 <unknown description>
+RNAAHTLPHFLGCLERLDYPKSRMAIWAATDHNVDNTTEIFREWLKNVQRLYHYVEWRPM
+DEPESYPDEIGPKHWPTSRFAHVMKLRQAALRTAREKWSDYILFIDVDNFLTNPQTLNL
+>Q8IYK4_PF01755_342 <unknown description>
+DEIFMINLKRRKDRRDRMLRTLYEQEIEVKIVEAVDGKALNTSQLKALNIEMLPGYRDPY
+SSRPLTRGEIGCFLSHYSVWKEVIDRELEKTLVIEDDVRFEHQFKKKLMKLMDNIDQAQL
+DWELIYIGRKRMQVKEPEKAVPNVANLVEADYSYWTLGYVISLEGAQKLVGANPFGKMLP
+VDEF
+>Q5T4B2_PF13704_40 <unknown description>
+RNAEHSLPHYLGALERLDYPRARMALWCATDHNVDNTTEMLQEWLAAVGDDYAAVVWRPE
+GEPRFYPDEEGPKHWTKERHQFLMELKQEALTFARNWGADYILFADTDNILTNNQTLRLL
+>Q5T4B2_PF01755_320 <unknown description>
+DEVFVISLARRPDRRERMLASLWEMEISGRVVDAVDGWMLNSSAIRNLGVDLLPGYQDPY
+SGRTLTKGEVGCFLSHYSIWEEVVARGLARVLVFEDDVRFESNFRGRLERLMEDVEAEKL
+SWDLIYLGRKQVNPEKETAVEGLPGLVVAGYSYWTLAYALRLAGARKLLASQPLRRMLPV
+DEF
+>Q9UHL9_PF02946_128 <unknown description>
+LRKMVEEVFDVLYSEALGRASVVPLPYERLLREPGLLAVQGLPEGLAFRRPAEYDPKALM
+AILEHSHRIRFKLKR
+>Q9UHL9_PF02946_351 <unknown description>
+LRECVQILFNSRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLK
+RILEERHSIHFIIKR
+>Q9UHL9_PF02946_565 <unknown description>
+LRKQVELLFNTRYAKAIGISEPVKVPYSKFLMHPEELFVVGLPEGISLRRPNCFGIAKLR
+KILEASNSIQFVIKR
+>Q9UHL9_PF02946_690 <unknown description>
+LREQVQDLFNKKYGEALGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRKPCTFGSQNLE
+RILAVADKIKFTVTR
+>Q9UHL9_PF02946_787 <unknown description>
+LREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVTGLPDDIPFRNPNTYDIHRLE
+KILKAREHVRMVI
+>Q86UP8_PF02946_107 <unknown description>
+LRKAVEDYFCFCYGKALGTTVMVPVPYEKMLRDQSAVVVQGLPEGVAFQHPENYDLATLK
+WILENKAGISFIINR
+>Q86UP8_PF02946_332 <unknown description>
+LREQVNDLFSRKFGEAIGVDFPVKVPYRKITFNPGCVVIDGMPPGVVFKAPGYLEISSMR
+RILEAAEFIKFTV
+>Q6EKJ0_PF02946_107 <unknown description>
+LRKAVEDYFCFCYGKALGTTVMVPVPYEKMLRDQSAVVVQGLPEGVAFQHPENYDLATLK
+WILENKAGISFIINR
+>Q6EKJ0_PF02946_332 <unknown description>
+LREQVNDLFSRKFGEAIGVDFPVKVPYRKITFNPGCVVIDGMPPGVVFKAPGYLEISSMR
+RILEAAEFIKFTV
+>Q6EKJ0_PF18658_429 <unknown description>
+QEKWERAYFFVEVQNIPTCLICKQSMSVSKEYNLRRHYQTNHSKHYDQYTERMRDEKLHE
+LK
+>Q4AE62_PF12038_2 <unknown description>
+SILIIEAFYGGSHKQLVDLLQEELGDCVVYTLPAKKWHWRARTSALYFSQTIPISEHYRT
+LFASSVLNLTELAALRPDLGKLKKILYFHENQLIYPVKKCQERDFQYGYNQILSCLVADV
+VVFNSVFNMESFLTSMGKFMKLIPDHRPKDLESIIRPKCQVIYFPI
+>Q4AE62_PF00534_289 <unknown description>
+PHRWEHDKDPESFFKVLMHLKDLGLNFHVSVLGETFTDVPDIFSEAKKALGSSVLHWGYL
+PSKDDYFQVLCMADVVISTAKHEFFGVAMLEAVYCGCYPLCPKDLVYPEIFPA
+>P78347_PF02946_112 <unknown description>
+LRKTVEDYFCFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPENYDLATLK
+WILENKAGISFIIKR
+>P78347_PF02946_361 <unknown description>
+LRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLE
+RILLAKERIRFVIKK
+>P78347_PF02946_466 <unknown description>
+LRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYGIPRLE
+KIIQVGNRIKFVIKR
+>P78347_PF02946_571 <unknown description>
+LRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSPTWFGIPRLE
+RIVRGSNKIKFVVKK
+>P78347_PF02946_733 <unknown description>
+LKQKVENLFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLE
+KILRNKAKIKFIIKK
+>P78347_PF02946_868 <unknown description>
+LREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYLEISSMR
+RILDSAEFIKFTV
+>O00178_PF00009_162 <unknown description>
+RVAVVGNVDAGKSTLLGVLTHGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSE
+GNVVNKPDSHGGSLEWTKICEKSTKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGS
+NAGIVGMTKEHLGLALALNVPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLV
+QSKDDVIVTASNFSSERMCPIFQISNVTGENLDLLKMFLNLL
+>Q9BX10_PF00009_174 <unknown description>
+RVAVLGNVDSGKSTLLGVLTQGELDNGRGRARLNLFRHLHEIQSGRTSSISFEILGFNSK
+GEVVNYSDSRTAEEICESSSKMITFIDLAGHHKYLHTTIFGLTSYCPDCALLLVSANTGI
+AGTTREHLGLALALKVPFFIVVSKIDLCAKTTVERTVRQLERVLKQPGCHKVPMLVTSED
+DAVTAAQQFAQSPNVTPIFTLSSVSGESLDLLKVFLNILP
+>Q969Y2_PF10396_35 <unknown description>
+TIFALSSGQGRCGIAVIRTSGPASGHALRILTAPRDLPLARHASLRLLSDPRSGEPLDRA
+LVLWFPGPQSFTGEDCVEFHVHGGPAVVSGVLQALGSVPGLRPAEAGEFTRRAFANGK
+>Q969Y2_PF12631_155 <unknown description>
+LTEVEGLADLIHAETEAQRRQALRQLDGELGHLCRGWAETLTKALAHVEAYIDFGEDDNL
+EEGVLEQADIEVRALQVALGAHLRDARRGQRLRSGVHVVVTGPPNAGKSSLVNLLSRKPV
+SIVSPEPGTTRDVLETPVDLAGFPVLLSDTAGLREGVGPVEQEGVRRARERLEQADLILA
+MLDASDLASPSSCNFLATVVASVGAQSPSDSSQRLLLVLNKSDLLSPEGPGPGPDLPPHL
+LLSCLTGEGLDGLLEALRKELAAVCGDPSTDPPLLTRARHQHHLQGCLDALGHYKQSKDL
+ALAAEALRVARGHLTRLTGGGGTEEILDIIFQDFC
+>Q969Y2_PF01926_252 <unknown description>
+VVVTGPPNAGKSSLVNLLSRKPVSIVSPEPGTTRDVLETPVDLAGFPVLLSDTAGLREGV
+GPVEQEGVRRARERLEQADLILAMLDASDLASPSSCNFLATVVASVGAQSPSDSSQRLLL
+VLNK
+>Q9BZE4_PF17835_6 <unknown description>
+FKKITVVPSAKDFIDLTLSKTQRKTPTVIHKHYQIHRIRHFYMRKVKFTQQNYHDRLSQI
+LTDFPKLDDIHPFYADLMNILYDKDHYKLALGQINIAKNLVDNVAKDYVRLMKYGDSLYR
+CKQLKRAALGRMCTVIKRQKQSLEYLEQVRQHLSRLPTID
+>Q9BZE4_PF06858_235 <unknown description>
+TIEMQAITALAHLRAAVLYVMDLSEQCGHGLREQLELFQNIRPLFINKPLIVVANKCD
+>Q9BZE4_PF08155_395 <unknown description>
+KKRERDLELEMGDDYILDLQKYWDLMNLSEKHDKIPEIWEGHNIADYIDPAI
+>O43824_PF13167_120 <unknown description>
+QVAEATALVHTLDGWSVVQTMVVSTKTPDRKLIFGKGNFEHLTEKIRGSPDITCVFLNVE
+RMAAPTKKELEAAWGVEVFDRFTVVLHIF
+>O43824_PF16360_212 <unknown description>
+ARTKEARLQVALAEMPLHRSNLKRDVAHLYRGVGSRYIMGSGESFMQLQQRLLREKEAKI
+RKALDRLRKKRHLLRRQR
+>O43824_PF01926_297 <unknown description>
+ISVVGYTNCGKTTLIKALTGDAAIQPRDQLFATLDVTAHAGTLPSRMTVLYVDTIGFLSQ
+LPHGLIESFSATLEDVAHSDLILHVRDVSHPEAE
+>Q8N3Z3_PF01926_112 <unknown description>
+EVCFIGRSNVGKSSLIKALFSLAPEVEVRVSKKPGHTKKMNFFKVGKHFTVVDMPGYGFR
+APEDFVDMVETYLKERRNLKRTFLLVDSVVGIQKTDNIAIEMCEEFALPYVIVLTK
+>A4D1E9_PF01018_30 <unknown description>
+MGYPRLGGEGGKGGDVWVVAQNRMTLKQLKDRYPRKRFVAGVGANSKISALKGSKGKDCE
+IPVPVGISVTDENGKIIGELNKENDRILVAQGGLGGKLLTNF
+>A4D1E9_PF01926_150 <unknown description>
+DVGLVGFPNAGKSSLLSCVSHAKPAIADYAFTTLKPELGKIMYSDFKQISVADLPGLIEG
+AHMNKGMGHKFLKHIERTRQLLFVVDISGFQLSSHTQYRTAFETIILLTKELELYKEELQ
+TKPALLAVNK
+>O95528_PF00083_12 <unknown description>
+ASVSLLGGLTFGYELAVISGALLPLQLDFGLSCLEQEFLVGSLLLGALLASLVGGFLIDC
+YGRKQAILGSNLVLLAGSLTLGLAGSLAWLVLGRAVVGFAISLSSMACCIYVSELVGPRQ
+RGVLVSLYEAGITVGILLSYALNYALAGTPWGWRHMFGWATAPAVLQSLSLLFLPAGTDE
+TATHKDLIPLQGGEAPKLGPGRPRYSFLDLFRARDNMRGRTTVGLGLVLFQQLTGQPNVL
+CYASTIFSSVGFHGGSSAVLASVGLGAVKVAATLTAMGLVDRAGRRALLLAGCALMALSV
+SGIGLVSFAV
+>O95528_PF00083_412 <unknown description>
+ALLCLMVFVSAFSFGFGPVTWLVLSEIYPVEIRGRAFAFCNSFNWAANLFISLSFLDLIG
+TIGLSWTFLLYGLTAVLGLGFIYLFVPETKGQSLAEIDQQF
+>Q9BYW1_PF00083_23 <unknown description>
+AGIGGTFQFGYNLSIINAPTLHIQEFTNETWQARTGEPLPDHLVLLMWSLIVSLYPLGGL
+FGALLAGPLAITLGRKKSLLVNNIFVVSAAILFGFSRKAGSFEMIMLGRLLVGVNAGVSM
+NIQPMYLGESAPKELRGAVAMSSAIFTALGIVMGQVVGLRELLGGPQAWPLLLASCLVPG
+ALQLASLPLLPESPRYLLIDCGDTEACLAALRRLRGSGDLAGELEELEEERAACQGCRAR
+RPWELFQHRALRRQVTSLVVLGSAMELCGNDSVYAYASSVFRKAGVPEAKIQYAIIGTGS
+CELLTAVVSCVVIERVGRRVLLIGGYSLMTCWGSIFTVALCLQSSFPWTLYLAMACIFAF
+ILSFGIGPAGVTGILATELFDQMARPAACMVCGALMWIMLILVGLGFPFIMEALSHFLYV
+PFLGVCVCGAIYTGLFLPETKGKTFQEISK
+>Q8TD20_PF00083_45 <unknown description>
+VTAAVSGLLVGYELGIISGALLQIKTLLALSCHEQEMVVSSLVIGALLASLTGGVLIDRY
+GRRTAIILSSCLLGLGSLVLILSLSYTVLIVGRIAIGVSISLSSIATCVYIAEIAPQHRR
+GLLVSLNELMIVIGILSAYISNYAFANVFHGWKYMFGLVIPLGVLQAIAMYFLPPSPRFL
+VMKGQEGAASKVLGRLRALSDTTEELTVIKSSLKDEYQYSFWDLFRSKDNMRTRIMIGLT
+LVFFVQITGQPNILFYASTVLKSVGFQSNEAASLASTGVGVVKVISTIPATLLVDHVGSK
+TFLCIGSSVMAASLVTMGIVN
+>Q8TD20_PF00083_461 <unknown description>
+KWLSLASLLVYVAAFSIGLGPMPWLVLSEIFPGGIRGRAMALTSSMNWGINLLISLTFLT
+VTDLIGLPWVCFIYTIMSLASLLFVVMFIPETKGCSLEQIS
+>Q8TDB8_PF00083_15 <unknown description>
+ITVATIGSFQFGYNTGVINAPETIIKEFINKTLTDKANAPPSEVLLTNLWSLSVAIFSVG
+GMIGSFSVGLFVNRFGRRNSMLIVNLLAATGGCLMGLCKIAESVEMLILGRLVIGLFCGL
+CTGFVPMYIGEISPTALRGAFGTLNQLGIVIGILVAQIFGLELILGSEELWPVLLGFTIL
+PAILQSAALPCCPESPRFLLINRKKEENATRILQRLWGTQDVSQDIQEMKDESARMSQEK
+QVTVLELFRVSSYRQPIIISIVLQLSQQLSGINAVFYYSTGIFKDAGVQQPIYATISAGV
+VNTIFTLLSLFLVERAGRRTLHMIGLGGMAFCSTLMTVSLLLKNHYNGMSFVCIGAILVF
+VACFEIGPGPIPWFIVAELFSQGPRPAAMAVAGCSNWTSNFLVGLLFPSAAYYLGAYVFI
+IFTGFLITFLAFTFFKVPETRGRTFEDITRAF
+>P11166_PF00083_19 <unknown description>
+AVLGSLQFGYNTGVINAPQKVIEEFYNQTWVHRYGESILPTTLTTLWSLSVAIFSVGGMI
+GSFSVGLFVNRFGRRNSMLMMNLLAFVSAVLMGFSKLGKSFEMLILGRFIIGVYCGLTTG
+FVPMYVGEVSPTALRGALGTLHQLGIVVGILIAQVFGLDSIMGNKDLWPLLLSIIFIPAL
+LQCIVLPFCPESPRFLLINRNEENRAKSVLKKLRGTADVTHDLQEMKEESRQMMREKKVT
+ILELFRSPAYRQPILIAVVLQLSQQLSGINAVFYYSTSIFEKAGVQQPVYATIGSGIVNT
+AFTVVSLFVVERAGRRTLHLIGLAGMAGCAILMTIALALLEQLPWMSYLSIVAIFGFVAF
+FEVGPGPIPWFIVAELFSQGPRPAAIAVAGFSNWTSNFIVGMCFQYVEQLCGPYVFIIFT
+VLLVLFFIFTYFKVPETKGRTFDEIAS
+>P11168_PF00083_14 <unknown description>
+VITAVLGSFQFGYDIGVINAPQQVIISHYRHVLGVPLDDRKAINNYVINSTDELPTISYS
+MNPKPTPWAEEETVAAAQLITMLWSLSVSSFAVGGMTASFFGGWLGDTLGRIKAMLVANI
+LSLVGALLMGFSKLGPSHILIIAGRSISGLYCGLISGLVPMYIGEIAPTALRGALGTFHQ
+LAIVTGILISQIIGLEFILGNYDLWHILLGLSGVRAILQSLLLFFCPESPRYLYIKLDEE
+VKAKQSLKRLRGYDDVTKDINEMRKEREEASSEQKVSIIQLFTNSSYRQPILVALMLHVA
+QQFSGINGIFYYSTSIFQTAGISKPVYATIGVGAVNMVFTAVSVFLVEKAGRRSLFLIGM
+SGMFVCAIFMSVGLVLLNKFSWMSYVSMIAIFLFVSFFEIGPGPIPWFMVAEFFSQGPRP
+AALAIAAFSNWTCNFIVALCFQYIADFCGPYVFFLFAGVLLAFTLFTFFKVPETKGKSFE
+EIAAEF
+>P11169_PF00083_14 <unknown description>
+ITVATIGSFQFGYNTGVINAPEKIIKEFINKTLTDKGNAPPSEVLLTSLWSLSVAIFSVG
+GMIGSFSVGLFVNRFGRRNSMLIVNLLAVTGGCFMGLCKVAKSVEMLILGRLVIGLFCGL
+CTGFVPMYIGEISPTALRGAFGTLNQLGIVVGILVAQIFGLEFILGSEELWPLLLGFTIL
+PAILQSAALPFCPESPRFLLINRKEEENAKQILQRLWGTQDVSQDIQEMKDESARMSQEK
+QVTVLELFRVSSYRQPIIISIVLQLSQQLSGINAVFYYSTGIFKDAGVQEPIYATIGAGV
+VNTIFTVVSLFLVERAGRRTLHMIGLGGMAFCSTLMTVSLLLKDNYNGMSFVCIGAILVF
+VAFFEIGPGPIPWFIVAELFSQGPRPAAMAVAGCSNWTSNFLVGLLFPSAAHYLGAYVFI
+IFTGFLITFLAFTFFKVPETRGRTFEDITRAF
+>P22732_PF00083_21 <unknown description>
+TLIAAFGSSFQYGYNVAAVNSPALLMQQFYNETYYGRTGEFMEDFPLTLLWSVTVSMFPF
+GGFIGSLLVGPLVNKFGRKGALLFNNIFSIVPAILMGCSRVATSFELIIISRLLVGICAG
+VSSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQIFGLRNLLANVDGWPILLGLTG
+VPAALQLLLLPFFPESPRYLLIQKKDEAAAKKALQTLRGWDSVDREVAEIRQEDEAEKAA
+GFISVLKLFRMRSLRWQLLSIIVLMGGQQLSGVNAIYYYADQIYLSAGVPEEHVQYVTAG
+TGAVNVVMTFCAVFVVELLGRRLLLLLGFSICLIACCVLTAALALQDTVSWMPYISIVCV
+ISYVIGHALGPSPIPALLITEIFLQSSRPSAFMVGGSVHWLSNFTVGLIFPFIQEGLGPY
+SFIVFAVICLLTTIYIFLIVPETKAKTFIEINQIF
+>Q9UGQ3_PF00083_42 <unknown description>
+TFAAVLGNFSFGYALVYTSPVIPALERSLDPDLHLTKSQASWFGSVFTLGAAAGGLSAMI
+LNDLLGRKLSIMFSAVPSAAGYALMAGAHGLWMLLLGRTLTGFAGGLTAACIPVYVSEIA
+PPGVRGALGATPQLMAVFGSLSLYALGLLLPWRWLAVAGEAPVLIMILLLSFMPNSPRFL
+LSRGRDEEALRALAWLRGTDVDVHWEFEQIQDNVRRQSSRVSWAEARAPHVCRPITVALL
+MRLLQQLTGITPILVYLQSIFDSTAVLLPPKDDAAIVGAVRLLSVLIAALTMDLAGRKVL
+LFVSAAIMFAANLTLGLYIHFGPRPLSPNSTAGLESESWGDLAQPLAAPAGYLTLVPLLA
+TMLFIMGYAVGWGPITWLLMSEVLPLRARGVASGLCVLASWLTAFVLTKSFLPVVSTFGL
+QVPFFFFAAICLVSLVFTGCCVPETKGRSLEQIESF
+>Q6PXP3_PF00083_27 <unknown description>
+TLSAAFGSAFQYGYNLSVVNTPHKVFKSFYNETYFERHATFMDGKLMLLLWSCTVSMFPL
+GGLLGSLLVGLLVDSCGRKGTLLINNIFAIIPAILMGVSKVAKAFELIVFSRVVLGVCAG
+ISYSALPMYLGELAPKNLRGMVGTMTEVFVIVGVFLAQIFSLQAILGNPAGWPVLLALTG
+VPALLQLLTLPFFPESPRYSLIQKGDEATARQALRRLRGHTDMEAELEDMRAEARAERAE
+GHLSVLHLCALRSLRWQLLSIIVLMAGQQLSGINAINYYADTIYTSAGVEAAHSQYVTVG
+SGVVNIVMTITSAVLVERLGRRHLLLAGYGICGSACLVLTVVLLFQNRVPELSYLGIICV
+FAYIAGHSIGPSPVPSVVRTEIFLQSSRRAAFMVDGAVHWLTNFIIGFLFPSIQEAIGAY
+SFIIFAGICLLTAIYIYVVIPETKGKTFVEINRIF
+>Q9NY64_PF00083_30 <unknown description>
+AFAAALGPLSFGFALGYSSPAIPSLQRAAPPAPRLDDAAASWFGAVVTLGAAAGGVLGGW
+LVDRAGRKLSLLLCSVPFVAGFAVITAAQDVWMLLGGRLLTGLACGVASLVAPVYISEIA
+YPAVRGLLGSCVQLMVVVGILLAYLAGWVLEWRWLAVLGCVPPSLMLLLMCFMPETPRFL
+LTQHRRQEAMAALRFLWGSEQGWEDPPIGAEQSFHLALLRQPGIYKPFIIGVSLMAFQQL
+SGVNAVMFYAETIFEEAKFKDSSLASVVVGVIQVLFTAVAALIMDRAGRRLLLVLSGVVM
+VFSTSAFGAYFKLTQGGPGNSSHVAISAPVSAQPVDASVGLAWLAVGSMCLFIAGFAVGW
+GPIPWLLMSEIFPLHVKGVATGICVLTNWLMAFLVTKEFSSLMEVLRPYGAFWLASAFCI
+FSVLFTLFCVPETKGKTLEQITAHF
+>Q9NRM0_PF00083_63 <unknown description>
+GAFGSSFLYGYNLSVVNAPTPYIKAFYNESWERRHGRPIDPDTLTLLWSVTVSIFAIGGL
+VGTLIVKMIGKVLGRKHTLLANNGFAISAALLMACSLQAGAFEMLIVGRFIMGIDGGVAL
+SVLPMYLSEISPKEIRGSLGQVTAIFICIGVFTGQLLGLPELLGKESTWPYLFGVIVVPA
+VVQLLSLPFLPDSPRYLLLEKHNEARAVKAFQTFLGKADVSQEVEEVLAESRVQRSIRLV
+SVLELLRAPYVRWQVVTVIVTMACYQLCGLNAIWFYTNSIFGKAGIPPAKIPYVTLSTGG
+IETLAAVFSGLVIEHLGRRPLLIGGFGLMGLFFGTLTITLTLQDHAPWVPYLSIVGILAI
+IASFCSGPGGIPFILTGEFFQQSQRPAAFIIAGTVNWLSNFAVGLLFPFIQKSLDTYCFL
+VFATICITGAIYLYFVLPETKNRTYAEISQAF
+>Q9NYZ3_PF15259_28 <unknown description>
+ILLLADEKFDFDLSLSSSSANEDDEVFFGPFGHKERCIAASLELNNPVPEQPPLPTSESP
+FAWSPLAGEKFVEVYKEAHLLALHIESSSRNQAAQAAKPEDPRSQGVERFIQESKLKINL
+FEKEKEMKKSPTSLKRETYYLSDS
+>Q8WW33_PF05253_14 <unknown description>
+LLQCPYDKNHQIRACRFPYHLIKCR
+>Q8WW33_PF05253_48 <unknown description>
+LATCPFNARHQVPRAEISHHISSC
+>Q9H1H1_PF05253_7 <unknown description>
+EICPYDPHHRIPLSRFQYHLASCR
+>Q9H1H1_PF05253_40 <unknown description>
+MATCKYNACHVVPIKNLEEHEAVC
+>P49915_PF00117_30 <unknown description>
+ILDAGAQYGKVIDRRVRELFVQSEIFPLETPAFAIKEQGFRAIIISGGPNSVYAEDAPWF
+DPAIFTIGKPVLGICYGMQMMNKVFGGTVHKKSVREDGVFNISVDNTCSLFRGLQKEEVV
+LLTHGDSVDKVADGFKVVARSGNIVAGIANESKKLYGAQFHPEVGLTENGKVILKNFLYD
+>P49915_PF02540_225 <unknown description>
+ECIREIKERVGTSKVLVLLSGGVDSTVCTALLNRALNQEQVIAVHIDNGFMRKRESQSVE
+EALKKLGIQVKVINAA
+>P49915_PF00958_599 <unknown description>
+LRESGYAGKISQMPVILTPLHFDRDPLQKQPSCQRSVVIRTFITSDFMTGIPATPGNEIP
+VEVVLKMVTEIKKIPGISRIMYDLTSKPPGTTEW
+>Q9Y2T3_PF01979_74 <unknown description>
+FMPGLVDTHIHASQYSFAGSSIDLPLLEWLTKYTFPAEHRFQNIDFAEEVYTRVVRRTLK
+NGTTTACYFATIHTDSSLLLADITDKFGQRAFVGKVCMDLNDTFPEYKETTEESIKETER
+FVSEMLQKNYSRVKPIVTPRFSLSCSETLMGELGNIAKTRDLHIQSHISENRDEVEAVKN
+LYPSYKNYTSVYDKNNLLTNKTVMAHGCYLSAEELNVFHERGASIAHCPNSNLSLSSGFL
+NVLEVLKHEVKIGLGTDVAGGYSYSMLDAIRRAVMVSNILLINKVNEKSLTLKEVFRLAT
+LGGSQALGLDGEIGNFEVGKEFDAILINPKASDSPIDLFYGDFFGDISEAVIQKFLYLGD
+DRNIEEVYVGGKQV
+>P43080_PF13833_30 <unknown description>
+PSGQLTLYEFRQFFGLKNLSPSASQYVEQMFETFDFNKDGYIDFMEYVAAL
+>P43080_PF13499_89 <unknown description>
+EQKLRWYFKLYDVDGNGCIDRDELLTIIQAIRAINPCSDTTMTAEEFTDTVFSKIDVNGD
+GELSLEEFIEGV
+>Q9UMX6_PF13202_61 <unknown description>
+MFRAFDKNGDNTIDFLEY
+>Q9UMX6_PF13499_93 <unknown description>
+KLKWTFKIYDKDGNGCIDRLELLNIVEGIYQLKKACRRELQTEQGQLLTPEEVVDRIFLL
+VDENGDGQLSLNEFVEG
+>O95843_PF13833_36 <unknown description>
+TLHEFKTLLGLQGLNQKANKHIDQVYNTFDTNKDGFVDFLEFIAAV
+>O95843_PF13499_90 <unknown description>
+EQKLKWYFKLYDADGNGSIDKNELLDMFMAVQALNGQQTLSPEEFINLVFHKIDINNDGE
+LTLEEFINGM
+>Q02747_PF02058_21 <unknown description>
+GVTVQDGNFSFSLESVKKLKDLQEPQEPRVGKLRNFAPIPGEPVVPILCSNPNFPEELKP
+LCKEPNAQEILQRLEEIAEDPGTCEICAYAACTGC
+>Q16661_PF02058_26 <unknown description>
+SVYIQYQGFRVQLESMKKLSDLEAQWAPSPRLQAQSLLPAVCHHPALPQDLQPVCASQEA
+SSIFKTLRTIANDDCELCVNVACTGC
+>P25092_PF07714_508 <unknown description>
+YDKKRVILKDLKHNDGNFTEKQKIELNKLLQIDYYNLTKFYGTVKLDTMIFGVIEYCERG
+SLREVLNDTISYPDGTFMDWEFKISVLYDIAKGMSYLHSSKTEVHGRLKSTNCVVDSRMV
+VKITDFGCNSILPPKKDLWTAPEHLRQANISQKGDVYSYGIIAQEIILRKETFYTLSCRD
+RNEKIFRVENSNGMKPFRPDLFLETAEEKELEVYLLVKNCWEEDPEKRPDFKKIETTL
+>P25092_PF00211_817 <unknown description>
+PELYEEVTIYFSDIVGFTTICKYSTPMEVVDMLNDIYKSFDHIVDHHDVYKVETIGDAYM
+VASGLPKRNGNRHAIDIAKMALEILSFMGTFELEHLPGLPIWIRIGVHSGPCAAGVVGIK
+MPRYCLFGDTVNTASRMESTGLPLRIHVSGSTIAILKRTECQFLYEVRGETYLKGRGNET
+TYWLT
+>Q02846_PF01094_73 <unknown description>
+PDLAARLAAARLNRDPGLAGGPRFEVALLPEPCRTPGSLGAVSSALARVSGLVGPVNPAA
+CRPAELLAEEAGIALVPWGCPWTQAEGTTAPAVTPAADALYALLRAFGWARVALVTAPQD
+LWVEAGRSLSTALRARGLPVASVTSMEPLDLSGAREALRKVRDGPRVTAVIMVMHSVLLG
+GEEQRYLLEAAEELGLTDGSLVFLPFDTIHYALSPGPEALAALANSSQLRRAHDAVLTLT
+RHCPSEGSVLDSLRRAQERRELPSDLNLQQVSPLFGTIYDAVFLLARGVAEARAAAGGRW
+VSGAAVARHIRDAQVPGFCGDL
+>Q02846_PF07714_579 <unknown description>
+TAFSKLQELRHENVALYLGLFLARGAEGPAALWEGNLAVVSEHCTRGSLQDLLAQREIKL
+DWMFKSSLLLDLIKGIRYLHHRGVAHGRLKSRNCIVDGRFVLKITDHGHGRLLEAQKVLP
+EPPRAEDQLWTAPELLRDPALERRGTLAGDVFSLAIIMQEVVCRSAPYAMLELTPEEVVQ
+RVRSPPPLCRPLVSMDQAPVECILLMKQCWAEQPELRPSMDH
+>Q02846_PF07701_820 <unknown description>
+MLRMLEQYSSNLEDLIRERTEELELEKQKTDRLLTQMLPPSVAEAL
+>Q02846_PF00211_873 <unknown description>
+PEYFEQVTLYFSDIVGFTTISAMSEPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYM
+VASGLPQRNGQRHAAEIANMSLDILSAVGTFRMRHMPEVPVRIRIGLHSGPCVAGVVGLT
+MPRYCLFGDTVNTASRMESTGLPYRIHVNLSTVGILRALDSGYQVELRGRTELKGKGAED
+TFWLV
+>P51841_PF01094_73 <unknown description>
+EVAARLAIERINRDPSFDLSYSFEYVILNEDCQTSRALSSFISHHQMASGFIGPTNPGYC
+EAASLLGNSWDKGIFSWACVNYELDNKISYPTFSRTLPSPIRVLVTVMKYFQWAHAGVIS
+SDEDIWVHTANRVASALRSHGLPVGVVLTTGQDSQSMRKALQRIHQADRIRIIIMCMHSA
+LIGGETQMHLLECAHDLKMTDGTYVFVPYDALLYSLPYKHTPYRVLRNNPKLREAYDAVL
+TITVESQEKTFYQAFTEAAARGEIPEKLEFDQVSPLFGTIYNSIYFIAQAMNNAMKENGQ
+AGAASLVQHSRNMQFHGFNQLMRTDSNGNGISEYVILDTN
+>P51841_PF07714_595 <unknown description>
+FEMMKDLRHENINPLLGFFYDSGMFAIVTEFCSRGSLEDILTNQDVKLDWMFKSSLLLDL
+IKGMKYLHHREFVHGRLKSRNCVVDGRFVLKVTDYGFNDILEMLRLSEEESSMEELLWTA
+PELLRAPRGSRLGSFAGDVYSFAIIMQEVMVRGTPFCMMDLPAQEIINRLKKPPPVYRPV
+VPPEHAPPECLQLMKQCWAEAAEQRPTFDEIFNQ
+>P51841_PF07701_824 <unknown description>
+MLRMLEQYSSNLEDLIRERTEELEIEKQKTEKLLTQMLPPSVAESL
+>P51841_PF00211_877 <unknown description>
+PEGFDLVTLYFSDIVGFTTISAMSEPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYM
+VASGLPKRNGSRHAAEIANMSLDILSSVGTFKMRHMPEVPVRIRIGLHSGPVVAGVVGLT
+MPRYCLFGDTVNTASRMESTGLPYRIHVSLSTVTILQNLSEGYEVELRGRTELKGKGTEE
+TFWLI
+>Q96NT3_PF09778_22 <unknown description>
+VPVIQQLYHWDCGLACSRMVLRYLGQLDDSEFERALQKLQLTRSIWTIDLAYLMHHFGVR
+HRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAKACKVLVEKCTVSVKDIQAHLA
+QGHVAIVLVNSGVLHCDLCSSPVKYCCFTPSGHHCFCRTPDYQGHFIVLRGYNRATGCIF
+YNNPAYADRMCSTSISNFEEARTSYGTDEDILFV
+>Q8N442_PF00009_66 <unknown description>
+ENIRNFSIVAHVDHGKSTLADRLLELTGTIDKTKNNKQVLDKLQVERERGITVKAQTASL
+FYNCEGKQYLLNLIDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEA
+QLSVIPVINKIDLKNADPERVENQIEKVFDIPSDECIKISAKLGTNVESVLQAIIERIP
+>Q8N442_PF03144_268 <unknown description>
+GVIANVALFDGVVSKGDKIVSAHTQKTYEVNEVGVLNPNEQPTHKLYAGQVGYLIAGMKD
+VTEAQIGDTLC
+>Q8N442_PF00679_469 <unknown description>
+YLEPVVLGTIITPDEYTGKIMMLCEARRAVQKNMIFIDQNRVMLKYLFPLNEIVVDFYDS
+LKSLSSGYASFDYEDAGYQTAELVKMDI
+>Q8N442_PF06421_558 <unknown description>
+LNGNTVEELVTVVHKDKAHSIGKAICERLKDSLPRQLFEIAIQAAIGSKIIARETVKAYR
+KNVLAKCYGGDITRKMKLLKRQAEGKKKLRKIGNVEVPKDAFIKVLK
+>Q9UBP9_PF00640_27 <unknown description>
+YNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIKKSEGQKIPKVELQISIYGVKILEPKT
+KEVQHNCQLHRISFCADDKTDKRIFTFICKDSESNKHLCYVFDSEKCAEEITLTIGQAFD
+LAYRKFLE
+>Q96GX5_PF00069_37 <unknown description>
+IVKPISRGAFGKVYLGQKGGKLYAVKVVKKADMINKNMTHQVQAERDALALSKSPFIVHL
+YYSLQSANNVYLVMEYLIGGDVKSLLHIYGYFDEEMAVKYISEVALALDYLHRHGIIHRD
+LKPDNMLISNEGHIKLTDFGLSKVTLNRDINMMDILTT
+>Q96GX5_PF00069_738 <unknown description>
+ILGTPDYLAPELLLGRAHGPAVDWWALGVCLFEFLTGIPPFNDETPQQVFQNILKRDIPW
+PEGEEKLSDNAQSAVEILLTIDDTKRAGMKELKRHPLF
+>Q4G148_PF01501_174 <unknown description>
+YTLYPITFPSENAAEWKKLFKPCASQRLFLPLILKEVDSLLYVDTDILFLRPVDDIWSLL
+KKFNSTQIAAMAPEHEEPRIGWYNRFARHPYYGKTGVNSGVMLMNMTRMRRKYFKNDMTT
+VRLQWGDILMPLLKKYKLNITWGDQDLLNIVFFHNPESLFVFPCQWNYRPD
+>A0PJZ3_PF01501_114 <unknown description>
+VVACGNRLEETLVMLKSAVLFSHRKIQFHIFTEDSLKPEFDKQLRQWPDSYTKKFEHRIY
+PITFSVGNPQEWKKLFKPCAAQRLFLPVILKDVDSLLYVDTDVLFLRPVDDIWKLLRLFN
+STQLAAMAPEHEIPKIGWYSRFARHPFYGSAGVNSGVMLMNLTRIRSTQFKNSMIPTGLA
+WEDMLYPLYQKYKNAITWGDQDLLNIIFYFNPECLYVFPCQWNYRPDHCMYGSNCREAEH
+EGVSVLH
+>P13807_PF05693_31 <unknown description>
+EVAWEVANKVGGIYTVLQTKAKVTGDEWGDNYFLVGPYTEQGVRTQVELLEAPTPALKRT
+LDSMNSKGCKVYFGRWLIEGGPLVVLLDVGASAWALERWKGELWDTCNIGVPWYDREAND
+AVLFGFLTTWFLGEFLAQSEEKPHVVAHFHEWLAGVGLCLCRARRLPVATIFTTHATLLG
+RYLCAGAVDFYNNLENFNVDKEAGERQIYHRYCMERAAAHCAHVFTTVSQITAIEAQHLL
+KRKPDIVTPNGLNVKKFSAMHEFQNLHAQSKARIQEFVRGHFYGHLDFNLDKTLYFFIAG
+RYEFSNKGADVFLEALARLNYLLRVNGSEQTVVAFFIMPARTNNFNVETLKGQAVRKQLW
+DTANTVKEKFGRKLYESLLVGSLPDMNKMLDKEDFTMMKRAIFATQRQSFPPVCTHNMLD
+DSSDPILTTIRRIGLFNSSADRVKVIFHPEFLSSTSPLLPVDYEEFVRGCHLGVFPSYYE
+PWGYTPAECTVMGIPSISTNLSGFGCFMEEHIADPSAYGIYILDRRFRSLDDSCSQLTSF
+LYSFCQQSRRQRIIQRNRTERLSDLLDWKYLGRYYMSARHMALSKAFPEHFTYEPNEADA
+AQGYRYPRPASVPPSPSLSRHSSPHQSEDEED
+>P54840_PF05693_32 <unknown description>
+EVAWEVTNKVGGIYTVIQTKAKTTADEWGENYFLIGPYFEHNMKTQVEQCEPVNDAVRRA
+VDAMNKHGCQVHFGRWLIEGSPYVVLFDIGYSAWNLDRWKGDLWEACSVGIPYHDREAND
+MLIFGSLTAWFLKEVTDHADGKYVVAQFHEWQAGIGLILSRARKLPIATIFTTHATLLGR
+YLCAANIDFYNHLDKFNIDKEAGERQIYHRYCMERASVHCAHVFTTVSEITAIEAEHMLK
+RKPDVVTPNGLNVKKFSAVHEFQNLHAMYKARIQDFVRGHFYGHLDFDLEKTLFLFIAGR
+YEFSNKGADIFLESLSRLNFLLRMHKSDITVMVFFIMPAKTNNFNVETLKGQAVRKQLWD
+VAHSVKEKFGKKLYDALLRGEIPDLNDILDRDDLTIMKRAIFSTQRQSLPPVTTHNMIDD
+STDPILSTIRRIGLFNNRTDRVKVILHPEFLSSTSPLLPMDYEEFVRGCHLGVFPSYYEP
+WGYTPAECTVMGIPSVTTNLSGFGCFMQEHVADPTAYGIYIVDRRFRSPDDSCNQLTKFL
+YGFCKQSRRQRIIQRNRTERLSDLLDWRYLGRYYQHARHLTLSRAFPDKFHVELTSPPTT
+EGFKYPRPSSVPPSPSGSQASSPQSSDVEDE
+>Q9H116_PF00651_22 <unknown description>
+HELRLLGHLCDVTVSVEYQGVRKDFMAHKAVLAATSKFFKEVFLNEKSVDGTRTNVYLNE
+VQVADFASFLEFVYTAKVQVEEDRVQRMLEVAEKLKCLDLSETCFQLKK
+>Q9H116_PF00096_348 <unknown description>
+YRCDTCGQTFANRCNLKSHQRHVH
+>Q9H116_PF13894_377 <unknown description>
+FPCELCGKKFKRKKDVKRHVLQVH
+>Q9H116_PF13912_406 <unknown description>
+RHRCGQCGKGLSSKTALRLHERTH
+>Q9H116_PF00096_437 <unknown description>
+CTECGARFSQPSALKTHMRIH
+>Q9H116_PF13912_463 <unknown description>
+FVCDECGARFTQNHMLIYHKRCH
+>Q9H116_PF00096_491 <unknown description>
+FMCETCGKSFASKEYLKHHNRIH
+>Q9H116_PF00096_519 <unknown description>
+FKCEVCFRTFAQRNSLYQHIKVH
+>Q9H116_PF00096_547 <unknown description>
+YCCDQCGKQFTQLNALQRHRRIH
+>Q9H116_PF00096_575 <unknown description>
+FMCNACGRTFTDKSTLRRHTSIH
+>P07305_PF00538_25 <unknown description>
+HPKYSDMIVAAIQAEKNRAGSSRQSIQKYIKSHYKVGENADSQIKLSIKRLVTTGVLKQT
+KGVGASGSFRLA
+>Q02539_PF00538_41 <unknown description>
+PSVSELIVQAASSSKERGGVSLAALKKALAAAGYDVEKNNSRIKLGIKSLVSKGTLVQTK
+GTGASGSFKLN
+>P16403_PF00538_38 <unknown description>
+PPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTK
+GTGASGSFKLN
+>P16402_PF00538_39 <unknown description>
+PPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTK
+GTGASGSFKLN
+>P10412_PF00538_38 <unknown description>
+PPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTK
+GTGASGSFKLN
+>P16401_PF00538_41 <unknown description>
+PPVSELITKAVAASKERNGLSLAALKKALAAGGYDVEKNNSRIKLGLKSLVSKGTLVQTK
+GTGASGSFKLN
+>O14756_PF00106_30 <unknown description>
+KYVFITGCDSGFGNLLARQLDARGLRVLAACLTEKGAEQLRGQTSDRLETVTLDVTKMES
+IAAATQWVKEHVGDRGLWGLVNNAGILTPITLCEWLNTEDSMNMLKVNLIGVIQVTLSML
+PLVRRARGRIVNVSSILGRVAFFVGGYCVSKYGVEAFSDILRREIQHFGVKISIVEPGYF
+RTGMTNMT
+>Q8IZA3_PF00538_52 <unknown description>
+HPPVLRMVLEALQAGEQRRGTSVAAIKLYILHKYPTVDVLRFKYLLKQALATGMRRGLLA
+RPLNSKARGATGSFKL
+>Q53T59_PF00787_63 <unknown description>
+VQFLVSKKYSEIEEFYQKLSSRYAAASLPPLPRKVLFVGESDIRERRAVFNEILRCVSKD
+AELAGSPELLEFLG
+>P22492_PF00538_43 <unknown description>
+SVSKLITEALSVSQERVGMSLVALKKALAAAGYDVEKNNSRIKLSLKSLVNKGILVQTRG
+TGASGSFKLS
+>Q92522_PF00538_47 <unknown description>
+KYSQLVVETIRRLGERNGSSLAKIYTEAKKVPWFDQQNGRTYLKYSIKALVQNDTLLQVK
+GTGANGSFKLN
+>Q96QV6_PF00125_5 <unknown description>
+GKQGGKARAKSKSRSSRAGLQFPVGRIHRLLRKGNYAERIGAGAPVYLAAVLEYLTAEIL
+ELAGNASRDNKKTRIIPRHLQLAIR
+>Q96QV6_PF16211_92 <unknown description>
+EELNKLLGGVTIAQGGVLPNIQAVLLPKKTESHH
+>P04908_PF00125_5 <unknown description>
+GKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>P04908_PF16211_92 <unknown description>
+EELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q93077_PF00125_5 <unknown description>
+GKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q93077_PF16211_92 <unknown description>
+EELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHK
+>P20671_PF00125_5 <unknown description>
+GKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>P20671_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q96KK5_PF00125_5 <unknown description>
+GKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q96KK5_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q99878_PF00125_5 <unknown description>
+GKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q99878_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHK
+>P0C0S8_PF00125_5 <unknown description>
+GKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>P0C0S8_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q6FI13_PF00125_5 <unknown description>
+GKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q6FI13_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q8IUE6_PF00125_5 <unknown description>
+GKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAVR
+>Q8IUE6_PF16211_92 <unknown description>
+EELNKLLGGVTIAQGGVLPNIQAVLLPKKTESHK
+>Q16777_PF00125_5 <unknown description>
+GKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q16777_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHK
+>Q7L7L0_PF00125_5 <unknown description>
+GKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>Q7L7L0_PF16211_92 <unknown description>
+EELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHK
+>Q9BTM1_PF00125_6 <unknown description>
+KQGGKVRAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILE
+LAGNAARDNKKTRIIPRHLQLAIR
+>Q9BTM1_PF16211_92 <unknown description>
+EELNKLLGKVTIAQGGVLPNIQAVLLPKKTESQK
+>Q71UI9_PF00125_7 <unknown description>
+GKDSGKAKAKAVSRSQRAGLQFPVGRIHRHLKTRTTSHGRVGATAAVYSAAILEYLTAEV
+LELAGNASKDLKVKRITPRHLQLAIRGD
+>Q71UI9_PF16211_95 <unknown description>
+EELDSLIKATIAGGGVIPHIHKSLIGKKGQQKT
+>Q9P0M6_PF00125_6 <unknown description>
+GKKKMSKLSRSARAGVIFPVGRLMRYLKKGTFKYRISVGAPVYMAAVIEYLAAEILELAG
+NAARDNKKARIAPRHILLAV
+>Q9P0M6_PF16211_89 <unknown description>
+EELNQLLKGVTIASGGVLPRIHPELLAKKRGTKG
+>Q9P0M6_PF01661_216 <unknown description>
+VHPTTAEIDLKEDIGKALEKAGGKEFLETVKELRKSQGPLEVAEAAVSQSSGLAAKFVIH
+CHIPQWGSDKCEEQLEETIKNCLSAAEDKKLKSVAFPPFPSGRNCFPKQTAAQV
+>P16104_PF00125_5 <unknown description>
+GKTGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGHYAERVGAGAPVYLAAVLEYLTAEIL
+ELAGNAARDNKKTRIIPRHLQLAIR
+>P16104_PF16211_92 <unknown description>
+EELNKLLGGVTIAQGGVLPNIQAVLLPKKTSAT
+>O75367_PF00125_5 <unknown description>
+GGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEILELA
+GNAARDNKKGRVTPRHILLAV
+>O75367_PF16211_89 <unknown description>
+EELNQLLKGVTIASGGVLPNIHPELLAKKRGSKG
+>O75367_PF01661_216 <unknown description>
+INPTNADIDLKDDLGNTLEKKGGKEFVEAVLELRKKNGPLEVAGAAVSAGHGLPAKFVIH
+CNSPVWGADKCEELLEKTVKNCLALADDKKLKSIAFPSIGSGRNGFPKQTAAQL
+>P0C0S5_PF00125_7 <unknown description>
+GKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILEYLTAEV
+LELAGNASKDLKVKRITPRHLQLAIRGD
+>P0C0S5_PF16211_95 <unknown description>
+EELDSLIKATIAGGGVIPHIHKSLIGKKGQQK
+>Q96A08_PF00125_7 <unknown description>
+KGATISKKGFKKAVVKTQKKEGKKRKRTRKESYSIYIYKVLKQVHPDTGISSKAMSIMNS
+FVTDIFERIASEASRLAHYSKRSTISSREIQTAVRL
+>P33778_PF00125_10 <unknown description>
+APKKGSKKAITKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>P62807_PF00125_10 <unknown description>
+APKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>P58876_PF00125_11 <unknown description>
+PKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDI
+FERIAGEASRLAHYNKRSTITSREIQTAVRL
+>Q93079_PF00125_11 <unknown description>
+PKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDI
+FERIAGEASRLAHYNKRSTITSREIQTAVRL
+>P06899_PF00125_10 <unknown description>
+APKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>O60814_PF00125_10 <unknown description>
+APKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>Q99880_PF00125_11 <unknown description>
+PKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDI
+FERIASEASRLAHYNKRSTITSREIQTAVRL
+>Q99879_PF00125_15 <unknown description>
+SKKAINKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERI
+AGEASRLAHYNKRSTITSREIQTAVRL
+>Q99877_PF00125_9 <unknown description>
+PAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVN
+DIFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>P23527_PF00125_11 <unknown description>
+PKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDI
+FERIAGEASRLAHYNKRSTITSREIQTAVRL
+>Q16778_PF00125_10 <unknown description>
+APKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLAHYNKRSTITSREIQTAVRL
+>Q5QNW6_PF00125_11 <unknown description>
+PKKGSKKAVTKVQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDI
+FERIAGEASRLAHYNKRSTITSREIQTAVRL
+>Q8N257_PF00125_9 <unknown description>
+PAPKKGSKKAVTKAQKKDGKKRKRGRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVN
+DIFERIASEASRLAHYNKRSTITSREVQTAVRL
+>P0C1H6_PF00125_4 <unknown description>
+ASSETTSEEGQSIQEPKEANSTKAQKQKRRGCRGSRRRHANRRGDSFGDSFTPYFPRVLK
+QVHQGLSLSQEAVSVMDSMIHDILDRIATEAGQLAHYTKRVTITSRDIQMAVRL
+>P57053_PF00125_10 <unknown description>
+APKKGSKKAVTKAQKKDGRKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVND
+IFERIAGEASRLPHYNKRSTITSREIQTAVRL
+>A0A2R8Y619_PF00125_22 <unknown description>
+KKSKKRCRRKESYSMYIYKVLKQVHPDIGISAKAMSIMNSFVNDVFEQLACEAARLAQYS
+GRTTLTSREVQTAVRL
+>Q7Z2G1_PF00125_2 <unknown description>
+AGPSSETTSEEQLITQEPKEANSTTSQKQSKQRKRGRHGPRRCHSNCRGDSFATYFRRVL
+KQVHQGLSLSREAVSVMDSLVHDILDRIATEAGHLARSTKRQTITAWETRMAVRL
+>Q16695_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKVARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLMREIAQDFKTDLRFQSSAVMALQEACESYLVGLFEDTNLCVIHAKRVTI
+MPKDIQLARRIR
+>P68431_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIR
+>Q71DI3_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIR
+>P84243_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLARRIR
+>Q5TEC6_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE
+LLIRKLPFQRLVREIAQEFKTDLRFQSSAVMALQEAREAYLVGLFEDTNLCAIHAKRVTI
+MPKDIQLVSRIR
+>Q6NXT2_PF00125_1 <unknown description>
+MARTKQTARKSTGGKAPRKQLATKAARKSTPSTCGVKPHRYRPGTVALREIRRYQKSTEL
+LIRKLPFQRLVREIAQDFNTDLRFQSAAVGALQEASEAYLVGLLEDTNLCAIHAKRVTIM
+PKDIQLARRIR
+>P0DPK2_PF00125_1 <unknown description>
+MARTKQTARKATAWQAPRKPLATKAAGKRAPPTGGIKKPHRYKPGTLALREIRKYQKSTQ
+LLLRKLPFQRLVREIAQAISPDLRFQSAAIGALQEASEAYLVQLFEDTNLCAIHARRVTI
+MPRDMQLARRLR
+>P0DPK5_PF00125_1 <unknown description>
+MARTKQTARKATAWQAPRKPLATKAARKRASPTGGIKKPHRYKPGTLALREIRKYQKSTQ
+LLLRKLPFQRLVREIAQAISPDLRFQSAAIGALQEASEAYLVQLFEDTNLCAIHARRVTI
+MPRDMQLARRLR
+>P62805_PF15511_37 <unknown description>
+RLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGR
+>O60243_PF03567_80 <unknown description>
+RFDMKGDDVIVFLHIQKTGGTTFGRHLVQNVRLEVPCDCRPGQKKCTCYRPNRRETWLFS
+RFSTGWSCGLHADWTELTNCVPGVLDRRDSAALRTPRKFYYITLLRDPVSRYLSEWRHVQ
+RGATWKTSLHMCDGRTPTPEELPPCYEGTDWSGCTLQEFMDCPYNLANNRQVRMLADLSL
+VGCYNLSFIPEGKRAQLLLESAKKNLRGMAFFGLTEFQRKTQYLFERTFNLKFIRPFMQY
+NSTRAGGVEVDEDTIRRIEELNDLDMQLYDYA
+>Q96MM7_PF03567_220 <unknown description>
+DFDIKGDDLIVFLHIQKTGGTTFGRHLVRNIQLEQPCECRVGQKKCTCHRPGKRETWLFS
+RFSTGWSCGLHADWTELTSCVPSVVDGKRDARLRPSRWRIFQILDAASKDKRGSPNTNAG
+ANSPSSTKTRNTSKSGKNFHYITILRDPVSRYLSEWRHVQRGATWKASLHVCDGRPPTSE
+ELPSCYTGDDWSGCPLKEFMDCPYNLANNRQVRMLSDLTLVGCYNLSVMPEKQRNKVLLE
+SAKSNLKHMAFFGLTEFQRKTQYLFEKTFNMNFISPFTQYNTTRASSVEINEEIQKRIEG
+LNFLDMELYSYA
+>Q8IZP7_PF03567_139 <unknown description>
+DFNIKGRDVIVFLHIQKTGGTTFGRHLVKNIRLEQPCSCKAGQKKCTCHRPGKKETWLFS
+RFSTGWSCGLHADWTELTNCVPAIMEKKDCPRNHSHTRNFYYITMLRDPVSRYLSEWKHV
+QRGATWKTSLHMCDGRSPTPDELPTCYPGDDWSGVSLREFMDCTYNLANNRQVRMLADLS
+LVGCYNLTFMNESERNTILLQSAKNNLKNMAFFGLTEFQRKTQFLFERTFNLKFISPFTQ
+FNITRASNVEINEGARQRIEDLNFLDMQLYEYA
+>Q14520_PF00008_77 <unknown description>
+CQPNPCEHGGDCLVHGSTFTCSCLAPFSGN
+>Q14520_PF00008_154 <unknown description>
+CRPNPCQNGATCSRHKRRSKFTCACPDQFKGK
+>Q14520_PF00051_194 <unknown description>
+CYVGDGYSYRGKMNRTVNQHACLYWNSHLLLQENYNMFMEDAETHGIGEHNFCRNPDADE
+KPWCFIKVTNDKVKWEYCDVSAC
+>Q14520_PF00089_314 <unknown description>
+IYGGFKSTAGKHPWQASLQSSLPLTISMPQGHFCGGALIHPCWVLTAAHCTDIKTRHLKV
+VLGDQDLKKEEFHEQSFRVEKIFKYSHYNERDEIPHNDIALLKLKPVDGHCALESKYVKT
+VCLPDGSFPSGSECHISGWGVTETGKGSRQLLDAKVKLIANTLCNSRQLYDHMIDDSMIC
+AGNLQKPGQDTCQGDSGGPLTCEKDGTYYVYGIVSWGLECGKRPGVYTQVTKFLNWI
+>Q5JVS0_PF16174_16 <unknown description>
+MQESFGCVVANRFHQLLDDESDPFDILREAERRRQQQLQRKRRDEAAAAAGAGPRGGRSP
+AGASGHRAGAGGRRESQKERKSLPAPVAQRPDSPGGGLQAPGQKRTPRRGEQQGWNDSRG
+PEGMLERAERRSYREYRPYETERQADF
+>Q5JVS0_PF04774_212 <unknown description>
+GKREFERYGGNDKIAVRTEDNMGGCGVRTWGSGKDTSDVEPTAPMEEPTVVEESQGTPEE
+ESPAKVPELEVEEETQVQEMTLDEWKNLQEQTRPKPEFNIRKPE
+>B0YJ81_PF04387_119 <unknown description>
+QTFALLEIVHCLIGIVPTSVIVTGVQVSSRIFMVWLITHSIKPIQNEESVVLFLVAWTVT
+EITRYSFYTFSLLDHLPYFIKWARYNFFIILYPVGVAGELLTIYAALPHVKKTGMFSIRL
+PNKYNVSFDYYYFLLITMASYIPLFPQLYFHMLRQRRKVLH
+>Q6Y1H2_PF04387_85 <unknown description>
+QTGALLEILHCAIGIVPSSVVLTSFQVMSRVFLIWAVTHSVKEVQSEDSVLLFVIAWTIT
+EIIRYSFYTFSLLNHLPYLIKWARYTLFIVLYPMGVSGELLTIYAALPFVRQAGLYSISL
+PNKYNFSFDYYAFLILIMISYIPIFPQLYFHMIHQRRKILS
+>Q9P035_PF04387_195 <unknown description>
+QMLAVVETINAAIGVTTSPVLPSLIQLLGRNFILFIIFGTMEEMQNKAVVFFVFYLWSAI
+EIFRYSFYMLTCIDMDWKVLTWLRYTLWIPLYPLGCLAEAVSVIQSIPIFNETGRFSFTL
+PYPVKIKVRFSFFLQIYLIMIFLGLYINFRHLYKQRRRRY
+>Q5VWC8_PF04387_65 <unknown description>
+QSVSLLELLHIYVGIESNHLLPRFLQLTERIIILFVVITSQEEVQEKYVVCVLFVFWNLL
+DMVRYTYSMLSVIGISYAVLTWLSQTLWMPIYPLCVLAEAFAIYQSLPYFESFGTYSTKL
+PFDLSIYFPYVLKIYLMMLFIGMYFTYSHLYSERRDILG
+>Q8IYU2_PF12796_39 <unknown description>
+MADQHRSVSELLSNSKFDVNYAFGRVKRSLLHIAANCGSVECLVLLLKKGANPNYQDISG
+CTPLHLAARNGQKKCMSKLLEYSADVNI
+>Q8IYU2_PF13637_166 <unknown description>
+TALHVACQNGHKTTVQCLLDSGADINRPNVSGATPLYFACSHGQRDTAQILL
+>Q8IYU2_PF00632_604 <unknown description>
+LSNEIVNPDYALFTQSADGTTFQPNSNSYVNPDHLNYFRFAGQILGLALNHRQLVNIYFT
+RSFYKHILGIPVNYQDVASIDPEYAKNLQWILDNDISDLGLELTFSVETDVFGAMEEVPL
+KPGGGSILVTQNNKAEYVQLVTELRMTRAIQPQINAFLQGFHMFIPPSLIQLFDEYELEL
+LLSGMPEIDVSDWIKNTEYTSGYEREDPVIQWFWEVVEDITQEERVLLLQFVTGSSRVPH
+GGFANIMGGSGLQNFTIAAVPYTPNLLPTSSTCINMLKLPEYPSKEILKDRLLVALHCG
+>Q9UJ83_PF02776_15 <unknown description>
+SGAKVIAQALKTQDVEYIFGIVGIPVTEIAIAAQQLGIKYIGMRNEQAACYAASAIGYLT
+SRPGVCLVVSGPGLIHALGGMANANMNCWPLLVIGGSSERNQETMGAFQEFPQVEACRLY
+TKFSARPSSIEAIPFVIEKAVRSSIYGRPGACYVDIPADFVNLQVN
+>Q9UJ83_PF00205_205 <unknown description>
+TAASVIRNAKQPLLIIGKGAAYAHAEESIKKLVEQYKLPFLPTPMGKGVVPDNHPYCVGA
+ARSRALQFADVIVLFGARLNWILHFGLPPRYQPDVKFIQVDICAEELGNNVKPAVTLLGN
+IHAVTKQL
+>Q9UJ83_PF02775_400 <unknown description>
+NTMDIGRTVLQNYLPRHRLDAGTFGTMGVGLGFAIAAAVVAKDRSPGQWIICVEGDSAFG
+FSGMEVETICRYNLPIILLVVNNNGIYQGFDTDTWKEMLKFQDATAVVPPMCLLPNSHYE
+QVMTAFGGKGYFVQTPEELQKSLRQSLADTTKPSLINI
+>A1L0T0_PF02776_53 <unknown description>
+HGGENVAAVLRAHGVRFIFTLVGGHISPLLVACEKLGIRVVDTRHEVTAVFAADAMARLS
+GTVGVAAVTAGPGLTNTVTAVKNAQMAQSPILLLGGAASTLLQNRGALQAVDQLSLFRPL
+CKFCVSVRRVRDIVPTLRAAMAAAQSGTPGPVFVELPVDVL
+>A1L0T0_PF00205_273 <unknown description>
+VQRCVEILSRAKRPLMVLGSQALLTPTSADKLRAAVETLGVPCFLGGMARGLLGRNHPLH
+IRENRSAALKKADVIVLAGTVCDFRLSYGRVLSHSSKIIIVNRNREEMLLNSDIFWKPQE
+AVQGDVGSFVLK
+>A1L0T0_PF02775_467 <unknown description>
+DGGDFVGTAAHLVQPRGPLRWLDPGAFGTLGVGAGFALGAKLCRPDAEVWCLFGDGAFGY
+SLIEFDTFVRHKIPVMALVGNDAGWTQISREQVPSLGSNVACGLAYTDYHKAAMGLGARG
+LLLSRENEDQVVKVLHDAQQQCRDGHPVVVNI
+>Q6PII5_PF00753_34 <unknown description>
+KRLLEIVGREGVSLTAVLTTHHHWDHARGNPELARLRPGLAVLGADERIFSLTRRLAHGE
+ELRFGAIHVRCLLTPGHTAGHMSYFLWEDDCPDPPALFSGDALSVAGCGSCLEGSAQQMY
+QSLAELGTLPPETKVFCGH
+>Q6PII5_PF16123_173 <unknown description>
+EHTLSNLEFAQKVEPCNDHVRAKLSWAKKRDEDDVPTVPSTLGEERLYNPFLRVAEEPVR
+KFTGKAVPADVLEALCKERARF
+>O43593_PF02373_1052 <unknown description>
+TVWHVFRAQDAQRIRRFLQMVCPAGAGALEPGAPGSCYLDAGLRRRLREEWGVSCWTLLQ
+APGEAVLVPAGAPHQVQGLVSTVSVTQHF
+>Q75N03_PF18408_161 <unknown description>
+GSLFMCSIVQGCKRTYLSQRDLQAHINHRHMR
+>O96004_PF00010_95 <unknown description>
+RKGSGPKKERRRTESINSAFAELRECIPNVPADTKLSKIKTLRLATSYIAYL
+>P61296_PF00010_100 <unknown description>
+RRGTANRKERRRTQSINSAFAELRECIPNVPADTKLSKIKTLRLATSYIAYL
+>Q9UJM8_PF01070_15 <unknown description>
+AKSVLPKSIYDYYRSGANDEETLADNIAAFSRWKLYPRMLRNVAETDLSTSVLGQRVSMP
+ICVGATAMQRMAHVDGELATVRACQSLGTGMMLSSWATSSIEEVAEAGPEALRWLQLYIY
+KDREVTKKLVRQAEKMGYKAIFVTVDTPYLGNRLDDVRNRFKLPPQLRMKNFETSTLSFS
+PEENFGDDSGLAAYVAKAIDPSISWEDIKWLRRLTSLPIVAKGILRGDDAREAVKHGLNG
+ILVSNHGARQLDGVPATIDVLPEIVEAVEGKVEVFLDGGVRKGTDVLKALALGAKAVFVG
+RPIVWGLAFQGEKGVQDVLEILKEEFRLAMALSGCQNVKVIDKTLVR
+>Q9NYQ3_PF01070_13 <unknown description>
+AREQLSKSTRDFIEGGADDSITRDDNIAAFKRIRLRPRYLRDVSEVDTRTTIQGEEISAP
+ICIAPTGFHCLVWPDGEMSTARAAQAAGICYITSTFASCSLEDIVIAAPEGLRWFQLYVH
+PDLQLNKQLIQRVESLGFKALVITLDTPVCGNRRHDIRNQLRRNLTLTDLQSPKKGNAIP
+YFQMTPISTSLCWNDLSWFQSITRLPIILKGILTKEDAELAVKHNVQGIIVSNHGGRQLD
+EVLASIDALTEVVAAVKGKIEVYLDGGVRTGNDVLKALALGAKCIFLGRPILWGLACKGE
+HGVKEVLNILTNEFHTSMALTGCRSVAEINRNLVQ
+>P54257_PF04849_108 <unknown description>
+VFQGPFGSRATGRGTGKAAGIWKTPAAYVGRRPGVSGPERAAFIRELEEALCPNLPPPVK
+KITQEDVKVMLYLLEELLPPVWESVTYGMVLQRERDLNTAARIGQSLVKQNSVLMEENSK
+LEALLGSAKEEILYLRHQVNLRDELLQLYSDSDEEDEDEEEEEEEKEAEEEQEEEEAEED
+LQCAHPCDAPKLISQEALLHQHHCPQLEALQEKLRLLEEENHQLREEASQLDTLEDEEQM
+LILECVEQFSEASQQMAELSEVLVLRLENYERQQQEVARLQAQVLKLQQRCRMYGAETEK
+LQKQLASEKEIQMQLQEEETLPGFQETLAEELR
+>Q13442_PF10252_85 <unknown description>
+NPNRVAQTTKKVTQLDLDGPKELSRREREEIEKQKAKERYMKMHLAGKTEQAKADLARLA
+IIRKQREEAARKKEEERK
+>P23610_PF14938_42 <unknown description>
+NVAEAGEQFGQLGRELRAQECLPYAAWCQLAVARCQQALFHGPGEALALTEAARLFLRQE
+RDARQRLVCPAAYGEPLQAAASALGAAVRLHLELGQPAAAAALCLELAAALRDLGQPAAA
+AGHFQRAAQLQLPQLPLAALQALGEAASCQLLARDYTGALAVFTRMQ
+>Q14CZ0_PF15251_25 <unknown description>
+ERQCLAEAEQDEQLPPELQEEAAAAAQPEHKQQKLWHLFQNSATAVAQLYKDRVCQQPGL
+SLWVPFQNAATAVTNLYKESVDTHQRSFDIGIQIGYQRRNKDVLAWVKKRRRTIRREDLI
+SFLCGKVPPPRNSRAPPRLTVVSPNRATSTETSSSVETDLQPFREAIALHGLSGAMASIS
+VRSSTPGSPTHVSSGSNASRRRNGLHDVDLNTFISEEMALHLDNGGTRKRTSAQCGDVIT
+DSPTHKRNR
+>Q96MB7_PF13359_148 <unknown description>
+VDCIHVAIKAPNAEDLSYVNRKGLHSLNCLMVCDIRGTLMTVETNWPGSLQDCAVLQQSS
+LSSQFEAGMHKDSWLLGDSSFFLRTWLMTPLHIPETPAEYRYNMAHSATHSVIEKTFRTL
+CSRFRCLDGSKGALQYSPEKSSHIILACCVLHN
+>P12081_PF00458_7 <unknown description>
+LEELVKLQGERVRGLKQQKASAELIEEEVAKLLKLKAQL
+>P12081_PF13393_61 <unknown description>
+GTRDYSPRQMAVREKVFDVIIRCFKRHGAEVIDTPVFELKETLMGKYGEDSKLIYDLKDQ
+GGELLSLRYDLTVPFARYLAMNKLTNIKRYHIAKVYRRDNPAMTRGRYREFYQCDFDIAG
+NFDPMIPDAECLKIMCEILSSLQIGDFLVKVNDRRILDGMFAICGVSDSKFRTICSSVDK
+LDKVSWEEVKNEMVGEKGLAPEVADRIGDYVQQHGGVSLVEQLLQDPKLSQNKQALEGLG
+DLKLLFEYLTLFGIDDKISFDLSLARGLDYYTGVIYEAVLLQTPAQAGEEPLGVGSVAAG
+GRYDGLVGMFDPKGRKVPCVGLSIGVERI
+>P12081_PF03129_410 <unknown description>
+QVLVASAQKKLLEERLKLVSELWDAGIKAELLYKKNPKLLNQLQYCEEAGIPLVAIIGEQ
+ELKDGVIKLRSVTSREEVDVRREDLVEEIKR
+>Q8TF76_PF12330_413 <unknown description>
+SGSLLSECSNRPVMNRTSGAPSSWHSSSMYLLSPLNTLSISNKKASDAEKVYGECSQKGP
+VPFSHCLPTEKLQRCEKIGEGVFGEVFQTIADHTPVAIKIIAIEGPDLVNGSHQKTFEEI
+LPEIIISKELSLLSGEVCNRTEGFIGLNSVHCVQGSYPPLLLKAWDHYNSTKGSANDRPD
+FFKDDQLFIVLEFEFGGIDLEQMRTKLSSLATAKSILHQLTASLAVAEASLRFEHRDLHW
+GNVLLKKTSLKKLHYTLNGKSSTIPSCGLQVSIIDYTLSRLERDGIVVFCDVSMDEDLFT
+GDGDYQFDIYRLMKKENNNRWGEYHPYSNVLWLHYLTDKMLKQMTFKTKCNTPAMKQIKR
+KIQEFHRTML
+>O14929_PF10394_26 <unknown description>
+KCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLFGDDETAFGYKGLKILLYYIAGSLST
+MFRVEYASKVDENFDCVEADDVEGKIRQIIPPGFCTNTNDFLSLLEKEVDFKPFGTLLHT
+YSVLSPTGGENFTFQIYKADMTCRGFREYHERLQTFLMWFIE
+>Q9NVX0_PF15003_8 <unknown description>
+DPASAPNGAGLVLGHFIASGMVNQEMLNMSKKTVSCFVNFTRLQQITNIQAEIYQKNLEI
+ELLKLEKDTADVVHPFFLAQKCHTLQSMNNHLEAVLKEKRSLRQRLLKPMCQENLPIEAV
+YHRYMVHLLELAVTFIERLETHLETIRNIPHLAANLKKMNQALAKMDILVTETEELAENI
+LKW
+>Q68CZ6_PF14932_29 <unknown description>
+WLFEGVEDESFLKWFCGNVNEQNVLSERELEAFSILQKSGKPILEGAALDEALKTCKTSD
+LKTPRLDDKELEKLEDEVQTLLKLKNLKIQRRNKCQLMASVTSHKSLRLNAKEEEATKKL
+KQSQGILNAMITKISNELQALTDEVTQLMMFFRHSNLGQGTNPLVFLSQFSLEKYLSQEE
+QSTAALTLYTKKQFFQGIHEVVESSNEDNFQLLDIQTPSICDNQEILEERRLEMARLQLA
+YICAQHQLIHLKA
+>Q9H6D7_PF14735_130 <unknown description>
+PSQEREIPPLLGLEKADLLELMPLSEDFVWMRARLQQEVEEQLKKKCFTLLCYYDPNSDA
+DSETVKAAKVWKLAEVLVGEQQQCQDAKSQQKEQMLLLEKKSAAYSQVLLRCLTLLQRLL
+QEHRLKTQSELDRINAQYLEVKCGAMILKLRMEELKILSDTYTVEKVEVHRLIRDRLEGA
+IHLQEQDMENSRQVLNSYEVLGEEFDRLVKEYTVLKQATENKRWALQEFSK
+>O94927_PF14817_7 <unknown description>
+ARELGCWAVEEMGVPVAARAPESTLRRLCLGQGADIWAYILQHVHSQRTVKKIRGNLLWY
+GHQDSPQVRRKLELEAAVTRLRAEIQELDQSLELMERDTEAQDTAMEQARQHTQDTQRRA
+LLLRAQAGAMRRQQHTLRDPMQRLQNQLRRLQDMERKAKVDVTFGSLTSAALGLEPVVLR
+DVRTACTLRAQFLQNLLLPQAKRGSLPTPHDDHFGTSYQQWLSSVETLLTNHPPGHVLAA
+LEHLAAEREAEIRSLCSGDGLGDTEISRPQAPDQSDSSQTLPSMVHLIQEGWRTVGVLVS
+QRSTLLKERQVLTQRLQGLVEEVERRVLGSSERQVLILGLRRCCLWTELKALHDQSQELQ
+DAAGHRQLLLRELQAKQQRILHWRQLVEETQEQVRLLIKGNSASKTRLCRSPGEVLALVQ
+RKVVPTFEAVAPQSRELLRCLEEEVRHLPHILLGTLLRHRPGELKPLPTVLPSIHQLHPA
+SPRGSSFIALSHKLGLPPGKASELLLPAAASLRQDLLLLQDQRSLWCWDLLHMKTSLPPG
+LPTQELLQIQASQEKQQKENLGQALKRLEKLLKQALERIPELQGIVGDWWEQPGQAALSE
+ELCQGLSLPQWR
+>Q7Z4H7_PF14661_14 <unknown description>
+LWMYLQALGFEPGPATIACGKIVSHTHLGVNMFDKLNRDAFHIISYFLFQVLDQSLTKEV
+FKFCWPPFDQKSDTEFRKHCCEWIKRISGECGSSFPQVVGSLFLSPGGPKFIHLMYHFAR
+FVAMKYIKSNSKNSSHHFVETFNIKPQDLHKCIARCHFARSRFLQILQRQDCVTQKYQEN
+AQLSVKQVRNLRSECIGLENQIKKMEPYDDHSNMEEKIQKVRSLWA
+>Q96D42_PF07686_24 <unknown description>
+VGGEAGPSVTLPCHYSGAVTSMCWNRGSCSLFTCQNGIVWTNGTHVTYRKDTRYKLLGDL
+SRRDVSLTIENTAVSDSGVYCCRVEHR
+>Q8TDQ0_PF07686_25 <unknown description>
+EYRAEVGQNAYLPCFYTPAAPGNLVPVCWGKGACPVFECGNVVLRTDERDVNYWTSRYWL
+NGDFRKGDVSLTIENVTLADSGIYCCRIQIPGIMNDEKFNL
+>Q96EW2_PF13621_36 <unknown description>
+IIMSLQQPAIFCNMVFDWPARHWNAKYLSQVLHGKQIRFRMGMKSMSTVPQFETTCNYVE
+ATLEEFLTWNCDQSSISGPFRDYDHSKFWAYADYKYFVSLFEDKTDLFQDVKWSDFGFPG
+RNGQESTLWIGSLGAHTPCHLDSYGCNLVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESS
+VFSKINVVNPDLKRFPQFRKAQRHAVTLSPGQVLFVPRHWWHYVESIDPVTVSINSWIE
+>P09105_PF00042_7 <unknown description>
+DRALVRALWKKLGSNVGVYTTEALERTFLAFPATKTYFSHLDLSPGSSQVRAHGQKVADA
+LSLAVERLDDLPHALSALSHLHACQLRVDPASFQLLGHCLL
+>P02008_PF00042_8 <unknown description>
+RTIIVSMWAKISTQADTIGTETLERLFLSHPQTKTYFPHFDLHPGSAQLRAHGSKVVAAV
+GDAVKSIDDIGGALSKLSELHAYILRVDPVNFKLLSHCLL
+>P69905_PF00042_7 <unknown description>
+DKTNVKAAWGKVGAHAGEYGAEALERMFLSFPTTKTYFPHFDLSHGSAQVKGHGKKVADA
+LTNAVAHVDDMPNALSALSDLHAHKLRVDPVNFKLLSHCLL
+>P68871_PF00042_8 <unknown description>
+EKSAVTALWGKVNVDEVGGEALGRLLVVYPWTQRFFESFGDLSTPDAVMGNPKVKAHGKK
+VLGAFSDGLAHLDNLKGTFATLSELHCDKLHVDPENFRLLGNVLV
+>P02042_PF00042_8 <unknown description>
+EKTAVNALWGKVNVDAVGGEALGRLLVVYPWTQRFFESFGDLSSPDAVMGNPKVKAHGKK
+VLGAFSDGLAHLDNLKGTFSQLSELHCDKLHVDPENFRLLGNVLV
+>Q99075_PF00008_112 <unknown description>
+YKDFCIHGECKYVKELRAPSCICHPGYHGER
+>P02100_PF00042_8 <unknown description>
+EKAAVTSLWSKMNVEEAGGEALGRLLVVYPWTQRFFDSFGNLSSPSAILGNPKVKAHGKK
+VLTSFGDAIKNMDNLKPAFAKLSELHCDKLHVDPENFKLLGNVMV
+>P69891_PF00042_8 <unknown description>
+DKATITSLWGKVNVEDAGGETLGRLLVVYPWTQRFFDSFGNLSSASAIMGNPKVKAHGKK
+VLTSLGDATKHLDDLKGTFAQLSELHCDKLHVDPENFKLLGNVLV
+>P69892_PF00042_8 <unknown description>
+DKATITSLWGKVNVEDAGGETLGRLLVVYPWTQRFFDSFGNLSSASAIMGNPKVKAHGKK
+VLTSLGDAIKHLDDLKGTFAQLSELHCDKLHVDPENFKLLGNVLV
+>Q6B0K9_PF00042_6 <unknown description>
+ERAQIAQVWDLIAGHEAQFGAELLLRLFTVYPSTKVYFPHLSACQDATQLLSHGQRMLAA
+VGAAVQHVDNLRAALSPLADLHALVLRVDPANFPLLIQCF
+>O60381_PF08517_215 <unknown description>
+FLKGTRLCFHKGSNKEWQDVEDFARAEGCDNEEDLQMGIHKGYGSDGLKLLSHEESVSFG
+ESVLKLTFDPGTVEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEVHIGDVC
+LPPGH
+>O60381_PF00505_435 <unknown description>
+KRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVILGDRWKKMKNEERRMYTLEAKALAEEQ
+KRLNP
+>Q9Y450_PF08938_55 <unknown description>
+EYDYEDLKESSNSVSNHQLSGFDQARLYSCLDHMREVLGDAVPDEILIEAVLKNKFDVQK
+ALSGVLEQDR
+>Q9Y450_PF00009_259 <unknown description>
+QLLNLVVIGHVDAGKSTLMGHMLYLLGNINKRTMHKYEQESKKAGKASFAYAWVLDETGE
+ERERGVTMDVGMTKFETTTKVITLMDAPGHKDFIPNMITGAAQADVAVLVVDASRGEFEA
+GFETGGQTREHGLLVRSLGVTQLAVAVNKMDQVNWQQERFQEITGKLGHFLKQAGFKESD
+VGFIPTSGLSGENLITRSQSSELTKWYKGLCLLEQ
+>Q9Y450_PF03144_502 <unknown description>
+GFCITGKIEAGYIQTGDRLLAMPPNETCTVKGITLHDEPVDWAAAGDHVSLTLVGMDIIK
+INVGCIFC
+>Q9Y450_PF03143_574 <unknown description>
+PIKACTRFRARILIFNIEIPITKGFPVLLHYQTVSEPAVIKRLISVLNKSTGEVTKKKPK
+FLTKGQNALVELQTQRPIALELYKDFKELGRFMLRYGGSTIAAGVVTEI
+>Q9BXC0_PF00001_32 <unknown description>
+GNGVALCGFCFHMKTWKPSTVYLFNLAVADFLLMICLPFRTDYYLRRRHWAFGDIPCRVG
+LFTLAMNRAGSIVFLTVVAADRYFKVVHPHHAVNTISTRVAAGIVCTLWALVILGTVYLL
+LENHLCVQETAVSCESFIMESANGWHDIMFQLEFFMPLGIILFCSFKIVWSLRRRQQLAR
+QARMKKATRFIMVVAIVFITCYLPSVSARLYFLWTVPSSACDPSVHGALHITLSFTYMNS
+MLDPLVY
+>Q8TDS4_PF00001_44 <unknown description>
+GNGLALWIFCFHLKSWKSSRIFLFNLAVADFLLIICLPFLMDNYVRRWDWKFGDIPCRLM
+LFMLAMNRQGSIIFLTVVAVDRYFRVVHPHHALNKISNRTAAIISCLLWGITIGLTVHLL
+KKKMPIQNGGANLCSSFSICHTFQWHEAMFLLEFFLPLGIILFCSARIIWSLRQRQMDRH
+AKIKRAITFIMVVAIVFVICFLPSVVVRIRIFWLLHTSGTQNCEVYRSVDLAFFITLSFT
+YMNSMLDPVVY
+>P49019_PF00001_44 <unknown description>
+GNGLALWIFCFHLKSWKSSRIFLFNLAVADFLLIICLPFVMDYYVRRSDWKFGDIPCRLV
+LFMFAMNRQGSIIFLTVVAVDRYFRVVHPHHALNKISNWTAAIISCLLWGITVGLTVHLL
+KKKLLIQNGTANVCISFSICHTFRWHEAMFLLEFFLPLGIILFCSARIIWSLRQRQMDRH
+AKIKRAITFIMVVAIVFVICFLPSVVVRIHIFWLLHTSGTQNCEVYRSVDLAFFITLSFT
+YMNSMLDPVVY
+>Q99714_PF00106_12 <unknown description>
+VAVITGGASGLGLATAERLVGQGASAVLLDLPNSGGEAQAKKLGNNCVFAPADVTSEKDV
+QTALALAKGKFGRVDVAVNCAGIAVASKTYNLKKGQTHTLEDFQRVLDVNLMGTFNVIRL
+VAGEMGQNEPDQGGQRGVIINTASVAAFEGQVGQAAYSASKGGIVGMTLPIARDLAPIGI
+RVMTIAPGLFGTPLLTSLPE
+>Q16836_PF02737_29 <unknown description>
+HVTVIGGGLMGAGIAQVAAATGHTVVLVDQTEDILAKSKKGIEESLRKVAKKKFAENLKA
+GDEFVEKTLSTIATSTDAASVVHSTDLVVEAIVENLKVKNELFKRLDKFAAEHTIFASNT
+SSLQITSIANATTRQDRFAGLHFFNPVPVMKLVEVIKTPMTSQKTFESLVDFSKALGKHP
+VSCKDT
+>Q16836_PF00725_216 <unknown description>
+GFIVNRLLVPYLMEAIRLYERGDASKEDIDTAMKLGAGYPMGPFELLDYVGLDTTKFIVD
+GWHEMDAENPLHQPSPSLNKLVAENKFGKKTGEGFYKY
+>P51610_PF01344_32 <unknown description>
+PRHGHRAVAIKELIVVFGGGNEGIVDELHVYNTATNQW
+>P51610_PF13415_264 <unknown description>
+GNKMYVFGGWVPLVMDDVKVATHEKEWKCTNTLACLNLDTMAWETILMDTLEDNIPRARA
+GHCAVAI
+>Q9Y5Z7_PF13415_207 <unknown description>
+KMYVFGGMCGARLDDLWQLDLETMSWSKPETKGTVPLPRSLHTASVI
+>Q9Y5Z7_PF13415_254 <unknown description>
+GNKMYIFGGWVPHKGENTETSPHDCEWRCTSSFSYLNLDTTEWTTLVSDSQEDKKNSRPR
+PRAGHCAVAI
+>P08631_PF00018_84 <unknown description>
+VALYDYEAIHHEDLSFQKGDQMVVLEESGEWWKARSLATRKEGYIPS
+>P08631_PF00017_144 <unknown description>
+WFFKGISRKDAERQLLAPGNMLGSFMIRDSETTKGSYSLSVRDYDPRQGDTVKHYKIRTL
+DNGGFYISPRSTFSTLQELVDHY
+>P08631_PF07714_262 <unknown description>
+LKLEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAFLAEANVMKTLQHDKLVKLH
+AVVTKEPIYIITEFMAKGSLLDFLKSDEGSKQPLPKLIDFSAQIAEGMAFIEQRNYIHRD
+LRAANILVSASLVCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGSFTIKSDVW
+SFGILLMEIVTYGRIPYPGMSNPEVIRALERGYRMPRPENCPEELYNIMMRCWKNRPEER
+PTFEYIQSV
+>P14317_PF02218_82 <unknown description>
+GYGGRFGVERDRMDKSAVGHEYVAEVEKHSSQTDAA
+>P14317_PF02218_119 <unknown description>
+GFGGKYGVERDRADKSAVGFDYKGEVEKHTSQKDYS
+>P14317_PF02218_156 <unknown description>
+GFGGRYGVEKDKWDKAALGYDYKGETEKHESQRDYA
+>P14317_PF02218_193 <unknown description>
+GFGGQYGIQKDRVDKSAVGFNEME
+>P14317_PF00018_434 <unknown description>
+VAVYDYQGEGSDELSFDPDDVITDIEMVDEGWWRGRCHGHFGLFPA
+>O60741_PF08412_98 <unknown description>
+FTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDF
+>O60741_PF00520_143 <unknown description>
+FYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNED
+SSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKI
+LSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLL
+QDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVG
+ATCYAMFVGHATALIQSLDS
+>O60741_PF00027_495 <unknown description>
+VFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVR
+ADTYCRLYSLSVDNFNEVLEEY
+>Q9UL51_PF08412_168 <unknown description>
+GALLQPGVNKFSLRMFGSQKAVEREQERVKSAGAWIIHPYSDF
+>Q9UL51_PF00520_212 <unknown description>
+FYWDFTMLLFMVGNLIIIPVGITFFKDETTAPWIVFNVVSDTFFLMDLVLNFRTGIVIED
+NTEIILDPEKIKKKYLRTWFVVDFVSSIPVDYIFLIVEKGIDSEVYKTARALRIVRFTKI
+LSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVMRICNLISMMLLLCHWDGCLQFLVPML
+QDFPRNCWVSINGMVNHSWSELYSFALFKAMSHMLCIGYGRQAPESMTDIWLTMLSMIVG
+ATCYAMFIGHATALIQSLDS
+>Q9UL51_PF00027_564 <unknown description>
+VFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKEMKLSDGSYFGEICLLTRGRRTASVR
+ADTYCRLYSLSVDNFNEVLEEY
+>Q9P1Z3_PF08412_50 <unknown description>
+GTLLQPTVNKFSLRVFGSHKAVEIEQERVKSAGAWIIHPYSDF
+>Q9P1Z3_PF00520_94 <unknown description>
+FYWDLIMLLLMVGNLIVLPVGITFFKEENSPPWIVFNVLSDTFFLLDLVLNFRTGIVVEE
+GAEILLAPRAIRTRYLRTWFLVDLISSIPVDYIFLVVELEPRLDAEVYKTARALRIVRFT
+KILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVP
+MLQDFPPDCWVSINHMVNHSWGRQYSHALFKAMSHMLCIGYGQQAPVGMPDVWLTMLSMI
+VGATCYAMFIGHATALIQSLDS
+>Q9P1Z3_PF00027_448 <unknown description>
+VFQPGDLVVREGSVGRKMYFIQHGLLSVLARGARDTRLTDGSYFGEICLLTRGRRTASVR
+ADTYCRLYSLSVDHFNAVLEE
+>Q9Y3Q4_PF08412_219 <unknown description>
+GAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDF
+>Q9Y3Q4_PF00520_263 <unknown description>
+FYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVED
+NTEIILDPQRIKMKYLKSWFMVDFISSIPVDYIFLIVETRIDSEVYKTARALRIVRFTKI
+LSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPML
+QDFPDDCWVSINNMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPVGMSDVWLTMLSMIVG
+ATCYAMFIGHATALIQSLDS
+>Q9Y3Q4_PF00027_615 <unknown description>
+VFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGEICLLTRGRRTASVR
+ADTYCRLYSLSVDNFNEVLEEY
+>Q9UBK5_PF07213_1 <unknown description>
+MIHLGHILFLLLLPVAAAQTTPGERS
+>Q9UBK5_PF07213_35 <unknown description>
+TSGSCSGCGSLSLPLLAGLVAADAVASLLIVGAVFLCARPRRSPAQEDGKVYINMPGRG
+>Q969S8_PF00850_25 <unknown description>
+IERPERLTAALDRLRQRGLEQRCLRLSAREASEEELGLVHSPEYVSLVRETQVLGKEELQ
+ALSGQFDAIYFHPSTFHCARLAAGAGLQLVDAVLTGAVQNGLALVRPPGHHGQRAAANGF
+CVFNNVAIAAAHAKQKHGLHRILVVDWDVHHGQGIQYLFEDDPSVLYFSWHRYEHGRFWP
+FLRESDADAVGRGQGLGFTVNLPWNQVGMGNADYVAAFLHLLLPLAFEFDPELVLVSAGF
+DSAIGDPEGQMQATPECFAHLTQLLQVLAGGRVCAVLEGGYHLESLAESVCMTVQTL
+>Q96DB2_PF00850_35 <unknown description>
+HPFDAGKWGKVINFLKEEKLLSDSMLVEAREASEEDLLVVHTRRYLNELKWSFAVATITE
+IPPVIFLPNFLVQRKVLRPLRTQTGGTIMAGKLAVERGWAINVGGGFHHCSSDRGGGFCA
+YADITLAIKFLFERVEGISRATIIDLDAHQGNGHERDFMDDKRVYIMDVYNRHIYPGDRF
+AKQAIRRKVELEWGTEDDEYLDKVERNIKKSLQEHLPDVVVYNAGTDILEGDRLGGLSIS
+PAGIVKRDELVFRMVRGRRVPILMVTSGGYQKRTARIIADSIL
+>Q13547_PF00850_28 <unknown description>
+HPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKANAEEMTKYHSDDYIKFLRSIRPDNMSEY
+SKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVASAVKLNKQQTDIAVNWAGGLHHAKKSEA
+SGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG
+TGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAIFKPVMSKVMEMFQPSAVVLQCGSDSLS
+GDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGGGGYTIRNVARCWTYETA
+>Q92769_PF00850_29 <unknown description>
+HPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKATAEEMTKYHSDEYIKFLRSIRPDNMSEY
+SKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVAGAVKLNRQQTDMAVNWAGGLHHAKKSEA
+SGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG
+TGDLRDIGAGKGKYYAVNFPMRDGIDDESYGQIFKPIISKVMEMYQPSAVVLQCGADSLS
+GDRLGCFNLTVKGHAKCVEVVKTFNLPLLMLGGGGYTIRNVARCWTYETA
+>O15379_PF00850_22 <unknown description>
+HPMKPHRLALTHSLVLHYGLYKKMIVFKPYQASQHDMCRFHSEDYIDFLQRVSPTNMQGF
+TKSLNAFNVGDDCPVFPGLFEFCSRYTGASLQGATQLNNKICDIAINWAGGLHHAKKFEA
+SGFCYVNDIVIGILELLKYHPRVLYIDIDIHHGDGVQEAFYLTDRVMTVSFHKYGNYFFP
+GTGDMYEVGAESGRYYCLNVPLRDGIDDQSYKHLFQPVINQVVDFYQPTCIVLQCGADSL
+GCDRLGCFNLSIRGHGECVEYVKSFNIPLLVLGGGGYTVRNVARCWTYETS
+>Q9UQL6_PF12203_67 <unknown description>
+VDPTLREQQLQQELLALKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQQQEMLAA
+KQQQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQL
+>Q9UQL6_PF00850_704 <unknown description>
+HPEHAGRIQSIWSRLQETGLLSKCERIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQKLD
+SKKLLGPISQKMYAVLPCGGIGVDSDTVWNEMHSSSAVRMAVGCLLELAFKVAAGELKNG
+FAIIRPPGHHAEESTAMGFCFFNSVAITAKLLQQKLNVGKVLIVDWDIHHGNGTQQAFYN
+DPSVLYISLHRYDNGNFFPGSGAPEEVGGGPGVGYNVNVAWTGGVDPPIGDVEYLTAFRT
+VVMPIAHEFSPDVVLVSAGFDAVEGHLSPLGGYSVTARCFGHLTRQLMTLAGGRVVLALE
+GGHDLTAICDASEACVSAL
+>Q9UBN7_PF00850_106 <unknown description>
+PEGPERLHAIKEQLIQEGLLDRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELR
+VLADTYDSVYLHPNSYSCACLASGSVLRLVDAVLGAEIRNGMAIIRPPGHHAQHSLMDGY
+CMFNHVAVAARYAQQKHRIRRVLIVDWDVHHGQGTQFTFDQDPSVLYFSIHRYEQGRFWP
+HLKASNWSTTGFGQGQGYTINVPWNQVGMRDADYIAAFLHVLLPVALEFQPQLVLVAAGF
+DALQGDPKGEMAATPAGFAQLTHLLMGLAGGKLILSLEGGYNLRALAEGVSASLHT
+>Q9UBN7_PF00850_500 <unknown description>
+HPEVPQRILRIMCRLEELGLAGRCLTLTPRPATEAELLTCHSAEYVGHLRATEKMKTREL
+HRESSNFDSIYICPSTFACAQLATGAACRLVEAVLSGEVLNGAAVVRPPGHHAEQDAACG
+FCFFNSVAVAARHAQTISGHALRILIVDWDVHHGNGTQHMFEDDPSVLYVSLHRYDHGTF
+FPMGDEGASSQIGRAAGTGFTVNVAWNGPRMGDADYLAAWHRLVLPIAYEFNPELVLVSA
+GFDAARGDPLGGCQVSPEGYAHLTHLLMGLASGRIILILEGGYNLTSISESMAACTRS
+>Q9UBN7_PF02148_1133 <unknown description>
+CGDCGTIQENWVCLSCYQVYCGRYINGHMLQHHGNSGHPLVLSYIDLSAWCYYCQAYVHH
+Q
+>Q8WUI4_PF00850_580 <unknown description>
+HPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLD
+NGKLAGLLAQRMFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKNG
+FAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQQSKASKILIVDWDVHHGNGTQQTFYQ
+DPSVLYISLHRHDDGNFFPGSGAVDEVGAGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRI
+VVMPIAREFSPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALE
+GGHDLTAICDASEACVAAL
+>Q9BY41_PF00850_35 <unknown description>
+PKRASMVHSLIEAYALHKQMRIVKPKVASMEEMATFHTDAYLQHLQKVSQEGDDDHPDSI
+EYGLGYDCPATEGIFDYAAAIGGATITAAQCLIDGMCKVAINWSGGWHHAKKDEASGFCY
+LNDAVLGILRLRRKFERILYVDLDLHHGDGVEDAFSFTSKVMTVSLHKFSPGFFPGTGDV
+SDVGLGKGRYYSVNVPIQDGIQDEKYYQICESVLKEVYQAFNPKAVVLQLGADTIAGDPM
+CSFNMTPVGIGKCLKYILQWQLATLILGGGGYNLANTARCWTYLT
+>Q9UKV0_PF12203_37 <unknown description>
+VDPVVREKQLQQELLLIQQQQQIQKQLLIAEFQKQHENLTRQHQAQLQEHIKLQQELLAI
+KQQQELLEKEQKLEQQRQEQEVERHRREQQL
+>Q9UKV0_PF00850_657 <unknown description>
+HPEHAGRIQSIWSRLQETGLLNKCERIQGRKASLEEIQLVHSEHHSLLYGTNPLDGQKLD
+PRILLGDDSQKFFSSLPCGGLGVDSDTIWNELHSSGAARMAVGCVIELASKVASGELKNG
+FAVVRPPGHHAEESTAMGFCFFNSVAITAKYLRDQLNISKILIVDLDVHHGNGTQQAFYA
+DPSILYISLHRYDEGNFFPGSGAPNEVGTGLGEGYNINIAWTGGLDPPMGDVEYLEAFRT
+IVKPVAKEFDPDMVLVSAGFDALEGHTPPLGGYKVTAKCFGHLTKQLMTLADGRVVLALE
+GGHDLTAICDASEACVNAL
+>Q8IV16_PF00021_65 <unknown description>
+CYTCKSLPRDERCNLTQNCSHGQTCTTLIAHGNTESGLLTTHSTWCTDSCQPITKTVEGT
+QVTMTCCQSSLCN
+>Q9UBI9_PF15353_94 <unknown description>
+CATPLICSFGRPVDLEKDDYQKVVCNNEHCPCSTWMHLQCFYEWESSILVQFNCIGRARS
+WNEKQCRQNMWTKKGYDLAFRFCSCRCGQGHLKKDTDW
+>Q9UBI9_PF16002_341 <unknown description>
+VQFLRRLDLSELLTHIPRHKLNTFHVRMEDDAQVGQGEDLRKFILAALSASHRNVVNCAL
+CHRALPVFEQFPLVDGTLFLSPSRHDEIEYDVPCHLQGRLMHLYAVCVDCLEGVHKIICI
+KCKSRWDGSWHQLGTMYTYDILAASPCCQARLNCKHCGKPVIDVRIGMQYFSEYSNVQQC
+PHCGNLDYHFVKPF
+>Q7Z4H3_PF13023_24 <unknown description>
+VGQLKRVPRTGWVYRNVQRPESVSDHMYRMAVMAMVIKDDRLNKDRCVRLALVHDMAECI
+VGDIAPADNIPKEEKHRREEEAMKQITQLLPEDLRKELYELWEEYETQSSAEAKFVKQLD
+QCEMILQASEYEDLEHKPGRLQDFYDSTAGKF
+>P51858_PF00855_13 <unknown description>
+GDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGPKDLFPYEESKEK
+FGKPNKRKGFSEGLWEIE
+>Q5TGJ6_PF00855_12 <unknown description>
+GDLVFAKLKGYAHWPARIEHMTQPNRYQVFFFGTHETAFLSPKRLFPYKECKEKFGKPNK
+>Q7Z4V5_PF00855_8 <unknown description>
+GDLVFAKMKGYPHWPARIDDIADGAVKPPPNKYPIFFFGTHETAFLGPKDLFPYDKCKDK
+YGKPNKRKGFNEGLWEIQN
+>Q7Z4V5_PF11467_472 <unknown description>
+EEKLQKLHSEIKFALKVDSPDVKRCLNALEELGTLQVTSQILQKNTDVVATLKKIRRYKA
+NKDVMEKAAEVYTRLKSRVLGPKIEAVQKVNKAGMEKE
+>Q9Y3E1_PF00855_12 <unknown description>
+GDLVFAKMKGYPHWPARIDELPEGAVKPPANKYPIFFFGTHETAFLGPKDLFPYKEYKDK
+FGKSNKRKGFNEGLWEIEN
+>Q08623_PF13419_11 <unknown description>
+LIFDMDGLLLDTERLYSVVFQEICNRYDKKYSWDVKSLVMGKKALEAAQIIIDVLQLPMS
+KEELVEESQTKLKEVFPTAALMPGAEKLIIHLRKHGIPFALATSSGSASFDMKTSRHKEF
+FSLFSHIVLGDDPEVQHGKPDPDIFLACAKRFSPPPAMEKCLVFEDAPNGVEAALAAGMQ
+VVMV
+>Q9H0R4_PF13344_10 <unknown description>
+VLVDLSGTLHIEDAAVPGAQEALKRLRGASVIIRFVTNTTKESKQDLLERLRKLEFDISE
+DEIFTSLTAARSLLERKQVR
+>Q9H0R4_PF13242_177 <unknown description>
+VGKPEKTFFLEALRGTGCEPEEAVMIGDDCRDDVGGAQDVGMLGILVKTGKYRASDEEKI
+NPPPYLTCES
+>Q9BSH5_PF13419_102 <unknown description>
+YKDFSHPCTWQVLDGAEDTLRECRTRGLRLAVISNFDRRLEGILGGLGLREHFDFVLTSE
+AAGWPKPDPRIFQEALRLAHMEPVVAAHVGDNYLCDYQGPRAVGMHSFL
+>Q9BXW7_PF13344_49 <unknown description>
+FLLDIDGVLVRGHRVIPAALKAFRRLVNSQGQLRVPVVFVTNAGNILQHSKAQELSALLG
+CEVDADQVILSHSPMKLFSEYHEKRMLVSGQGPVMENAQGLGF
+>Q9BXW7_PF13242_277 <unknown description>
+MGKPSILTYQYAEDLIRRQAERRGWAAPIRKLYAVGDNPMSDVYGAN
+>P42858_PF12372_1513 <unknown description>
+QIIGIPKIIQLCDGIMASGRKAVTHAIPALQPIVHDLFVLR
+>Q9H583_PF12397_238 <unknown description>
+IIAKLFPYIQKGLKSSLPDYRAATYMIICQISVKVTMENTFVNSLASQIIKTLTKIPSLI
+KDGLSCLIVLLQRQKPESLGKKPFPHLCNVPDLITILHGISETYDVSPLLHYMLPH
+>Q9H583_PF08146_1860 <unknown description>
+LTSHQSQLTAFFLEALDFRAQHSENDLEEVGKTENCIIDCLVAMVVKLSEVTFRPLFFKL
+FDWAKTEDAPKDRLLTFYNLADCIAEKLKGLFTLFAGHLVKPFADTLNQVNISKTDEAFF
+DSENDPEKCCLLLQFILNCLYKIFLFDTQHFI
+>Q7Z4Q2_PF13513_53 <unknown description>
+EVRECACAGLARLVQQRPALPGLARRDAVRRLGPLLLDPSLAVRETAAGALRNL
+>Q86WZ0_PF02985_530 <unknown description>
+LLPALEAALCDKNAHVRMAAAICQYAIQS
+>Q86WZ0_PF13646_767 <unknown description>
+LLNLMQRDPYWKIKAFAIRALGQIGQVSPELTDLLLWAIHYEESPGVRLEACRSILALKL
+QGDRVRDTFLDVLLLENHDAVL
+>Q6AI08_PF13251_418 <unknown description>
+KVRQGALVCFLSTIKSIEKKVLYGYWSAFIPDTPELGSPQSVSLMTLTLKDPSPKTRACA
+LQVLSAILEGSKQFLSVAEDTSDHRRAFTPFSVMIACSIRELHRCLLLALVAESSSQTVT
+QIIKCLANLVSNAPYDRLKLSLLTKVWNQIKPYIRHKDVNVRVSSLTLLGAIVSTHAPLP
+EV
+>Q9NRV9_PF04832_13 <unknown description>
+VETWPWQVLSKGDKEEVAYEERACEGGKFATVEVTDKPVDEALREAMPKVAKYAGGTNDK
+GIGMGMTVPISFAVFPNEDGSLQKKLKVWFRIPNQFQSDPPAPSDKSVKIEEREGITVYS
+MQFGGYAKEADYVAQATRLRAALEGTATYRGDIYFCTGYDPPMKPYGRRNEIWL
+>Q9Y5Z4_PF04832_21 <unknown description>
+ETPGWKAPEDAGPQPGSYEIRHYGPAKWVSTSVESMDWDSAIQTGFTKLNSYIQGKNEKE
+MKIKMTAPVTSYVEPGSGPFSESTITISLYIPSEQQFDPPRPLESDVFIEDRAEMTVFVR
+SFDGFSSAQKNQEQLLTLASILREDGKVFDEKVYYTAGYNSPVKLLNRNNEVWL
+>A8MVW5_PF13927_148 <unknown description>
+KPVVQIHPPSGAVEYVGNMTLTCHVEGGTRLAYQWLKNGRPVHTSSTYSFSPQNNTLHIA
+PVTKEDIGNYSCLVRN
+>Q14CZ8_PF07686_43 <unknown description>
+IHGTVGKSALLSVQYSSTSSDRPVVKWQLKRDKPVTVVQSIGTEVIGTLRPDYRDRIRLF
+ENGSLLLSDLQLADEGTYEVEISITDDTFTGEKTINLTV
+>Q14CZ8_PF13927_148 <unknown description>
+PQVLVASTTVLELSEAFTLNCSHENGTKPSYTWLKDGKPLLNDSRMLLSPDQKVLTITRV
+LMEDDDLYSCMVEN
+>Q9ULT8_PF12796_400 <unknown description>
+LNWASAFGTQEMVEFLCERGADVNRGQRSSSLHYAACFGRPQVAKTLLRHGANPDLRDED
+GKTPLDKARERGHSEVVAIL
+>Q9ULT8_PF07738_1110 <unknown description>
+PYGRLEDILSRDNSALNCHSNDDKNAWFAIDLGLWVIPSAYTLRHARGYGRSALRNWVFQ
+VSKDGQNWTSLYTHVDDCSLNEPGSTATWPLDPPKDEKQGWRHVRIKQMGKNASGQTHYL
+SLSGFELYGT
+>Q9ULT8_PF06701_1277 <unknown description>
+GARVIRGLDWKWRDQDGSPQGEGTVTGELHNGWIDVTWDAGGSNSYRMGAEGKFDLKLA
+>Q9ULT8_PF18410_1900 <unknown description>
+EQYLGTDELPKNDLITYLQKNADAAFLRHWKLTGTNKSIRKNRNCSQLIAAYKDFCEHGT
+>Q9ULT8_PF00632_2235 <unknown description>
+SDELERITKLFHFLGIFLAKCIQDNRLVDLPISKPFFKLMCMGDIKSNMSKLIYESRGDR
+DLHCTESQSEASTEEGHDSLSVGSFEEDSKSEFILDPPKPKPPAWFNGILTWEDFELVNP
+HRARFLKEIKDLAIKRRQILSNKGLSEDEKNTKLQELVLKNPSGSGPPLSIEDLGLNFQF
+CPSSRIYGFTAVDLKPSGEDEMITMDNAEEYVDLMFDFCMHTGIQKQMEAFRDGFNKVFP
+MEKLSSFSHEEVQMILCGNQSPSWAAEDIINYTEPKLGYTRDSPGFLRFVRVLCGMSSDE
+RKAFLQFTTGCSTLPPGGLANLHPRLTVVRKVDATDASYPSVNTCVHYLKLPEYSSEEIM
+RERLLAATMEKGFHL
+>Q5U5R9_PF00632_467 <unknown description>
+LLIRQIFHPDYGMFTYHKDSHCHWFSSFKCDNYSEFRLVGILMGLAVYNSITLDIRFPPC
+CYKKLLSPPIIPSDQNIPVGICNVTVDDLCQIMPELAHGLSELLSHEGNVEEDFYSTFQV
+FQEEFGIIKSYNLKPGGDKISVTNQNRKEYVQLYTDFLLNKSIYKQFAAFYYGFHSVCAS
+NALMLLRPEEVEILVCGSPDLDMHALQRSTQYDGYAKTDLTIKYFWDVVLGFPLDLQKKL
+LHFTTGSDRVPVGGMADLNFKISKNETSTNCLPVAHTCFNQLCLPPYKSKKDLKQKLIIG
+ISNSEGFGLE
+>Q5T447_PF03256_247 <unknown description>
+IDVSSYTEEFNVSCLTDSNADTYWESDGSQCQHWVRLTMKKGTIVKKLLLTVDTTDDNFM
+PKRVVVYGGEGDNLKKLSDVSIDETLIGDVCVLEDMTVHLPIIEIRIVECRDDGIDVRLR
+GVKIKSSRQRELGLNADLF
+>Q5T447_PF00632_584 <unknown description>
+DMYVPNPSCRDFAKYEWIGQLMGAALRGKEFLVLALPGFVWKQLSGEEVSWSKDFPAVDS
+VLVKLLEVMEGMDKETFEFKFGKELTFTTVLSDQQVVELIPGGAGIVVGYGDRSRFIQLV
+QKARLEESKEQVAAMQAGLLKVVPQAVLDLLTWQELEKKVCGDPEVTVDALRKLTRFEDF
+EPSDSRVQYFWEALNNFTNEDRSRFLRFVTGRSRLPARIYIYPDKLGYETTDALPESSTC
+SSTLFLPHYASAKVCEEKLRYAAY
+>Q76N89_PF16562_65 <unknown description>
+RSTSDTDLVTSDSRSTLMVSSSYYSIGHSQDLVIHWDIKEEVDAGDWIGMYLIDEVLSEN
+FLDYKNRGVNGSHRGQIIWKIDASSYFVEPETKICFKYYHGVSGALRATTPSVTVKNSA
+>Q76N89_PF00168_210 <unknown description>
+SDFQAMGLKKGMFFNPDPYLKISIQPGKHSIFPALPHHGQERRSKIIGNTVNPIWQAEQF
+SFVSLPTDVLEIEVKDKFAKSRPIIKRFLGKLSMPVQRLLERHAI
+>Q76N89_PF00397_831 <unknown description>
+LPPNWEARIDSHGRVFYVDHVNRTTTWQRP
+>Q76N89_PF18436_949 <unknown description>
+LLQSPAVKFITNPEFFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVN
+FINMFA
+>Q76N89_PF00632_1302 <unknown description>
+LLSQELFNPYYGLFEYSANDTYTVQISPMSAFVENHLEWFRFSGRILGLALIHQYLLDAF
+FTRPFYKALLRLPCDLSDLEYLDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERE
+LKSGGANTQVTEKNKKEYIERMVKWRVERGVVQQTEALVRGFYEVVDSRLVSVFDARELE
+LVIAGTAEIDLNDWRNNTEYRGGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVP
+YEGFAALRGSNGLRRFCIEKWGKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETS
+TFGLE
+>Q9P2P5_PF16562_45 <unknown description>
+RANSDTDLVTSESRSSLTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPAN
+FWDSKNRGVTGTQKGQIVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAV
+>Q9P2P5_PF00168_187 <unknown description>
+FTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTTNPIWHR
+EKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAIGD
+>Q9P2P5_PF00397_809 <unknown description>
+LPPNWEARIDSHGRIFYVDHVNRTTTWQRP
+>Q9P2P5_PF18436_916 <unknown description>
+LLQSPPVKFLISPEFFTVLHSNPSAYRMFTNNTCLKHMITKVRRDTHHFERYQHNRDLVG
+FLNMFA
+>Q9P2P5_PF00632_1268 <unknown description>
+LVSRELFNPYYGLFEYSANDTYTVQISPMSAFVDNHHEWFRFSGRILGLALIHQYLLDAF
+FTRPFYKALLRILCDLSDLEYLDEEFHQSLQWMKDNDIHDILDLTFTVNEEVFGQITERE
+LKPGGANIPVTEKNKKEYIERMVKWRIERGVVQQTESLVRGFYEVVDARLVSVFDARELE
+LVIAGTAEIDLSDWRNNTEYRGGYHDNHIVIRWFWAAVERFNNEQRLRLLQFVTGTSSIP
+YEGFASLRGSNGPRRFCVEKWGKITALPRAHTCFNRLDLPPYPSFSMLYEKLLTAVEETS
+TFGLE
+>Q9ULI3_PF00008_989 <unknown description>
+CAVNPCLHNGECVADNTSRGYHCRCPPSWQGD
+>Q9ULI3_PF07645_1025 <unknown description>
+DVNECLSNPCPSTAMCNNTQGSFICKCPVGYQ
+>Q8NG08_PF13604_454 <unknown description>
+LDRDQVAALEMICSNPVTVISGKGGCGKTTIVSRLFKHIEQLEEREVKKACEDFEQDQNA
+SEEWITFTEQSQLEADKAIEVLLTAPTGKAAGLLRQKTGLHAYTLCQVNYSFYSWTQTMM
+TTNKPWKFSSVRVLVVDEGSLVSVGIFKSVLNLLCEHSKLSKLIILGDIRQLPSIEPGNL
+LKDLFETLKSRNCAIELKTNHRAESQLIVDNATRISRRQFP
+>Q8NG08_PF13538_880 <unknown description>
+HAWARTIHTFQGSEEQTVVYVVGKAGRQHWQHVYTAVTRGRCRVYVI
+>Q9NRZ9_PF00176_241 <unknown description>
+GINGILADEMGLGKTVQCIATIALMIQRGVPGPFLVCGPLSTLPNWMAEFKRFTPDIPTM
+LYHGTQEERQKLVRNIYKRKGTLQIHPVVITSFEIAMRDRNALQHCYWKYLIVDEGHRIK
+NMKCRLIRELKRFNADNKLLLTGTPLQNNLSELWSLLNFLLPDVFDDLKSFESWFDITSL
+SETAEDIIAKEREQNVLHMLHQILTPFLLRRLKSDVALEVPPKREVVVYAPLSKKQEIFY
+TAIVNRTIANMFGSSEKETIELSPTGRPKRRTRKSINYSKIDDFPNELEKLISQIQPEVD
+RERAVVEVNIPVESEVNLKLQNIMMLLRKCCNHPYLI
+>Q9NRZ9_PF00271_600 <unknown description>
+KFLILDRMLPELKKRGHKVLLFSQMTSMLDILMDYCHLRDFNFSRLDGSMSYSEREKNMH
+SFNTDPEVFIFLVSTRAGGLGINLTAADTVIIYDSDWNPQSDLQAQDRCHRIG
+>Q8TDG4_PF00270_346 <unknown description>
+LNSVQERKNLIYSLPTSGGKTLVAEILMLQELLCCRKDVLMILPYVAIVQEKISGLSSFG
+IELGFFVEEYAGSKGRFPPTKRREKKSLYIATIEKGHSLVNSLIETGRIDSLGLVVVDEL
+HMIGEGSRGATLEMTLAKILYTSKTTQIIGMSATLNNVED
+>Q8TDG4_PF00271_564 <unknown description>
+PDHLVALVTEVIPNYSCLVFCPSKKNCENVAEMICKFLSKEYLKHKEKEKCEVIKNLKNI
+GNGNLCPVLKRTIPFGVAYHHSGLTSDERKLLEEAYSTGVLCLFTCTSTLAAGVNLPARR
+VILRAPYVAKEFLKRNQYKQMIGRAGRAG
+>A6NFD8_PF00010_14 <unknown description>
+SHKVIEKRRRDRINRCLNELGKTVPMALAKQSSGKLEKAEILEMTVQYLRAL
+>A6NFD8_PF07527_86 <unknown description>
+YFHYGYHECMKNLVHYLTTVERMETKDTKYARILAFLQSKA
+>Q9BYK8_PF13086_635 <unknown description>
+RAELARHRVVVTTTSQARELRVPVGFFSHILIDEAAQMLECEALTPLAYASHGTRLVLAG
+DHMQVTPRLFS
+>Q9BYK8_PF13087_713 <unknown description>
+EHTLLHRLFLCYQQETHEVARQSRLVFHENYRCTDAIVSFISRHFYVAKGNPIHARGKVP
+PHPRHYPLMFCHVAGSPDRDMSMASWLNLAEIAQVVEKVQEAYNTWPSCWGGREQRCICV
+VSHGAQVSALRQELRRRDLGQVSVGSFEILPGRQFRVVVLSTVHTCQSLLSPGALAPEFF
+TDARVLNTVLTRAQSQLVVVGDA
+>Q9BYK8_PF00773_1334 <unknown description>
+REDCRAFLTFTVDPQGACNLDDALSVRDLGPRCEVAVHITDVASFVPRDGVLDVEARRQG
+AAFYAPGREPVPMLPASLCQDVLSLLPGRDRLAISLFLTMEKASGQLKSLRFAPSVVQSD
+RQLSYEEAEEVIRQHPGAGRELPARLDSVDACVVAACYFSRLLRRHRLRSDCFYEQPDED
+GTLGFRAAHIMVKEYMIQFNRLVAEFLVGSECTRTVTPLRWQPAPRSQQLKALCEKHGDR
+VPLSLHLGHHLHGGGGSPPDTRLHLLASLWKQVQFAARTQDYEQMVDLVTTDDMHPFLAP
+AGRDLRKALERSAFGRCARGHQQQGGHYSLQVDWYTWATSPIRRYLDVVLQRQILLAL
+>Q9BYK8_PF13086_2152 <unknown description>
+KLNPSQNVAVREALEKPFTVIQGPPGTGKTIVGLHIVFWFHKSNQEQVQPGGPPRGEKRL
+GGPCILYCGPSNKSVDVLAGLLLRRMELKPLRVYSEQAEASEFPVPRVGSRKLLRKSPRE
+GRPNQSLRSITLHHRIRQAPNPYSSEIKAFDTRLQRGELFSREDLVWYKKVLWEARKFEL
+DRHEVILCTCSCAASASLKILDVRQILVDEAGMATEPETLIPLVQFPQAEKVVLLGDHKQ
+LRPVVKN
+>Q9BYK8_PF13087_2406 <unknown description>
+LDRSLFERYHEDAHMLDTQYRMHEGICAFPSVAFYKSKLKTWQGLRRPPSVLGHAGKESC
+PVIFGHVQGHERSLLVSTDEGNENSKANLEEVAEVVRITKQLTLGRTVEPQDIAVLTPYN
+AQASEISKALRREGIAGVAVSSITKSQGSEWRYVLVSTVRTCAKSDLDQRPTKSWLKKFL
+GFVVDPNQVNVAVTRAQEGLCLIGDH
+>P42694_PF00642_181 <unknown description>
+YTLCKRFLEQGICRYGAQCTSAHSQ
+>P42694_PF13086_642 <unknown description>
+LNAKQKEAVLAITTPLAIQLPPVLIIGPYGTGKTFTLAQAVKHILQQQETRILICTHSNS
+AADLYIKDYLHPYVEAGNPQARPLRVYFRNRWVKTVHPVVHQYCLISSAHSTFQMPQ
+>P42694_PF13086_762 <unknown description>
+ILKHRVVVVTLNTSQYLCQLDLEPGFFTHILLDEAAQAMECETIMPLALATQNTRIVLAG
+DHMQLSPFVYSE
+>P42694_PF13087_841 <unknown description>
+HVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYPL
+TFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQV
+FRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDST
+EDLDYGFLSNYKLLNTAITRAQSLVAVVGD
+>P22557_PF09029_68 <unknown description>
+GHCPFMLSELQDGKSKIVQKAAPEVQEDVKAF
+>P22557_PF00155_190 <unknown description>
+DVSVWCSNDYLGMSRHPQVLQATQETLQRHGAGAGGTRNISGTSKFHVELEQELAELHQK
+DSALLFSSCFVANDSTLFTLAKILPGCEIYSDAGNHASMIQGIRNSGAAKFVFRHNDPDH
+LKKLLEKSNPKIPKIVAFETVHSMDGAICPLEELCDVSHQYGALTFVDEVHAVGLYGSRG
+AGIGERDGIMHKIDIISGTLGKAFGCVGGYIASTRDLVDMVRSYAAGFIFTTSLPPMVLS
+GALESVRLLKGEEGQALRRAHQRNVKHMRQLLMDRGLPVIPCPSHIIPIRVGNAALNSKL
+CDLLLSKHGIYVQAINYPTVPRGEELLRLAPSPHHSPQMMEDFVEKL
+>P13196_PF09029_2 <unknown description>
+ESVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRALSTAAVHYQQIKET
+PPASEKDKTAKAKVQQTPDGSQQSPDGTQLPSGHPLPATSQGTASKCPFLAAQMNQRGSS
+VFCKASLELQEDVQEM
+>P13196_PF00155_245 <unknown description>
+VSVWCSNDYLGMSRHPRVCGAVMDTLKQHGAGAGGTRNISGTSKFHVDLERELADLHGKD
+AALLFSSCFVANDSTLFTLAKMMPGCEIYSDSGNHASMIQGIRNSRVPKYIFRHNDVSHL
+RELLQRSDPSVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEVHAVGLYGARGG
+GIGDRDGVMPKMDIISGTLGKAFGCVGGYIASTSSLIDTVRSYAAGFIFTTSLPPMLLAG
+ALESVRILKSAEGRVLRRQHQRNVKLMRQMLMDAGLPVVHCPSHIIPVRVADAAKNTEVC
+DELMSRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMNYFLENL
+>P13716_PF00490_10 <unknown description>
+GYFHPLLRAWQTATTTLNASNLIYPIFVTDVPDDIQPITSLPGVARYGVKRLEEMLRPLV
+EEGLRCVLIFGVPSRVPKDERGSAADSEESPAIEAIHLLRKTFPNLLVACDVCLCPYTSH
+GHCGLLSENGAFRAEESRQRLAEVALAYAKAGCQVVAPSDMMDGRVEAIKEALMAHGLGN
+RVSVMSYSAKFASCFYGPFRDAAKSSPAFGDRRCYQLPPGARGLALRAVDRDVREGADML
+MVKPGMPYLDIVREVKDKHPDLPLAVYHVSGEFAMLWHGAQAGAFDLKAAVLEAMTAFRR
+AGADIIITYYTPQLLQW
+>P08397_PF01379_21 <unknown description>
+IRVGTRKSQLARIQTDSVVATLKASYPGLQFEIIAMSTTGDKILDTALSKIGEKSLFTKE
+LEHALEKNEVDLVVHSLKDLPTVLPPGFTIGAICKRENPHDAVVFHPKFVGKTLETLPEK
+SVVGTSSLRRAAQLQRKFPHLEFRSIRGNLNTRLRKLDEQQEFSAIILATAGLQRMGWHN
+RVGQILHPEECMYAVGQGALGVEVRAKDQDI
+>P08397_PF03900_245 <unknown description>
+LRCIAERAFLRHLEGGCSVPVAVHTAMKDGQLYLTGGVWSLDGSDSIQETMQATIHVPAQ
+HEDGPEDDPQLVGI
+>P10746_PF02602_19 <unknown description>
+YIRELGLYGLEATLIPVLSFEFLSLPSFSEKLSHPEDYGGLIFTSPRAVEAAELCLEQNN
+KTEVWERSLKEKWNAKSVYVVGNATASLVSKIGLDTEGETCGNAEKLAEYICSRESSALP
+LLFPCGNLKREILPKALKDKGIAMESITVYQTVAHPGIQGNLNSYYSQQGVPASITFFSP
+SGLTYSLKHIQELSGDNIDQIKFAAIGPTTARALAAQGLPVSCTAESPTPQAL
+>P36551_PF01218_151 <unknown description>
+KMELLILETQAQVCQALAQVDGGANFSVDRWERKEGGGGISCVLQDGCVFEKAGVSISVV
+HGNLSEEAAKQMRSRGKVLKTKDGKLPFCAMGVSSVIHPKNPHAPTIHFNYRYFEVEEAD
+GNKQWWFGGGCDLTPTYLNQEDAVHFHRTLKEACDQHGPDLYPKFKKWCDDYFFIAHRGE
+RRGIGGIFFDDLDSPSKEEVFRFVQSCARAVVPSYIPLVKKHCDDSFTPQEKLWQQLRRG
+RYVEFNLLYDRGTKFGLFTPGSRIESILMSLPLTARWEYMHSPSENSKEAEILEVLRHPR
+DW
+>P22830_PF00762_68 <unknown description>
+KTGILMLNMGGPETLGDVHDFLLRLFLDRDLMTLPIQNKLAPFIAKRRTPKIQEQYRRIG
+GGSPIKIWTSKQGEGMVKLLDELSPNTAPHKYYIGFRYVHPLTEEAIEEMERDGLERAIA
+FTQYPQYSCSTTGSSLNAIYRYYNQVGRKPTMKWSTIDRWPTHHLLIQCFADHILKELDH
+FPLEKRSEVVILFSAHSLPMSVVNRGDPYPQEVSATVQKVMERLEYCNPYRLVWQSKVGP
+MPWLGPQTDESIKGLCERGRKNILLVPIAFTSDHIETLYELDIEYSQVLAKECGVENIRR
+AESLNGNPLFSKALADLVHSH
+>Q9Y5R4_PF17827_43 <unknown description>
+ELVSHWTGVFEKRGIPEARESSEYIVAHVLGAKTFQSLRPALWTQPLTSQQLQCIRELSS
+RRLQRMPVQYILG
+>Q9Y5R4_PF05175_163 <unknown description>
+ILEVGCGSGAISLSLLSQLPQSRVIAVDKREAAISLTHENAQRLRLQDRIWIIHLDMTSE
+RSWTHLPWGPMDLIVSNPPYVFHQDM
+>P02790_PF00045_56 <unknown description>
+FDATTLDDNGTMLFFKGEFVWKSHKWDRELISERWKNFPS
+>P02790_PF00045_97 <unknown description>
+VDAAFRQGHNSVFLIKGDKVWVYPPEKKEKGYPKLLQDEFPGIPS
+>P02790_PF00045_190 <unknown description>
+SALRWLGRYYCFQGNQFLRFDPVRGEVPPRYPRDVRDYFMPC
+>P02790_PF00045_265 <unknown description>
+ALTSDNHGATYAFSGTHYWRLDTSRDGWHSWPIAHQWPQGPS
+>P02790_PF00045_308 <unknown description>
+VDAAFSWEEKLYLVQGTQVYVFLTKGGYTLVSGYPKRLEKEVGTP
+>Q02575_PF00010_77 <unknown description>
+RTAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILRLAICYISYL
+>Q02577_PF00010_79 <unknown description>
+RSAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILRLAICYISYL
+>P05546_PF00079_130 <unknown description>
+NAKFAFNLYRVLKDQVNTFDNIFIAPVGISTAMGMISLGLKGETHEQVHSILHFKDFVNA
+SSKYEITTIHNLFRKLTHRLFRRNFGYTLRSVNDLYIQKQFPILLDFKTKVREYYFAEAQ
+IADFSDPAFISKTNNHIMKLTKGLIKDALENIDPATQMMILNCIYFKGSWVNKFPVEMTH
+NHNFRLNEREVVKVSMMQTKGNFLAANDQELDCDILQLEYVGGISMLIVVPHKMSGMKTL
+EAQLTPRVVERWQKSMTNRTREVLLPKFKLEKNYNLVESLKLMGIRMLFDKNGNMAGISD
+QRIAIDLFKHQGTITVNEEGTQATTVTTVGFMPLSTQVRFTVDRPFLFLIYEHRTSCLLF
+MGRVANP
+>P81172_PF06446_33 <unknown description>
+QLAELQPQDRAGARASWMPMFQRRRRRDTHFPICIFCCGCCHRSKCGMCCKT
+>Q9BQS7_PF07732_98 <unknown description>
+FLGPVLQAEVGDVILIHLKNFATRPYTIHPHGVFYEKDSEGSLYPDGSSGPLKADDSVPP
+GGSHIYNWTIPEGHAPTDADPACLTWIYHSHVDAPRDIATGLIGPLI
+>Q9BQS7_PF07732_455 <unknown description>
+ILGPVIRAEVGDTIQVVFYNRASQPFSMQPHGVFYEKDYEGTVYNDGSSYPGLVAKPFEK
+VTYRWTVPPHAGPTAQDPACLTWMYFSAADPIRDTNSGLVGPLLV
+>Q9BQS7_PF07731_956 <unknown description>
+ETFLESNKMHAINGKLYANLRGLTMYQGERVAWYMLAMGQDVDLHTIHFHAESFLYRNGE
+NYRADVVDLFPGTFEVVEMVASNPGTWLMHCHVTDHVHAGMETLFTV
+>P05981_PF09272_51 <unknown description>
+LYPVQVSSADARLMVFDKTEGTWRLLCSSRSNARVAGLSCEEMGFLRALTHSELDVRTAG
+ANGTSGFFCVDEGRLPHTQRLLEVISVCDCPRGRFLAAICQDCGRRKLP
+>P05981_PF00089_163 <unknown description>
+IVGGRDTSLGRWPWQVSLRYDGAHLCGGSLLSGDWVLTAAHCFPERNRVLSRWRVFAGAV
+AQASPHGLQLGVQAVVYHGGYLPFRDPNSEENSNDIALVHLSSPLPLTEYIQPVCLPAAG
+QALVDGKICTVTGWGNTQYYGQQAGVLQEARVPIISNDVCNGADFYGNQIKPKMFCAGYP
+EGGIDACQGDSGGPFVCEDSISRTPRWRLCGIVSWGTGCALAQKPGVYTKVSDFREWI
+>Q15751_PF00415_476 <unknown description>
+EGEVFSWGDGDYGKLGHGNSSTQKYPKLIQGPLQGKVVVCVSAGYRHSAAV
+>Q15751_PF00415_529 <unknown description>
+DGELYTWGEGDFGRLGHGDSNSRNIPTLVKDISNVGEVSCGSSHTIAL
+>Q15751_PF00415_583 <unknown description>
+VWSFGGGDNGKLGHGDTNRVYKPKVIEALQGMFIRKVCAGSQSSLAL
+>Q15751_PF00415_633 <unknown description>
+GQVYAWGCGACLGCGSSEATALRPKLIEELAATRIVDVSIGDSHCLAL
+>Q15751_PF00415_683 <unknown description>
+DNEVYAWGNNSMGQCGQGNSTGPITKPKKVSGLDGIAIQQISAGTSHSLA
+>Q15751_PF00622_2075 <unknown description>
+KFYIVKENRGNEGTCVGVSRWPVHDFNHRTTSDMWLYRAYSGNLYHNGEQTLTLSSFTQG
+DFITCVLDMEARTISFGKNGEEPKLAFEDVDAAELYPCVMFYSSNPGEKVKI
+>Q15751_PF00400_3423 <unknown description>
+KLEAHQNRVMTCVWCNKKGLLATSGNDGTIRVWN
+>Q15751_PF00400_3738 <unknown description>
+KCVYQLRGHITPVRTVAFSSDGLALVSGGLGGLMNIW
+>Q15751_PF00415_4100 <unknown description>
+SGEVFSWGDGDYGKLGHGNSDRQRRPRQIEALQGEEVVQMSCGFKHSAVV
+>Q15751_PF00415_4152 <unknown description>
+DGKLFTFGNGDYGRLGLGNTSNKKLPERVTALEGYQIGQVACGLNHTLAV
+>Q15751_PF00415_4208 <unknown description>
+VWAFGDGDYGKLGLGNSTAKSSPQKIDVLCGIGIKKVACGTQFSVAL
+>Q15751_PF00415_4257 <unknown description>
+DGHVYTFGQDRLIGLPEGRARNHNRPQQIPVLAGVIIEDVAVGAEHTLAL
+>Q15751_PF00415_4309 <unknown description>
+NGDVYAWGSNSEGQLGLGHTNHVREPTLVTGLQGKNVRQISAGRCHSAA
+>Q15751_PF00632_4563 <unknown description>
+RFLFNPSACLDEHLMQFKFLGILMGVAIRTKKPLDLHLAPLVWKQLCCVPLTLEDLEEVD
+LLYVQTLNSILHIEDSGITEESFHEMIPLDSFVGQSADGKMVPIIPGGNSIPLTFSNRKE
+YVERAIEYRLHEMDRQVAAVREGMSWIVPVPLLSLLTAKQLEQMVCGMPEISVEVLKKVV
+RYREVDEQHQLVQWFWHTLEEFSNEERVLFMRFVSGRSRLPANTADISQRFQIMKVDRPY
+DSLPTSQTCFFQLRLPPYSSQLVMAERLRYAINNCRS
+>O95714_PF00415_514 <unknown description>
+GEVYSWGCGDGGRLGHGDTVPLEEPKVISAFSGKQAGKHVVHIACGSTYSAAI
+>O95714_PF00415_569 <unknown description>
+EGELYTWGRGNYGRLGHGSSEDEAIPMLVAGLKGLKVIDVACGS
+>O95714_PF00415_623 <unknown description>
+NGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDVVKVRCGSQFSIAL
+>O95714_PF00415_675 <unknown description>
+DGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGSTHCLAL
+>O95714_PF00173_1212 <unknown description>
+KADLENHNKDGGFWTVIDGKVYDIKDFQTQSLTGNSILAQFAGEDPVVALEAALQFEDTR
+ESMHAFCVGQY
+>O95714_PF06701_1870 <unknown description>
+GTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMGKEGKYDLKLA
+>O95714_PF11515_2554 <unknown description>
+RADFLSNDDYAVYVRENIQVGMMVRCCRAYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQ
+KGGTYWVRYIHVELIGY
+>O95714_PF00569_2702 <unknown description>
+IHPGVTCDGCQMFPINGSRFKCRNCDDFDFCETCFKTKKHN
+>O95714_PF03256_2803 <unknown description>
+DGSEPCWQSSGSQGKHWIRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIE
+LKTININP
+>O95714_PF00415_3010 <unknown description>
+EGKVYACGEATNGRLGLGISSGTVPIPRQITALSSYVVKKVAVHSGGRHATAL
+>O95714_PF00415_3065 <unknown description>
+DGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAAL
+>O95714_PF00415_3117 <unknown description>
+SGELYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGS
+>O95714_PF00415_3171 <unknown description>
+EGLVFSWGDGDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLAL
+>O95714_PF00415_3223 <unknown description>
+SGVVWTWGKGDYFRLGHGSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAV
+>O95714_PF00415_3275 <unknown description>
+SGQVYAWGDNDHGQQGNGTTTVNRKPTLVQGLEGQKITRVACGSSHSVA
+>O95714_PF00415_4003 <unknown description>
+DGKLYATGYGAGGRLGIGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLAL
+>O95714_PF00415_4057 <unknown description>
+EGEVYSWGEAEDGKLGHGNRSPCDRPRVIESLRGIEVVDVAAGGAHSACV
+>O95714_PF00415_4110 <unknown description>
+GDLYTWGKGRYGRLGHSDSEDQLKPKLVEALQGHRVVDIACGS
+>O95714_PF00415_4163 <unknown description>
+DDTVWSWGDGDYGKLGRGGSDGCKVPMKIDSLTGLGVVKVECGSQFSVAL
+>O95714_PF00415_4215 <unknown description>
+SGAVYTWGKGDYHRLGHGSDDHVRRPRQVQGLQGKKVIAIATGSLHCVC
+>O95714_PF00415_4267 <unknown description>
+DGEVYTWGDNDEGQLGDGTTNAIQRPRLVAALQGKKVNRVACGSAHTLA
+>O95714_PF00632_4520 <unknown description>
+LSPAARAPVHSSMFRFLGVLLGIAIRTGSPLSLNLAEPVWKQLAGMSLTIADLSEVDKDF
+IPGLMYIRDNEATSEEFEAMSLPFTVPSASGQDIQLSSKHTHITLDNRAEYVRLAINYRL
+HEFDEQVAAVREGMARVVPVPLLSLFTGYELETMVCGSPDIPLHLLKSVATYKGIEPSAS
+LIQWFWEVMESFSNTERSLFLRFVWGRTRLPRTIADFRGRDFVIQVLDKYNPPDHFLPES
+YTCFFLLKLPRYSCKQVLEEKLKYAIHFCK
+>Q15034_PF00415_52 <unknown description>
+DGEVYTCGLNTKGQLGHEREGNKPEQIGALADQHIIHVACGESHSLAL
+>Q15034_PF00415_103 <unknown description>
+GQLFSWGAGSDGQLGLMTTEDSVAVPRLIQKLNQQTILQVSCGNWHCLAL
+>Q15034_PF00415_155 <unknown description>
+DGQFFTWGKNSHGQLGLGKEFPSQASPQRVRSLEGIPLAQVAAGGAHSFAL
+>Q15034_PF00415_208 <unknown description>
+SGAVFGWGMNNAGQLGLSDEKDRESPCHVKLLRTQKVVYISCGEEHTAVL
+>Q15034_PF00415_260 <unknown description>
+SGGVFTFGAGSCGQLGHDSMNDEVNPRRVLELMGSEVTQIACGRQHTLA
+>Q15034_PF00415_313 <unknown description>
+SGLIYAFGCGARGQLGTGHTCNVKCPSPVKGYWAAHSGQLSARADRFKYHIVKQIFSGGD
+QTFVL
+>Q15034_PF00632_753 <unknown description>
+LLLKELLNPIYGMFTYYQDSNLLWFSDTCFVEHNWFHLIGITCGLAIYNSTVVDLHFPLA
+LYKKLLNVKPGLEDLKELSPTEGRSLQELLDYPGEDVEETFCLNFTICRESYGVIEQKKL
+IPGGDNVTVCKDNRQEFVDAYVNYVFQISVHEWYTAFSSGFLKVCGGKVLELFQPSELRA
+MMVGNSNYNWEELEETAIYKGDYSATHPTVKLFWETFHEFPLEKKKKFLLFLTGSDRIPI
+YGMASLQIVIQSTASGEEYLPVAHTCYNLLDLPKYSSKEILSARLTQALDNYEGFSL
+>Q5GLZ8_PF00415_2 <unknown description>
+LCWGNASFGQLGLGGIDEEIVLEPRKSDFFINKRVRDVGCGLRHTVFV
+>Q5GLZ8_PF00415_52 <unknown description>
+DGTVYTCGCNDLGQLGHEKSRKKPEQVVALDAQNIVAVSCGEAHTLAL
+>Q5GLZ8_PF00415_102 <unknown description>
+KGQVYAWGLDSDGQLGLVGSEECIRVPRNIKSLSDIQIVQVACGYYHSLAL
+>Q5GLZ8_PF00415_157 <unknown description>
+EVFCWGQNKYGQLGLGTDCKKQTSPQLLKSLLGIPFMQVAAGGAHSFVL
+>Q5GLZ8_PF00415_208 <unknown description>
+SGAIFGWGRNKFGQLGLNDENDRYVPNLLKSLRSQKIVYICCGEDHTAAL
+>Q5GLZ8_PF00415_260 <unknown description>
+EGGVFTFGAGGYGQLGHNSTSHEINPRKVFELMGSIVTEIACGRQHTSA
+>Q5GLZ8_PF00415_313 <unknown description>
+SGRIYSFGLGGNGQLGTGSTSNRKSPFTVKG
+>Q5GLZ8_PF00632_752 <unknown description>
+LIMRELLDPKYGMFRYYEDSRLIWFSDKTFEDSDLFHLIGVICGLAIYNCTIVDLHFPLA
+LYKKLLKKKPSLDDLKELMPDVGRSMQQLLDYPEDDIEETFCLNFTITVENFGATEVKEL
+VLNGADTAVNKQNRQEFVDAYVDYIFNKSVASLFDAFHAGFHKVCGGKVLLLFQPNELQA
+MVIGNTNYDWKELEKNTEYKGEYWAEHPTIKIFWEVFHELPLEKKKQFLLFLTGSDRIPI
+LGMKSLKLVIQSTGGGEEYLPVSHTCFNLLDLPKYTEKETLRSKLIQAIDHNEGFSL
+>Q9UII4_PF00415_157 <unknown description>
+GELFAWGQNLHGQLGVGRKFPSTTTPQIVEHLAGVPLAQISAGEAHSMAL
+>Q9UII4_PF00415_209 <unknown description>
+SGNIYSWGKNECGQLGLGHTESKDDPSLIEGLDNQKVEFVACGGSHSALL
+>Q9UII4_PF00415_261 <unknown description>
+DGLLFTFGAGKHGQLGHNSTQNELRPCLVAELVGYRVTQIACGRWHTLA
+>Q9UII4_PF00415_315 <unknown description>
+GKVFSFGSGKDGQLGNGGTRDQLMPLPVKVSSSEELKLESHTSEKELIMIAGGN
+>Q9UII4_PF00632_733 <unknown description>
+LFAEMIQPEYGMFMYPEGASCMWFPVKPKFEKKRYFFFGVLCGLSLFNCNVANLPFPLAL
+FKKLLDQMPSLEDLKELSPDLGKNLQTLLDDEGDNFEEVFYIHFNVHWDRNDTNLIPNGS
+SITVNQTNKRDYVSKYINYIFNDSVKAVYEEFRRGFYKMCDEDIIKLFHPEELKDVIVGN
+TDYDWKTFEKNARYEPGYNSSHPTIVMFWKAFHKLTLEEKKKFLVFLTGTDRLQMKDLNN
+MKITFCCPESWNERDPIRALTCFSVLFLPKYSTMETVEEALQEAINNNRGFG
+>Q8IVU3_PF00415_42 <unknown description>
+HRVLSCGDNSRGQLGRRGAQRGELPEPIQALETLIVDLVSCGKEHSLAV
+>Q8IVU3_PF00415_93 <unknown description>
+KGRVFAWGAGSEGQLGIGEFKEISFTPKKIMTLNDIKIIQVSCGHYHSLAL
+>Q8IVU3_PF00415_148 <unknown description>
+QVFSWGKNSHGQLGLGKEFPSQASPQRVRSLEGIPLAQVAAGGAHSFAL
+>Q8IVU3_PF00415_203 <unknown description>
+FGWGSNSAGQLALSGRNVPVQSNKPLSVGALKNLGVVYISCGDAHTAVL
+>Q8IVU3_PF00415_254 <unknown description>
+DGKVFTFGDNRSGQLGYSPTPEKRGPQLVERIDGLVSQIDCGSYHTLA
+>Q8IVU3_PF00632_724 <unknown description>
+MFEEMTKPEYGMFMYPEMGSCMWFPAKPKPEKKRYFLFGMLCGLSLFNLNVANLPFPLAL
+YKKLLDQKPSLEDLKELSPRLGKSLQEVLDDAADDIGDALCIRFSIHWDQNDVDLIPNGI
+SIPVDQTNKRDYVSKYIDYIFNVSVKAVYEEFQRGFYRVCEKEILRHFYPEELMTAIIGN
+TDYDWKQFEQNSKYEQGYQKSHPTIQLFWKAFHKLTLDEKKKFLFFLTGRDRLHARGIQK
+MEIVFRCPETFSERDHPTSITCHNILSLPKYSTMERMEEALQVAINNNRGF
+>Q15011_PF00240_13 <unknown description>
+LVKSPNQRHRDLELSGDRGWSVGHLKAHLSRVYPERPRPEDQRLIYSGKLLLDHQCLRDL
+LPKQEKRHVLHLVCNV
+>Q9BSE4_PF00240_19 <unknown description>
+QKYSDQTISCFLNWTVGKLKTHLSNVYPSKPLTKDQRLVYSGRLLPDHLQLKDILRKQDE
+YHMVHLVCTS
+>Q14469_PF00010_35 <unknown description>
+RKSSKPIMEKRRRARINESLSQLKTLILDALKKDSSRHSKLEKADILEMTVKHLRNLQ
+>Q14469_PF07527_109 <unknown description>
+KYRAGFSECMNEVTRFLSTCEGVNTEVRTRLLGHLANCMT
+>Q9Y543_PF00010_14 <unknown description>
+RKSLKPLLEKRRRARINQSLSQLKGLILPLLGRENSNCSKLEKADVLEMTVRFLQEL
+>Q9Y543_PF07527_86 <unknown description>
+YREGYSACVARLARVLPACRVLEPAVSARLLEHLWRRA
+>Q5TGS1_PF00010_1 <unknown description>
+MEKKRRARINVSLEQLKSLLEKHYSHQIRKRKLEKADILELSVKYMRSLQ
+>Q9HCC6_PF00010_35 <unknown description>
+RKSSKPVMEKRRRARINESLAQLKTLILDALRKESSRHSKLEKADILEMTVRHLRSLR
+>Q9HCC6_PF07527_109 <unknown description>
+KYRAGFHECLAEVNRFLAGCEGVPADVRSRLLGHLAACLR
+>Q5TA89_PF00010_18 <unknown description>
+RLRKPVVEKMRRDRINSSIEQLKLLLEQEFARHQPNSKLEKADILEMAVSYLKH
+>Q5TA89_PF07527_87 <unknown description>
+DYSEGYSWCLQEAVQFLTLHAASDTQMKLLYHFQR
+>Q96HZ4_PF00010_26 <unknown description>
+RKARKPLVEKKRRARINESLQELRLLLAGAEVQAKLENAEVLELTVRR
+>Q96HZ4_PF07527_95 <unknown description>
+RFAAGYIQCMHEVHTFVSTCQAIDATVAAELLNHLLESM
+>Q9BYE0_PF00010_16 <unknown description>
+LKPLVEKRRRDRINRSLEELRLLLLERTRDQNLRNPKLEKAEILEFAVGYLR
+>Q9UBX0_PF00046_109 <unknown description>
+RRPRTAFTQNQIEVLENVFRVNCYPGIDIREDLAQKLNLEEDRIQIWFQNRRAKLKR
+>P06865_PF14845_23 <unknown description>
+LWPWPQNFQTSDQRYVLYPNNFQFQYDVSSAAQPGCSVLDEAFQRYRDLLFGSGSWPRPY
+LTGKRHTLEKNVLVVSVVTPGCNQLPTLESVENYTLTINDDQCLLLSETVWGALRGLETF
+SQL
+>P06865_PF00728_167 <unknown description>
+FPHRGLLLDTSRHYLPLSSILDTLDVMAYNKLNVFHWHLVDDPSFPYESFTFPELMRKGS
+YNPVTHIYTAQDVKEVIEYARLRGIRVLAEFDTPGHTLSWGPGIPGLLTPCYSGSEPSGT
+FGPVNPSLNNTYEFMSTFFLEVSSVFPDFYLHLGGDEVDFTCWKSNPEIQDFMRKKGFGE
+DFKQLESFYIQTLLDIVSSYGKGYVVWQEVFDNKVKIQPDTIIQVWREDIPVNYMKELEL
+VTKAGFRALLSAPWYLNRISYGPDWKDFYIVEPLAFEGTPEQKALVIGGEACMWGEYVDN
+TNLVPRLWPRAGAVAERLWSN
+>P07686_PF14845_56 <unknown description>
+LWPLPLLVKMTPNLLHLAPENFYISHSPNSTAGPSCTLLEEAFRRYHGYIFGFYKWHHEP
+AEFQAKTQVQQLLVSITLQSECDAFPNISSDESYTLLVKEPVAVLKANRVWGALRGLETF
+SQL
+>P07686_PF00728_200 <unknown description>
+FSHRGILIDTSRHYLPVKIILKTLDAMAFNKFNVLHWHIVDDQSFPYQSITFPELSNKGS
+YSLSHVYTPNDVRMVIEYARLRGIRVLPEFDTPGHTLSWGKGQKDLLTPCYSRQNKLDSF
+GPINPTLNTTYSFLTTFFKEISEVFPDQFIHLGGDEVEFKCWESNPKIQDFMRQKGFGTD
+FKKLESFYIQKVLDIIATINKGSIVWQEVFDDKAKLAPGTIVEVWKDSAYPEELSRVTAS
+GFPVILSAPWYLDLISYGQDWRKYYKVEPLDFGGTQKQKQLFIGGEACLWGEYVDATNLT
+PRLWPRASAVGERLWSS
+>Q8WVB3_PF00728_63 <unknown description>
+AYSPSEIKEILHLAGLNELEVIPLVQTFGHMEFVLKHTAFAHLREVGSFPCTLNPHEAES
+LALVGAMIDQVLELHPGAQRLHIGCDEVYYLGEGEASRRWLQQEQNSTGKLCLSHMRAVA
+SGVKARRPSVTPLVWDDMLRDLP
+>O94992_PF15313_164 <unknown description>
+WKPYYKLTWEEKKKFDEKQSLRASRIRAEMFAKGQPVAPYNTTQFLMDDHDQEEPDLKTG
+LYSKRAAAKSDDTSDDDFMEEGGEEDGGSDGMGGDGSEFLQRDFSETYERYHTESLQNMS
+KQELIKEYLELEKCLSRM
+>Q96MH2_PF15313_102 <unknown description>
+WRPYLELSWAEKQQRDERQSQRASRVREEMFAKGQPVAPYNTTQFLMNDRDPEEPNLDVP
+HGISHPGSSGESEAGDSDGRGRAHGEFQRKDFSETYERFHTESLQGRSKQELVRDYLELE
+KRLSQ
+>Q9Y5J3_PF00010_50 <unknown description>
+RKRRRGIIEKRRRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLH
+>Q9Y5J3_PF07527_124 <unknown description>
+SLGFRECLAEVARYLSIIEGLDASDPLRVRLVSHLNNYAS
+>Q9UBP5_PF00010_49 <unknown description>
+RKKRRGIIEKRRRDRINNSLSELRRLVPTAFEKQGSAKLEKAEILQMTVDHLKMLQ
+>Q9UBP5_PF07527_124 <unknown description>
+IGFRECLTEVARYLSSVEGLDSSDPLRVRLVSHLSTCAT
+>Q9NQ87_PF00010_44 <unknown description>
+RKKHRGIIEKRRRDRINSSLSELRRLVPTAFEKQGSSKLEKAEVLQMTVDHLKMLH
+>Q9NQ87_PF07527_118 <unknown description>
+SIGFRECLTEVIRYLGVLEGPSSRADPVRIRLLSHLNSYAA
+>Q30201_PF00129_27 <unknown description>
+SHSLHYLFMGASEQDLGLSLFEALGYVDDQLFVFYDHESRRVEPRTPWVSSRISSQMWLQ
+LSQSLKGWDHMFTVDFWTIMENHNHSKESHTLQVILGCEMQEDNSTEGYWKYGYDGQDHL
+EFCPDTLDWRAAEPRAWPTKLEWERHKIRARQNRAYLERDCPAQLQQLLELGRG
+>Q30201_PF07654_215 <unknown description>
+HVTSSVTTLRCRALNYYPQNITMKWLKDKQPMDAKEFEPKDVLPNGDGTYQGWITLAVPP
+GEEQRYTCQVEHPGL
+>A2PYH4_PF00270_284 <unknown description>
+IQSKAFDDLLYTDRNFVICAPTGSGKTVVFELAITRLLMEVPLPWLNIKIVYMAPIKALC
+SQRFDDWKEKFGPIGLNCKELTGDTVMDDLFEIQHAHIIMTTPEKWDSMTRKWRDNSLVQ
+LVRLFLIDEVHIVKDENRGPTLEVVVSRMKTVQSVSQTLKNTSTAIPMRFVAVSATIPN
+>A2PYH4_PF00271_525 <unknown description>
+SDQKPTLVFCATRKGVQQAASVLVKDAKFIMTVEQKQRLQKYAYSVRDSKLRDILKDGAA
+YHHAGMELSDRKVVEGAFTVGDLPVLFTTSTLAMGVNLPAHLVVIKSTMHYAGGLFEEYS
+ETDILQMIGRAGR
+>A2PYH4_PF02889_777 <unknown description>
+PTEAGRLMAWYYITFETVKKFYTISGKETLSDLVTLIAGCKEFLDIQLRINEKKTLNTLN
+KDPNRITIRFPMEGRIKTREMKVNCLIQAQLGCIPIQDFALTQDTAKIFRHGSRITRWLS
+DFVAAQEKKFAVLLNSLILAKCFRCKLWENSLHVSKQLEKIGITLSNAIVNAGLTSFKKI
+EETDARELELILNRHPPFGTQIKETVMYLPKYELKVEQITRYSDTTAEILVTVILRNFEQ
+LQTKRTASDSHYVTLIIGDADNQVVYLHKITDSVLLKAGSWAKKIAVKRALKSEDLSINL
+ISSEFVGLDIQQKL
+>P04233_PF09307_17 <unknown description>
+MDDQRDLISNNEQLPMLGRRPGAPESKCSRGALYTGFSILVTLLLAGQATTAYFLYQQQG
+RLDKLTVTSQNLQLENLRMKLPKPPKPVSKMRMATPLLMQALPMGALPQGPM
+>P04233_PF08831_136 <unknown description>
+NMTEDHVMHLLQNADPLKVYPPLKGSFPENLRHLKNTMETIDWKVFESWMHHWLLFEMSR
+HSLEQKPT
+>P04233_PF00086_225 <unknown description>
+PGSFRPKCDENGNYLPLQCYGSIGYCWCVFPNGTEVPNTRSRGHH
+>Q93099_PF04209_5 <unknown description>
+KYISGFGNECSSEDPRCPGSLPEGQNNPQVCPYNLYAEQLSGSAFTCPRSTNKRSWLYRI
+LPSVSHKPFESIDEGQVTHNWDEVDPDPNQLRWKPFEIPKASQKKVDFVSGLHTLCGAGD
+IKSNNGLAIHIFLCNTSMENRCFYNSDGDFLIVPQKGNLLIYTEFGKMLVQPNEICVIQR
+GMRFSIDVFEETRGYILEVYGVHFELPDLGPIGANGLANPRDFLIPIAWYEDRQVPGGYT
+VINKYQGKLFAAKQDVSPFNVVAWHGNYTPYKYNLKNFMVINSVAFDHADPSIFTVLTAK
+SVRPGVAIADFVIFPPRWGVADKTFRPPYYHRNCMSEFMGLIRGHYEAKQGGFLPGGGSL
+HSTMTPHGPDADCFEKASKVKLAPERIADGTMAFMFESSLSLAVTKWGLKASRCLDENYH
+KCWEPLKSHF
+>Q04756_PF00040_108 <unknown description>
+CRFPFRYGGRMLHACTSEGSAHRKWCATTHNYDRDRAWGYC
+>Q04756_PF00039_202 <unknown description>
+CFDETRYEYLEGGDRWARVRQGHVEQCECFGGRTWC
+>Q04756_PF00008_245 <unknown description>
+CLSSPCLNGGTCHLIVATGTTVCACPPGFAGR
+>Q04756_PF00051_286 <unknown description>
+CFLGNGTGYRGVASTSASGLSCLAWNSDLLYQELHVDSVGAAALLGLGPHAYCRNPDNDE
+RPWCYVVKDSALSWEYCRLEAC
+>Q04756_PF00089_408 <unknown description>
+IIGGSSSLPGSHPWLAAIYIGDSFCAGSLVHTCWVVSAAHCFSHSPPRDSVSVVLGQHFF
+NRTTDVTQTFGIEKYIPYTLYSVFNPSDHDLVLIRLKKKGDRCATRSQFVQPICLPEPGS
+TFPAGHKCQIAGWGHLDENVSGYSSSLREALVPLVADHKCSSPEVYGADISPNMLCAGYF
+DCKSDACQGDSGGPLACEKNGVAYLYGIISWGDGCGRLHKPGVYTRVANYVDWI
+>P14210_PF00024_42 <unknown description>
+FKKSAKTTLIKIDPALKIKTKKVNTADQCANRCTRNKGLPFTCKAFVFDKARKQCLWFPF
+NSMSSGVKKEFGHEFDLYEN
+>P14210_PF00051_128 <unknown description>
+CIIGKGRSYKGTVSITKSGIKCQPWSSMIPHEHSFLPSSYRGKDLQENYCRNPRGEEGGP
+WCFTSNPEVRYEVCDIPQC
+>P14210_PF00051_211 <unknown description>
+CMTCNGESYRGLMDHTESGKICQRWDHQTPHRHKFLPERYPDKGFDDNYCRNPDGQPRPW
+CYTLDPHTRWEYCAIKTC
+>P14210_PF00051_305 <unknown description>
+CIQGQGEGYRGTVNTIWNGIPCQRWDSQYPHEHDMTPENFKCKDLRENYCRNPDGSESPW
+CFTTDPNIRVGYCSQIPNC
+>P14210_PF00051_391 <unknown description>
+CYRGNGKNYMGNLSQTRSGLTCSMWDKNMEDLHRHIFWEPDASKLNENYCRNPDDDAHGP
+WCYTGNPLIPWDYCPISRC
+>P14210_PF00089_501 <unknown description>
+TRTNIGWMVSLRYRNKHICGGSLIKESWVLTARQCFPSRDLKDYEAWLGIHDVHGRGDEK
+CKQVLNVSQLVYGPEGSDLVLMKLARPAVLDDFVSTIDLPNYGCTIPEKTSCSVYGWGYT
+GLINYDGLLRVAHLYIMGNEKCSQHHRGKVTLNESEICAGAEKIGSGPCEGDYGGPLVCE
+QHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWI
+>Q9BTY7_PF04063_114 <unknown description>
+LDPQWPWAEEAAAALANLSREPAPCAALMAALAAAEPADSGLERLVRALCTPGYNARAPL
+HYLAPLLSNLSQRPAARAFLLDPDRCVVQRLLPLTQYPDSSVRRGGVVGTLRNCCFEHRH
+HEWLLGPEVDILPFLLLPLAGPEDFSEEEMERLPVDLQYLPPDKQREPDADIRK
+>Q9BTY7_PF04064_292 <unknown description>
+AIMLLTATAPGRQQVRDQGAYLILRELHSWEPEPDVRTACEKLIQVLIGDEPER
+>Q68CP4_PF07786_239 <unknown description>
+RLRSVDTFRGIALILMVFVNYGGGKYWYFKHASWNGLTVADLVFPWFVFIMGSSIFLSMT
+SILQRGCSKFRLLGKIAWRSFLLICIGIIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFV
+VAVL
+>O14964_PF00790_7 <unknown description>
+TFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHVALYALE
+VMESVVKNCGQTVHDEVANKQTMEELKDLLKRQVEVNVRNKILYLIQAWAHAFRNEPKYK
+VVQDTYQIMKVEG
+>O14964_PF01363_162 <unknown description>
+DAEECHRCRVQFGVMTRKHHCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCYEQLN
+>O14964_PF12210_407 <unknown description>
+QFLKALQNAVTTFVNRMKSNHMRGRSITNDSAVLSLFQSINGMHPQLLELLNQLDERRLY
+YEGLQDKLAQIRDARGALSALREEHREKLRRAAEE
+>Q9HCP6_PF03062_190 <unknown description>
+FALESCAHPDRHYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQVEPVRREGELWHIRA
+QAGLSVVAIMAVDIFFHFFYILTIPSDLKFANRLPDSALAGLAYSNLVYDWVKAAVLFGV
+VNTVACLDHLDPPQPPKCITALYVFAETHFDRGINDWLCKYVYNHIGGEHSAVIPELAAT
+VATFAITTLWLGPCDIVYLWSFLNCFGLNFELWMQKLAE
+>Q5VTY9_PF03062_125 <unknown description>
+FCVAQFRSQLLTWLCSLLLLSTLRLQGVEEVKRRWYKTENEYYLLQFTLTVRCLYYTSFS
+LELCWQQLPAASTSYSFPWMLAYVFYYPVLHNGPILSFSEFIKQMQQQEHDSLKASLCVL
+ALGLGRLLCWWWLAELMAHLMYMHAIYSSIPLLETVSCWTLGGLALAQVLFFYVKYLVLF
+GVPALLMRLDGLTPPALPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPVGGSQHGLLGT
+LFSTAMTFAFVSYWHGGYDYLWCWAALNWLGVTVENGVRRLVETPCIQDSLARYFSPQAR
+RRFHAALASCSTSMLIL
+>Q03014_PF00046_142 <unknown description>
+VRFSNDQTIELEKKFETQKYLSPPERKRLAKMLQLSERQVKTWFQNRRAKWRR
+>Q96QV1_PF03024_38 <unknown description>
+RCLNGNPPKRLKRRDRRMMSQLELLSGGEMLCGGFYPRLSCCLRSDSPGLGRLENKIFSV
+TNNTECGKLLEEIKCALCSPHSQSLFHSPEREVLERDLVLPLLCKDYCKEFFYTCRGHIP
+GFLQTTADEFCFYYARKDGGLCFPDFPRKQVRGPASNYLDQMEEYDKVEEISRKHKHNCF
+CIQ
+>Q96QV1_PF07995_229 <unknown description>
+PVGALHSGDGSQRLFILEKEGYVKILTPEGEIFKEPYLDIHKLVQSGIKGGDERGLLSLA
+FHPNYKKNGKLYVSYTTNQERWAIGPHDHILRVVEYTVSRKNPHQVDLRTARVFLEVAEL
+HRKHLGGQLLFGPDGFLYIILGDGMITLDDMEEMDGLSDFTGSVLRLDVDTDMCNVPYSI
+PRSNPHFNSTNQPPEVFAHGLHDPGRCAVDR
+>Q9UM44_PF07686_46 <unknown description>
+DIILPSSFERGSEVVIHWKYQDSYKVHSYYKGSDHLESQDPRYANRTSLFYNEIQNGNAS
+LFFRRVSLLDEGIYTCYVGTAIQVITNKVVLKV
+>Q9UM44_PF07654_141 <unknown description>
+FLTPVMKYEKRNTNSFLICSVLSVYPRPIITWKMDNTPISENNMEETGSLDSFSINSPLN
+ITGSNSSYECTIENS
+>Q9UM44_PF07686_231 <unknown description>
+LHKMQSEHVSLSCQPVNDYFSPNQDFKVTWSRMKSGTFSVLAYYLSSSQNTIINESRFSW
+NKELINQSDFSMNLMDLNLSDSGEYLCNISSDEYTLLTIHTVH
+>Q6NVY1_PF16113_47 <unknown description>
+GVITLNRPKFLNALTLNMIRQIYPQLKKWEQDPETFLIIIKGAGGKAFCAGGDIRVISEA
+EKAKQKIAPVFFREEYMLNNAVGSCQKPYVALIHGITMGGGVGLSVHGQFRVATEKCLFA
+MPETAIGLFPDVGGGYFLPRLQGKLGYFLALTGFRLKGRDVYRAGIATHFVDSEKLAMLE
+EDLLALKSPSKENIASVLENYHTESKIDRDKSFILEEHMDKINSCFSANTVEEIIENLQQ
+DGSSFALEQLKVINKMSPTSLKITLRQLMEGSSKTLQEVLTMEYRLSQACMRGHDFHEGV
+RAVLIDKDQSPKWKPADLKEVTEEDLNNHF
+>Q14526_PF00651_18 <unknown description>
+LNNQRTKGFLCDVIIVVQNALFRAHKNVLAASSAYLKSLVVHDNLLNLDHDMVSPAVFRL
+VLDFIYTGRLADGAEAAAAAAVAPGAEPSLGAVLAAASYLQIPDLVALCKKRLKRH
+>Q14526_PF00096_488 <unknown description>
+YRCASCDKSYKDPATLRQHEKTH
+>Q14526_PF00096_516 <unknown description>
+YPCTICGKKFTQRGTMTRHMRSH
+>Q14526_PF00096_544 <unknown description>
+FACDACGMRFTRQYRLTEHMRIH
+>Q14526_PF00096_572 <unknown description>
+YECQVCGGKFAQQRNLISHMKMH
+>Q96JB3_PF00651_36 <unknown description>
+LNQQRTKGFLCDVIIMVENSIFRAHKNVLAASSIYFKSLVLHDNLINLDTDMVSSTVFQQ
+ILDFIYTGKLLPSDQPAEPNFSTLLTAASYLQLPELAALCRRKLKR
+>Q96JB3_PF13894_505 <unknown description>
+FKCSVCEKTYKDPATLRQHEKTH
+>Q96JB3_PF00096_533 <unknown description>
+FPCNICGKMFTQRGTMTRHMRSH
+>Q96JB3_PF00096_561 <unknown description>
+FACDECGMRFTRQYRLTEHMRVH
+>Q96JB3_PF00096_589 <unknown description>
+YECQLCGGKFTQQRNLISHLRMH
+>Q8IV36_PF12722_1 <unknown description>
+MGSTDSKLNFRKAVIQLTTKTQPVEATDDAFWDQFWADTATSVQDVFALVPAAEIRAVRE
+ESPSNLATLCYKAVEKLVQGAESGCHSEKEKQIVLNCSRLLTRVLPYIFEDPDWRGFFWS
+TVPGAGRGGQGEEDDEHARPLAESLLLAIADLLFCPDFTVQSHRRSTVDSAEDVHSLDSC
+EYIWEAGVGFAHSPQPNYIHDMNRMELLKLLLTCFSEAMYLPPAPESGSTNPWVQFFCST
+ENRHALPLFTSLLNTVCAYDPVGYGIPYNHLLFSDYREPLVEEAAQVLIVTLDHDSASSA
+SPTVDGTTTGTAMDDADPPGPENLFVNYLSRIHREEDFQFILKGIARLLSNPLLQTYLPN
+STKKIQFHQELLVLFWKLCDFNKKFLFFVLKSSDVLDILVPILFFLNDARADQSRVGLMH
+IGVFILLLLSGERNFGVRLNKPYSIRVPMDIPVFTGTHADLLIVVFHKIITSGHQRLQPL
+FDCLLTIVVNVSPYLKSLSMVTANKLLHLLEAFSTTWFLFSAAQNHHLVFFLLEVFNNII
+QYQFDGNSNLVYAIIRKRSIFHQLANLPTDPPTIHKALQRRRRTPEPLSRTGSQEGTSME
+GSRPAAPAEPGTLKTSLVATPGIDKLTEKSQVSEDGTLRSLEPEPQQSLEDGSPAKGEPS
+QAWREQRRPSTSSASGQWSPTPEWVLSWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDE
+SEILRFLQHGTLVGLLPVPHPILIRKYQANSGTAMWFRTYMWGVIYLRNVDPPVWYDTDV
+KLFEI
+>A8MVS5_PF17737_22 <unknown description>
+LVPPYPSSQEDPIHIACMAPGNFPGANFTLYRGGQVVQLLQAPTDQRGVTFNLSGGSSKA
+PGGPFHCQYGVLGELNQSQLSDLSEPVNVSF
+>Q16665_PF00989_94 <unknown description>
+KALDGFVMVLTDDGDMIYISDNVNKYMGLTQFELTGHSVFDFTHPCDHEEMREMLTH
+>Q16665_PF08447_254 <unknown description>
+YCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQVTTGQYRMLAKRGG
+YVWVETQATVIYNTKNSQPQCIVCVN
+>Q16665_PF11413_555 <unknown description>
+TDLDLEMLAPYIPMDDDFQLRSFDQL
+>Q16665_PF08778_790 <unknown description>
+SGLPQLTSYDCEVNAPIQGSRNLLQGEELLRALDQV
+>Q9NWT6_PF13621_53 <unknown description>
+EELIENEEPVVLTDTNLVYPALKWDLEYLQENIGNGDFSVYSASTHKFLYYDEKKMANFQ
+NFKPRSNREEMKFHEFVEKLQDIQQRGGEERLYLQQTLNDTVGRKIVMDFLGFNWNWINK
+QQGKRGWGQLTSNLLLIGMEGNVTPAHYDEQQNFFAQIKGYKRCILFPPDQFECLYPYPV
+HHPCDRQSQVDFDNPDYERFPNFQNVVGYETVVGPGDVLYIPMYWWHHIESLLNGGITIT
+VNFWYK
+>Q9Y2N7_PF00989_92 <unknown description>
+ALEGFVMVLTAEGDMAYLSENVSKHLGLSQLELIGHSIFDFIHPCDQEELQDALT
+>Q9Y2N7_PF08447_252 <unknown description>
+TYCDDRIAEVAGYSPDDLIGCSAYEYIHALDSDAVSKSIHTLLSKGQAVTGQYRFLARSG
+GYLWTQTQATVVSGG
+>Q9Y2N7_PF11413_480 <unknown description>
+QDADALDLEMLAPYISMDDDFQLNASEQLP
+>Q9Y241_PF04588_26 <unknown description>
+EAPFVPVGIAGFAAIVAYGLYKLKSRGNTKMSIHLIHMRVAAQGFVVGAMTVG
+>Q9P298_PF04588_27 <unknown description>
+ESPLVPIGLGGCLVVAAYRIYRLRSRGSTKMSIHLIHTRVAAQACAVGAIMLG
+>A8MV81_PF04588_26 <unknown description>
+SPFVPIGIAGFVTVVSCGLYKLKYRRDQKMSIHLIHMRVAAQGFVVGAVTLG
+>Q9BW72_PF04588_45 <unknown description>
+ENPVVPIGCLATAAALTYGLYSFHRGNSQRSQLMMRTRIAAQGFTVAAILLG
+>Q4VC39_PF04588_45 <unknown description>
+ENPVVPIGFLCTAAVLTNGLYCFHQGNSQCSRLMMHTQIAAQGFTIAAILLG
+>Q53FT3_PF05603_1 <unknown description>
+MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYESINHVVVFMLGTIPFPEGMGGSVYFSYPD
+SNGMPVWQLLGFVTNGKPSAIFKISGLKSGEGSQHPFGAMNIVRTPSVAQIGISVELLDS
+MAQQTPVGNAAVSSVDSFTQFTQKMLDNFYNFASSFAVSQAQMTPSPSEMFIPANVVLKW
+YENFQRRLAQNPLFW
+>Q9BQA5_PF13894_229 <unknown description>
+FQCSHCSKRFATERLLRDHMRNH
+>Q9BQA5_PF00096_255 <unknown description>
+YKCPLCDMTCPLPSSLRNHMRFRH
+>Q9BQA5_PF00096_345 <unknown description>
+YKCHVCDKCFTRGNNLTVHLRKKH
+>P49773_PF01230_24 <unknown description>
+RKEIPAKIIFEDDRCLAFHDISPQAPTHFLVIPKKHISQISVAEDDDESLLGHLMIVGKK
+CAADLGLNKGYRMVVNEGSDGGQSVYHVHLHVLGGRQ
+>Q9BX68_PF01230_64 <unknown description>
+LPADILYEDQQCLVFRDVAPQAPVHFLVIPKKPIPRISQAEEEDQQLLGHLLLVAKQTAK
+AEGLGDGYRLVINDGKLGAQSVYHLHIHVLGGRQ
+>Q9NQE9_PF11969_48 <unknown description>
+CVFCRIAGRQDPGTELLHCENEDLICFKDIKPAATHHYLVVPKKHIGNCRTLRKDQVELV
+ENMVTVGKTILERNNFTDFTNVRMGFHMPPFCSISHLHLHVLAPVDQLGF
+>O75146_PF07651_31 <unknown description>
+QAISISKAINTQEAPVKEKHARRIILGTHHEKGAFTFWSYAIGLPLPSSSILSWKFCHVL
+HKVLRDGHPNVLHDCQRYRSNIREIGDLWGHLHDRYGQLVNVYTKLLLTKISFHLKHPQF
+PAGLEVTDEVLEKAAGTDVNNIFQLTVEMFDYMDCELKLSESVFRQLNTAIAVSQMSSGQ
+CRLAPLIQVIQDCSHLYHYTVKLLFKLHSCLPADTLQGHRDRFHEQFHSLRNFFRRASDM
+LYFKRLIQIPRLPEGPPNFLRASAL
+>O75146_PF16515_461 <unknown description>
+HAELLRKNADTAKQLTVTQQSQEEVARVKEQLAFQVEQVKRESELKLEEKSDQLEKLKRE
+LEAKAGELARAQEALSHTEQSKSELSSRLDTLSAEKDAL
+>O75146_PF01608_862 <unknown description>
+YAKNSRWTEGLISASKAVGWGATQLVEAADKVVLHTGKYEELIVCSHEIAASTAQLVAAS
+KVKANKHSPHLSRLQECSRTVNERAANVVASTKSGQEQIEDRDTMDFSGLSLIKLKKQEM
+ETQVRVLELEKTLEAERMRLGELRKQHY
+>O00291_PF07651_39 <unknown description>
+TQTVSINKAINTQEVAVKEKHARTCILGTHHEKGAQTFWSVVNRLPLSSNAVLCWKFCHV
+FHKLLRDGHPNVLKDSLRYRNELSDMSRMWGHLSEGYGQLCSIYLKLLRTKMEYHTKNPR
+FPGNLQMSDRQLDEAGESDVNNFFQLTVEMFDYLECELNLFQTVFNSLDMSRSVSVTAAG
+QCRLAPLIQVILDCSHLYDYTVKLLFKLHSCLPADTLQGHRDRFMEQFTKLKDLFYRSSN
+LQYFKRLIQIPQLPENPPNFLRASAL
+>O00291_PF16515_482 <unknown description>
+HADLLRKNAEVTKQVSMARQAQVDLEREKKELEDSLERISDQGQRKTQEQLEVLESLKQE
+LATSQRELQVLQGSLETSAQSEANWAAEFAELEKERDSL
+>O00291_PF01608_862 <unknown description>
+YAKNSRWTEGLISASKAVGWGATVMVDAADLVVQGRGKFEELMVCSHEIAASTAQLVAAS
+KVKADKDSPNLAQLQQASRGVNQATAGVVASTISGKSQIEETDNMDFSSMTLTQIKRQEM
+DSQVRVLELENELQKERQKLGELRKKHYE
+>Q86Z02_PF00069_190 <unknown description>
+YEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSRLSSENADEY
+NFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVATALMKLKSL
+GLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRAPEIILGLPF
+CEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGTKTTRFFNRD
+PNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTDMLAEKADRR
+EYIDLLKKMLTIDADKRITPLKTLNHQFV
+>Q9H2X6_PF00069_199 <unknown description>
+YEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQIEVSILARLSTESADDY
+NFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPVLQQVATALMKLKSL
+GLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRAPEIILGLPF
+CEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGTKTTRFFNRD
+TDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVNMTTDLEGSDMLVEKADRR
+EFIDLLKKMLTIDADKRITPIETLNHPFV
+>Q9H422_PF00069_197 <unknown description>
+YEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQIEVSILARLSTENADEY
+NFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIRPILQQVATALKKLKSL
+GLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYLQSRYYRAPEIILGLPF
+CEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGEQLLNVGTKSTRFFCKE
+TDMSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDVAHVNTVMDLEGSDLLAEKADRR
+EFVSLLKKMLLIDADLRITPAETLNHPFV
+>Q8NE63_PF00069_11 <unknown description>
+YDIIEVLGKGTFGEVAKGWRRSTGEMVAIKILKNDAYRNRIIKNELKLLHCMRGLDPEEA
+HVIRFLEFFHDALKFYLVFELLEQNLFEFQKENNFAPLPARHIRTVTLQVLTALARLKEL
+AIIHADLKPENIMLVDQTRCPFRVKVIDFGSASIFSEVRYVKEPYIQSRFYRAPEILLGL
+PFCEKVDVWSLGCVMAELHLGWPLYPGNNEYDQVRYICETQGLPKPHLLHAACKAHHFFK
+RNPHPDAANPWQLKSSADYLAETKVRPLERRKYMLKSLDQIETVNGGSVASRLTFPDREA
+LAEHADLKSMVELIKRMLTWESHERISPSAALRHPFV
+>Q96JK4_PF03024_34 <unknown description>
+QPLRLCAQYSDFGCCDEGRDAELTRRFWALASRVDAAEWAACAGYARDLLCQECSPYAAH
+LYDAEDPFTPLRTVPGLCQDYCLDMWHKCRGLFRHLSTDQELWALEGNLARFCRYLSLDD
+TDYC
+>Q96JK4_PF07995_193 <unknown description>
+LRNPVAMVHARDGTHRFFVAEQVGLVWAYLPDRSRLGKPFLNISRVVLTSPWEGDERGFL
+GIAFHPSFQHNRRLYVYYSVGIRSSEWIRISEFRVSEDDENAVDHSSERIILEVKEPASN
+HNGGQLLFGDDGYLYIFTGDGGMAGDPFGTFGNAQNKSALLGKVLRIDVDRKERGLPYGI
+PPDNPFVGDPAAQPEVYALGVRNMWRCSFDRGDPSSGTGRGRLFCGDVGQNKFEEVDVVE
+RGGNYGWRAREGFECYDRSLCANTSLNDLLPIFAYPHTVGKSVTGGYVYRGCEYPNLNGL
+YIFGDFMSGRLMSLQEN
+>Q96JK4_PF00530_680 <unknown description>
+GLSSGSGRVEVFVGGRWGTVCDDSWNISGAAVVCRQLGFAYAVRAVKRAEFGQGGSLPIL
+LDDVRCAGWERNLLECQHNGVGTHNCEHDEDAGVVCS
+>Q6UWX4_PF03024_56 <unknown description>
+LHLEFCSDYESFGCCDQHKDRRIAARYWDIMEYFDLKRHELCGDYIKDILCQECSPYAAH
+LYDAENTQTPLRNLPGLCSDYCSAFHSNCHSAISLLTNDRGLQESHGRDGTRFCHLLDLP
+DKDYC
+>Q6UWX4_PF07995_216 <unknown description>
+LRNPVSMVHAGDGTHRFFVAEQVGVVWVYLPDGSRLEQPFLDLKNIVLTTPWIGDERGFL
+GLAFHPKFRHNRKFYIYYSCLDKKKVEKIRISEMKVSRADPNKADLKSERVILEIEEPAS
+NHNGGQLLFGLDGYMYIFTGDGGQAGDPFGLFGNAQNKSSLLGKVLRIDVNRAGSHGKRY
+RVPSDNPFVSEPGAHPAIYAYGIRNMWRCAVDRGDPITRQGRGRIFCGDVGQNRFEEVDL
+ILKGGNYGWRAKEGFACYDKKLCHNASLDDVLPIYAYGHAVGKSVTGGYVYRGCESPNLN
+GLYIFGDFMSGRLMALQEDRKNKKWKKQD
+>P54198_PF00400_10 <unknown description>
+NHNGKPIFSVDIHPDGTKFATGGQGQDSGKVVIWN
+>P54198_PF00400_68 <unknown description>
+NHLACVNCVRWSNSGMYLASGGDDKLIMVWK
+>P54198_PF00400_121 <unknown description>
+WRCVSILRNHSGDVMDVAWSPHDAWLASCSVDNTVVIWN
+>P54198_PF00400_166 <unknown description>
+ILATLRGHSGLVKGLTWDPVGKYIASQADDRSLKVW
+>P54198_PF07569_764 <unknown description>
+GRRLLSPILLPSPISTLHCTGSYVMALTAAATLSVWDVHRQVVVVKEESLHSILAGSDMT
+VSQILLTQHGIPVMNLSDGKAYCFNPSLSTWNLVSDKQDSLAQCADFRSSLPSQDAMLCS
+GPLAIIQGRTSNSGRQAARLFSVPHVVQQETTLAYLENQVAAALTLQSSHEYRHWLLVYA
+RYLVNEGFEYRLREICKDL
+>Q9BW71_PF09649_485 <unknown description>
+EEAAEVASLDVANIISGSGRPRRRTAWNPLGE
+>Q8NCD3_PF10384_19 <unknown description>
+LQKLRASRRRFQRRMQRLIEKYNQPFEDTPVVQMATLTYETPQGLRIWGGRLIKER
+>Q8NCD3_PF12346_271 <unknown description>
+MSRLLSTKPSSIISTKTFIMQNWNSRRRHRYKSRMNKTYCKGARRSQRSSKENFIPCSEP
+VKGTGALRDCKNVLDVSCRKTGLKLEKAFLEVNRPQIHKLDPSWKERKVTPSKYS
+>Q8NCD3_PF12347_409 <unknown description>
+WLISPVKIVSRPTIRQGHGENRQREIEIRFDQLHREYCLSPRNQPRRMCLPDSWAMNMYR
+GG
+>Q8NCD3_PF12347_554 <unknown description>
+KSVSPSKTLSVPDKEVPGHGRNRYDEIKEEFDKLHQKYCLKSPGQMTVPLCIGVSTDKAS
+>Q2TB90_PF00349_22 <unknown description>
+VDRFLYHMRLSDDTLLDIMRRFRAEMEKGLAKDTNPTAAVKMLPTFVRAIPDGSENGEFL
+SLDLGGSKFRVLKVQVAEEGKRHVQMESQFYPTPNEIIRGNGTELFEYVADCLADFMKTK
+DLKHKKLPLGLTFSFPCRQTKLEEGVLLSWTKKFKARGVQDTDVVSRLTKAMRRHKDMDV
+DILALVNDTVGTMMTCAY
+>Q2TB90_PF03727_226 <unknown description>
+VGVIIGTGTNACYMEDMSNIDLVEGDEGRMCINTEWGAFGDDGALEDIRTEFDRELDLGS
+LNPGKQLFEKMISGLYLGELVRLILLKMAKAGLLFGGEKSSALHTKGKIETRHVAAMEKY
+KEGLANTREILVDLGLEPSEADCIAVQHVCTIVSFRSANLCAAALAAILTRLRENKKVER
+LRTTVGMDGTLYKIHPQYPKRLHKVVRKLVPSCDVRFLLSESGSTKGAAMVTAV
+>Q2TB90_PF00349_470 <unknown description>
+IDRVLALFQLTREQLVDVQAKMRAELEYGLKKKSHGLATVRMLPTYVCGLPDGTEKGKFL
+ALDLGGTNFRVLLVKIRSGRRSVRMYNKIFAIPLEIMQGTGEELFDHIVQCIADFLDYMG
+LKGASLPLGFTFSFPCRQMSIDKGTLIGWTKGFKATDCEGEDVVDMLREAIKRRNEFDLD
+IVAVVNDTVGTMMTCGY
+>Q2TB90_PF03727_672 <unknown description>
+EIGLIAGTGSNMCYMEDMRNIEMVEGGEGKMCINTEWGGFGDNGCIDDIWTRYDTEVDEG
+SLNPGKQRYEKMTSGMYLGEIVRQILIDLTKQGLLFRGQISERLRTRGIFETKFLSQIES
+DRLALLQVRRILQQLGLDSTCEDSIVVKEVCGAVSRRAAQLCGAGLAAIVEKRREDQGLE
+HLRITVGVDGTLYKLHPHFSRILQETVKELAPRCDVTFMLSEDGSGKGAALITAV
+>P04439_PF00129_25 <unknown description>
+GSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYW
+DQETRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTIQIMYGCDVGSDGRFLRGYRQDAYDG
+KDYIALNEDLRSWTAADMAAQITKRKWEAAHEAEQLRAYLDGTCVEWLRRYLENGKETL
+>P04439_PF07654_218 <unknown description>
+ISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGE
+EQRYTCHVQHEGL
+>P04439_PF06623_337 <unknown description>
+SDRKGGSYTQAASSDSAQGSDVSLTACK
+>P01889_PF00129_25 <unknown description>
+GSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYW
+DRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHDQYAYDG
+KDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQRRAYLEGECVEWLRRYLENGKDKL
+>P01889_PF07654_219 <unknown description>
+SDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEE
+QRYTCHVQHEGL
+>P01889_PF06623_337 <unknown description>
+SGGKGGSYSQAACSDSAQGSDVSLTA
+>P10321_PF00129_26 <unknown description>
+SHSMRYFDTAVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWD
+RETQKYKRQAQADRVSLRNLRGYYNQSEDGSHTLQRMSGCDLGPDGRLLRGYDQSAYDGK
+DYIALNEDLRSWTAADTAAQITQRKLEAARAAEQLRAYLEGTCVEWLRRYLENGKETL
+>P10321_PF07654_217 <unknown description>
+PLSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSG
+QEQRYTCHMQHEGL
+>P10321_PF06623_338 <unknown description>
+SGGKGGSCSQAACSNSAQGSDESLITCK
+>P13747_PF00129_22 <unknown description>
+GSHSLKYFHTSVSRPGRGEPRFISVGYVDDTQFVRFDNDAASPRMVPRAPWMEQEGSEYW
+DRETRSARDTAQIFRVNLRTLRGYYNQSEAGSHTLQWMHGCELGPDGRFLRGYEQFAYDG
+KDYLTLNEDLRSWTAVDTAAQISEQKSNDASEAEHQRAYLEDTCVEWLHKYLEKGKETL
+>P13747_PF07654_216 <unknown description>
+SDHEATLRCWALGFYPAEITLTWQQDGEGHTQDTELVETRPAGDGTFQKWAAVVVPSGEE
+QRYTCHVQHEGL
+>P13747_PF06623_334 <unknown description>
+SGGKGGSYSKAEWSDSAQGS
+>P30511_PF00129_22 <unknown description>
+GSHSLRYFSTAVSRPGRGEPRYIAVEYVDDTQFLRFDSDAAIPRMEPREPWVEQEGPQYW
+EWTTGYAKANAQTDRVALRNLLRRYNQSEAGSHTLQGMNGCDMGPDGRLLRGYHQHAYDG
+KDYISLNEDLRSWTAADTVAQITQRFYEAEEYAEEFRTYLEGECLELLRRYLENGKETL
+>P30511_PF07654_216 <unknown description>
+SDHEATLRCWALGFYPAEITLTWQRDGEEQTQDTELVETRPAGDGTFQKWAAVVVPPGEE
+QRYTCHVQHEGL
+>P17693_PF00129_25 <unknown description>
+GSHSMRYFSAAVSRPGRGEPRFIAMGYVDDTQFVRFDSDSACPRMEPRAPWVEQEGPEYW
+EEETRNTKAHAQTDRMNLQTLRGYYNQSEASSHTLQWMIGCDLGSDGRLLRGYEQYAYDG
+KDYLALNEDLRSWTAADTAAQISKRKCEAANVAEQRRAYLEGTCVEWLHRYLENGKEML
+>P17693_PF07654_222 <unknown description>
+EATLRCWALGFYPAEIILTWQRDGEDQTQDVELVETRPAGDGTFQKWAAVVVPSGEEQRY
+TCHVQHEGL
+>Q16534_PF07716_224 <unknown description>
+KDDKYWARRRKNNMAAKRSRDARRLKENQIAIRASFLEKENSALRQEVADLRKE
+>Q9Y5L2_PF15220_1 <unknown description>
+MKHVLNLYLLGVVLTLLSIFVRVMESLEGLLESPSPGTSWTTRSQLANTEPTKGLPDHPS
+RSM
+>Q14527_PF08797_61 <unknown description>
+GSLRGHVVGLRYYTGVVNNNEMVALQRDPNNPYDKNAIKVNNVNGNQVGHLKKELAGALA
+YIMDNKLAQIEGVVPFGANNAFTMPLHMTFWG
+>Q14527_PF00176_243 <unknown description>
+HQKQALAWMVSRENSKELPPFWEQRNDLYYNTITNFSEKDRPENVHGGILADDMGLGKTL
+TAIAVILTNFHDGRPLPIERVKKNLLKKEYNVNDDSMKLGGNNTSEKADGLSKDASRCSE
+QPSISDIKEKSKFRMSELSSSRPKRRKTAVQYIESSDSEEIETSELPQKMKGKLKNVQSE
+TKGRAKAGSSKVIEDVAFACALTSSVPTTKKKMLKKGACAVEGSKKTDVEERPRTTLIIC
+PLSVLSNWIDQFGQHIKSDVHLNFYVYYGPDRIREPALLSKQDIVLTTYNILTHDYGTKG
+DSPLHSIRWLRVILDEGHAIRNPNAQQTKAVLDLESERRWVLTGTPIQNSLKDLWSLLSF
+LKLKPFIDREWWHRTIQRPVTMGDEGGLRRLQSLIKNITLRRTKTSKIKGKPVLELPERK
+VFIQHITLSDEERKIYQSVKNEGRATIGRYFNEGTVLAHYADVLGLLLRLRQICCHTYL
+>Q14527_PF13923_759 <unknown description>
+ECAICLDSLTVPVITHCAHVFCKPCICQVIQNEQPHAKCPLC
+>Q14527_PF00271_834 <unknown description>
+KINALMHALTDLRKKNPNIKSLVVSQFTTFLSLIEIPLKASGFVFTRLDGSMAQKKRVES
+IQCFQNTEAGSPTIMLLSLKAGGVGLNLSAASRVFLMDPAWNPAAEDQCFDRCHRLG
+>Q14774_PF00046_279 <unknown description>
+SRAVFSNLQRKGLEKRFEIQKYVTKPDRKQLAAMLGLTDAQVKVWFQNRRMKWRH
+>Q8TCT9_PF04258_64 <unknown description>
+SDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISP
+FMNKFFPASFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWI
+ANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDVFWVFGTNVMVTVAKSFEAPIKLV
+FPQDLLEKGLEANNFAMLGLGDVVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLG
+LTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYESS
+>Q9NP66_PF00505_103 <unknown description>
+PKSPLTGYVRFMNERREQLRAKRPEVPFPEITRMLGNEWSKLPPEEKQRYLDEADRDKER
+YMKELEQY
+>Q9P0W2_PF00505_70 <unknown description>
+PKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPTEKQRYLDEAEREKQQ
+YMKELRAY
+>Q6NT76_PF04814_25 <unknown description>
+EQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDKFGRRSSYGGSSYGNSTNNVPASSS
+TATASTQTQHSGMSPSPSNSYDTSPQPCTTNQNGRENNERLSTSNGKMSPTRYHANSMGQ
+RSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAFLANRRISQAVVAQVTGISQSRISH
+WLLQQGSDLSEQKKRAFYRWYQ
+>Q6NT76_PF00046_269 <unknown description>
+GSRFTWRKECLAVMESYFNENQYPDEAKREEIANACNAVIQKPGKKLSDLERVTSLKVYN
+WFANRRKEIKR
+>Q96FZ2_PF02586_1 <unknown description>
+MCGRTSCHLPRDVLTRACAYQDRRGQQRLPEWRDPDKYCPSYNKSPQSNSPVLLSRLHFE
+KDADSSERIIAPMRWGLVPSWFKESDPSKLQFNTTNCRSDTVMEKRSFKVPLGKGRRCVV
+LADGFYEWQRCQGTNQRQPYFIYFPQIKTEKSGSIGAADSPENWEKVWDNWRLLTMAGIF
+DCWEPPEGGDVLYSYTIITVDSCKGLSDIHHRMPAILDGEEAVSKWLDFGEVSTQEALKL
+IHPTENITFHAVSSVVNN
+>Q96RW7_PF07679_521 <unknown description>
+PVIQVPNNVTVTPGERAVLTCLIISAVDYNLTWQRNDRDVRLAEPARIRTLANLSLELKS
+VKFNDAGEYHCMVSSEGGSSAASVFLTV
+>Q96RW7_PF07679_612 <unknown description>
+PKVTVMPKNQSFTGGSEVSIMCSATGYPKPKIAWTVNDMFIVGSHRYRMTSDGTLFIKNA
+APKDAGIYGCLASNSAGTDKQNSTL
+>Q96RW7_PF07679_709 <unknown description>
+SELLVALGDITVMECKTSGIPPPQVKWFKGDLELRPSTFLIIDPLLGLLKIQETQDLDAG
+DYTCVAINEAGRATGKITLDV
+>Q96RW7_PF07679_793 <unknown description>
+PVFIQEPADVSMEIGSNVTLPCYVQGYPEPTIKWRRLDNMPIFSRPFSVSSISQLRTGAL
+FILNLWASDKGTYICEAENQFGKIQSETTVTV
+>Q96RW7_PF13927_890 <unknown description>
+PLIGISPSVANVIEGQQLTLPCTLLAGNPIPERRWIKNSAMLLQNPYITVRSDGSLHIER
+VQLQDGGEYTCVASN
+>Q96RW7_PF13927_990 <unknown description>
+LSTIEGIPVTLPCKASGNPKPSVIWSKKGELISTSSAKFSAGADGSLYVVSPGGEESGEY
+VCTATN
+>Q96RW7_PF07679_1085 <unknown description>
+KPVEISVLAGEEVTLPCEVKSLPPPIITWAKETQLISPFSPRHTFLPSGSMKITETRTSD
+SGMYLCVATNIAGNVTQAVKLNV
+>Q96RW7_PF07679_1171 <unknown description>
+PKIQRGPKHLKVQVGQRVDIPCNAQGTPLPVITWSKGGSTMLVDGEHHVSNPDGTLSIDQ
+ATPSDAGIYTCVATNIAGTDETEITLHV
+>Q96RW7_PF07679_1280 <unknown description>
+ANQRIEFPCPAKGTPKPTIKWLHNGRELTGREPGISILEDGTLLVIASVTPYDNGEYICV
+AVNEAGTTERKYNLKV
+>Q96RW7_PF07679_1366 <unknown description>
+QVTNVSVLLNQLTNLFCEVEGTPSPIIMWYKDNVQVTESSTIQTVNNGKILKLFRATPED
+AGRYSCKAINIAGTSQKYFNID
+>Q96RW7_PF07679_1459 <unknown description>
+FPNEVSVVLNRDVALECQVKGTPFPDIHWFKDGKPLFLGDPNVELLDRGQVLHLKNARRN
+DKGRYQCTVSNAAGKQAKDIKLTI
+>Q96RW7_PF07679_1555 <unknown description>
+TDISVLINSLIKLECETRGLPMPAITWYKDGQPIMSSSQALYIDKGQYLHIPRAQVSDSA
+TYTCHVANVAGTAEKSFHVDV
+>Q96RW7_PF07679_1651 <unknown description>
+KQVVIAHSLTLECKAAGNPSPILTWLKDGVPVKANDNIRIEAGGKKLEIMSAQEIDRGQY
+ICVATSVAGEKEIKYEVDV
+>Q96RW7_PF07679_1746 <unknown description>
+VMVNNLLELDCHVTGSPPPTIMWLKDGQLIDERDGFKILLNGRKLVIAQAQVSNTGLYRC
+MAANTAGDHKKEFEVTV
+>Q96RW7_PF07679_1838 <unknown description>
+VVKYKPVALQCIANGIPNPSITWLKDDQPVNTAQGNLKIQSSGRVLQIAKTLLEDAGRYT
+CVATNAAGETQQHIQLHV
+>Q96RW7_PF07679_1931 <unknown description>
+TVLVSNPVQLECKAAGNPVPVITWYKDNRLLSGSTSMTFLNRGQIIDIESAQISDAGIYK
+CVAINSAGATELFYSLQV
+>Q96RW7_PF07679_2012 <unknown description>
+PSISGSNNMVAVVVNNPVRLECEARGIPAPSLTWLKDGSPVSSFSNGLQVLSGGRILALT
+SAQISDTGRYTCVAVNAAGEKQRDIDLRV
+>Q96RW7_PF13927_2103 <unknown description>
+PPNIMGEEQNVSVLISQAVELLCQSDAIPPPTLTWLKDGHPLLKKPGLSISENRSVLKIE
+DAQVQDTGRYTCEATN
+>Q96RW7_PF07679_2202 <unknown description>
+ELTQLTVIEGNLISLLCESSGIPPPNLIWKKKGSPVLTDSMGRVRILSGGRQLQISIAEK
+SDAALYSCVASNVAGTAKKEYNLQV
+>Q96RW7_PF07679_2298 <unknown description>
+HPTEIIVTRGKSISLECEVQGIPPPTVTWMKDGHPLIKAKGVEILDEGHILQLKNIHVSD
+TGRYVCVAVNVAGMTDKKYDLSV
+>Q96RW7_PF07679_2392 <unknown description>
+SPENISVVEKNSVSLTCEASGIPLPSITWFKDGWPVSLSNSVRILSGGRMLRLMQTTMED
+AGQYTCVVRNAAGEERKIFGLSV
+>Q96RW7_PF07679_2484 <unknown description>
+NTLEDVKVKEKQSVTLTCEVTGNPVPEITWHKDGQPLQEDEAHHIISGGRFLQITNVQVP
+HTGRYTCLASSPAGHKSRSFSLNV
+>Q96RW7_PF07679_2581 <unknown description>
+NPEDVTVILNSPTSLVCEAYSYPPATITWFKDGTPLESNRNIRILPGGRTLQILNAQEDN
+AGRYSCVATNEAGEMIKHYEVKV
+>Q96RW7_PF07679_2681 <unknown description>
+PKEVKIKVNNTLTLECEAYAIPSASLSWYKDGQPLKSDDHVNIAANGHTLQIKEAQISDT
+GRYTCVASNIAGEDELDFDVNI
+>Q96RW7_PF07679_2790 <unknown description>
+IINNPISLYCETNAAPPPTLTWYKDGHPLTSSDKVLILPGGRVLQIPRAKVEDAGRYTCV
+AVNEAGEDSLQYDVR
+>Q96RW7_PF07679_2879 <unknown description>
+PEEVTVLVNKSALIECLSSGSPAPRNSWQKDGQPLLEDDHHKFLSNGRILQILNTQITDI
+GRYVCVAENTAGSAKKYFNLNV
+>Q96RW7_PF07679_2969 <unknown description>
+PKSENLTVVVNNFISLTCEVSGFPPPDLSWLKNEQPIKLNTNTLIVPGGRTLQIIRAKVS
+DGGEYTCIAINQAGESKKKFSLTV
+>Q96RW7_PF07679_3065 <unknown description>
+SLSVVNVREGTSVSLECESNAVPPPVITWYKNGRMITESTHVEILADGQMLHIKKAEVSD
+TGQYVCRAINVAGRDDKNFHLNV
+>Q96RW7_PF13927_3150 <unknown description>
+PPSIEGPEREVIVETISNPVTLTCDATGIPPPTIAWLKNHKRIENSDSLEVRILSGGSKL
+QIARSQHSDSGNYTCIASN
+>Q96RW7_PF07679_3252 <unknown description>
+IPSDVSVLLGENVELVCNANGIPTPLIQWLKDGKPIASGETERIRVSANGSTLNIYGALT
+SDTGKYTCVATNPAGEEDRIFNLNV
+>Q96RW7_PF07679_3353 <unknown description>
+MTLVDTSINIECRATGTPPPQINWLKNGLPLPLSSHIRLLAAGQVIRIVRAQVSDVAVYT
+CVASNRAGVDNKHYNLQV
+>Q96RW7_PF07679_3443 <unknown description>
+EEITVLKGSSTSMACITDGTPAPSMAWLRDGQPLGLDAHLTVSTHGMVLQLLKAETEDSG
+KYTCIASNEAGEVSKHFILKV
+>Q96RW7_PF13927_3526 <unknown description>
+PPHINGSEEHEEISVIVNNPLELTCIASGIPAPKMTWMKDGRPLPQTDQVQTLGGGEVLR
+ISTAQVEDTGRYTCLAS
+>Q96RW7_PF07679_3626 <unknown description>
+DEPRDITVLRNRQVTLECKSDAVPPPVITWLRNGERLQATPRVRILSGGRYLQINNADLG
+DTANYTCVASNIAGKTTREFILTV
+>Q96RW7_PF07679_3713 <unknown description>
+PNIKGGPQSLVILLNKSTVLECIAEGVPTPRITWRKDGAVLAGNHARYSILENGFLHIQS
+AHVTDTGRYLCMATNAAGTDRRRIDLQV
+>Q96RW7_PF07679_3805 <unknown description>
+SIAPGPTNMTVIVNVQTTLACEATGIPKPSINWRKNGHLLNVDQNQNSYRLLSSGSLVII
+SPSVDDTATYECTVTNGAGDDKRTVDLTV
+>Q96RW7_PF13927_3896 <unknown description>
+PPSIADEPTDFLVTKHAPAVITCTASGVPFPSIHWTKNGIRLLPRGDGYRILSSGAIEIL
+ATQLNHAGRYTCVARN
+>Q96RW7_PF13927_3987 <unknown description>
+PPVIQPQPSELHVILNNPILLPCEATGTPSPFITWQKEGINVNTSGRNHAVLPSGGLQIS
+RAVREDAGTYMCVAQN
+>Q96RW7_PF07679_4079 <unknown description>
+PVISPHLKEYVIAVDKPITLSCEADGLPPPDITWHKDGRAIVESIRQRVLSSGSLQIAFV
+QPGDAGHYTCMAANVAGSSSTSTKLTV
+>Q96RW7_PF07679_4170 <unknown description>
+RIRSTEGHYTVNENSQAILPCVADGIPTPAINWKKDNVLLANLLGKYTAEPYGELILENV
+VLEDSGFYTCVANNAAGEDTHTVSLTV
+>Q96RW7_PF13927_4260 <unknown description>
+PTFTELPGDVSLNKGEQLRLSCKATGIPLPKLTWTFNNNIIPAHFDSVNGHSELVIERVS
+KEDSGTYVCTAEN
+>Q96RW7_PF07679_4364 <unknown description>
+GGNAILNCEVKGDPTPTIQWNRKGVDIEISHRIRQLGNGSLAIYGTVNEDAGDYTCVATN
+EAGVVERSMSLT
+>Q96RW7_PF13927_4439 <unknown description>
+PPIITLEPVETVINAGGKIILNCQATGEPQPTITWSRQGHSISWDDRVNVLSNNSLYIAD
+AQKEDTSEFECVARN
+>Q96RW7_PF00090_4533 <unknown description>
+SQWSAWRACSVTCGKGIQKRSRLCNQPLPANGGKPCQGSDLEMRNCQNKPC
+>Q96RW7_PF00090_4590 <unknown description>
+SEWSLWEECTRSCGRGNQTRTRTCNNPSVQHGGRPCEGNAVEIIMCNIRPC
+>Q96RW7_PF00090_4647 <unknown description>
+SAWQPWGTCSESCGKGTQTRARLCNNPPPAFGGSYCDGAETQMQVCNERNC
+>Q96RW7_PF00090_4705 <unknown description>
+TWASWSACSVSCGGGARQRTRGCSDPVPQYGGRKCEGSDVQSDFCNSDPC
+>Q96RW7_PF00090_4761 <unknown description>
+SPWSGWGTCSRTCNGGQMRRYRTCDNPPPSNGGRACGGPDSQIQRCNTDMC
+>Q96RW7_PF00090_4819 <unknown description>
+SWHSWSQCSASCGGGEKTRKRLCDHPVPVKGGRPCPGDTTQVTRCNVQAC
+>Q96RW7_PF07474_4871 <unknown description>
+GPQRARGSVIGNINDVEFGIAFLNATITDSPNSDTRIIRAKITNVPRSLGSAMRKIVSIL
+NPIYWTTAKEIGEAVNGFTLTNAVFKRETQVEFATGEILQMSHIARGLDSDGSLLLDIVV
+SGYVLQLQSPAEVTVKDYTEDYIQTGPGQLYAYSTRLFTIDGISIPYTWNHTVFYDQAQG
+>Q96RW7_PF07645_5107 <unknown description>
+DEDECAAGNPCSHSCHNAMGTYYCSCPKGLTIAADGRTC
+>Q96RW7_PF07645_5147 <unknown description>
+DIDECALGRHTCHAGQDCDNTIGSYRCVVRCGSGFRRTSDGLSC
+>Q96RW7_PF07645_5192 <unknown description>
+DINECQESSPCHQRCFNAIGSFHCGCEPGYQLKGRKC
+>Q96RW7_PF07645_5230 <unknown description>
+DVNECRQNVCRPDQHCKNTRGGYKCIDLCPNGMTKAENGTC
+>Q96RW7_PF07645_5272 <unknown description>
+DIDECKDGTHQCRYNQICENTRGSYRCVCPRGYRSQGVGRPC
+>Q96RW7_PF07645_5315 <unknown description>
+DINECEQVPKPCAHQCSNTPGSFKCICPPGQHLLGDGKSC
+>Q96RW7_PF12662_5452 <unknown description>
+SYQCICPPGYQLTHNGKTCQDIDE
+>Q01581_PF01154_13 <unknown description>
+WPKDVGIVALEIYFPSQYVDQAELEKYDGVDAGKYTIGLGQAKMGFCTDREDINSLCMTV
+VQNLMERNNLSYDCIGRLEVGTETIIDKSKSVKTNLMQLFEESGNTDIEGIDTTNACYGG
+TAAVFNAVNWIESSSWDGRYALVVAGDIAVYATGNARPTGGVGAVALLIGPNAP
+>Q01581_PF08540_187 <unknown description>
+LIFERGLRGTHMQHAYDFYKPDMLSEYPIVDGKLSIQCYLSALDRCYSVYCKKIHAQWQK
+EGNDKDFTLNDFGFMIFHSPYCKLVQKSLARMLLNDFLNDQNRDKNSIYSGLEAFGDVKL
+EDTYFDRDVEKAFMKASSELFSQKTKASLLVSNQNGNMYTSSVYGSLASVLAQYSPQQLA
+GKRIGVFSYGSGLAATLYSLKVTQDATPGSALDKITASLCDLKSRLDSRTGVAPDVFAEN
+MKLREDTHHLVNYIPQGSIDSLFEGTWYLVRVDEKHRRTYARR
+>P54868_PF01154_50 <unknown description>
+WPKDVGILALEVYFPAQYVDQTDLEKYNNVEAGKYTVGLGQTRMGFCSVQEDINSLCLTV
+VQRLMERIQLPWDSVGRLEVGTETIIDKSKAVKTVLMELFQDSGNTDIEGIDTTNACYGG
+TASLFNAANWMESSSWDGRYAMVVCGDIAVYPSGNARPTGGAGAVAMLIGPKAP
+>P54868_PF08540_225 <unknown description>
+ALERGLRGTHMENVYDFYKPNLASEYPIVDGKLSIQCYLRALDRCYTSYRKKIQNQWKQA
+GSDRPFTLDDLQYMIFHTPFCKMVQKSLARLMFNDFLSASSDTQTSLYKGLEAFGGLKLE
+DTYTNKDLDKALLKASQDMFDKKTKASLYLSTHNGNMYTSSLYGCLASLLSHHSAQELAG
+SRIGAFSYGSGLAASFFSFRVSQDAAPGSPLDKLVSSTSDLPKRLASRKCVSPEEFTEIM
+NQREQFYHKVNFSPPGDTNSLFPGTWYLERVDEQHRRKYARR
+>P04035_PF12349_87 <unknown description>
+GSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTGLNEALPFFLLLIDLSRASTLAKFALS
+SNSQDEVRENIARGMAILGPTFTLDALVECLVIGVGTMSGVRQLEIMCCFGCMSVLANYF
+VFMTFFPACVSLVLEL
+>P04035_PF00368_492 <unknown description>
+VSIRRQLLSKKLSEPSSLQYLPYRDYNYSLVMGACCENVIGYMPIPVGVAGPLCLDEKEF
+QVPMATTEGCLVASTNRGCRAIGLGGGASSRVLADGMTRGPVVRLPRACDSAEVKAWLET
+SEGFAVIKEAFDSTSRFARLQKLHTSIAGRNLYIRFQSRSGDAMGMNMISKGTEKALSKL
+HEYFPEMQILAVSGNYCTDKKPAAINWIEGRGKSVVCEAVIPAKVVREVLKTTTEAMIEV
+NINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQDAAQNVGSSNCITLMEASGPTNEDL
+YISCTMPSIEIGTVGGGTNLLPQQACLQMLGVQGACKDNPGENARQLARIVCGTVMAGEL
+SLMAALAAGHLVKSHMIHNR
+>Q05925_PF00046_304 <unknown description>
+KRPRTAFTAEQLQRLKAEFQANRYITEQRRQTLAQELSLNESQIKIWFQNKRAKIKK
+>Q05925_PF10525_361 <unknown description>
+ATGIKNGLALHLMAQGLYNHSTTTVQDKDES
+>P19622_PF00046_245 <unknown description>
+KRPRTAFTAEQLQRLKAEFQTNRYLTEQRRQSLAQELSLNESQIKIWFQNKRAKIKK
+>P19622_PF10525_302 <unknown description>
+ATGNKNTLAVHLMAQGLYNHSTTAKEGKSDS
+>P52926_PF02178_26 <unknown description>
+KRGRGRPRKQQ
+>P52926_PF02178_46 <unknown description>
+KRPRGRPKGSKNK
+>P52926_PF02178_74 <unknown description>
+KRPRGRPRKWPQ
+>P09429_PF09011_6 <unknown description>
+PKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDMAK
+ADKARYEREMKTY
+>P09429_PF00505_95 <unknown description>
+PKRPPSAFFLFCSEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEK
+YEKDIAAYR
+>P26583_PF09011_6 <unknown description>
+PNKPRGKMSSYAFFVQTCREEHKKKHPDSSVNFAEFSKKCSERWKTMSAKEKSKFEDMAK
+SDKARYDREMKNY
+>P26583_PF00505_95 <unknown description>
+PKRPPSAFFLFCSEHRPKIKSEHPGLSIGDTAKKLGEMWSEQSAKDKQPYEQKAAKLKEK
+YEKDIAAYR
+>O15347_PF09011_6 <unknown description>
+PKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSGKEKSKFDEMAK
+ADKVRYDREMKDY
+>O15347_PF00505_93 <unknown description>
+PKRPPSGFFLFCSEFRPKIKSTNPGISIGDVAKKLGEMWNNLNDSEKQPYITKAAKLKEK
+YEKDVADYK
+>Q8WW32_PF09011_8 <unknown description>
+KPKANVSSYVHFLLNYRNKFKEQQPNTYVGFKEFSRKCSEKWRSISKHEKAKYEALAKLD
+KARYQEEMMNY
+>Q8WW32_PF00505_93 <unknown description>
+PRRPPSSFLLFCQDHYAQLKRENPNWSVVQVAKATGKMWSTATDLEKHPYEQRVALLRAK
+YFEELELY
+>Q8TB92_PF00682_48 <unknown description>
+VKIVEVGPRDGLQNEKVIVPTDIKIEFINRLSQTGLSVIEVTSFVSSRWVPQMADHTEVM
+KGIHQYPGVRYPVLTPNLQGFHHAVAAGATEISVFGAASESFSKKNINCSIEESMGKFEE
+VVKSARHMNIPARGYVSCALGCPYEGSITPQKVTEVSKRLYGMGCYEISLGDTIGVGTPG
+SMKRMLESVMKEIPPGALAVHCHDTYGQALANILTALQMGINVVDSAVSGLGGCPYAKGA
+SGNVATEDLIYMLNGLGLNTGVNLYKVMEAGDFI
+>P35914_PF00682_32 <unknown description>
+RVKIVEVGPRDGLQNEKNIVSTPVKIKLIDMLSEAGLSVIETTSFVSPKWVPQMGDHTEV
+LKGIQKFPGINYPVLTPNLKGFEAAVAAGAKEVVIFGAASELFTKKNINCSIEESFQRFD
+AILKAAQSANISVRGYVSCALGCPYEGKISPAKVAEVTKKFYSMGCYEISLGDTIGVGTP
+GIMKDMLSAVMQEVPLAALAVHCHDTYGQALANTLMALQMGVSVVDSSVAGLGGCPYAQG
+ASGNLATEDLVYMLEGLGIHTGVNLQKLLEAGNFI
+>P05114_PF01101_2 <unknown description>
+PKRKVSSAEGAAKEEPKRRSARLSAKPPAKVEAKPKKAAAKDKSSDKKVQTKGKRGAKGK
+QAEVANQETKEDLPAENGETKTEESPASDEAGEK
+>P05204_PF01101_2 <unknown description>
+PKRKAEGDAKGDKAKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKAD
+AGKEGNNPAENGDAKTDQAQKAEGAGD
+>O00479_PF01101_2 <unknown description>
+PKRKAKGDAKGDKAKVKDEPQRRSARLSAKPAPPKPEPRPKKASAKKGEKLPKGRKGKAD
+AGKDGNNPAKNRDASTLQSQKAEGTGD
+>P82970_PF01101_2 <unknown description>
+PKRKAAGQGDMRQEPKRRSARLSAMLVPVTPEVKPKRTSSSRKMKTKSDMMEENIDTSAQ
+AVAETKQEAVVEEDYNENAKNGEAKITEAPASEKEI
+>Q12766_PF09011_40 <unknown description>
+EKTKKPRSAYLLYYYDIYLKVQQELPHLPQSEINKKISESWRLLSVAERSYYLEKAKLEK
+EGLD
+>Q12766_PF18717_735 <unknown description>
+QTSWSNYYESPSTQCLLCSSPLFKGGQNSLAGPQECWLLTASRLQTVTAQVKMCLNPHCL
+ALHSFIDIYTGLFNVGNKLLVSLDLLFAIRNQIKLGEDPRVSINVVLKSVQEQTEKTLTS
+EELS
+>Q9UGU5_PF13775_110 <unknown description>
+MDLLKAITSPLAAGSKPSKKTGEKSSGSSSHSESKKEHHRKKVSGSSGELPLEDGGSHKS
+KKMKPLYVNTETLTLREPDGLKMKLILSPKEKGSSSVDEESFQYPSQQATVKKSSKKSAR
+DEQ
+>Q9UGU5_PF00505_408 <unknown description>
+KKNMSAYQVFCKEYRVTIVADHPGIDFGELSKKLAEVWKQLPEKDKLIWKQKAQYLQHKQ
+NKA
+>Q92619_PF00620_775 <unknown description>
+PFIVKKCVCEIERRALRTKGIYRVNGVKTRVEKLCQAFENGKELVELSQASPHDISNVLK
+LYLRQLPEPLISFRLYHELVGLAKDSLKAEAEAKAASRGRQDGSESEAVAVALAGRLREL
+LRDLPPENRASLQYLLRHLRRIVEVEQDNKMTPGNLGIVFGPTLLRPRPT
+>O75330_PF15905_15 <unknown description>
+SGCAPSPGAYDVKTLEVLKGPVSFQKSQRFKQQKESKQNLNVDKDTTLPASARKVKSSES
+KKESQKNDKDLKILEKEIRVLLQERGAQDRRIQDLETELEKMEARLNAALREKTSLSANN
+ATLEKQLIELTRTNELLKSKFSENGNQKNLRILSLELMKLRNKRETKMRGMMAKQEGMEM
+KLQVTQRSLEESQGKIAQLEGKLVSIEKEKIDEKSETEKLLEYIEEISCASDQVEKYKLD
+IAQLEENLKEKNDEILSLKQSLEENIVILSKQVEDLNVKCQLLEKEKEDHVNRNREHNEN
+LNAEMQNLKQKFILEQQEREKLQQK
+>O75330_PF15908_553 <unknown description>
+RKQLEDEEGRKAEKENTTAELTEEINKWRLLYEELYNKTKPFQLQLDAFEVEKQALLNEH
+GAAQEQLNKIRDSYAKLLGHQNLKQKIKHVVKLKDENSQLKSEVSKLRCQLAKKKQSETK
+LQEELNKVLGIKHFDPSKAFHHESKENFALKTPLKEG
+>P09601_PF01126_12 <unknown description>
+DLSEALKEATKEVHTQAENAEFMRNFQKGQVTRDGFKLVMASLYHIYVALEEEIERNKES
+PVFAPVYFPEELHRKAALEQDLAFWYGPRWQEVIPYTPAMQRYVKRLHEVGRTEPELLVA
+HAYTRYLGDLSGGQVLKKIAQKALDLPSSGEGLAFFTFPNIASATKFKQLYRSRMNSLEM
+TPAVRQRVIEEAKTAFLLNIQLFEE
+>P30519_PF01126_32 <unknown description>
+DLSELLKEGTKEAHDRAENTQFVKDFLKGNIKKELFKLATTALYFTYSALEEEMERNKDH
+PAFAPLYFPMELHRKEALTKDMEYFFGENWEEQVQCPKAAQKYVERIHYIGQNEPELLVA
+HAYTRYMGDLSGGQVLKKVAQRALKLPSTGEGTQFYLFENVDNAQQFKQLYRARMNALDL
+NMKTKERIVEEANKAFEYNMQIFNE
+>Q95460_PF00129_24 <unknown description>
+THSLRYFRLGVSDPIHGVPEFISVGYVDSHPITTYDSVTRQKEPRAPWMAENLAPDHWER
+YTQLLRGWQQMFKVELKRLQRHYNHSGSHTYQRMIGCELLEDGSTTGFLQYAYDGQDFLI
+FNKDTLSWLAVDNVAHTIKQAWEANQHELLYQKNWLEEECIAWLKRFLEYGKDTL
+>Q95460_PF07654_218 <unknown description>
+TALFCKAHGFYPPEIYMTWMKNGEEIVQEIDYGDILPSGDGTYQAWASIELDPQSSNLYS
+CHVEHC
+>A8MTL9_PF00079_1 <unknown description>
+MSISSALAMVFMGAKGNTAAQMSQALCFSKIGGEDGDIHRGFQSLLVAINRTDTEYVLRT
+ANGLFGEKSYDFLTGFTDSCGKFYQATIKQLDFVNDTEKSTTRVNSWVADKTKGE
+>Q9NP08_PF00046_204 <unknown description>
+KKTRTVFSRSQVFQLESTFDLKRYLSSAERAGLAASLQLTETQVKIWFQNRRNKWKR
+>A2RU54_PF00046_150 <unknown description>
+KKTRTVFSRSQVYQLESTFDMKRYLSSSERACLASSLQLTETQVKTWFQNRRNKWKR
+>A6NHT5_PF00046_228 <unknown description>
+KKTRTVFSRSQVFQLESTFDMKRYLSSSERAGLAASLHLTETQVKIWFQNRRNKWKR
+>P35680_PF04814_8 <unknown description>
+LQQELLSALLSSGVTKEVLVQALEELLPSPNFGVKLETLPLSPGSGAEPDTKPVFHTLTN
+GHAKGRLSGDEGSEDGDDYDTPPILKELQALNTEEAAEQRAEVDRMLSEDPWRAAKMIKG
+YMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVR
+>P35680_PF04812_314 <unknown description>
+AMDAYSSNQTHSLNPLLSHGSPHHQPSSSPPNKLSGVRYSQQGNNEITSSSTISHHGNSA
+MVTSQSVLQQVSPASLDPGHNLLSPDGKMISVSGGGLPPVSTLTNIHSLSHHNPQQSQNL
+IMTPLSGVMAIAQSLNTSQAQSVPVINSVAGSLAALQPVQFSQQLHSPHQQPLMQQSPGS
+HMAQQPFMAAVTQLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSSISTLTNMSSSK
+>P41235_PF00105_37 <unknown description>
+LCAICGDRATGKHYGASSCDGCKGFFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLK
+KCFRAGMKK
+>P41235_PF00104_157 <unknown description>
+KKIASIADVCESMKEQLLVLVEWAKYIPAFCELPLDDQVALLRAHAGEHLLLGATKRSMV
+FKDVLLLGNDYIVPRHCPELAEMSRVSIRILDELVLPFQELQIDDNEYAYLKAIIFFDPD
+AKGLSDPGKIKRLRSQVQVSLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFI
+KLF
+>Q9UBC0_PF02376_290 <unknown description>
+EEINTKEVAQRITTELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRR
+MWKWLQEPEFQRMSA
+>Q9UBC0_PF00046_386 <unknown description>
+KKPRLVFTDVQRRTLHAIFKENKRPSKELQITISQQLGLELSTVSNFFMNARRR
+>P50135_PF13489_33 <unknown description>
+QEFMDKKLPGIIGRIGDTKSEIKILSIGGGAGEIDLQILSKVQAQYPGVCINNEVVEPSA
+EQIAKYKELVAKTSNLENVKFAWHKETSSEYQSRMLEKKELQKWDFIHMIQMLYYVKDIP
+ATLKFFHSLLGTNAKMLIIVVSGSSGWDKLWKKYGSRFPQDDLCQYITSDDLTQMLDNLG
+LK
+>O60812_PF00076_18 <unknown description>
+VFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIAS
+QV
+>B2RXH8_PF00076_18 <unknown description>
+VFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIAS
+>B7ZW38_PF00076_18 <unknown description>
+VFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIAS
+QV
+>P0DMR1_PF00076_18 <unknown description>
+VFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIAS
+QV
+>O14979_PF00076_151 <unknown description>
+FIGGLSWDTSKKDLTEYLSRFGEVVDCTIKTDPVTGRSRGFGFVLFKDAASVDKVLELKE
+HKLDGKLI
+>O14979_PF00076_235 <unknown description>
+VFVGGLSPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESR
+Y
+>P31943_PF00076_14 <unknown description>
+KVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVELESEDEVKLALKK
+DRETMGHRY
+>P31943_PF00076_114 <unknown description>
+RLRGLPFGCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHK
+ERIGHRYI
+>P31943_PF08080_255 <unknown description>
+FGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHC
+>P31943_PF00076_293 <unknown description>
+MRGLPYRATENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANM
+QH
+>P55795_PF00076_14 <unknown description>
+KVRGLPWSCSADEVMRFFSDCKIQNGTSGIRFIYTREGRPSGEAFVELESEEEVKLALKK
+DRETMGHRY
+>P55795_PF00076_114 <unknown description>
+RLRGLPFGCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHK
+ERIGHRYI
+>P55795_PF08080_255 <unknown description>
+FGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHC
+>P55795_PF00076_293 <unknown description>
+MRGLPYRATENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANM
+QH
+>P31942_PF00076_19 <unknown description>
+RLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEAFVQFASKEIAENALGKHK
+ERIGHRYI
+>P31942_PF00076_199 <unknown description>
+MRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVEFVTHEDAVAAMSKDKNNM
+QHR
+>Q9BUJ2_PF02037_5 <unknown description>
+RLKVNELREELQRRGLDTRGLKAELAERLQAAL
+>Q9BUJ2_PF00622_264 <unknown description>
+NEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEPFSYGYGGTGKKSTNSRFENYGDKF
+AENDVIGCFADFECGNDVELSFTKNGKWMGIAFRIQKEALGGQALYPHVLVKNCAVEFNF
+GQ
+>Q9BUJ2_PF13671_424 <unknown description>
+LMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIMDKMRVMGLRRQRNYAGRWDVLIQQ
+ATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMRPFEGFQRKAIVICPTDEDLKDRTI
+KRTDEEGKDVPDHAVLEMKANFTLP
+>Q1KMD3_PF02037_4 <unknown description>
+KRLKVTELRSELQRRGLDSRGLKVDLAQRLQEAL
+>Q1KMD3_PF00622_308 <unknown description>
+CTEVSLLRVGWSVDFSRPQLGEDEFSYGFDGRGLKAENGQFEEFGQTFGENDVIGCFANF
+ETEEVELSFSKNGEDLGVAFWISKDSLADRALLPHVLCKNCVVELNFGQ
+>Q1KMD3_PF13671_454 <unknown description>
+VILMVGLPGSGKTQWALKYAKENPEKRYNVLGAETVLNQMRMKGLEEPEMDPKSRDLLVQ
+QASQCLSKLVQIASRTKRNFILDQCNVYNSGQRRKLLLFKTFSRKVVVVVPNEEDWKKRL
+ELRKEVEGDDVPESIMLEMKANFSLP
+>Q8WVV9_PF00076_80 <unknown description>
+VRGLCESVVEADLVEALEKFGTICYVMMMPFKRQALVEFENIDSAKECV
+>Q8WVV9_PF11835_165 <unknown description>
+GNKVLLLSIQNPLYPITVDVLYTVCNPVGKVQRIVIFKRNGIQAMVEFESVLCAQKAKAA
+LNGADIYAGCCTLKIEYAR
+>Q8WVV9_PF13893_328 <unknown description>
+HGGNPSGSVVMVSGLHQLKMNCSRVFNLFCLYGNIEKVKFMKTIPGTALVEMGDEYAVER
+AVTHLNNVKLFGKRLNVCVSKQHSVVPSQIFELEDGTSSYKDFAMS
+>P07910_PF00076_18 <unknown description>
+VFIGNLNTLVVKKSDVEAIFSKYGKIVGCSVHKGFAFVQYVNERNARAAVAGEDGRMIAG
+QVL
+>Q14103_PF08143_1 <unknown description>
+MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAATQGAAAAAGSGAGTGGGTASGGTEGGS
+AESEGAKIDASKNEEDEG
+>Q14103_PF00076_100 <unknown description>
+FIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKE
+HKLNGKVI
+>Q14103_PF00076_184 <unknown description>
+IFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIMEKK
+YH
+>P52597_PF00076_114 <unknown description>
+RLRGLPFGCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHK
+ERIGHRYI
+>P52597_PF08080_255 <unknown description>
+FTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHC
+>P52597_PF00076_293 <unknown description>
+MRGLPYKATENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANM
+QHRY
+>P61978_PF08067_1 <unknown description>
+METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMV
+>P61978_PF00013_45 <unknown description>
+LRILLQSKNAGAVIGKGGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKK
+>P61978_PF00013_147 <unknown description>
+LRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVECI
+KIIL
+>P61978_PF00013_389 <unknown description>
+TTQVTIPKDLAGSIIGKGGQRIKQIRHESGASIKIDEPLEGSEDRIITITGTQDQIQNAQ
+YLLQ
+>P14866_PF00076_106 <unknown description>
+IRGLIDGVVEADLVEALQEFGPISYVVVMPKKRQALVEFEDVLGACNAVN
+>P14866_PF11835_191 <unknown description>
+VNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQSAQRAKAS
+LNGADIYSGCCTLKIEYAK
+>P14866_PF13893_357 <unknown description>
+SRYGPQYGHPPPPPPPPEYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMK
+SKPGAAMVEMADGYAVDRAITHLNNNFMFGQKLNVCVSKQPAIMPGQSYGLEDGSCSYKD
+FSES
+>P52272_PF11532_41 <unknown description>
+ERPAQNEKRKEKNIKRGGNRFEPYANPTKR
+>P52272_PF00076_74 <unknown description>
+FITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKMEESMKKAAEVLN
+KHSLSGRPLK
+>P52272_PF00076_206 <unknown description>
+VFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDGKSRGIGTVTFEQSIEAVQAISMFN
+GQLLFDRPM
+>P52272_PF00076_655 <unknown description>
+IFVRNLPFDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNG
+MKLSGREI
+>O60506_PF18360_34 <unknown description>
+GLPQKVAEKLDEIYVAGLVAHSDLDERAIEALKEFNEDGALAVLQQFKDSDLSHVQNKSA
+FLCGVMKTYR
+>O60506_PF00076_164 <unknown description>
+IFVGKIPRDLFEDELVPLFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLY
+NNHEIR
+>O60506_PF00076_245 <unknown description>
+LFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAAQARR
+RLM
+>O60506_PF00076_340 <unknown description>
+LFVRNLANTVTEEILEKAFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGE
+NI
+>O43390_PF18360_37 <unknown description>
+GLPQKVAERLDEIFQTGLVAYVDLDERAIDALREFNEEGALSVLQQFKESDLSHVQNKSA
+FLCGVMKTYR
+>O43390_PF00076_167 <unknown description>
+VFVGKIPRDLYEDELVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLC
+DSYEI
+>O43390_PF00076_248 <unknown description>
+LFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQPDDKKKNRGFCFLEYEDHKSAAQARR
+RLM
+>O43390_PF00076_343 <unknown description>
+LFVRNLATTVTEEILEKSFSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEGE
+EI
+>Q00839_PF02037_9 <unknown description>
+KKLKVSELKEELKKRRLSDKGLKAELMERLQAAL
+>Q00839_PF00622_357 <unknown description>
+HEVRIGWSLTTSGMLLGEEEFSYGYSLKGIKTCNCETEDYGEKFDENDVITCFANFESDE
+VELSYAKNGQDLGVAFKISKEVLAGRPLFPHVLCHNCAVEFNFGQ
+>Q00839_PF13671_499 <unknown description>
+VVMMIGLPGAGKTTWVTKHAAENPGKYNILGTNTIMDKMMVAGFKKQMADTGKLNTLLQR
+APQCLGKFIEIAARKKRNFILDQTNVSAAAQRRKMCLFAGFQRKAVVVCPKDEDYKQRTQ
+KKAEVEGKDLPEHAVLKMKGNFTLP
+>Q6PI97_PF17664_1 <unknown description>
+METGPSEEPSGRKESQEMCPPGLLVFAGSSEQDANLAKQFWISASMYPPSESQLVLRRDS
+SQRLPVARPRRSRGSENSHSSQSFHLASNKNRDIFAEALKIQESEEKVKYLQKAKTREEI
+LQLLRKQREERISKELISLPYKPKAKEHKAKKVVSESDKEDQEEVKTLD
+>Q86XE5_PF00701_35 <unknown description>
+IAGIYPPVTTPFTATAEVDYGKLEENLHKLGTFPFRGFVVQGSNGEFPFLTSSERLEVVS
+RVRQAMPKNRLLLAGSGCESTQATVEMTVSMAQVGADAAMVVTPCYYRGRMSSAALIHHY
+TKVADLSPIPVVLYSVPANTGLDLPVDAVVTLSQHPNIVGMKDSGGDVTRIGLIVHKTRK
+QDFQVLAGSAGFLMASYALGAVGGVCALANVLGAQVCQLERLCCTGQWEDAQKLQHRLIE
+PNAAVTRRFGIPGLKKIMDWFGYYGGPCRAPLQELSPAEEEALR
+>Q9BYM8_PF13445_282 <unknown description>
+CPVCYSVLAPGEAVVLRECLHTFCRECLQGTIRNSQEAEVSCP
+>Q86YM7_PF00568_4 <unknown description>
+QPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINSTITP
+NMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEF
+>Q9NSB8_PF00568_4 <unknown description>
+QPIFTTRAHVFQIDPNTKKNWMPASKQAVTVSYFYDVTRNSYRIISVDGAKVIINSTITP
+NMTFTKTSQKFGQWADSRANTVFGLGFSSEQQLTKFAEKFQEV
+>Q9NSC5_PF00568_6 <unknown description>
+EQPIFSTRAHVFQIDPATKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAIINSTVT
+PNMTFTKTSQKFGQWADSRANTVYGLGFASEQHLTQFAEKFQEV
+>Q8IX15_PF05920_76 <unknown description>
+YFPYPSLADIALLCLRYGLQMEKVKTWFMAQRLR
+>Q8IX15_PF11569_454 <unknown description>
+LPIPPPPPDIQPLERYWAAHQQLRETDIPQLSQASRLSTQQVLDWFDSRLPQPAEV
+>Q9UJC3_PF19047_14 <unknown description>
+LCDSLMIWLQTFNTASPCQDVKQLTSGVAMAQVLHQIDAAWFNESWLSRIKEDVGDNWRI
+KASNVKKVLQGIMSYYHEFLGQQISEALIPDLNQITECSDPVELGRLLQLILGCAINCEK
+KQEHIQNIMTLEESVQHVVMTAIQELMSKE
+>Q9UJC3_PF05622_186 <unknown description>
+ELQEALAEKEELRQRCEELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPNTVVAK
+KYFHAQLQLEQLQEENFRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETRALKDE
+IDVLRATSDKANKLESTVEIYRQKLQDLNDLRKQVKTLQETNMMYMHNTVSLEEELKKAN
+AARTQLETYKRQVQDLHVKLSSESKRADTLAFEMKRLEEKHEALLKEKERLIEQRDTLKE
+TNEELRCSQVQQDHLNQTDASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQQEGSE
+NERIEELQEQLEQKHRKMNELETEQRLSKERIRELQQQIEDLQKSLQEQGSKSEGESSSK
+LKQKLEAHMEKLTEVHEELQKKQELIEDLQPDINQNVQKINELEAALQKKDEDMKAMEER
+YKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKFRDYEEKLI
+VSAWYNKSLAFQKLGMESRLVSGGGACSDTGACTPARSFLAQQRHITNTRRNL
+>Q96ED9_PF19047_7 <unknown description>
+ELCGSLLTWLQTFHVPSPCASPQDLSSGLAVAYVLNQIDPSWFNEAWLQGISEDPGPNWK
+LKVSNLKMVLRSLVEYSQDVLAHPVSEEHLPDVSLIGEFSDPAELGKLLQLVLGCAISCE
+KKQDHIQRIMTLEESVQHVVMEAIQELMTK
+>Q96ED9_PF05622_179 <unknown description>
+FLSEEAEEGDELQQRCLDLERQLMLLSEEKQSLAQENAGLRERMGRPEGEGTPGLTAKKL
+LLLQSQLEQLQEENFRLESGREDERLRCAELEREVAELQHRNQALTSLAQEAQALKDEMD
+ELRQSSERAGQLEATLTSCRRRLGELRELRRQVRQLEERNAGHAERTRQLEDELRRAGSL
+RAQLEAQRRQVQELQGQRQEEAMKAEKWLFECRNLEEKYESVTKEKERLLAERDSLREAN
+EELRCAQLQPRGLTQADPSLDPTSTPVDNLAAEILPAELRETLLRLQLENKRLCRQEAAD
+RERQEELQRHLEDANRARHGLETQHRLNQQQLSELRAQVEDLQKALQEQGGKTEDAISIL
+LKRKLEEHLQKLHEADLELQRKREYIEELEPPTDSSTARRIEELQHNLQKKDADLRAMEE
+RYRRYVDKARMVMQTMEPKQRPAAGAPPELHSLRTQLRERDVRIRHLEMDFEKSRSQREQ
+EEKLLISAWYNMGMALQQRAGEERAPAHAQSFLAQQRLATNSRRG
+>Q86VS8_PF19047_11 <unknown description>
+ELCESLLTWIQTFNVDAPCQTVEDLTNGVVMAQVLQKIDPAYFDENWLNRIKTEVGDNWR
+LKISNLKKILKGILDYNHEILGQQINDFTLPDVNLIGEHSDAAELGRMLQLILGCAVNCE
+QKQEYIQAIMMMEESVQHVVMTAIQELMSKE
+>Q86VS8_PF05622_184 <unknown description>
+ELNEALSAKEEIAQRCHELDMQVAALQEEKSSLLAENQVLMERLNQSDSIEDPNSPAGRR
+HLQLQTQLEQLQEETFRLEAAKDDYRIRCEELEKEISELRQQNDELTTLADEAQSLKDEI
+DVLRHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRKANA
+ARSQLETYKRQVVELQNRLSEESKKADKLDFEYKRLKEKVDSLQKEKDRLRTERDSLKET
+IEELRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKLNQEG
+SDNEKIALLQSLLDDANLRKNELETENRLVNQRLLEVQSQVEELQKSLQDQGSKAEDSVL
+LKKKLEEHLEKLHEANNELQKKRAIIEDLEPRFNNSSLKIEELQEALRKKEEEMKQMEER
+YKKYLEKAKSVIRTLDPKQNQGAAPEIQALKNQLQERDRLFHSLEKEYEKTKSQREMEEK
+YIVSAWYNMGMTLHKKAAEDRLASTGSGQSFLARQRQATSSRRSY
+>Q9P2W1_PF07106_12 <unknown description>
+AAGILLRYLQEQNRPYSSQDVFGNLQREHGLGKAVVVKTLEQLAQQGKIKEKMYGKQKIY
+FAD
+>Q9P2W1_PF18517_154 <unknown description>
+VTPEEKEQVYRERQKYCKEWRKRKRMATELSDAILEGYPKSKKQFFEEVGIETDEDY
+>Q9BPY8_PF00046_27 <unknown description>
+PTEDQVEILEYNFNKVDKHPDSTTLCLIAAEAGLSEEETQKWFKQRLAKWRR
+>Q86X24_PF02301_26 <unknown description>
+SLVLVKRLLAVSVSCITYLRGIFPECAYGTRYLDDLCVKILREDKNCPGSTQLVKWMLGC
+YDALQKKYLRMVVLAVYTNPEDPQTISECYQFKFKYTNNGPLMDFISKNQSNESSMLSTD
+TKKASILLIRKIYILMQNLGPLPNDVCLTMKLFYYDEVTPPDYQPPGFKDGDCEGVIFEG
+EPMYLNVGEVSTPFH
+>Q8N7B1_PF02301_31 <unknown description>
+SLKMVKKLFATSISCITYLRGLFPESSYGERHLDDLSLKILREDKKCPGSLHIIRWIQGC
+FDALEKRYLRMAVLTLYTDPMGSEKVTEMYQFKFKYTKEGATMDFDSHSSSTSFESGTNN
+EDIKKASVLLIRKLYILMQDLEPLPNNVVLTMKLHYYNAVTPHDYQPLGFKEGVNSHFLL
+FDKEPINVQVGFVSTGFH
+>Q86YZ3_PF01023_4 <unknown description>
+LLQGVITVIDVFYQYATQHGEYDTLNKAELKELLENEFHQILKNP
+>Q8IWW8_PF00465_53 <unknown description>
+NIRYGAAVTKEVGMDLKNMGAKNVCLMTDKNLSKLPPVQVAMDSLVKNGIPFTVYDNVRV
+EPTDSSFMEAIEFAQKGAFDAYVAVGGGSTMDTCKAANLYASSPHSDFLDYVSAPIGKGK
+PVSVPLKPLIAVPTTSGTGSETTGVAIFDYEHLKVKIGITSRAIKPTLGLIDPLHTLHMP
+ARVVANSGFDVLCHALESYTTLPYHLRSPCPSNPITRPAYQGSNPISDIWAIHALRIVAK
+YLKRAVRNPDDLEARSHMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKMYKAKDYNVDH
+PLVPHGLSVVLTSPAVFTFTAQMFPERHLEMAEILGADTRTARIQDAGLVLADTLRKFLF
+DLDVDDGLAAVGYSKADIPALVKGTLPQERVTKLAPCPQSEEDL
+>Q5SSJ5_PF00538_159 <unknown description>
+PKMDAILTEAIKACFQKSGASVVAIRKYIIHKYPSLELERRGYLLKQALKRELNRGVIKQ
+VKGKGASGSF
+>Q5SSJ5_PF00538_266 <unknown description>
+AFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGT
+FQL
+>Q5SSJ5_PF00538_345 <unknown description>
+AILSAIAAMNEPKTCSTTALKKYVLENHPGTNSNYQMHLLKKTLQKCEKNGWMEQISGKG
+FSGTFQL
+>Q9NZL4_PF08609_45 <unknown description>
+LQGLLQMAITAGSEEPDPPPEPMSEERRQWLQEAMSAAFRGQREEVEQMKSCLRVLSQPM
+PPTAGEAEQAADQQEREGALELLADLCENMDNAAD
+>P84074_PF13833_39 <unknown description>
+PTGILNVDEFKKIYANFFPYGDASKFAEHVFRTFDTNSDGTIDFREFI
+>P84074_PF13499_98 <unknown description>
+EQKLMWAFSMYDLDGNGYISREEMLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMD
+TNNDGKLSLEEFIR
+>P37235_PF13833_39 <unknown description>
+PTGHLTVDEFKKIYANFFPYGDASKFAEHVFRTFDTNGDGTIDFREFI
+>P37235_PF13499_98 <unknown description>
+EQKLKWAFSMYDLDGNGYISRSEMLEIVQAIYKMVSSVMKMPEDESTPEKRTDKIFRQMD
+TNNDGKLSLEEFIR
+>Q9UM19_PF00036_66 <unknown description>
+QHAFRTFDKNGDGTIDFREFICALS
+>Q9UM19_PF13499_98 <unknown description>
+EQKLNWAFEMYDLDGDGRITRLEMLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIFKK
+MDQDKDDQITLEEFKEAA
+>Q9NWY4_PF10228_77 <unknown description>
+GLQLVGPYDILAGKHKTKKKSTGLNFNLHWRFYYDPPEFQTIIIGDNKTQYHMGYFRDSP
+DEFPVYVGINEAKKNCIIVPNGDNVFAAVKLFLTKKLREITDKKKINLLKNIDEKLTEAA
+RELGYSLEQRTVKMKQRDKKVVTKTFHGAGLVVPVDKNDVGYRELPETDADLKRICKTIV
+EAASDEERLKAFAPIQEMMTFVQFANDECDYGMGLELGMDLFCYGSHYFHKVAGQLLPLA
+YNLLKRNLFAEI
+>O60760_PF02798_5 <unknown description>
+KLTYFNMRGRAEIIRYIFAYLDIQYEDHRIEQADWPEIKSTLPFGKIPILEVDGLTLHQS
+LAIARYLT
+>O60760_PF14497_106 <unknown description>
+EKKQDVKEQMFNELLTYNAPHLMQDLDTYLGGREWLIGNSVTWADFYWEICSTTLLVFKP
+DLLDNHPRLVTLRKKVQAIPAVANWIKRR
+>Q6MZM0_PF07732_99 <unknown description>
+FLGPILRAEVGDVIVIHLKNFASRPYSLHPHGVFYNKDSEGALYPDGTSGRNKNDDMVPP
+GKNYTYVWPVREEYAPTPADANCLTWVYHSHIDAPKDICSGLIGPLLVC
+>Q6MZM0_PF07731_316 <unknown description>
+RGHRTDVVNLFPATFLTTEMIAENPGKWMITCQVSDHLQAGMLGQYNVD
+>Q6MZM0_PF07732_456 <unknown description>
+ILGPVIKAEVGDTLLVTFANKADKVYSILPHGVIYDKASDAAPNLDGFVKPGAHVKPGET
+FTYKWTVPESVSPTAGDPPCLTYLYFSAVDPIKDTSSGLVGPLLVCK
+>Q6MZM0_PF07732_810 <unknown description>
+LLGPMIHAEVGNTVLIIFKNKASRPYSISAQGVEEMDSGKQFQVPMTKPGEVKTYRWNIP
+KRSG
+>Q6MZM0_PF07731_955 <unknown description>
+FKRTDDFEESNRMHAINGKIFGNLHGLIMNEDTMTNWYLLGIGSEVDIHTIHYHAESFLF
+KIDKSYREDVYDLFPGTFQTIELFADHPGTWLLHCHVSDHIHAGMETTYTV
+>Q9NWW0_PF15226_2 <unknown description>
+ILQQPLQRGPQGGAQRLPRAALGVTWGLDASSPLRGAVPMSTKRRLEEEQEPLRKQFLSE
+ENMATHFSQLSLHNDHPYCSPPMTFSPALPPLRSPCSELLLWRYPGSLIPEALRLLRLGD
+TPSPPYPATPAGD
+>P10915_PF07686_49 <unknown description>
+VFSHRGGNVTLPCKFYRDPTAFGSGIHKIRIKWTKLTSDYLKEVDVFVSMGYHKKTYGGY
+QGRVFLKGGSDSDASLVITDLTLEDYGRYKCEVIE
+>P10915_PF00193_160 <unknown description>
+VFPYFPRLGRYNLNFHEAQQACLDQDAVIASFDQLYDAWRGGLDWCNAGWLSDGSVQYPI
+TKPREPCGGQNTVPGVRNYGFWDKDKSRYDVFCF
+>P10915_PF00193_268 <unknown description>
+TKLTYDEAVQACLNDGAQIAKVGQIFAAWKILGYDRCDAGWLADGSVRYPISRPRRRCSP
+TEAAVRFVGFPDKKHKLYGVYCF
+>Q9GZV7_PF07686_45 <unknown description>
+IHSHRGATATLPCVLGTTPPSYKVRWSKVEPGELRETLILITNGLHARGYGPLGGRARMR
+RGHRLDASLVIAGVRLEDEGRYRCELINGI
+>Q9GZV7_PF00193_148 <unknown description>
+VVFPYQPSRGRYQFNYYEAKQACEEQDGRLATYSQLYQAWTEGLDWCNAGWLLEGSVRYP
+VLTARAPCGGRGRPGIRSYGPRDRMRDRYDAFCF
+>Q9GZV7_PF00193_255 <unknown description>
+RLTLSEAHAACRRRGAVVAKVGHLYAAWKFSGLDQCDGGWLADGSVRFPITTPRPRCGGL
+PDPGVRSFGFPRPQQAAYGTYCY
+>Q96S86_PF07686_54 <unknown description>
+PEETLFTYQGASVILPCRYRYEPALVSPRRVRVKWWKLSENGAPEKDVLVAIGLRHRSFG
+DYQGRVHLRQDKEHDVSLEIQDLRLEDYGRYRCEVIDG
+>Q96S86_PF00193_166 <unknown description>
+VVFPYQSPNGRYQFNFHEGQQVCAEQAAVVASFEQLFRAWEEGLDWCNAGWLQDATVQYP
+IMLPRQPCGGPGLAPGVRSYGPRHRRLHRYDVFCF
+>Q96S86_PF00193_268 <unknown description>
+VYYLEHPEKLTLTEAREACQEDDATIAKVGQLFAAWKFHGLDRCDAGWLADGSVRYPVVH
+PHPNCGPPEPGVRSFGFPDPQSRLYGVYCY
+>Q86UW8_PF07686_53 <unknown description>
+PGQVVSHRGGTIVLPCRYHYEAAAHGHDGVRLKWTKVVDPLAFTDVFVALGPQHRAFGSY
+RGRAELQGDGPGDASLVLRNVTLQDYGRYECEVTNEL
+>Q86UW8_PF00193_164 <unknown description>
+VFPYHPRGGRYKLTFAEAQRACAEQDGILASAEQLHAAWRDGLDWCNAGWLRDGSVQYPV
+NRPREPCGGLGGTGSAGGGGDANGGLRNYGYRHNAEERYDAFCF
+>Q86UW8_PF00193_275 <unknown description>
+VFFLKPLRPVPFSGAARACAARGAAVAKVGQLFAAWKLQLLDRCTAGWLADGSARYPIVN
+PRARCGGRRPGVRSLGFPDATRRLFGVYCY
+>P00492_PF00156_41 <unknown description>
+LIMDRTERLARDVMKEMGGHHIVALCVLKGGYKFFADLLDYIKALNRNSDRSIPMTVDFI
+RLKSYCNDQSTGDIKVIGGDDLSTLTGKNVLIVEDIIDTGKTMQTLLSLVRQYNPKMVKV
+ASLLVKRTPRSVGYKPDFVGFEIPDKFVVGYALDY
+>Q92902_PF19036_2 <unknown description>
+KCVLVATEGAEVLFYWTDQEFEESLRLKFGQSENEEEELPALEDQLSTLLAPVIISSMTM
+LEKLSDTYTCFSTENGNFLYVLHLFGECLFIAINGDHTESEGDLRRKLYVLKYLFEVHFG
+LVTVDGHLIRKELRPPDLAQRVQLWEHFQSLLWTYSRL
+>Q92902_PF19037_204 <unknown description>
+EEALHAFLLVHSKLLAFYSSHSASSLRPADLLALILLVQDLYPSESTAEDDIQPSPRRAR
+SSQNIPVQQAWSPHSTGPTGGSSAETETDSFSLPEEYFTPAPSPGDQSSGSTIWLEGGTP
+PMDALQIAEDTLQTLVPHCPVPSGPRRIFLDANVKESYCPLVPHTMYCLPLWQGINLVLL
+TRSPSAPLALVLSQLMDGFSM
+>Q92902_PF19038_540 <unknown description>
+GLVHFIYVDRTTGQMVAPSLNCSQKTSSELGKGPLAAFVKTKVWSLIQLARRYLQKGYTT
+LLFQEGDFYCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGMLGGDYYRKLLRYYSKNRPT
+EAVRCYELLALHLSVIPTDLLVQQAGQLARRLWEA
+>Q969F9_PF14761_3 <unknown description>
+QLYNLHPFGSQQVVPCKLEPDRFCGGGRDALFVAAGCKVEAFAVAGQELCQPRCAFSTLG
+RVLRLAYSEAGDYLVAIEEKNKATFLRAYVNWRNKRTENSRVCIRMIGHNVEGPFSKAFR
+DQMYIIEMPLSEAPLCISCCPVKGDLLVGCTNKLVLFSLKYQIINEEFSLLDFERSLIIH
+IDNITPVEVSFCVGYVAVMSDLEVLIVKLE
+>Q969F9_PF14762_256 <unknown description>
+KPLELLGEKSEQSGLSVTLESTGLADEKRKYSHFQHLLYRRFAPDISSYVLSDDIKLHSL
+QLLPIYQTGSLTSDGKNLSQEKELLSLFCFFSLPHVGYLYMVVKSVELMSVYQYPEKSQQ
+AVLTPQFLHVITSNNLQCFTVRCSAAAAREEDPYMDTTLKACPPVSMDVCALRIQLFIGL
+KAICHFKNHIILLTKAEPEAIPERRQSPKRLLSRKDTSVKIKIPPVAEAGWNLYIVNTIS
+PVQLYKEMVDYSNTYKTVKTQSCIHLLSEAHLLVRAALMDASQLEPGEKAELLEAFKESC
+GHLGDCYSRLDSQHSHLTLPYYKMSGLSMAEVLARTDWTVEDGLQKYERGLIFYINHSLY
+ENLDEELNEELAAKVVQMFYVAEPKQ
+>Q969F9_PF14763_651 <unknown description>
+MKNINPLTAMSYLRKLDTSGFSSILVTLTKAAVALKMGDLDMHRNEMKSHSEMKLVCGFI
+LEPRLLIQQRKGQIVPTELALHLKETQPGLLVASVLGLQKNNKIGIEEADSFFKVLCAKD
+EDTIPQLLVDFWEAQLVACLPDVVLQELFFKLTSQYIWRLSKRQPPDTTPLRTSEDLINA
+CSHYGLIYPWVHVVISSDSLADKNYTEDLSKLQSLICGPSFDIASIIPFLEPLSEDTIAG
+LSVHVLCRTRLKEYEQCIDILLERCPEAVIPYANHELKEENRTLWWKKLLPELCQRIKCG
+GEKYQLYLSSLKETLSIVAVELELKDFMNVLPEDGTATFFLPYLLYCSRKK
+>Q9NQG7_PF19031_15 <unknown description>
+WNYFFLYDGSKVKEEGDPTRAGICYFYPSQTLLDQQELLCGQIAGVVRCVSDISDSPPTL
+VRLRKLKFAIKVDGDYLWVLGCAVELPDVSCKRFLDQLVGFFNFYNG
+>Q9NQG7_PF19033_599 <unknown description>
+SSTYNFTHYDRIQSLLMANLPQVATPQDRRFLQAVSLMHSEFAQLPALYEMTVRNASTAV
+YACCNPIQETYFQQLAPAARSSGFPNPQDGAFSLSGKAKQ
+>Q86YV9_PF15702_1 <unknown description>
+MKRSGTLRLLSDLSAFGGAARLRELVAGDSAVRVRGSPDGRHLLLLRPPGAVAPQLLVAS
+RGPGAELERAWPAGQPSPLDAFFLPWPARPALVLVWESGLAEVWGAGVGPGWRPLQSTEL
+CPGGGARVVAVAALRGRLVWCEERQARAEGPSGSPAAAFSHCVCVRTLEPSGEASTSLGR
+THVLLHHCPAFGLLASCRQLFLVPTATTWPGVAHVLLIWSPGKGKVMVAAPRLGLSYSKS
+LNPGRGDTWDFRTLLRGLPGLLSPREPLAVHTWAPTPQGLLLLDFGGTVSLLQSHGGTRA
+VGTLQEAPVGPWGSAALGTFQGTLACVLGSTLELLDMGSGQLLERKVLSTDRVHLLEPPA
+PGMEDEEELETRGNLRLLSALGLFCVGWEAPQGVELPSAKDLVFEEACGYYQRRSLRGAQ
+LTPEELRHSSTFRAPQALASILQGHLPPSALLTMLRTELRDYRGLEQLKAQLVAGDDEEA
+GWTELAEQEVARLLRTELIGDQLAQLNTVFQALPTAAWGATLRALQLQLDGNGKLRSQAP
+PDVWKKVLGGITAGKEPPNGILPPFELLCQCLCQLEPRWLPPFVELAQQQGGPGWGAGGP
+GLPLYRRALAVLGEEGTRPEALELELLLSSGRPKAVLQAVGQLVQKEQWDRALDAGLALG
+PSSPLLRSEIFKLLLAEFAQHRRLDAHLPLLCRLCPPELAPAELLLLLRTYLPDEVGPPT
+PFPEPGAEPPLTVGLLKALLEQTGAQGWLSGPVLSPYEDILWDPSTPPPTPPR
+>Q8WWQ2_PF03662_190 <unknown description>
+KEQFSNTYSNLILTARSLDKLYNFADCSGLHLIFALNALRRNPNNSWNSSSALSLLKYSA
+SKKYNISWELGNEPNNYRTMHGRAVNGSQLGKDYIQLKSLLQPIRIYSRASLYGPNIGRP
+RKNVIALLDGFMKVAGSTVDAVTWQHCYIDGRVVKVMDFLKTRLLDTLSDQIRKIQKVVN
+TYTPGKKIWLEGVVTTSAGGTNNLSDSYAAGFLWLNTLG
+>Q9Y251_PF03662_160 <unknown description>
+FKNSTYSRSSVDVLYTFANCSGLDLIFGLNALLRTADLQWNSSNAQLLLDYCSSKGYNIS
+WELGNEPNSFLKKADIFINGSQLGEDFIQLHKLLRKSTFKNAKLYGPDVGQPRRKTAKML
+KSFLKAGGEVIDSVTWHHYYLNGRTATKEDFLNPDVLDIFISSVQKVFQVVESTRPGKKV
+WLGETSSAYGGGAPLLSDTFAAGFMWLDKLG
+>P00739_PF00089_104 <unknown description>
+ILGGHLDAKGSFPWQAKMVSHHNLTTGATLINEQWLLTTAKNLFLNHSENATAKDIAPTL
+TLYVGKKQLVEIEKVVLHPNYHQVDIGLIKLKQKVLVNERVMPICLPSKNYAEVGRVGYV
+SGWGQSDNFKLTDHLKYVMLPVADQYDCITHYEGSTCPKWKAPKSPVGVQPILNEHTFCV
+GMSKYQEDTCYGDAGSAFAVHDLEEDTWYAAGILSFDKSCAVAEYGVYVKVTSIQHWV
+>P00738_PF00089_162 <unknown description>
+ILGGHLDAKGSFPWQAKMVSHHNLTTGATLINEQWLLTTAKNLFLNHSENATAKDIAPTL
+TLYVGKKQLVEIEKVVLHPNYSQVDIGLIKLKQKVSVNERVMPICLPSKDYAEVGRVGYV
+SGWGRNANFKFTDHLKYVMLPVADQDQCIRHYEGSTVPEKKTPKSPVGVQPILNEHTFCA
+GMSKYQEDTCYGDAGSAFAVHDLEEDTWYATGILSFDKSCAVAEYGVYVKVTSIQDWV
+>Q6UXD1_PF15758_30 <unknown description>
+TCLFHGRQDCDVERNRTAAGGNRVRRAQPWPFRRRGHLGIFHHHRHPGHVSHVPNVGLHH
+HHHPRHTPHHLHHHHHPHR
+>Q6P1K1_PF16954_9 <unknown description>
+GLRAAYSGISSVAGFSIFLVWTVVYRQPGTAAMGGLAGVLALWVLVTHVMYMQDYW
+>Q6P1K1_PF16954_71 <unknown description>
+LRGFFFVGVLFSAVSIAAFCTFLVLAITRHQSLTDPTSYYLSSVWSFISFKW
+>P04196_PF00031_25 <unknown description>
+SAVEPEAEKALDLINKRRRDGYLFQLLRIADAHLDRVENTTVYYLVLDVQESDCSVLSRK
+YWNDCEPPD
+>P35367_PF00001_45 <unknown description>
+NLLVLYAVRSERKLHTVGNLYIVSLSVADLIVGAVVMPMNILYLLMSKWSLGRPLCLFWL
+SMDYVASTASIFSVFILCIDRYRSVQQPLRYLKYRTKTRASATILGAWFLSFLWVIPILG
+WNHFMQQTSVRREDKCETDFYDVTWFKVMTAIINFYLPTLLMLWFYAKIYKAVRQHCQHR
+ELINRSLPSFSEIKLRPENPKGDAKKPGKESPWEVLKRKPKDAGGGSVLKSPSQTPKEMK
+SPVVFSQEDDREVDKLYCFPLDIVHMQAAAEGSSRDYVAVNRSHGQLKTDEQGLNTHGAS
+EISEDQMLGDSQSFSRTDSDTTTETAPGKGKLRSGSNTGLDYIKFTWKRLRSHSRQYVSG
+LHMNRERKAAKQLGFIMAAFILCWIPYFIFFMVIAFCKNCCNEHLHMFTIWLGYINSTLN
+PLIY
+>Q9Y5N1_PF00001_51 <unknown description>
+GNALVMLAFVADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLW
+LVVDYLLCTSSAFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMLLVWVLAFLLYGPA
+ILSWEYLSGGSSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTR
+LRLDGAREAAGPEPPPEAQPSPPPPPGCWGCWQKGHGEAMPLHRYGVGEAAVGAEAGEAT
+LGGGGGGGSVASPTSSSGSSSRGTERPRSLKRGSKPSASSASLEKRMKMVSQSFTQRFRL
+SRDRKVAKSLAVIVSIFGLCWAPYTLLMIIRAACHGHCVPDYWYETSFWLLWANSAVNPV
+LY
+>Q9H3N8_PF00001_32 <unknown description>
+GNALVILAFVVDKNLRHRSSYFFLNLAISDFFVGVISIPLYIPHTLFEWDFGKEICVFWL
+TTDYLLCTASVYNIVLISYDRYLSVSNAVSYRTQHTGVLKIVTLMVAVWVLAFLVNGPMI
+LVSESWKDEGSECEPGFFSEWYILAITSFLEFVIPVILVAYFNMNIYWSLWKRDHLSRCQ
+SHPGLTAVSSNICGHSFRGRLSSRRSLSASTEVPASFHSERQRRKSSLMFSSRTKMNSNT
+IASKMGSFSQSDSVALHQREHVELLRARRLAKSLAILLGVFAVCWAPYSLFTIVLSFYSS
+ATGPKSVWYRIAFWLQWFNSFVNPLLY
+>O00198_PF15196_1 <unknown description>
+MCPCPLHRGRGPPAVCACSAGRLGLRSSAAQLTAARLKALGDELHQRTMWRRRARSRRAP
+APGALPTYWPWLCAAAQVAALAAWLLGRRNL
+>Q8N3J3_PF15072_492 <unknown description>
+NKVPNMAVMIKSLTRSTMDASVVFKDPTGEMQGTVHRLLLETCQNELKPGSVLLLKQIGV
+FSPSLRNHYLNVTPNNLVHIYSPD
+>Q92598_PF00012_3 <unknown description>
+VVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHANN
+TVSNFKRFHGRAFNDPFIQKEKENLSYDLVPLKNGGVGIKVMYMGEEHLFSVEQITAMLL
+TKLKETAENSLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALNYG
+IYKQDLPSLDEKPRIVVFVDMGHSAFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKLVE
+HFCAEFKTKYKLDAKSKIRALLRLYQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGKMN
+RSQFEELCAELLQKIEVPLYSLLEQTHLKVEDVSAVEIVGGATRIPAVKERIAKFFGKDI
+STTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLIWNHDSEDTEGVHEVFSR
+NHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKVKV
+RVNTHGIFTISTASMVEKVPTEENEMSSEADMECLNQRPPENPDTDKNVQQDNSEAGTQP
+QVQTDAQQTSQSPPSPELTSEENKIPDADKANEKKVDQPPEAKKPKIKVVNVELPIEANL
+VWQLGKDLLNMYIETEGKMIMQDKLEKERNDAKNAVEEYVYEFRDKLCGPYEKFICEQDH
+QNFLRLLTETEDWLYEEGEDQAKQAYVDKLEELMKIGTPVKV
+>Q7LGA3_PF03567_71 <unknown description>
+EEDMVIIYNRVPKTASTSFTNIAYDLCAKNKYHVLHINTTKNNPVMSLQDQVRFVKNITS
+WKEMKPGFYHGHVSYLDFAKFGVKKKPIYINVIRDPIERLVSYYYFLRFGDDYRPGLRRR
+KQGDKKTFDECVAEGGSDCAPEKLWLQIPFFCGHSSECWNVGSRWAMDQAKYNLINEYFL
+VGVTEELEDFIMLLEAALPRFFRGATELYRTGKKSHLRKTTEKKLPTKQTIAKLQQSDIW
+KMENEFYEFA
+>O14792_PF00685_55 <unknown description>
+PQTIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSHGLGWYLSQMPFSWPHQ
+LTVEKTPAYFTSPKVPERVYSMNPSIRLLLILRDPSERVLSDYTQVFYNHMQKHKPYPSI
+EEFLVRDGRLNVDYKALNRSLYHVHMQNWLRFFPLRHIHIVDGDRLIRDPFPEIQKVERF
+LKLSPQINASNFYFNKTKGFYCLRDSGRDRCLHESKGRAHPQVDPKLLNKLHEYFHEPNK
+>Q9Y278_PF00685_115 <unknown description>
+PQALIVGVKKGGTRAVLEFIRVHPDVRALGTEPHFFDRNYGRGLDWYRSLMPRTLESQIT
+LEKTPSYFVTQEAPRRIFNMSRDTKLIVVVRNPVTRAISDYTQTLSKKPDIPTFEGLSFR
+NRTLGLVDVSWNAIRIGMYVLHLESWLQYFPLAQIHFVSGERLITDPAGEMGRVQDFLGI
+KRFITDKHFYFNKTKGFPCLKKTESSLLPRCLGKSKGRTHVQIDPEVIDQLREFYR
+>Q9Y661_PF00685_198 <unknown description>
+PQALIIGVKKGGTRALLEAIRVHPDVRAVGVEPHFFDRNYEKGLEWYRNVMPKTLDGQIT
+MEKTPSYFVTNEAPKRIHSMAKDIKLIVVVRNPVTRAISDYTQTLSKKPEIPTFEVLAFK
+NRTLGLIDASWSAIRIGIYALHLENWLQYFPLSQILFVSGERLIVDPAGEMAKVQDFLGL
+KRVVTEKHFYFNKTKGFPCLKKPEDSSAPRCLGKSKGRTHPRIDPDVIHRLRKFYK
+>Q8IZT8_PF00685_91 <unknown description>
+PKAIIIGVRKGGTRALLEMLNLHPAVVKASQEIHFFDNDENYGKGIEWYRKKMPFSYPQQ
+ITIEKSPAYFITEEVPERIYKMNSSIKLLIIVREPTTRAISDYTQVLEGKERKNKTYYKF
+EKLAIDPNTCEVNTKYKAVRTSIYTKHLERWLKYFPIEQFHVVDGDRLITEPLPELQLVE
+KFLNLPPRISQYNLYFNATRGFYCLRFNIIFNKCLAGSKGRIHPEVDPSVITKLRKFFHP
+FNQKF
+>Q96QI5_PF00685_91 <unknown description>
+PQALIVGVKKGGTRALLEFLRLHPDVRALGSEPHFFDRCYERGLAWYRSLMPRTLDGQIT
+MEKTPSYFVTREAPRRIHAMSPDTKLIVVVRNPVTRAISDYAQTLSKTPGLPSFRALAFR
+HGLGPVDTAWSAVRIGLYAQHLDHWLRYFPLSHFLFVSGERLVSDPAGEVGRVQDFLGLK
+RVVTDKHFYFNATKGFPCLKKAQGGSRPRCLGKSKGRPHPRVPQALVRRLQEFYR
+>Q9Y663_PF00685_153 <unknown description>
+PQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYDKGLAWYRDLMPRTLDGQIT
+MEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDYTQTLSKRPDIPTFESLTFK
+NRTAGLIDTSWSAIQIGIYAKHLEHWLRHFPIRQMLFVSGERLISDPAGELGRVQDFLGL
+KRIITDKHFYFNKTKGFPCLKKAEGSSRPHCLGKTKGRTHPEIDREVVRRLREFYR
+>Q9Y662_PF00685_138 <unknown description>
+PQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYDKGLAWYRDLMPRTLDGQIT
+MEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDYTQTLSKRPDIPTFESLTFK
+NRTAGLIDTSWSAIQIGIYAKHLEHWLRHFPIRQMLFVSGERLISDPAGELGRVQDFLGL
+KRIITDKHFYFNKTKGFPCLKKAEGSSRPHCLGKTKGRTHPEIDREVVRRLREFYR
+>P0DMV8_PF00012_6 <unknown description>
+AIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQN
+TVFDAKRLIGRKFGDPVVQSDMKHWPFQVINDGDKPKVQVSYKGETKAFYPEEISSMVLT
+KMKEIAEAYLGYPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGL
+DRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNHFVEEF
+KRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRARFEEL
+CSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLNKSINP
+DEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQT
+QIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGI
+LNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKNALESY
+AFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELEQVCNP
+IISGLY
+>P0DMV9_PF00012_6 <unknown description>
+AIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQN
+TVFDAKRLIGRKFGDPVVQSDMKHWPFQVINDGDKPKVQVSYKGETKAFYPEEISSMVLT
+KMKEIAEAYLGYPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGL
+DRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNHFVEEF
+KRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRARFEEL
+CSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLNKSINP
+DEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQT
+QIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGI
+LNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKNALESY
+AFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELEQVCNP
+IISGLY
+>P34931_PF00012_8 <unknown description>
+AIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQN
+TVFDAKRLIGRKFNDPVVQADMKLWPFQVINEGGKPKVLVSYKGENKAFYPEEISSMVLT
+KLKETAEAFLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGL
+DKGGQGERHVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVSHFVEEF
+KRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQANLEIDSLYEGIDFYTSITRARFEEL
+CADLFRGTLEPVEKALRDAKMDKAKIHDIVLVGGSTRIPKVQRLLQDYFNGRDLNKSINP
+DEAVAYGAAVQAAILMGDKSEKVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQT
+QIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFDLTGIPPAPRGVPQIEVTFDIDANGI
+LNVTATDKSTGKVNKITITNDKGRLSKEEIERMVLDAEKYKAEDEVQREKIAAKNALESY
+AFNMKSVVSDEGLKGKISESDKNKILDKCNELLSWLEVNQLAEKDEFDHKRKELEQMCNP
+IITKLYQ
+>O95757_PF00012_3 <unknown description>
+VVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQIVTNVRN
+TIHGFKKLHGRSFDDPIVQTERIRLPYELQKMPNGSAGVKVRYLEEERPFAIEQVTGMLL
+AKLKETSENALKKPVADCVISIPSFFTDAERRSVMAAAQVAGLNCLRLMNETTAVALAYG
+IYKQDLPPLDEKPRNVVFIDMGHSAYQVLVCAFNKGKLKVLATTFDPYLGGRNFDEALVD
+YFCDEFKTKYKINVKENSRALLRLYQECEKLKKLMSANASDLPLNIECFMNDLDVSSKMN
+RAQFEQLCASLLARVEPPLKAVMEQANLQREDISSIEIVGGATRIPAVKEQITKFFLKDI
+STTLNADEAVARGCALQCAILSPAFKVREFSITDLVPYSITLRWKTSFEDGSGECEVFCK
+NHPAPFSKVITFHKKEPFELEAFYTNLHEVPYPDARIGSFTIQNVFPQSDGDSSKVKVKV
+RVNIHGIFSVASASVIEKQNLEGDHSDAPMETETSFKNENKDNMDKMQVDQEEGHQKCHA
+EHTPEEEIDHTGAKTKSAVSDKQDRLNQTLKKGKV
+>O95757_PF00012_583 <unknown description>
+PIQSSLCRQLGQDLLNSYIENEGKMIMQDKLEKERNDAKNAVEEYVYDFRDRLGTVYEKF
+ITPEDLSKLSAVLEDTENWLYEDGEDQPKQVYVDKLQELKKYGQPIQMKYM
+>P07900_PF02518_40 <unknown description>
+NKEIFLRELISNSSDALDKIRYESLTDPSKLDSGKELHINLIPNKQDRTLTIVDTGIGMT
+KADLINNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQ
+YAWESSAGGSFTVRTDTGEPMGRGTKVILHLKED
+>P07900_PF00183_196 <unknown description>
+EYLEERRIKEIVKKHSQFIGYPITLFVEKERDKEVSDDEAEEKEDKEEEKEKEEKESEDK
+PEIEDVGSDEEEEKKDGDKKKKKKIKEKYIDQEELNKTKPIWTRNPDDITNEEYGEFYKS
+LTNDWEDHLAVKHFSVEGQLEFRALLFVPRRAPFDLFENRKKKNNIKLYVRRVFIMDNCE
+ELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKKCLELFTELAEDKENYK
+KFYEQFSKNIKLGIHEDSQNRKKLSELLRYYTSASGDEMVSLKDYCTRMKENQKHIYYIT
+GETKDQVANSAFVERLRKHGLEVIYMIEPIDEYCVQQLKEFEGKTLVSVTKEGLELPEDE
+EEKKKQEEKKTKFENLCKIMKDILEKKVEKVVVSNRLVTSPCCIVTSTYGWTANMERIMK
+AQALRDNSTMGYMAAKKHLEINPDHSIIETLRQKAEADKNDKSVKDLVILLYETALLSSG
+FSLEDPQTHANRIYRMIKLGLGIDEDDPTADDTSAAVTE
+>P08238_PF02518_35 <unknown description>
+NKEIFLRELISNASDALDKIRYESLTDPSKLDSGKELKIDIIPNPQERTLTLVDTGIGMT
+KADLINNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAYLVAEKVVVITKHNDDEQ
+YAWESSAGGSFTVRADHGEPIGRGTKVILHLKED
+>P08238_PF00183_191 <unknown description>
+EYLEERRVKEVVKKHSQFIGYPITLYLEKEREKEISDDEAEEEKGEKEEEDKDDEEKPKI
+EDVGSDEEDDSGKDKKKKTKKIKEKYIDQEELNKTKPIWTRNPDDITQEEYGEFYKSLTN
+DWEDHLAVKHFSVEGQLEFRALLFIPRRAPFDLFENKKKKNNIKLYVRRVFIMDSCDELI
+PEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNIVKKCLELFSELAEDKENYKKFY
+EAFSKNLKLGIHEDSTNRRRLSELLRYHTSQSGDEMTSLSEYVSRMKETQKSIYYITGES
+KEQVANSAFVERVRKRGFEVVYMTEPIDEYCVQQLKEFDGKSLVSVTKEGLELPEDEEEK
+KKMEESKAKFENLCKLMKEILDKKVEKVTISNRLVSSPCCIVTSTYGWTANMERIMKAQA
+LRDNSTMGYMMAKKHLEINPDHPIVETLRQKAEADKNDKAVKDLVVLLFETALLSSGFSL
+EDPQTHSNRIYRMIKLGLGIDEDEVAAEEPNA
+>O75506_PF06825_10 <unknown description>
+QDLTSVVQTLLQQMQDKFQTMSDQIIGRIDDMSSRIDDLEKNIADLMTQAG
+>C9JCN9_PF06825_13 <unknown description>
+ALRDAAENLFQELQEHFQALTATLNLRMEEMGNRIEDLQKNVKDLMVQAG
+>Q8IWL3_PF18256_39 <unknown description>
+PRCWNCGGPWGPGREDRFFCPQCRALQ
+>Q8IWL3_PF07743_157 <unknown description>
+MDRQFLIEIMEINEKLAEAESEAAMKEIESIVKAKQKEFTDNVSSAFEQDDFEEAKEILT
+KMRYFSNIEEKIK
+>Q3SXM5_PF00106_69 <unknown description>
+WAVVSGATDGIGKAYAEELASRGLNIILISRNEEKLQVVAKDIADTYKVETDIIVADFSS
+GREIYLPIREALKDKDVGILVNNVGVFYPYPQYFTQLSEDKLWDIINVNIAAASLMVHVV
+LPGMVERKKGAIVTISSGSCCKPTPQLAAFSASKAYLDHFSRALQYEYASKGIFVQSLIP
+FYVATSMTAPS
+>Q6YN16_PF00106_12 <unknown description>
+TVFITGASRGIGKAIALKAAKDGANIVIAAKTAQPHPKLLGTIYTAAEEIEAVGGKALPC
+IVDVRDEQQISAAVEKAIKKFGGIDILVNNASAISLTNTLDTPTKRLDLMMNVNTRGTYL
+ASKACIPYLKKSKVAHILNISPPLNLNPVWFKQHCAYTIAKYGMSMYVLGMAEEFKGEIA
+VNALWPK
+>Q6YN16_PF02036_318 <unknown description>
+KDSLSDDVVKATQAIYLFELSGEDGGTWFLDLKSKGGNVGYGEPSDQADVVMSMTTDDFV
+KMFSGKLKPTMAFMSGKLKIKGNMALAIKLEKLM
+>Q00613_PF00447_18 <unknown description>
+FLTKLWTLVSDPDTDALICWSPSGNSFHVFDQGQFAKEVLPKYFKHNNMASFVRQLNMYG
+FRKVVHIEQGGLVKPERDDTEFQHPCFLRGQEQLLENIKRK
+>Q00613_PF06546_247 <unknown description>
+YSSSSLYAPDAVASSGPIISDITELAPASPMASPGGSIDERPLSSSPLVRVKEEPPSPPQ
+SPRVEEASPGRPSSVDTLLSPTALIDSILRESEPAPASVTALTDARGHTDTEGRPPSPPP
+TSTPEKCLSVACLDKNELSDHLDAMDSNLDNLQTMLSSHGFSVDTSALLDLFSPSVTVPD
+MSLPDLDSSLASIQELLSPQEPPRPPEAENSSPDSGKQLVHYTAQPLFLLDPGSVDTGSN
+DLPVLFELGEGSYFSEGDGFAEDPTISLLTGSEPPKAKDPTVS
+>Q03933_PF00447_10 <unknown description>
+FLSKLWTLVEETHTNEFITWSQNGQSFLVLDEQRFAKEILPKYFKHNNMASFVRQLNMYG
+FRKVVHIDSGIVKQERDGPVEFQHPYFKQGQDDLLENIKRK
+>Q03933_PF06546_230 <unknown description>
+HSRTEGLKPRERISDDIIIYDVTDDNADEENIPVIPETNEDVISDPSNCSQYPDIVIVED
+DNEDEYAPVIQSGEQNEPARESLSSGSDGSSPLMSSAVQLNGSSSLTSEDPVTMMDSILN
+DNINLLGKVELLDYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDLFTSSVQMNPTDYINNT
+KSENKGLETTKNNVVQPVSEEGRKSKSKPDKQLIQYTAFPLLAFLDGNPASSVEQASTTA
+SSEVLSSVDKPIEVDELLDSSLDPEPTQSKLVRLEPLTEAEA
+>Q9ULV5_PF00447_20 <unknown description>
+FLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLPQYFKHSNMASFVRQLNMYG
+FRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK
+>Q4G112_PF00447_14 <unknown description>
+FPAKLWRLVNSPRYRSIRWDGRGEGLLIDQPLFEAELLSPPGPGGGGGTAGAGAEPELFK
+TTSFTSFIRQLNLYGFRKVVLGGPGGGKPAGNGPLHHFHNPHFRRDQPQLLVHLKR
+>Q9UBD0_PF00447_99 <unknown description>
+FPQKLWRLVSSNQFSSIWWDDSGACRVINQKLFEKEILKRDVAHKVFATTSIKSFFRQLN
+LYGFRKRRQCTFRTFTRIFSAKRLVSILNKLEFYCHPYFQRDSPHLLVRMKRR
+>A0A1B0GWH4_PF00447_83 <unknown description>
+FPRKLWTIVEEDTFKSVSWNDDGDAVIIDKDLFQREVLQRKGAERIFKTDSLTSFIRQLN
+LYGFCKTRPSNSPGNKKMMIYCNSNFQRDKPRLLENIQRK
+>A0A1B0GTS1_PF00447_83 <unknown description>
+FPRKLWTIVEEDTFKSVSWNDDGDAVIIDKDLFQREVLQRKGAERIFKTDNLTSFIRQLN
+LYGFCKTRPSNSPGNKKMMIYCNSNFQRDKPRLLENIQRK
+>Q96LI6_PF00447_80 <unknown description>
+FPRKLWKIVESDQFKSISWDENGTCIVINEELFKKEILETKAPYRIFQTDAIKSFVRQLN
+LYGFSKIQQNFQRSAFLATFLSEEKESSVLSKLKFYYNPNFKRGYPQLLVRVKRR
+>Q96JZ2_PF00017_34 <unknown description>
+WFHGAISREDAENLLESQPLGSFLIRVSHSHVGYTLSYKAQSSCCHFMVKLLDDGTFMIP
+GEKVAHTSLDALVTFH
+>P48723_PF00012_33 <unknown description>
+VIGIDLGTTYCSVGVFFPGTGKVKVIPDENGHISIPSMVSFTDNDVYVGYESVELADSNP
+QNTIYDAKRFIGKIFTAEELEAEIGRYPFKVLNKNGMVEFSVTSNETITVSPEYVGSRLL
+LKLKEMAEAYLGMPVANAVISVPAEFDLKQRNSTIEAANLAGLKILRVINEPTAAAMAYG
+LHKADVFHVLVIDLGGGTLDVSLLNKQGGMFLTRAMSGNNKLGGQDFNQRLLQYLYKQIY
+QTYGFVPSRKEEIHRLRQAVEMVKLNLTLHQSAQLSVLLTVEEQD
+>P48723_PF00012_358 <unknown description>
+QVLFETEISRKLFDTLNEDLFQKILVPIQQVLKEGHLEKTEIDEVVLVGGSTRIPRIRQV
+IQEFFGKDPNTSVDPDLAVVTGVAIQAGIDGGS
+>P04553_PF00260_2 <unknown description>
+ARYRCCRSQSRSRYYRQRQRSRRRRRRSCQTRRRAMRCCRPRYRPRCRR
+>P54652_PF00012_7 <unknown description>
+AIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTN
+TIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGETKTFFPEEISSMVLT
+KMKEIAEAYLGGKVHSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAAAIAYGL
+DKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVSHLAE
+EFKRKHKKDIGPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSITRARFE
+ELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGKELNKSI
+NPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRNTTIPTK
+QTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVTFDIDAN
+GILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVAAKNALE
+SYTYNIKQTVEDEKLRGKISEQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQKELERVC
+NPIISKLYQ
+>P34932_PF00012_3 <unknown description>
+VVGIDLGFQSCYVAVARAGGIETIANEYSDRCTPACISFGPKNRSIGAAAKSQVISNAKN
+TVQGFKRFHGRAFSDPFVEAEKSNLAYDIVQLPTGLTGIKVTYMEEERNFTTEQVTAMLL
+SKLKETAESVLKKPVVDCVVSVPCFYTDAERRSVMDATQIAGLNCLRLMNETTAVALAYG
+IYKQDLPALEEKPRNVVFVDMGHSAYQVSVCAFNRGKLKVLATAFDTTLGGRKFDEVLVN
+HFCEEFGKKYKLDIKSKIRALLRLSQECEKLKKLMSANASDLPLSIECFMNDVDVSGTMN
+RGKFLEMCNDLLARVEPPLRSVLEQTKLKKEDIYAVEIVGGATRIPAVKEKISKFFGKEL
+STTLNADEAVTRGCALQCAILSPAFKVREFSITDVVPYPISLRWNSPAEEGSSDCEVFSK
+NHAAPFSKVLTFYRKEPFTLEAYYSSPQDLPYPDPAIAQFSVQKVTPQSDGSSSKVKVKV
+RVNVHGIFSVSSASLVEVHKSEENEEPMETDQNAKEEEKMQVDQEEPHVEEQQQQTPAEN
+KAESEEMETSQAGSKDKKMDQPPQAKKAKVKTSTVDLPIENQLLWQIDREMLNLYIENEG
+KMIM
+>P17066_PF00012_8 <unknown description>
+AVGIDLGTTYSCVGVFQQGRVEILANDQGNRTTPSYVAFTDTERLVGDAAKSQAALNPHN
+TVFDAKRLIGRKFADTTVQSDMKHWPFRVVSEGGKPKVRVCYRGEDKTFYPEEISSMVLS
+KMKETAEAYLGQPVKHAVITVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGL
+DRRGAGERNVLIFDLGGGTFDVSVLSIDAGVFEVKATAGDTHLGGEDFDNRLVNHFMEEF
+RRKHGKDLSGNKRALRRLRTACERAKRTLSSSTQATLEIDSLFEGVDFYTSITRARFEEL
+CSDLFRSTLEPVEKALRDAKLDKAQIHDVVLVGGSTRIPKVQKLLQDFFNGKELNKSINP
+DEAVAYGAAVQAAVLMGDKCEKVQDLLLLDVAPLSLGLETAGGVMTTLIQRNATIPTKQT
+QTFTTYSDNQPGVFIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGI
+LSVTATDRSTGKANKITITNDKGRLSKEEVERMVHEAEQYKAEDEAQRDRVAAKNSLEAH
+VFHVKGSLQEESLRDKIPEEDRRKMQDKCREVLAWLEHNQLAEKEEYEHQKRELEQICRP
+IFSRLY
+>P11142_PF00012_6 <unknown description>
+AVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTN
+TVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYPEEVSSMVLT
+KMKEIAEAYLGKTVTNAVVTVPAYFNDSQRQATKDAGTIAGLNVLRIINEPTAAAIAYGL
+DKKVGAERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFIAEF
+KRKHKKDISENKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGIDFYTSITRARFEEL
+NADLFRGTLDPVEKALRDAKLDKSQIHDIVLVGGSTRIPKIQKLLQDFFNGKELNKSINP
+DEAVAYGAAVQAAILSGDKSENVQDLLLLDVTPLSLGIETAGGVMTVLIKRNTTIPTKQT
+QTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELTGIPPAPRGVPQIEVTFDIDANGI
+LNVSAVDKSTGKENKITITNDKGRLSKEDIERMVQEAEKYKAEDEKQRDKVSSKNSLESY
+AFNMKATVEDEKLQGKINDEDKQKILDKCNEIINWLDKNQTAEKEEFEHQQKELEKVCNP
+IITKLYQ
+>Q0VDF9_PF00012_3 <unknown description>
+AIGVHLGCTSACVAVYKDGRAGVVANDAGDRVTPAVVAYSENEEIVGLAAKQSRIRNISN
+TVMKVKQILGRSSSDPQAQKYIAESKCLVIEKNGKLRYEIDTGEETKFVNPEDVARLIFS
+KMKETAHSVLGSDANDVVITVPFDFGEKQKNALGEAARAAGFNVLRLIHEPSAALLAYGI
+GQDSPTGKSNILVFKLGGTSLSLSVMEVNSGIYRVLSTNTDDNIGGAHFTETLAQYLASE
+FQRSFKHDVRGNARAMMKLTNSAEVAKHSLSTLGSANCFLDSLYEGQDFDCNVSRARFEL
+LCSPLFNKCIEAIRGLLDQNGFTADDINKVVLCGGSSRIPKLQQLIKDLFPAVELLNSIP
+PDEVIPIGAAIEAGILIGKENLLVEDSLMIECSARDILVKGVDESGASRFTVLFPSGTPL
+PARRQHTLQAPGSISSVCLELYESDGKNSAKEETKFAQVVLQDLDKKENGLRDILAVLTM
+KRDGSLHVTCTDQETGKCEAISIE
+>P04792_PF00011_88 <unknown description>
+IRHTADRWRVSLDVNHFAPDELTVKTKDGVVEITGKHEERQDEHGYISRCFTRKYTLPPG
+VDPTQVSSSLSPEGTLTVEAPMPKLATQSNEITIPV
+>Q16082_PF00525_19 <unknown description>
+ANPSRLGEQRFGEGLLPEEILTPTLYHGYYVRPR
+>Q16082_PF00011_70 <unknown description>
+SEGKFQAFLDVSHFTPDEVTVRTVDNLLEVSARHPQRLDRHGFVSREFCRTYVLPADVDP
+WRVRAALSHDGILNLEAPRGGRHLDTEVNEVYI
+>Q12988_PF00011_66 <unknown description>
+EGKSHFQILLDVVQFLPEDIIIQTFEGWLLIKAQHGTRMDEHGFISRSFTRQYKLPDGVE
+IKDLSAVLCHDGILVVEVKDP
+>O14558_PF00525_3 <unknown description>
+IPVPVQPSWLRRASAPLPGLSAPGRLFDQRFGEGLLEAELAALCPTTLAPYYLRAPSVA
+>O14558_PF00011_69 <unknown description>
+TDPGHFSVLLDVKHFSPEEIAVKVVGEHVEVHARHEERPDEHGFVAREFHRRYRLPPGVD
+PAAVTSALSPEGVLSIQAAPASAQ
+>Q9UBY9_PF00011_75 <unknown description>
+IKTLGDAYEFAVDVRDFSPEDIIVTTSNNHIEVRAEKLAADGTVMNTFAHKCQLPEDVDP
+TSVTSALREDGSLTIRARRHPHTEH
+>Q9UJY1_PF00011_95 <unknown description>
+PWKVCVNVHSFKPEELMVKTKDGYVEVSGKHEEKQQEGGIVSKNFTKKIQLPAEVDPVTV
+FASLSPEGLLIIEAPQVPP
+>Q9BQS6_PF00011_50 <unknown description>
+ARDGFQMKLDAHGFAPEELVVQVDGQWLMVTGQQQLDVRDPERVSYRMSQKVHRKMLPSN
+LSPTAMTCCLTPSGQLWV
+>Q9BUP3_PF13460_25 <unknown description>
+GASGETGRVLLKEILEQGLFSKVTLIGRRKLTFDEEAYKNVNQEVVDFEKLDDYASAFQG
+HDVGFCCLGTTRGKAGAEGFVRVDRDYVLKSAELAKAGGCKHFNLLSSKGADKSSNFLYL
+QVKGEVEAKVEELKFDRYSVFRPGVLLCD
+>P86397_PF01575_42 <unknown description>
+AELRRAFTQTDVATFSELTGDVNPLHLNEDFAKHTKFGNTIVHGVLINGLISALLGTKMP
+GPGCVFLSQEISFPAPLYIGEVVLASAEVKKLKRFIAIIAVSCSVI
+>Q99081_PF00010_602 <unknown description>
+RRMANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLE
+>Q92743_PF00219_37 <unknown description>
+CPDRCEPARCPPQPEHCEGGRARDACGCCEVCGAPEGAACGLQEGPCGEGLQC
+>Q92743_PF07648_110 <unknown description>
+CVCASSEPVCGSDANTYANLCQLRAASRRSERLHRPPVIVLQRGAC
+>Q92743_PF13365_204 <unknown description>
+GSGFIVSEDGLIVTNAHVVTNKHRVKVELKNGATYEAKIKDVDEKADIALIKIDHQGKLP
+VLLLGRSSELRPGEFVVAIGSPFSLQNTVTTGIVSTTQRGGKELGLRNSDMDYIQTDAII
+NYGNSGGPLVNLDGEVIGI
+>Q92743_PF17820_416 <unknown description>
+EVIPDTPAEAGGLKENDVIISINGQSVVSANDVSDVIKRESTLNMVVRR
+>O43464_PF13365_182 <unknown description>
+GSGFVVAADGLIVTNAHVVADRRRVRVRLLSGDTYEAVVTAVDPVADIATLRIQTKEPLP
+TLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQTNVEYIQTDAAI
+DFGNSGGPLVNLDGEVIGV
+>O43464_PF17820_395 <unknown description>
+KVILGSPAHRAGLRPGDVILAIGEQMVQNAEDVYEAVRTQSQLAVQIRR
+>P83110_PF00219_25 <unknown description>
+CPARCDVSRCPSPRCPGGYVPDLCNCCLVCAASEGEPCGGPLDSPCGESLEC
+>P83110_PF07648_80 <unknown description>
+LCRCRWSHAVCGTDGHTYANVCALQAASRRALQLSGTPVRQLQKGAC
+>P83110_PF13365_175 <unknown description>
+GSGFIMSEAGLIITNAHVVSSNSAAPGRQQLKVQLQNGDSYEATIKDIDKKSDIATIKIH
+PKKKLPVLLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTAQREGRELGLRDSDMDYI
+QTDAIINYGNSGGPLVNLDGEVIGI
+>P83110_PF13180_357 <unknown description>
+IGIRMRTITPSLVDELKASNPDFPEVSSGIYVQEVAPNSPSQRGGIQDGDIIVKVNGRPL
+VDSSELQEAVLTESPLLLEVRRGNDDLLF
+>P83105_PF00219_40 <unknown description>
+CPAVCQPTRCPALPTCALGTTPVFDLCRCCRVCPAAEREVCGGAQGQPCAPGLQC
+>P83105_PF07648_104 <unknown description>
+STCGCPTLGGAVCGSDRRTYPSMCALRAENRAARRLGKVPAVPVQWGNC
+>P83105_PF13365_202 <unknown description>
+GSGFIVSEDGLIITNAHVVRNQQWIEVVLQNGARYEAVVKDIDLKLDLAVIKIESNAELP
+VLMLGRSSDLRAGEFVVALGSPFSLQNTATAGIVSTKQRGGKELGMKDSDMDYVQIDATI
+NYGNSGGPLVNLDGDVIGV
+>P83105_PF13180_390 <unknown description>
+VPLSEELKMHYPDFPDVSSGVYVCKVVEGTAAQSSGLRDHDVIVNINGKPITTTTDVVKA
+LDSDSLSMAVLRGKDNLL
+>O43719_PF00076_135 <unknown description>
+VYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDNQGNLKGDGLCCYLKRESV
+ELALKLLDEDEIRGYKLH
+>O43719_PF00076_289 <unknown description>
+EDLRVECSKFGQIRKLLLFDRHPDGVASVSFRDPEEADYCIQTLDGRWFGGRQI
+>Q8TDB4_PF14962_1 <unknown description>
+MYLRRAVSKTLALPLRAPPNPAPLGKDASLRRMSSNRFPGSSGSNMIYYLVVGVTVSAGG
+YYAYKTVTSDQAKHTEHKTNLKEKTKAEIHPFQGEKENVAETEKASSEAPEELIVEAEVV
+DAEESPSATVVVIKEASACPGHVEAAPETTAVSAETGPEVTDAAARETTEVNPETTPEVT
+NAALDEAVTI
+>P57058_PF00069_66 <unknown description>
+RKLGEGSFAKVREGLHVLTGEKVAIKVIDKKRAKKDTYVTKNLRREGQIQQMIRHPNITQ
+LLDILETENSYYLVMELCPGGNLMHKIYEKKRLEESEARRYIRQLISAVEHLHRAGVVHR
+DLKIENLLLDEDNNIKLIDFGLSNCAGILGYSDPFSTQCGSPAYAAPELLARKKYGPKID
+VWSIGVNMYAMLTGTLPFTVEPFSLRALYQKMVDKEMNPLPTQLSTGAISFLRSLLEPDP
+VKRPNIQQALANRWL
+>Q8NHY5_PF04005_1 <unknown description>
+MKFRAKITGKGCLELFIHVSGTVARLAKVCVLRVRPDSLCFGPAGSGGLHEARLWCEVRQ
+GAFQQFRMEGVSEDLDEIHLELTAEHLSRAARSAAGASSLKLQLTHKRRPSLTVAVELVS
+SLGRARSVVHDLPVRVLPRRVWRDCLPPSLRASDASIRLPRWRTLRSIVERMANVGSHVL
+VEANLSGRMTLSIETEVVSIQSYFKNLGNPPQSAVGVPENRDLESMVQVRVDNRKLLQFL
+EGQQIHPTTALCNIWDNTLLQLVLVQEDVSLQYFIPA
+>O60921_PF04005_1 <unknown description>
+MKFRAKIVDGACLNHFTRISNMIAKLAKTCTLRISPDKLNFILCDKLANGGVSMWCELEQ
+ENFFNEFQMEGVSAENNEIYLELTSENLSRALKTAQNARALKIKLTNKHFPCLTVSVELL
+SMSSSSRIVTHDIPIKVIPRKLWKDLQEPVVPDPDVSIYLPVLKTMKSVVEKMKNISNHL
+VIEANLDGELNLKIETELVCVTTHFKDLGNPPLASESTHEDRNVEHMAEVHIDIRKLLQF
+LAGQQVNPTKALCNIVNNKMVHFDLLHEDVSLQYFIPAL
+>P42357_PF00221_121 <unknown description>
+LTTEDLVNLGKGRYKIKLTPTAEKRVQKSREVIDSIIKEKTVVYGITTGFGKFARTVIPI
+NKLQELQVNLVRSHSSGVGKPLSPERCRMLLALRINVLAKGYSGISLETLKQVIEMFNAS
+CLPYVPEKGTVGASGDLAPLSHLALGLVGEGKMWSPKSGWADAKYVLEAHGLKPVILKPK
+EGLALINGTQMITSLGCEAVERASAIARQADIVAALTLEVLKGTTKAFDTDIHALRPHRG
+QIEVAFRFRSLLDSDHHPSEIAESHRFCDRVQDAYTLRCCPQVHGVVNDTIAFVKNIITT
+ELNSATDNPMVFANRGETVSGGNFHGEYPAKALDYLAIGIHELAAISERRIERLCNPSLS
+ELPAFLVAEGGLNSGFMIAHCTAAALVSENKALCHPSSVDSLSTSAATEDHVSMGGWAAR
+KALRVIEHVEQVLAIELLAACQGIEFLRPLKTTTPLEKVYDLVRS
+>Q96NU7_PF01979_79 <unknown description>
+ILPGLVDAHTHPVWAGERVHEFAMKLAGATYMEIHQAGGGIHFTVERTRQATEEELFRSL
+QQRLQCMMRAGTTLVECKSGYGLDLETELKMLRVIERARRELDIGISATYCGAHSVPKGK
+TATEAADDIINNHLPKLKELGRNGEIHVDNIDVFCEKGVFDLDSTRRILQRGKDIGLQIN
+FHGDELHPMKAAELGAELGAQAISHLEEVSDEGIVAMATARCSAILLPTTAYMLRLKQPR
+ARKMLDEGVIVALGSDFNPNAYCFSMPMVMHLACVNMRMSMPEALAAATINAAYALGKSH
+THGSLEVGKQGDLIIINSSRWEHLIYQFGGHHELIEYVIAKGK
+>Q96N76_PF17391_85 <unknown description>
+MRAYPIEQYPCQTKVAAAIMHMIMNNLDPAVAQFPQELVTYGGNGQVFSNWAQFWLTMFY
+LSKMTEEQTLVMYSGHPLGLFPSSRSAPRLVITNGMVIPNYSSRTEYEKLFALGVTMYGQ
+MTAGSYC
+>Q96N76_PF01175_214 <unknown description>
+GPQGIVHGTVLTVLNAARRYLGIEDLAGKVFVTSGLGGMSGAQAKAAVIVGCIGVIAEVD
+KAALEKRHRQGWLMEVTDSLDRCIQRLREARKKKEVLSLGYHGNVVALWERLVHELDTTG
+ECLVDLGSDQTSCHNPFNGGYYPVQLSFTEAQSLMASNPAVFKDLVQESLRRQVSAINRL
+AEEKFFFWDYGNAFLLEAQRAGADVEKKGAGRTEFRYPSYVQHI
+>Q96N76_PF17392_440 <unknown description>
+DIFSQGFGPFRWVCTSGDPQDLAVTDELATSVLEEAIADGVKVSVKLQYMDNIRWIREAA
+RHRLVVGSQARILYSDQKGRVAIAVAINQAIACRRIKAPVVLSRDHHDVSGTDSPFRETS
+NIYDGSAFCADMAVQNFVGDACRGATWVALHNGGGVGWGEVINGGFGLVLDGTPEAEGRA
+RLMLSWDVSNGVARRCWSGNQKAYEIIC
+>Q7Z6Z7_PF06012_90 <unknown description>
+KMLLLAVLNFTALLIEYSFSRHLYSSIEHLTTLLASSDMQVVLAVLNLLYVFSKRSNYIT
+RLGSDKRTPLLTRLQHLAESWGGKENGFGLAECCRDLHMMKYPPSATTLHFEFYADPGAE
+VKIEKRTTSNTLHYIHIEQLDKISESPSEIMESLTKMYSIPKDKQMLLFTHIRLAHGFSN
+HRKRLQAVQARLHAISILVYSNALQESANSILYNGLIEELVDVLQITDKQLMEIKAASLR
+TLTSIVHLERTPKLSSIIDCTGTASYHGFLPVLVRNCI
+>Q7Z6Z7_PF06025_430 <unknown description>
+TRAVRVVDLITNLDMAAFQSHSGLSIFIYRLEHEVDLCRKECPFVIKPKIQRPNTTQEGE
+EMETDMDGVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSLKHIISNAEY
+YGPSLFLLATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREVLGSLPNVFS
+ALCLNARGLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLGSAVDELMRH
+QPTLKTDATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNHAAEEASSED
+EEEEEVQAMQSFNSTQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESILSNNTTDDH
+CQEFVNQKGLLPLVTILGLPNLPID
+>Q7Z6Z7_PF00627_1318 <unknown description>
+NQQQLQQLMDMGFTREHAMEALLNTSTMEQATEYL
+>Q7Z6Z7_PF02825_1617 <unknown description>
+WRWFDDRSGRWCSYSASNNSTIDSAWKSGETSVRFTAGRRRYTVQFTTMVQVNEETGNRR
+PV
+>Q7Z6Z7_PF14377_2963 <unknown description>
+SLPEGVDPSFLAALPDDIRREVLQNQLG
+>Q7Z6Z7_PF14377_3011 <unknown description>
+NPGVTEVSPEFLAALPPAIQEEVLAQQRAEQQR
+>Q7Z6Z7_PF14377_3052 <unknown description>
+SDTPMDPVTFIQTLPSDLRRSVLEDMEDSVL
+>Q7Z6Z7_PF00632_4069 <unknown description>
+ISREMFNPMYALFRTSPGDRVTYTINPSSHCNPNHLSYFKFVGRIVAKAVYDNRLLECYF
+TRSFYKHILGKSVRYTDMESEDYHFYQGLVYLLENDVSTLGYDLTFSTEVQEFGVCEVRD
+LKPNGANILVTEENKKEYVHLVCQMRMTGAIRKQLAAFLEGFYEIIPKRLISIFTEQELE
+LLISGLPTIDIDDLKSNTEYHKYQSNSIQIQWFWRALRSFDQADRAKFLQFVTGTSKVPL
+QGFAALEGMNGIQKFQIHRDDRSTDRLPSAHTCFNQLDLPAYESFEKLRHMLLLAIQECS
+EGFGL
+>Q96D96_PF00520_101 <unknown description>
+FQVIIICLVVLDALLVLAELILDLKIIQPDKNNYAAMVFHYMSITILVFFMMEIIFKLFV
+FRLEFFHHKFEILDAVVVVVSFILDIVLLFQEHQFEALGLLILLRLWRVARIINGI
+>Q96D96_PF16799_226 <unknown description>
+RQLLRLKQMNVQLAAKIQHLEFSCSEKEQEIERLNKLLRQHGLLGEVN
+>P31260_PF00046_337 <unknown description>
+RKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISRSVHLTDRQVKIWFQNRRMKLKK
+>P31270_PF12045_25 <unknown description>
+PDFSSLPSFLPQTPSSRPMTYSYSSNLPQVQPVREVTFREYAIEPATKWHPRGNLAHCYS
+AEELVHRDCLQAPSAAGVPGDVLAKSSANVYHHPTPAVSSNFYSTVGRNGVLPQAFDQFF
+ETAYGTPE
+>P31270_PF00046_242 <unknown description>
+RKKRCPYTKYQIRELEREFFFSVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKK
+>P31271_PF12284_145 <unknown description>
+AGAEAAKQCSPCSAAAQSSSGPAALPYGYFGSGYYPCARMGPHPNAIKSCAQPASAAAAA
+AFADKYMDTAGPAAEEFSSR
+>P31271_PF00046_323 <unknown description>
+RKKRVPYTKVQLKELEREYATNKFITKDKRRRISATTNLSERQVTIWFQNRRVKEKK
+>O43364_PF00046_144 <unknown description>
+RRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTERQVKVWFQNRRMKHKR
+>O43365_PF00046_192 <unknown description>
+KRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWFQNRRMKYKK
+>O43365_PF13293_378 <unknown description>
+SGPALFGLTHLPHAASGAMDYGGAGPLGSGHHHGPGPGEPHPTYTDLTGHHPSQGRIQEA
+PKLT
+>Q00056_PF00046_216 <unknown description>
+KRSRTAYTRQQVLELEKEFHFNRYLTRRRRIEIAHTLCLSERQVKIWFQNRRMKWKK
+>P20719_PF00046_196 <unknown description>
+KRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKIWFQNRRMKWKK
+>P31267_PF00046_156 <unknown description>
+RRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIANALCLTERQIKIWFQNRRMKWKK
+>P31268_PF00046_131 <unknown description>
+KRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLTERQIKIWFQNRRMKWKK
+>P31269_PF04617_1 <unknown description>
+MATTGALGNYYVDSFLLGADAADELSVGRYAPGTLGQPPRQAATLAEHPDFSPCSFQSKA
+TVFGASWNPVHAAGANAVPAAVYHHHHHHPYVHPQAPVAAAAPDGRYMRSWLEPTPGALS
+FAGLPSSRPYGIKPEPLSARRGDCPTLDTHTLSLTDYACGSPPVDREKQPSEGAFSENNA
+ENESGGDKPPIDP
+>P31269_PF00046_207 <unknown description>
+RKKRCPYTKHQTLELEKEFLFNMYLTRDRRYEVARLLNLTERQVKIWFQNRRMKMKK
+>Q92826_PF12284_13 <unknown description>
+KDIEGLLGAGGGRNLVAHSPLTSHPAAPTLMPAVNYAPLDLPGSAEPPKQCHPCPGVPQG
+TSPAPVPYGYFGGGYYSCRVSRSSLKPCAQAATLAAYPAETPTAGEEYPSR
+>Q92826_PF00046_217 <unknown description>
+RKKRIPYSKGQLRELEREYAANKFITKDKRRKISAATSLSERQITIWFQNRRVKEKK
+>P14653_PF00046_207 <unknown description>
+RTNFTTRQLTELEKEFHFNKYLSRARRVEIAATLELNETQVKIWFQNRRMKQKK
+>P14652_PF00046_144 <unknown description>
+RRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTERQVKVWFQNRRMKHKR
+>P14651_PF00046_189 <unknown description>
+KRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLSERQIKIWFQNRRMKYKK
+>P14651_PF13293_366 <unknown description>
+AGPSLYGLNHLSHHPSGNLDYNGAPPMAPSQHHGPCEPHPTYTDLSSHHAPPPQGRIQEA
+PKLT
+>P17483_PF00046_163 <unknown description>
+KRSRTAYTRQQVLELEKEFHYNRYLTRRRRVEIAHALCLSERQIKIWFQNRRMKWKK
+>P09067_PF00046_195 <unknown description>
+KRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKIWFQNRRMKWKK
+>P17509_PF00046_147 <unknown description>
+RRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHALCLTERQIKIWFQNRRMKWKK
+>P09629_PF00046_138 <unknown description>
+KRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHTLCLTERQIKIWFQNRRMKWKK
+>P17481_PF00046_147 <unknown description>
+RRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVSHALGLTERQVKIWFQNRRMKWKK
+>P17482_PF04617_1 <unknown description>
+MSISGTLSSYYVDSIISHESEDAPPAKFPSGQYASSRQPGHAEHLEFPSCSFQPKAPVFG
+ASWAPLSPHASGSLPSVYHPYIQPQGVPPAESRYLRTWLEPAPRGEAAPGQGQAAVKAEP
+LLGAPGELLKQGTPEYSLETSAGREAVLSNQRPGYGDNKICEGSEDKERPDQ
+>P17482_PF00046_186 <unknown description>
+RKKRCPYTKYQTLELEKEFLFNMYLTRDRRHEVARLLNLSERQVKIWFQNRRMKMKK
+>Q9NYD6_PF00046_269 <unknown description>
+RKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISKTINLTDRQVKIWFQNRRMKLKK
+>O43248_PF12045_42 <unknown description>
+PEFSTVSSFLPQAPSRQISYPYSAQVPPVREVSYGLEPSGKWHHRNSYSSCYAAADELMH
+RECLPPSTVTEILMKNEGSYGGHHHPSAPHATPAGFYSSVNKNSVLPQAFDRFFDNAYCG
+>O43248_PF00046_233 <unknown description>
+RKKRCPYSKFQIRELEREFFFNVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKK
+>P31275_PF00046_215 <unknown description>
+RKKRKPYSKLQLAELEGEFLVNEFITRQRRRELSDRLNLSDQQVKIWFQNRRMKKKR
+>P31276_PF12284_55 <unknown description>
+PSMDGLGSSCPASHCRDLLPHPVLGRPPAPLGAPQGAVYTDIPAPEAARQCAPPPAPPTS
+SSATLGYGYPFGGSYYGCRLSHNVNLQQKPCAYHPGDKYPEPSGALPGDDLSSR
+>P31276_PF00046_261 <unknown description>
+RKKRVPYTKVQLKELEKEYAASKFITKEKRRRISATTNLSERQVTIWFQNRRVKEKK
+>P09017_PF00046_157 <unknown description>
+KRSRTAYTRQQVLELEKEFHYNRYLTRRRRIEIAHSLCLSERQIKIWFQNRRMKWKK
+>Q00444_PF00046_156 <unknown description>
+KRSRTSYTRYQTLELEKEFHFNRYLTRRRRIEIANNLCLNERQIKIWFQNRRMKWKK
+>P09630_PF00046_142 <unknown description>
+RRGRQIYSRYQTLELEKEFHFNRYLTRRRRIEIANALCLTERQIKIWFQNRRMKWKK
+>P31273_PF00046_150 <unknown description>
+RSGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVSHALGLTERQVKIWFQNRRMKWKK
+>P31274_PF04617_1 <unknown description>
+MSATGPISNYYVDSLISHDNEDLLASRFPATGAHPAAARPSGLVPDCSDFPSCSFAPKPA
+VFSTSWAPVPSQSSVVYHPYGPQPHLGADTRYMRTWLEPLSGAVSFPSFPAGGRHYALKP
+DAYPGRRADCGPGEGRSYPDYMYGSPGELRDRAPQTLPSPEADALAGSKHKEEKADLDP
+>P31274_PF00046_193 <unknown description>
+RKKRCPYTKYQTLELEKEFLFNMYLTRDRRYEVARVLNLTERQVKIWFQNRRMKMKK
+>P28358_PF00046_267 <unknown description>
+RKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISKSVNLTDRQVKIWFQNRRMKLKK
+>P31277_PF12045_26 <unknown description>
+SDFASKPSFLSQPSSCQMTFPYSSNLAPHVQPVREVAFRDYGLERAKWPYRG
+>P31277_PF12045_116 <unknown description>
+AAAAAEEAAMQRELLPPAGRRPDVLFKAPEPVCAAPGPPHGPAGAASNFYSAVGRNGILP
+QGFDQFYEAAPG
+>P31277_PF00046_267 <unknown description>
+RKKRCPYTKYQIRELEREFFFNVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKK
+>P35452_PF00046_203 <unknown description>
+RKKRKPYTKQQIAELENEFLVNEFINRQKRKELSNRLNLSDQQVKIWFQNRRMKKKR
+>P35453_PF12284_85 <unknown description>
+SSSSSSSSAVVAARPEAPPAKECPAPTPAAAAAAPPSAPALGYGYHFGNGYYSCRMSHGV
+GLQQNALKSSPHASLGGFPVEKYMDVSGL
+>P35453_PF00046_277 <unknown description>
+RKKRVPYTKLQLKELENEYAINKFINKDKRRRISAATNLSERQVTIWFQNRRVKDKK
+>Q9GZZ0_PF00046_233 <unknown description>
+RTNFSTKQLTELEKEFHFNKYLTRARRIEIANCLHLNDTQVKIWFQNRRMKQKK
+>P31249_PF00046_195 <unknown description>
+KRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWFQNRRMKYKK
+>P31249_PF13293_370 <unknown description>
+SGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHSSQGRLPEAPKL
+T
+>P09016_PF00046_155 <unknown description>
+KRSRTAYTRQQVLELEKEFHFNRYLTRRRRIEIAHTLCLSERQIKIWFQNRRMKWKK
+>P13378_PF00046_198 <unknown description>
+RRGRQTYSRFQTLELEKEFLFNPYLTRKRRIEVSHALALTERQVKIWFQNRRMKWKK
+>P28356_PF04617_11 <unknown description>
+MSSSGTLSNYYVDSLIGHEGDEVFAARFGPPGPGAQGRPAGVADGPAATAAEFASCSFAP
+RSAVFSASWSAVPSQPPAAAAMSGLYHPYVPPPPLAASASEPGRYVRSWMEPLPG
+>P28356_PF00046_286 <unknown description>
+RKKRCPYTKYQTLELEKEFLFNMYLTRDRRYEVARILNLTERQVKIWFQNRRMKMKK
+>P19367_PF00349_22 <unknown description>
+IDKYLYAMRLSDETLIDIMTRFRKEMKNGLSRDFNPTATVKMLPTFVRSIPDGSEKGDFI
+ALDLGGSSFRILRVQVNHEKNQNVHMESEVYDTPENIVHGSGSQLFDHVAECLGDFMEKR
+KIKDKKLPVGFTFSFPCQQSKIDEAILITWTKRFKASGVEGADVVKLLNKAIKKRGDYDA
+NIVAVVNDTVGTMMTCGY
+>P19367_PF03727_226 <unknown description>
+VGLIIGTGTNACYMEELRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDREIDRGS
+LNPGKQLFEKMVSGMYLGELVRLILVKMAKEGLLFEGRITPELLTRGKFNTSDVSAIEKN
+KEGLHNAKEILTRLGVEPSDDDCVSVQHVCTIVSFRSANLVAATLGAILNRLRDNKGTPR
+LRTTVGVDGSLYKTHPQYSRRFHKTLRRLVPDSDVRFLLSESGSGKGAAMVTAV
+>P19367_PF00349_470 <unknown description>
+IEETLAHFHLTKDMLLEVKKRMRAEMELGLRKQTHNNAVVKMLPSFVRRTPDGTENGDFL
+ALDLGGTNFRVLLVKIRSGKKRTVEMHNKIYAIPIEIMQGTGEELFDHIVSCISDFLDYM
+GIKGPRMPLGFTFSFPCQQTSLDAGILITWTKGFKATDCVGHDVVTLLRDAIKRREEFDL
+DVVAVVNDTVGTMMTCAY
+>P19367_PF03727_674 <unknown description>
+VGLIVGTGSNACYMEEMKNVEMVEGDQGQMCINMEWGAFGDNGCLDDIRTHYDRLVDEYS
+LNAGKQRYEKMISGMYLGEIVRNILIDFTKKGFLFRGQISETLKTRGIFETKFLSQIESD
+RLALLQVRAILQQLGLNSTCDDSILVKTVCGVVSRRAAQLCGAGMAAVVDKIRENRGLDR
+LNVTVGVDGTLYKLHPHFSRIMHQTVKELSPKCNVSFLLSEDGSGKGAALITAV
+>P52789_PF00349_22 <unknown description>
+VDQYLYHMRLSDETLLEISKRFRKEMEKGLGATTHPTAAVKMLPTFVRSTPDGTEHGEFL
+ALDLGGTNFRVLWVKVTDNGLQKVEMENQIYAIPEDIMRGSGTQLFDHIAECLANFMDKL
+QIKDKKLPLGFTFSFPCHQTKLDESFLVSWTKGFKSSGVEGRDVVALIRKAIQRRGDFDI
+DIVAVVNDTVGTMMTCGY
+>P52789_PF03727_225 <unknown description>
+EIGLIVGTGSNACYMEEMRHIDMVEGDEGRMCINMEWGAFGDDGSLNDIRTEFDQEIDMG
+SLNPGKQLFEKMISGMYMGELVRLILVKMAKEELLFGGKLSPELLNTGRFETKDISDIEG
+EKDGIRKAREVLMRLGLDPTQEDCVATHRICQIVSTRSASLCAATLAAVLQRIKENKGEE
+RLRSTIGVDGSVYKKHPHFAKRLHKTVRRLVPGCDVRFLRSEDGSGKGAAMVTAV
+>P52789_PF00349_471 <unknown description>
+QKTLEHLQLSHDQLLEVKRRMKVEMERGLSKETHASAPVKMLPTYVCATPDGTEKGDFLA
+LDLGGTNFRVLLVRVRNGKWGGVEMHNKIYAIPQEVMHGTGDELFDHIVQCIADFLEYMG
+MKGVSLPLGFTFSFPCQQNSLDESILLKWTKGFKASGCEGEDVVTLLKEAIHRREEFDLD
+VVAVVNDTVGTMMTCG
+>P52789_PF03727_674 <unknown description>
+VGLIVGTGSNACYMEEMRNVELVEGEEGRMCVNMEWGAFGDNGCLDDFRTEFDVAVDELS
+LNPGKQRFEKMISGMYLGEIVRNILIDFTKRGLLFRGRISERLKTRGIFETKFLSQIESD
+CLALLQVRAILQHLGLESTCDDSIIVKEVCTVVARRAAQLCGAGMAAVVDRIRENRGLDA
+LKVTVGVDGTLYKLHPHFAKVMHETVKDLAPKCDVSFLQSEDGSGKGAALITAV
+>P52790_PF00349_33 <unknown description>
+VQECLQQFKVTRAQLQQIQASLLGSMEQALRGQASPAPAVRMLPTYVGSTPHGTEQGDFV
+VLELGATGASLRVLWVTLTGIEGHRVEPRSQEFVIPQEVMLGAGQQLFDFAAHCLSEFLD
+AQPVNKQGLQLGFSFSFPCHQTGLDRSTLISWTKGFRCSGVEGQDVVQLLRDAIRRQGAY
+NIDVVAVVNDTVGTMMGC
+>P52790_PF03727_239 <unknown description>
+VGLVVDTGTNACYMEEARHVAVLDEDRGRVCVSVEWGSFSDDGALGPVLTTFDHTLDHES
+LNPGAQRFEKMIGGLYLGELVRLVLAHLARCGVLFGGCTSPALLSQGSILLEHVAEMEDP
+STGAARVHAILQDLGLSPGASDVELVQHVCAAVCTRAAQLCAAALAAVLSCLQHSREQQT
+LQVAVATGGRVCERHPRFCSVLQGTVMLLAPECDVSLIPSVDGGGRGVAMVTAV
+>P52790_PF00349_483 <unknown description>
+LEETLAPFRLNHDQLAAVQAQMRKAMAKGLRGEASSLRMLPTFVRATPDGSERGDFLALD
+LGGTNFRVLLVRVTTGVQITSEIYSIPETVAQGSGQQLFDHIVDCIVDFQQKQGLSGQSL
+PLGFTFSFPCRQLGLDQGILLNWTKGFKASDCEGQDVVSLLREAITRRQAVELNVVAIVN
+DTVGTMMSCGY
+>P52790_PF03727_679 <unknown description>
+EIGLIVGTGTNACYMEELRNVAGVPGDSGRMCINMEWGAFGDDGSLAMLSTRFDASVDQA
+SINPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQIQRLQTRDIFKTKFLSEIES
+DSLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQLCGAGVAAVVEKIRENRGLE
+ELAVSVGVDGTLYKLHPRFSSLVAATVRELAPRCVVTFLQSEDGSGKGAALVTAV
+>P35557_PF00349_16 <unknown description>
+VEQILAEFQLQEEDLKKVMRRMQKEMDRGLRLETHEEASVKMLPTYVRSTPEGSEVGDFL
+SLDLGGTNFRVMLVKVGEGEEGQWSVKTKHQMYSIPEDAMTGTAEMLFDYISECISDFLD
+KHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNNVVGLLRDAIKRRGDF
+EMDVVAMVNDTVATMISCYY
+>P35557_PF03727_222 <unknown description>
+VGMIVGTGCNACYMEEMQNVELVEGDEGRMCVNTEWGAFGDSGELDEFLLEYDRLVDESS
+ANPGQQLYEKLIGGKYMGELVRLVLLRLVDENLLFHGEASEQLRTRGAFETRFVSQVESD
+TGDRKQIYNILSTLGLRPSTTDCDIVRRACESVSTRAAHMCSAGLAGVINRMRESRSEDV
+MRITVGVDGSVYKLHPSFKERFHASVRRLTPSCEITFIESEEGSGRGAALVSAV
+>Q12794_PF01630_25 <unknown description>
+PLLPNRPFTTVWNANTQWCLERHGVDVDVSVFDVVANPGQTFRGPDMTIFYSSQLGTYPY
+YTPTGEPVFGGLPQNASLIAHLARTFQDILAAIPAPDFSGLAVIDWEAWRPRWAFNWDTK
+DIYRQRSRALVQAQHPDWPAPQVEAVAQDQFQGAARAWMAGTLQLGRALRPRGLWGFYGF
+PDCYNYDFLSPNYTGQCPSGIRAQNDQLGWLWGQSRALYPSIYMPAVLEGTGKSQMYVQH
+RVAEAFRVAVAAGDPNLPVLPYVQIFYDTTNHFLPLDELEHSLGESAAQGAAGVVLWVSW
+ENTRTKESCQAIKEYMDTTLGPFILNVTSG
+>Q12891_PF01630_29 <unknown description>
+PIFTGRPFVVAWDVPTQDCGPRLKVPLDLNAFDVQASPNEGFVNQNITIFYRDRLGLYPR
+FDSAGRSVHGGVPQNVSLWAHRKMLQKRVEHYIRTQESAGLAVIDWEDWRPVWVRNWQDK
+DVYRRLSRQLVASRHPDWPPDRIVKQAQYEFEFAAQQFMLETLRYVKAVRPRHLWGFYLF
+PDCYNHDYVQNWESYTGRCPDVEVARNDQLAWLWAESTALFPSVYLDETLASSRHGRNFV
+SFRVQEALRVARTHHANHALPVYVFTRPTYSRRLTGLSEMDLISTIGESAALGAAGVILW
+GDAGYTTSTETCQYLKDYLTRLLVPYVVNVSWA
+>O43820_PF01630_24 <unknown description>
+PQVPERPFSVLWNVPSAHCEARFGVHLPLNALGIIANRGQHFHGQNMTIFYKNQLGLYPY
+FGPRGTAHNGGIPQALPLDRHLALAAYQIHHSLRPGFAGPAVLDWEEWCPLWAGNWGRRR
+AYQAASWAWAQQVFPDLDPQEQLYKAYTGFEQAARALMEDTLRVAQALRPHGLWGFYHYP
+ACGNGWHSMASNYTGRCHAATLARNTQLHWLWAASSALFPSIYLPPRLPPAHHQAFVRHR
+LEEAFRVALVGHRHPLPVLAYVRLTHRRSGRFLSQDDLVQSIGVSAALGAAGVVLWGDLS
+LSSSEEECWHLHDYLVDTLGPYVINVTRAA
+>Q2M3T9_PF01630_41 <unknown description>
+PIYQRKPFIAAWNAPTDQCLIKYNLRLNLKMFPVIGSPLAKARGQNVTIFYVNRLGYYPW
+YTSQGVPINGGLPQNISLQVHLEKADQDINYYIPAEDFSGLAVIDWEYWRPQWARNWNSK
+DVYRQKSRKLISDMGKNVSATDIEYLAKVTFEESAKAFMKETIKLGIKSRPKGLWGYYLY
+PDCHNYNVYAPNYSGSCPEDEVLRNNELSWLWNSSAALYPSIGVWKSLGDSENILRFSKF
+RVHESMRISTMTSHDYALPVFVYTRLGYRDEPLFFLSKQDLVSTIGESAALGAAGIVIWG
+DMNLTASKANCTKVKQFVSSDLGSYIANVTRAA
+>P38567_PF01630_42 <unknown description>
+PVIPNVPFLWAWNAPSEFCLGKFDEPLDMSLFSFIGSPRINATGQGVTIFYVDRLGYYPY
+IDSITGVTVNGGIPQKISLQDHLDKAKKDITFYMPVDNLGMAVIDWEEWRPTWARNWKPK
+DVYKNRSIELVQQQNVQLSLTEATEKAKQEFEKAGKDFLVETIKLGKLLRPNHLWGYYLF
+PDCYNHHYKKPGYNGSCFNVEIKRNDDLSWLWNESTALYPSIYLNTQQSPVAATLYVRNR
+VREAIRVSKIPDAKSPLPVFAYTRIVFTDQVLKFLSQDELVYTFGETVALGASGIVIWGT
+LSIMRSMKSCLLLDNYMETILNPYIINVTLAA
+>Q92819_PF03142_206 <unknown description>
+DYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGGDVQILNKYDSWISFLSSVRYWMAFN
+IERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWYNQEFMGNQCSFGDDRHLTNRVLSLG
+YATKYTARSKCLTETPIEYLRWLNQQTRWSKSY
+>O00219_PF13641_185 <unknown description>
+CIMQKWGGKREVMYTAFKALGDSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVGGVGGD
+VQILNKYDSWISFLSSVRYWMAFNVERACQSYFGCVQCISGPLGMYRNSLLQQFLEDWYH
+QKFLGSKCSFGDDRHLTNRVLSLGYRTKYTARSKCLTETPTKYLRWLNQQTRWS
+>Q9BYI3_PF09790_23 <unknown description>
+SLPNYATNLKDKSSLVSSLYKVIQEPQSELLEPVCHQLFEFYRSGEEQLLQFTLQFLPEL
+IWCYLAVSASRNVHSSGCIEALLLGVYNLEIVDKQGHTKVLSFTIPSLSKPSVYHEPSSI
+GSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEVLTFLLLCYNAALTYMPSVSLQS
+LCQICSRICVCGYPRQHVRKYKGISSRIPVSSGFMVQMLTGIYFAFYNGEWDLAQKALDD
+IIYRAQLELYPEPLLVANAIKASLPHGPMKSNKEGTRCIQVEITPTSSRISRNAVTSMSI
+RGHRWKRH
+>Q4G0P3_PF15780_514 <unknown description>
+EGIIEPSGVQAIQISFSSTILGNFEEEFLVNVNGSPEPVKLTIRGCVIGPTFHFNVPALH
+FGDVSFGFPHTLICSLNNTSLIPMTYKLRIPGDGLGHKSI
+>Q4G0P3_PF17213_2038 <unknown description>
+AIIIHGTPLSGKSANAVSVAKYYNAACLSIDSIVLEAVANSNNIPGIRARELCIRAAIEQ
+SVKEGEEAAQEAAVGQNVIGQGRLSTDTLGKLASEMTLVAPEIKPGKSVRGSVVITKSKA
+DSHGSGSQKQHHSHQSETPQISSSPLPPGPIHRWLSVSPSVGGETGLMSCVLPDELLVQI
+LAERIQLSDCYRGVVFDGL
+>P07099_PF00561_142 <unknown description>
+KPLLMVHGWPGSFYEFYKIIPLLTDPKNHGLSDEHVFEVICPSIPGYGFSEASSKKGFNS
+VATARIFYKLMLRLGFQEFYIQGGDWGSLICTNMAQLVPSHVKGLHLNMALVLSNFSTLT
+LLLGQRFGRFLGLTERDVELLYPVKEKVFYSLMRESGYMHIQCTKPDTVGSALNDSPVGL
+AAYILEKFSTWTNTEFRYLEDGGLERKFSLDDLLTNVMLYWTTGTIISSQRFYKENLGQG
+WMTQKHERMKVYVPTGFSAFPF
+>P34913_PF13419_112 <unknown description>
+LRKKGFTTAILTNTWLDDRAERDGLAQLMCELKMHFDFLIESCQVGMVKPEPQIYKFLLD
+TLKASPSEVVFLDDIGANLKPARDLGMVTILV
+>P34913_PF00561_259 <unknown description>
+PAVCLCHGFPESWYSWRYQIPALAQAGYRVLAMDMKGYGESSAPPEIEEYCMEVLCKEMV
+TFLDKLGLSQAVFIGHDWGGMLVWYMALFYPERVRAVASLNTPFIPANPNMSPLESIKAN
+PVFDYQLYFQEPGVAEAELEQNLSRTFKSLFRASDESVLSMHKVCEAGGLFVNSPEEPSL
+SRMVTEEEIQFYVQQFKKSGFRGPLNWYRNMERNWKWACKSLGRKILIPALMVTAEKDFV
+LVPQMSQHMEDWIPHLKRGHIEDCGHWTQMDKP
+>Q5T013_PF01261_25 <unknown description>
+RAAGSSGFEAVEVAWPYAETPEALARAAREAGLRLVLINTPPGDQEKGEMGLGAVPGRQA
+AFREGLEQAVRYAKALGCPRIHLMAGRVPQGADRIAVKAEMEAVFLENLRHAAGVLAQED
+LVGLLEPINTRITDPQYFLDTPQQAAAILQKVGRPNLQLQMDIFHWQIMDGNLTGNIREF
+LPIVGHVQVAQVPGRGEPSSPGELNFPYLFQLLEDEGYKGFVGCEYQPRGDTVEGLSWLR
+S
+>A2RU49_PF01636_37 <unknown description>
+VRPLPSYDDQNFHVYVSKTKDGPTEYVLKISNTKASKNPDLIEVQNHIIMFLKAAGFPTA
+SVCHTKGDNTASLVSVDSGSEIKSYLVRLLTYLPGRPIAELPVSPQLLYEIGKLAAKLDK
+TLQRFHHPKLSSLHRENFIWNLKNVPLLEKYLYALGQNRNREIVEHVIHLFKEEVMTKLS
+HFRECINHGDLNDHNILIESSKSASGNAEYQVSGILDFGDMSYGYYVFEVAITIMYMMIE
+SKSPIQVGGHVL
+>Q96M11_PF15311_197 <unknown description>
+LPKLDQLSRNRGKTDRVARYFEYKRDWDSIRLPGEDHRKELRWGVREQMLCRAEPQSKPQ
+HIYVPNNYLVPTEKKRSALRWGVRCDLA
+>Q9Y4L1_PF00012_36 <unknown description>
+MSVDLGSESMKVAIVKPGVPMEIVLNKESRRKTPVIVTLKENERFFGDSAASMAIKNPKA
+TLRYFQHLLGKQADNPHVALYQARFPEHELTFDPQRQTVHFQISSQLQFSPEEVLGMVLN
+YSRSLAEDFAEQPIKDAVITVPVFFNQAERRAVLQAARMAGLKVLQLINDNTATALSYGV
+FRRKDINTTAQNIMFYDMGSGSTVCTIVTYQMVKTKEAGMQPQLQIRGVGFDRTLGGLEM
+ELRLRERLAGLFNEQRKGQRAKDVRENPRAMAKLLREANRLKTVLSANADHMAQIEGLMD
+DVDFKAKVTRVEFEELCADLFERVPGPVQQALQSAEMSLDEIEQVILVGGATRVPRVQEV
+LLKAVGKEELGKNINADEAAAMGAVYQAAALSKAFKVKPFVVRDAVVYPILVEFTREVEE
+EPGIHSLKHNKRVLFSRMGPYPQRKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQN
+LTTVKLKGVGDSFKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSAEEESTLT
+KLGNTISSLFGGGTTPDAKENGTDTVQEEEESPAEGSKDEPGEQVELKEEAEAPVED
+>Q9NX55_PF19026_80 <unknown description>
+VTIKKEDLELIMTEMEISRAAAERSLREHMGNVVEALIALT
+>Q13651_PF01108_6 <unknown description>
+VVLLAALLSLRLGSDAHGTELPSPPSVWFEAEFFHHILHWTPIPNQSESTCYEVALLRYG
+IESWNSISNCSQTLSYDLTAVTLDLYHSNGYRARVRAVDGSRHSNW
+>Q08334_PF01108_18 <unknown description>
+LGMVPPPENVRMNSVNFKNILQWESPAFAKGNLTFTAQYLSYRIFQDKCMNTTLTECDFS
+SLSKYGDHTLRVRAEFADEHSDW
+>Q08334_PF09294_111 <unknown description>
+TIIGPPGMQVEVLADSLHMRFLAPKIENEYETWTMKNVYNSWTYNVQYWKNGTDEKFQIT
+PQYDFEVLRNLEPWTTYCVQVRGFLPDRNKAGEWSEPVCEQTT
+>P42701_PF00041_448 <unknown description>
+TPHHVSVKNHSLDSVSVDWAPSLLSTCPGVLKEYVVRCRDEDSKQVSEHPVQPTETQVTL
+SGLRAGVAYTVQVRAD
+>Q99665_PF06328_28 <unknown description>
+CKRGDVTVKPSHVILLGSTVNITCSLKPRQGCFHYSRRNKLILYKFDRRINFHHGHSLNS
+QVTGLPLGTTLFVCKLACINSD
+>Q99665_PF00041_423 <unknown description>
+APRQVSANSEGMDNILVTWQPPRKDPSAVQEYVVEWRELHPGGDTQVPLNWLRSRPYNVS
+ALISENIKSYICYEIRVYALSGDQGG
+>P78552_PF18001_32 <unknown description>
+QPPVTNLSVSVENLCTVIWTWNPPEGASSNCSLWYFSHFGDKQDKKIAPETRRSIEVPLN
+ERICLQVGSQCSTNESEKPSILVEKCISPPEGDP
+>P78552_PF09240_131 <unknown description>
+ELQCIWHNLSYMKCSWLPGRNTSPDTNYTLYYWHRSLEKIHQCENIFREGQYFGCSFDLT
+KVKDSSFEQHSVQIMVKDNAGKIKPSFNIVPLT
+>Q14627_PF09240_142 <unknown description>
+DMDCVYYNWQYLLCSWKPGIGVLLDTNYNLFYWYEGLDHALQCVDYIKADGQNIGCRFPY
+LEASDYKDFYICVNGSSENKPIRSSYFTFQLQNI
+>Q96F46_PF16556_48 <unknown description>
+LNCTVKNSTCLDDSWIHPRNLTPSSPKDLQIQLHFAHTQQGDLFPVAHIEWTLQTDASIL
+YLEGAELSVLQLNTNERLCVRFEFLSKLRHHHRRWRFTFSHFVVDPDQEYEVTVHHLPKP
+IPDGDPNHQSKNFLVPDCEHARMKVTTPCMS
+>Q96F46_PF16578_199 <unknown description>
+SGSLWDPNITVETLEAHQLRVSFTLWNESTHYQILLTSFPHMENHSCFEHMHHIPAPRPE
+EFHQRSNVTLTLRNLKGCCRHQVQIQPFFSSCLNDCLRHSATVSC
+>Q96F46_PF08357_378 <unknown description>
+RKVWIIYSADHPLYVDVVLKFAQFLLTACGTEVALDLLEEQAISEAGVMTWVGRQKQEMV
+ESNSKIIVLCSRGTRAKWQALLGRGAPVRLRCDHGKPVGDLFTAAMNMILPDFKRPACFG
+TYVVCYFSEVSCDGDVPDLFGAAPRYPLMDRFEEVYFR
+>Q9NRM6_PF16556_33 <unknown description>
+EWMLQHDLIPGDLRDLRVEPVTTSVATGDYSILMNVSWVLRADASIRLLKATKICVTGKS
+NFQSYSCVRCNYTEAFQTQTRPSGGKWTFSYIGFPVELNTVYFIGAHNIPNANMNEDGPS
+MSVNFTSPGCLDHIMKYKKKCVK
+>Q9NRM6_PF16578_176 <unknown description>
+AGSLWDPNITACKKNEETVEVNFTTTPLGNRYMALIQHSTIIGFSQVFEPHQKKQTRASV
+VIPVTGDSEGATVQLTPYFPTCGSDCIRHKGTV
+>Q9NRM6_PF08357_333 <unknown description>
+KVLVVYPSEICFHHTICYFTEFLQNHCRSEVILEKWQKKKIAEMGPVQWLATQKKAADKV
+VFLLSNDVNSVCDGTCGKSEGSPSENSQDLFPLAFNLFCSDLRSQIHLHKYVVVYFREID
+TKDDYNALSVCPKYHLMKDATAFCAEL
+>Q8NAC3_PF15037_71 <unknown description>
+VLRCQKETDCDLCLRVAVHLAVHGHWEEPEDEEKFGGAADSGVEEPRNASLQAQVVLSFQ
+AYPTARCVLLEVQVPAALVQFGQSVGSVVYDCFEAALGSEVRIWSYTQPRYEKELNHTQQ
+LPDCRGLEVWNSIPSCWALPWLNVSADGDNVHLVLNVSEEQHFGLSLYWNQVQGPPKPRW
+HKNLTGPQIITLNHTDLVPCLCIQVWPLEPDSVRTNICPFREDPRAHQNLWQAARLQLLT
+LQSWLLDAPCSLPAEAALCWRAPGGDPCQPLVPPLSWENVTVDKVLEFPLLKGHPNLCVQ
+VNSSEKLQLQECLWADSLGPLKDDVLLLETRGPQDNRSLCALEPSGCTSLPSKASTRAAR
+LGEYLLQDLQSGQCLQLWDDDLGALWACP
+>Q8NAC3_PF08357_514 <unknown description>
+AALLLYSADDSGFERLVGALASALCQLPLRVAVDLWSRRELSAQGPVAWFHAQRRQTLQE
+GGVVVLLFSPGAVALCSEWLQDGVSGPGAHGPHDAFRASLSCVLPDFLQGRAPGSYVGAC
+FDRLLHPDAVPALFRTVPVFTLPSQLPDFLGAL
+>Q8NFM7_PF16742_49 <unknown description>
+RNSGLYNITFKYDNCTTYLNPVGKHVIADAQNITISQYACHDQVAVTILWSPGALGIEFL
+KGFRVILEELKSEGRQCQQLILKDPKQLNSSFKRTGMESQPFLNMKFETDYFVKVVPFPS
+I
+>Q8NFM7_PF08357_356 <unknown description>
+PKVFLCYSSKDGQNHMNVVQCFAYFLQDFCGCEVALDLWEDFSLCREGQREWVIQKIHES
+QFIIVVCSKGMKYFVDKKNYKHKGGGRGSGKGELFLVAVSAIAEKLRQAKQSSSAALSKF
+IAVYFDYSCEGDVPGILDLSTKYRLMDNLPQLCSHL
+>Q8NFR9_PF15037_40 <unknown description>
+LASHTDDSFTGSSAYIPCRTWWALFSTKPWCVRVWHCSRCLCQHLLSGGSGLQRGLFHLL
+VQKSKKSSTFKFYRRHKMPAPAQRKLLPRRHLSEKSHHISIPSPDISHKGLRSKRTQPSD
+PETWESLPRLDSQRHGGPEFSFDLLPEARAIRVTISSGPEVSVRLCHQWALECEELSSPY
+DVQ
+>Q8NFR9_PF15037_221 <unknown description>
+VQKIVSGGHTVELPYEFLLPCLCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWKSVHFTD
+YSQHTQMVMALTLRCPLKLEAALCQRHDWHTLCKDLPNATARESDGWYVLEKVDLHPQLC
+FKFSFGNSSHVECPHQTGSLTSWNVSMDTQAQQLILHFSSRMHATFSAAWSLPGLGQDTL
+VPPVYTVSQARGSSPVSLDLIIPFLRPGCCVLVWRSDVQFAWKHLLCP
+>Q8NFR9_PF08357_488 <unknown description>
+RPVLLLHAADSEAQRRLVGALAELLRAALGGGRDVIVDLWEGRHVARVGPLPWLWAARTR
+VAREQGTVLLLWSG
+>Q8NFR9_PF08357_589 <unknown description>
+LLLAYFSRLCAKGDIPPPLRALPRYRLLRDLPRLLRAL
+>O95256_PF18452_37 <unknown description>
+RSEEEFVLFCDLPEPQKSHFCHRNRLSPKQVPEHLPFMGSNDLSDVQWYQQPSNGDPLED
+IRKSYPHIIQDKCTLHFLTPGVNNSGSYICRPKMIKSPYDVACCVKMILEVKPQTNASCE
+YSASHKQDL
+>O95256_PF13895_168 <unknown description>
+GSTGSISCPSLSCQSDAQSPAVTWYKNGKLLSVERSNRIVVDEVYDYHQGTYVCDYTQSD
+T
+>O95256_PF01582_411 <unknown description>
+FVSYAKWSSFPSEATSSLSEEHLALSLFPDVLENKYGYSLCLLERDVAPGGVYAEDIVSI
+IKRSRRGIFILSPNYVNGPSIFELQAAVNLALDDQTLKLILIKFCYFQEPESLPHLVKKA
+LRVLPTVTWRGLKSVPPNSRFWAKMRYHMPVKNSQGFTWNQLRITSRI
+>Q9H9L3_PF00929_180 <unknown description>
+VAIDCEMVGTGPKGHVSSLARCSIVNYNGDVLYDEYILPPCHIVDYRTRWSGIRKQHMVN
+ATPFKIARGQILKILTGKIVVGHAIHNDFKALQYFHPKSLTRDTSHIPPLNRKADCPENA
+TMSLKHLTKKLLNRDIQVGKSGHSSVEDAQATMELY
+>Q9UHF4_PF01108_19 <unknown description>
+LLLLAAPWGRAVPCVSGGLPKPANITFLSINMKNVLQWTPPEGLQGVKVTYTVQYFIYGQ
+KKWLNKSECRNINRTYCDLSAETSDYEHQYYAKVKAIWGTKCSKW
+>Q9UHF4_PF09294_135 <unknown description>
+TQIGPPEVALTTDEKSISVVLTAPEKWKRNPEDLPVSMQQIYSNLKYNVSVLNTKSNRTW
+SQCVTNHTLVLTWLEPNTLYCVHVESFVPGPPRRAQPSEKQCART
+>Q6UXL0_PF01108_16 <unknown description>
+FMWFFYALIPCLLTDEVAILPAPQNLSVLSTNMKHLLMWSPVIAPGETVYYSVEYQGEYE
+SLYTSHIWIPSSWCSLTEGPECDVTDDITATVPYNLRVRATLGSQTSAW
+>Q6UXL0_PF09294_155 <unknown description>
+ELEDLGPQFEFLVAYWRREPGAEEHVKMVRSGGIPVHLETMEPGAAYCVKAQTFVKAIGR
+YSAFSQTECVE
+>Q8N6P7_PF01108_5 <unknown description>
+LTILTVGSLAAHAPEDPSDLLQHVKFQSSNFENILTWDSGPEGTPDTVYSIEYKTYGERD
+WVAKKGCQRITRKSCNLTVETGNLTELYYARVTAVSAGGRSA
+>Q969J5_PF01108_7 <unknown description>
+FLGFLISFFLTGVAGTQSTHESLKPQRVQFQSRNFHNILQWQPGRALTGNSSVYFVQYKI
+MFSCSMKSSHQKPSGCWQHISCNFPGCRTLAKYGQRQWKNKEDCWGTQELSCDLTSETSD
+IQEPYYGRVRAASAGSYSEW
+>Q969J5_PF09294_158 <unknown description>
+TKIDPPVMNITQVNGSLLVILHAPNLPYRYQKEKNVSIEDYYELLYRVFIINNSLEKEQK
+VYEGAHRAVEIEALTPHSSYCVVAEIYQPMLDRRSQRSEERCVEI
+>P14902_PF01231_13 <unknown description>
+KEYHIDEEVGFALPNPQENLPDFYNDWMFIAKHLPDLIESGQLRERVEKLNMLSIDHLTD
+HKSQRLARLVLGCITMAYVWGKGHGDVRKVLPRNIAVPYCQLSKKLELPPILVYADCVLA
+NWKKKDPNKPLTYENMDVLFSFRDGDCSKGFFLVSLLVEIAAASAIKVIPTVFKAMQMQE
+RDTLLKALLEIASCLEKALQVFHQIHDHVNPKAFFSVLRIYLSGWKGNPQLSDGLVYEGF
+WEDPKEFAGGSAGQSSVFQCFDVLLGIQQTAGGGHAAQFLQDMRRYMPPAHRNFLCSLES
+NPSVREFVLSKGDAGLREAYDACVKALVSLRSYHLQIVTKYILIPASQQPKENKTSEDPS
+KLEAKGTGGTDLMNFLKTVRSTTEK
+>Q6ZQW0_PF01231_16 <unknown description>
+LESYHISEEYGFLLPDSLKELPDHYRPWMEIANKLPQLIDAHQLQAHVDKMPLLSCQFLK
+GHREQRLAHLVLSFLTMGYVWQEGEAQPAEVLPRNLALPFVEVSRNLGLPPILVHSDLVL
+TNWTKKDPDGFLEIGNLETIISFPGGESLHGFILVTALVEKEAVPGIKALVQATNAILQP
+NQEALLQALQRLRLSIQDITKTLGQMHDYVDPDIFYAGIRIFLSGWKDNPAMPAGLMYEG
+VSQEPLKYSGGSAAQSTVLHAFDEFLGIRHSKESGDFLYRMRDYMPPSHKAFIEDIHSAP
+SLRDYILSSGQDHLLTAYNQCVQALAELRSYHITMVTKYLITAAAKAKHGKPNHLPGPPQ
+ALKDRGTGGTAVMSFLKSVRDKTLE
+>Q96BM0_PF06140_17 <unknown description>
+VVGGVVAVGTVLVALSAMGFTSVGIAASSIAAKMMSTAAIANGGGVAAGSLVAILQSVGA
+AGLSVTSKVIGGFAGTALG
+>Q9H2X8_PF06140_10 <unknown description>
+VGGALAVGAVPVVLSAMGFTGAGIAASSIAAKMMSAAAIANGGGVSAGSLVATLQSVGAA
+GLSTSSNILLASVGSVLG
+>Q6UWB1_PF00041_321 <unknown description>
+SAPRSVAVSSIAGSTELLVTWQPGPGEPLEHVVDWARDGDPLEKLNWVRLPPGNLSALLP
+GNFTVGVPYRITVTAVSASGLA
+>Q8IU81_PF11261_8 <unknown description>
+RRQWCYLCDLPKMPWAMVWDFSEAVCRGCVNFEGADRIELLIDAARQLKRSH
+>Q8IU81_PF00097_503 <unknown description>
+CTLCRERLEDTHFVQCPSVPGHKFCFPCSREFIKAQGPAGEVYCP
+>Q7Z5L9_PF11261_12 <unknown description>
+RRQSCYLCDLPRMPWAMIWDFTEPVCRGCVNYEGADRVEFVIETARQLKRAH
+>Q9H1B7_PF11261_10 <unknown description>
+RRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRAH
+>Q9UBH0_PF00340_54 <unknown description>
+LSPVILGVQGGSQCLSCGVGQEPTLTLEPVNIMELYLGAKESKSFTFYRRDMGLTSSFES
+AAYPGWFLCTVPEADQPVRLTQLPENGGWNAPITDFYF
+>A6NMX2_PF01652_63 <unknown description>
+PLQNRWALWFFKNDRSRAWQDNLHLVTKVDTVEDFWALYSHIQLASKLSSGCDYALFKDG
+IQPMWEDSRNKRGGRWLVSLAKQQRHIELDRLWLETLLCLIGESFEEHSREVCGAVVNIR
+TKGDKIAVWTREAENQAGVLHVGRVYKERLGLSPKTIIGY
+>Q14642_PF03372_16 <unknown description>
+TANVGSLFDDPENLQKNWLREFYQVVHTHKPHFMALHCQEFGGKNYEASMSHVDKFVKEL
+LSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQFDFKAKKYRKVAGKEI
+YSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWCIADCAFDLVNIHLFHDASNLVAW
+ETSPSVYSGIRHKALGYVLDRIIDQRFEKVSYFVFGDFNFRLDSKSVVETLCTKATMQTV
+RAADTNEVVKLIFRESDNDRKVMLQLEKKLFDYFNQEVFRDNNGTALLEFDKELSVFKDR
+LYELDISFPPSYPYSEDARQGEQYMNTRCPAWCDRILMSPSAKELVLRSESEEKVVTYDH
+IGPNVCMGDH
+>P32019_PF16776_1 <unknown description>
+MDQSVAIQETLAEGEYCVIAVQGVLCEGDSRQSRLLGLVRYRLEHGGQEHALFLYTHRRM
+AITGDDVSLDQIVPVSRDFTLEEVSPDGELYILGSDVTVQLDTAELSLVFQLPFGSQTRM
+FLHEVARACPGFDSATRDPEFLWLSRYR
+>P32019_PF03372_270 <unknown description>
+GTYNVNGQSPKECLRLWLSNGIQAPDVYCVGFQELDLSKEAFFFHDTPKEEEWFKAVSEG
+LHPDAKYAKVKLIRLVGIMLLLYVKQEHAAYISEVEAETVGTGIMGRMGNKGGVAIRFQF
+HNTSICVVNSHLAAHIEEYERRNQDYKDICSRMQFCQPDPSLPPLTISNHDVILWLGDLN
+YRIEELDVEKVKKLIEEKDFQMLYAYDQLKIQVAAKTVFEGFTEGELTFQPTYKYDTGSD
+DWDTSEKCRAPAWCDRILWKGKNITQLSYQSHMALKTSDH
+>P32019_PF00620_750 <unknown description>
+PKELWMMVDYLYRNAVQQEDLFQQPGLRSEFEHIRDCLDTGMIDNLSASNHSVAEALLLF
+LESLPEPVICYSTYHNCLECSGNYTASKQVISTLPIFHKNVFHYLMAFLRELLKNSAKNH
+LDENILASIFGSLLLRNPAG
+>Q2TAA2_PF13472_20 <unknown description>
+LFGDSITQFSFQQGGWGASLADRLVRKCDVLNRGFSGYNTRWAKIILPRLIRKGNSLDIP
+VAVTIFFGANDSALKDENPKQHIPLEEYAANLKSMVQYLKSVDIPENRVILITPTPLCET
+AWEEQCIIQGCKLNRLNSVVGEYANACLQVAQDCGTDVLDLWTLMQDSQDFSSYLSDGLH
+LSPKGNE
+>P10997_PF00214_27 <unknown description>
+SHQVEKRKCNTATCATQRLANFLVHSSNNFGAILSSTNVGSNTYGKR
+>Q8WUF5_PF12796_632 <unknown description>
+LDAALTGELEVVQQAVKEMNDPSQPNEEGITALHNAICGANYSIVDFLITAGANVNSPDS
+HGWTPLHCAASCNDTVICMALVQHGAAI
+>Q8WUF5_PF14604_765 <unknown description>
+ALWDYSAEFGDELSFREGESVTVLRRDGPEETDWWWAALHGQEGYVPRNY
+>P08833_PF00219_30 <unknown description>
+CAPCSAEKLALCPPVSASCSEVTRSAGCGCCPMCALPLGAACGVATARCARGLSC
+>P08833_PF00086_185 <unknown description>
+ESLAKAQETSGEEISKFYLPNCNKNGFYHSRQCETSMDGEAGLCWCVYPWNGKRIPGSPE
+IRGDPNC
+>P18065_PF00219_42 <unknown description>
+CPPCTPERLAACGPPPVAPPAAVAAVAGGARMPCAELVREPGCGCCSVCARLEGEACGVY
+TPRCGQGLRC
+>P18065_PF00086_227 <unknown description>
+CQQELDQVLERISTMRLPDERGPLEHLYSLHIPNCDKHGLYNLKQCKMSLNGQRGECWCV
+NPNTGKLIQGAPTIRGDPEC
+>P17936_PF00219_40 <unknown description>
+CEPCDARALAQCAPPPAVCAELVREPGCGCCLTCALSEGQPCGIYTERCGSGLRC
+>P17936_PF00086_213 <unknown description>
+CRREMEDTLNHLKFLNVLSPRGVHIPNCDKKGFYKKKQCRPSKGRKRGFCWCVDKYGQPL
+PGYTTKGKEDVHC
+>P22692_PF00219_27 <unknown description>
+CPPCSEEKLARCRPPVGCEELVREPGCGCCATCALGLGMPCGVYTPRCGSGLRC
+>P22692_PF00086_174 <unknown description>
+CQSELHRALERLAASQSRTHEDLYIIPIPNCDRNGNFHPKQCHPALDGQRGKCWCVDRKT
+GVKLPGGLEPKGELDC
+>P24593_PF00219_27 <unknown description>
+CEPCDEKALSMCPPSPLGCELVKEPGCGCCMTCALAEGQSCGVYTERCAQGLRC
+>P24593_PF00086_192 <unknown description>
+CRRHMEASLQELKASPRMVPRAVYLPNCDRKGFYKRKQCKPSRGRKRGICWCVDKYGMKL
+PGMEYVDGDFQC
+>P24592_PF00086_163 <unknown description>
+CRRHLDSVLQQLQTEVYRGAQTLYVPNCDHRGFYRKRQCRSSQGQRRGPCWCVDRMGKSL
+PGSPDGNGSSSC
+>Q16270_PF00219_32 <unknown description>
+CGPCEPASCPPLPPLGCLLGETRDACGCCPMCARGEGEPCGGGGAGRGYCAPGMEC
+>Q16270_PF07648_111 <unknown description>
+CVCKSRYPVCGSDGTTYPSGCQLRAASQRAESRGEKAITQVSKGTC
+>Q16270_PF07679_160 <unknown description>
+PSIVTPPKDIWNVTGAQVYLSCEVIGIPTPVLIWNKVKRGHYGVQRTELLPGDRDNLAIQ
+TRGGPEKHEVTGWVLVSPLSKEDAGEYECHASNSQGQASASAKITV
+>Q8WX77_PF00219_38 <unknown description>
+CGPCRPEGCPAPAPCPAPGISALDECGCCARCLGAEGASCGGRAGGRCGPGLVC
+>Q8WX77_PF07648_106 <unknown description>
+CVCAQRGTVCGSDGRSYPSVCALRLRARHTPRAHPGHLHKARDGPC
+>Q8WX77_PF13927_155 <unknown description>
+PVVVVPPRSVHNVTGAQVGLSCEVRAVPTPVITWRKVTKSPEGTQALEELPGDHVNIAVQ
+VRGGPSDHEATAWILINPLRKEDEGVYQCHAAN
+>Q9P2D0_PF12796_31 <unknown description>
+NQIKAFLSSHCYNAATIKDVFGRNALHLVSSCGKKGVLDWLIQKGVDLLVKDKESGWTAL
+HRSIFYGHIDCVWSLLKHGVSLYIQD
+>Q9P2D0_PF00415_143 <unknown description>
+DVYTWGDNTNFTLGHGSQNSKHHPELVDLFSRSGIYIKQVVLCKFHSVFL
+>Q9P2D0_PF00415_195 <unknown description>
+KGQVYTCGHGPGGRLGHGDEQTCLVPRLVEGLNGHNCSQVAAAKDHTVVL
+>Q9P2D0_PF00415_247 <unknown description>
+DGCVYTFGLNIFHQLGIIPPPSSCNVPRQIQAKYLKGRTIIGVAAGRFHTVL
+>Q9P2D0_PF00651_561 <unknown description>
+DSIHDVTFQVGNRLFPAHKYILAVHSDFFQKLFLSDGNTSEFTDIYQKDEDSAGCHLFVV
+EKVHPDMFEYLLQFIYTDTCDF
+>Q9P2D0_PF00651_761 <unknown description>
+QKKCSFLCDVTMKSVDGKEFPCHKCVLCARLEYFHSMLSSSWIEASSCAALEMPIHSDIL
+KVILDYLYTDEAVVIKESQNVDFICSVLVVADQLLITRLKEICEVALTEK
+>P05155_PF00079_146 <unknown description>
+LVDFSLKLYHAFSAMKKVETNMAFSPFSIASLLTQVLLGAGENTKTNLESILSYPKDFTC
+VHQALKGFTTKGVTSVSQIFHSPDLAIRDTFVNASRTLYSSSPRVLSNNSDANLELINTW
+VAKNTNNKISRLLDSLPSDTRLVLLNAIYLSAKWKTTFDPKKTRMEPFHFKNSVIKVPMM
+NSKKYPVAHFIDQTLKAKVGQLQLSHNLSLVILVPQNLKHRLEDMEQALSPSVFKAIMEK
+LEMSKFQPTLLTLPRIKVTTSQDMLSIMEKLEFFDFSYDLNLCGLTEDPDLQVSAMQHQT
+VLELTETGVEAAAASAISVARTLLVFEVQQPFLFVLWDQQHKFPVFMGRVYDP
+>Q8NDH6_PF06456_15 <unknown description>
+VVRRMQKKYWKTKQVFIKATGKKEDEHLVASDAELDAKLEVFHSVQETCTELLKIIEKYQ
+LRLNVISEEENELGLFLKFQAERDATQAGKMMDATGKALCSSAKQRLALCTPLSRLKQEV
+ATFSQRAVSDTLMTINRMEQARTEYRGALLWMKDVSQELDPDTLKQMEKFRKVQMQVRNS
+KASFDKLKMDVCQKVDLLGASRCNMLSHSLTTYQRTLLGFWKKTARMM
+>Q8NDH6_PF04629_254 <unknown description>
+PYDFVALKQLQDTPSKISEDNKDEQIGGFLTEQLNKLVLSDEEASFESEQANKDHNEKHS
+QMREFGAPQFSNSENVAKDLPVDSLEGEDFEKEFSFLNNLLSSGSSSTSEFTQECQTAFG
+SPSASLTSQEPSMGSEPLAHSSRFLPSQLFDLGFHVAGAFNNWVSQEESELCLSHTDNQP
+VPSQSPKKLTRSPNNGNQDMSAWFNLFADLDPLSNPDAIGHSDDELLNA
+>Q05084_PF06456_22 <unknown description>
+VVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLELFHSIQRTCLDLSKAIVLYQ
+KRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALCFSSQQRLALRNPLCRFHQEV
+ETFRHRAISDTWLTVNRMEQCRTEYRGALLWMKDVSQELDPDLYKQMEKFRKVQTQVRLA
+KKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHFWEKTSHTM
+>Q05084_PF04629_261 <unknown description>
+PYEFTTLKSLQDPMKKLVEKEEKKKINQQESTDAAVQEPSQLISLEEENQRKESSSFKTE
+DGKSILSALDKGSTHTACSGPIDELLDMKSEEGACLGPVAGTPEPEGADKDDLLLLSEIF
+NASSLEEGEFSKEWAAVFGDGQVKEPVPTMALGEPDPKAQTGSGFLPSQLLDQNMKDLQA
+SL
+>Q05084_PF04629_445 <unknown description>
+PAKAASDLTAWFSLFADLDPLSNPDAVGKTDKEHELLNA
+>P20810_PF00748_169 <unknown description>
+RSAEQQPSEKSTEPKTKPQDMISAGGESVAGITAISGKPGDKKKEKKSLTPAVPVESKPD
+KPSGKSGMDAALDDLIDTLGGPEETEEENTTYTGPEVSDPMSSTYIEELGKREVTIPPKY
+RELLAKKEG
+>P20810_PF00748_314 <unknown description>
+AIDALSSDFTCGSPTAAGKKTEKEESTEVLKAQSAGTVRSAAPPQEKKRKVEKDTMSDQA
+LEALSASLGTRQAEPELDLRSIKEVDEAKAKEEKLEKCGEDDETIPSEYRLKPATDKDGK
+PLLP
+>P20810_PF00748_451 <unknown description>
+LIDELSEDFDRSECKEKPSKPTEKTEESKAAAPAPVSEAVCRTSMCSIQSAPPEPATLKG
+TVPDDAVEALADSLGKKEADPEDGKPVMDKVKEKAKEEDREKLGEKEETIPPDYRLEEVK
+DKDGKPLLP
+>P20810_PF00748_594 <unknown description>
+LLDALSEDFSGPQNASSLKFEDAKLAAAISEVVSQTPASTTQAGAPPRDTSQSDKDLDDA
+LDKLSDSLGQRQPDPDENKPMEDKVKEKAKAEHRDKLGERDDTIPPEYRHLLDDNGQDKP
+V
+>P05362_PF03921_25 <unknown description>
+GNAQTSVSPSKVILPRGGSVLVTCSTSCDQPKLLGIETPLPKKELLLPGNNRKVYELSNV
+QEDSQPMCYSNCPDGQSTAKTFLTVYWTPER
+>P13598_PF03921_24 <unknown description>
+EKVFEVHVRPKKLAVEPKGSLEVNCSTTCNQPEVGGLETSLDKILLDEQAQWKHYLVSNI
+SHDTVLQCHFTCSGKQESMNSNVSVYQPPRQ
+>P32942_PF03921_31 <unknown description>
+EFLLRVEPQNPVLSAGGSLFVNCSTDCPSSEKIALETSLSKELVASGMGWAAFNLSNVTG
+NSRILCSVYCNGSQITGSSNITVYRLPER
+>Q14773_PF03921_48 <unknown description>
+FWVRMSPEFVAVQPGKSVQLNCSNSCPQPQNSSLRTPLRQGKTLRGPGWVSYQLLDVRAW
+SSLAHCLVTCAGKTRWATSRITAYKPPH
+>Q9UMF0_PF03921_35 <unknown description>
+WADLQPRVAFVERGGSLWLNCSTNCPRPERGGLETSLRRNGTQRGLRWLARQLVDIREPE
+TQPVCFFRCARRTLQARGLIRTFQRPDR
+>Q9UMF0_PF13895_687 <unknown description>
+ALACAARGRPSPGVRCSREGIPWPEQQRVSREDAGTYHCVATNAHGTDSRTVTV
+>Q9UMF0_PF13927_755 <unknown description>
+PPGGVRPGGNFTLTCRAEAWPPAQISWRAPPGALNIGLSSNNSTLSVAGAMGSHGGEYEC
+AATN
+>Q659A1_PF10505_721 <unknown description>
+EYLAPQEGNFVYKLFSLQDLLLLVRCSVQRIETRPRSKKRKKIRRQFPVYVLPKVEYQAC
+YGVEALTESELCRLWTESLLHSNSSFYVGHIDAFTSKLFLLEEITSEELKEKLSALKISN
+LFNILQHILKKLSSLQEGSYLLSHAAEDSSLLIYKASDGKVTRTAYNLYKTHCGLPGVPS
+SLSVPWVPLDPSLLLPYHIHHGRIPCTFPP
+>Q8WWN9_PF00169_45 <unknown description>
+CQGWLYKKKEKGSFLSNKWKKFWVILKGSSLYWYSNQMAEKADGFVNLPDFTVERASECK
+KKHAFKISHPQIKTFYFAAENVQEMNVWLNKLGSA
+>P54105_PF03517_35 <unknown description>
+GTLYIAESRLSWLDGSGLGFSLEYPTISLHALSRDRSDCLGEHLYVMVNAKFEEESKEPV
+ADEEEEDSDDDVEPITEFRFVPSDKSALEAMFTAMCECQALHPDPEDEDSDDYDGEEYDV
+EAHEQ
+>O60725_PF04140_164 <unknown description>
+LLMVVFGECLRKAAMFTAGSNFNHVVQNEKSDTHTLVTSGVYAWFRHPSYVGWFYWSIGT
+QVMLCNPICGVSYALTVWRFFRDRTEEEEISLIH
+>O75144_PF07686_21 <unknown description>
+QEKEVRAMVGSDVELSCACPEGSRFDLNDVYVYWQTSESKTVVTYHIPQNSSLENVDSRY
+RNRALMSPAGMLRGDFSLRLFNVTPQDEQKFHCLVLSQSLGFQEVLSVEV
+>Q9Y6W8_PF15910_23 <unknown description>
+NGSANYEMFIFHNGGVQILCKYPDIVQQFKMQLLKGGQILCDLTKTKGSGNTVSIKSLKF
+CHSQLSNNSVSFFLYNLDHSHANYYFCNLSIFDPPPFKVTLTGGYLHIYESQ
+>Q14197_PF00472_69 <unknown description>
+DSDIPLDRLTISYCRSSGPGGQNVNKVNSKAEVRFHLATAEWIAEPVRQKIAITHKNKIN
+RLGELILTSESSRYQFRNLADCLQKIRDMITEASQTPKEPTKEDVKLHRIRIENMNRERL
+RQKRIHSAVKTSR
+>P41134_PF00010_68 <unknown description>
+DMNGCYSRLKELVPTLPQNRKVSKVEILQHVIDYIRDLQ
+>Q02363_PF00010_37 <unknown description>
+YNMNDCYSKLKELVPSIPQNKKVSKMEILQHVIDYILDLQ
+>Q02535_PF00010_42 <unknown description>
+DDMNHCYSRLRELVPGVPRGTQLSQVEILQRVIDYILDLQ
+>P47928_PF00010_67 <unknown description>
+DMNDCYSRLRRLVPTIPPNKKVSKVEILQHVIDYILDLQ
+>P98153_PF00057_29 <unknown description>
+RCNPGQFACRSGTIQCIPLPWQCDGWATCEDESDEANC
+>P98153_PF00059_135 <unknown description>
+GENYWDAAQTCQRLNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQRKLWVGYQYVITG
+RNRSLEGRWEVAFKGSSEVFLPPDPIFASAMSENDNVFCAQLQCFHFPTLRHHDLHSWHA
+ESCYEKSSFLCKR
+>P14735_PF00675_74 <unknown description>
+KVLLISDPTTDKSSAALDVHIGSLSDPPNIAGLSHFCEHMLFLGTKKYPKENEYSQFLSE
+HAGSSNAFTSGEHTNYYFDVSHEHLEGALDRFAQFFLCPLFDESCKDREVNAVDSEHEKN
+VMNDAWRLFQLEKATGNPK
+>P14735_PF05193_238 <unknown description>
+RQELLKFHSAYYSSNLMAVCVLGRESLDDLTNLVVKLFSEVENKNVPLPEFPEHPFQEEH
+LKQLYKIVPIKDIRNLYVTFPIPDLQKYYKSNPGHYLGHLIGHEGPGSLLSELKSKGWVN
+TLVGGQKEGARGFMFFIINVDLTEEGLLHVEDIILHMFQYIQKLRAEGPQEWVFQECKD
+>P14735_PF16187_422 <unknown description>
+FRFKDKERPRGYTSKIAGILHYYPLEEVLTAEYLLEEFRPDLIEMVLDKLRPENVRVAIV
+SKSFEGKTDRTEEWYGTQYKQEAIPDEVIKKWQNADLNGKFKLPTKNEFIPTNFEILPLE
+KEATPYPALIKDTAMSKLWFKQDDKFFLPKACLNFEFFSPFAYVDPLHCNMAYLYLELLK
+DSLNEYAYAAELAGLSYDLQNTIYGMYLSVKGYNDKQPILLKKIIEKMATFEIDEKRFEI
+IKEAYMRSLNNFRAEQPHQHAMYYLRLLMTEVAWTKDELKE
+>P14735_PF05193_707 <unknown description>
+VTLPRLKAFIPQLLSRLHIEALLHGNITKQAALGIMQMVEDTLIEHAHTKPLLPSQLVRY
+REVQLPDRGWFVYQQRNEVHNNCGIEIYYQTDMQSTSENMFLELFCQIISEPCFNTLRTK
+EQLGYIVFSGPRRANGIQGLRFIIQSEKPPHYLESRVEAFLITMEKSIEDMTEEAFQKHI
+QAL
+>P50213_PF00180_33 <unknown description>
+TVTLIPGDGIGPEISAAVMKIFDAAKAPIQWEERNVTAIQGPGGKWMIPSEAKESMDKNK
+MGLKGPLKTPIAAGHPSMNLLLRKTFDLYANVRPCVSIEGYKTPYTDVNIVTIRENTEGE
+YSGIEHVIVDGVVQSIKLITEGASKRIAEFAFEYARNNHRSNVTAVHKANIMRMSDGLFL
+QKCREVAESCKDIKFNEMYLDTVCLNMVQDPSQFDVLVMPNLYGDILSDLCAGLIGGLGV
+TPSGNIGANGVAIFESVHGTAPDIAGKDMANPTALLLSAVMMLRHMGLFDHAARIEAACF
+ATIKDGKSLTKDLGGNAKCSDFTEEI
+>O43837_PF00180_51 <unknown description>
+VTMLPGDGVGPELMHAVKEVFKAAAVPVEFQEHHLSEVQNMASEEKLEQVLSSMKENKVA
+IIGKIHTPMEYKGELASYDMRLRRKLDLFANVVHVKSLPGYMTRHNNLDLVIIREQTEGE
+YSSLEHESARGVIECLKIVTRAKSQRIAKFAFDYATKKGRGKVTAVHKANIMKLGDGLFL
+QCCEEVAELYPKIKFETMIIDNCCMQLVQNPYQFDVLVMPNLYGNIIDNLAAGLVGGAGV
+VPGESYSAEYAVFETGARHPFAQAVGRNIANPTAMLLSASNMLRHLNLEYHSSMIADAVK
+KVIKVGKVRTRDMGGYSTTTDFIKSV
+>P51553_PF00180_56 <unknown description>
+TVTMIPGDGIGPELMLHVKSVFRHACVPVDFEEVHVSSNADEEDIRNAIMAIRRNRVALK
+GNIETNHNLPPSHKSRNNILRTSLDLYANVIHCKSLPGVVTRHKDIDILIVRENTEGEYS
+SLEHESVAGVVESLKIITKAKSLRIAEYAFKLAQESGRKKVTAVHKANIMKLGDGLFLQC
+CREVAARYPQITFENMIVDNTTMQLVSRPQQFDVMVMPNLYGNIVNNVCAGLVGGPGLVA
+GANYGHVYAVFETATRNTGKSIANKNIANPTATLLASCMMLDHLKLHSYATSIRKAVLAS
+MDNENMHTPDIGGQGTTSEAIQD
+>O75874_PF00180_11 <unknown description>
+VEMQGDEMTRIIWELIKEKLIFPYVELDLHSYDLGIENRDATNDQVTKDAAEAIKKHNVG
+VKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRLVSGWVKPIII
+GRHAYGDQYRATDFVVPGPGKVEITYTPSDGTQKVTYLVHNFEEGGGVAMGMYNQDKSIE
+DFAHSSFQMALSKGWPLYLSTKNTILKKYDGRFKDIFQEIYDKQYKSQFEAQKIWYEHRL
+IDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLVCPDGKTVEAEAAHG
+TVTRHYRMYQKGQETSTNPIASIFAWTRGLAHRAKLDNNKELAFFANALEEVSIETIEAG
+FMTKDLAACIKGLPNVQRSDYLNTFEFMDK
+>P48735_PF00180_45 <unknown description>
+KVAKPVVEMDGDEMTRIIWQFIKEKLILPHVDIQLKYFDLGLPNRDQTDDQVTIDSALAT
+QKYSVAVKCATITPDEARVEEFKLKKMWKSPNGTIRNILGGTVFREPIICKNIPRLVPGW
+TKPITIGRHAHGDQYKATDFVADRAGTFKMVFTPKDGSGVKEWEVYNFPAGGVGMGMYNT
+DESISGFAHSCFQYAIQKKWPLYMSTKNTILKAYDGRFKDIFQEIFDKHYKTDFDKNKIW
+YEHRLIDDMVAQVLKSSGGFVWACKNYDGDVQSDILAQGFGSLGLMTSVLVCPDGKTIEA
+EAAHGTVTRHYREHQKGRPTSTNPIASIFAWTRGLEHRGKLDGNQDLIRFAQMLEKVCVE
+TVESGAMTKDLAGCIHGLSNVKLNEHFLNTTDFLDT
+>Q13907_PF00293_107 <unknown description>
+LHRAFSVFLFNTENKLLLQQRSDAKITFPGCFTNTCCSHPLSNPAELEESDALGVRRAAQ
+RRLKAELGIPLEEVPPEEINYLTRIHYKAQSDGIWGEHEIDYILLVRKNVTLNPDPNEIK
+SYCYVSKEELKELLKKAASGEIKITPWF
+>Q9BXS1_PF00293_50 <unknown description>
+LHRAFSVVLFNTKNRILIQQRSDTKVTFPGYFTDSCSSHPLYNPAELEEKDAIGVRRAAQ
+RRLQAELGIPGEQISPEDIVFMTIYHHKAKSDRIWGEHEICYLLLVRKNVTLNPDPSETK
+SILYLSQEELWELLEREARGEVKVTPWL
+>O14645_PF10211_66 <unknown description>
+EEILNAILPPREWVEDTQLWIQQVSSTPSTRMDVVHLQEQLDLKLQQRQARETGICPVRR
+ELYSQCFDELIREVTINCAERGLLLLRVRDEIRMTIAAYQTLYESSVAFGMRKALQAEQG
+KSDMERKIAELETEKRDLERQVNEQKAKCEATEKRESERRQVEEKKHNEEIQFLKRTNQQ
+LKAQLE
+>P22304_PF00884_38 <unknown description>
+NVLLIIVDDLRPSLGCYGDKLVRSPNIDQLASHSLLFQNAFAQQAVCAPSRVSFLTGRRP
+DTTRLYDFNSYWRVHAGNFSTIPQYFKENGYVTMSVGKVFHPGISSNHTDDSPYSWSFPP
+YHPSSEKYENTKTCRGPDGELHANLLCPVDVLDVPEGTLPDKQSTEQAIQLLEKMKTSAS
+PFFLAVGYHKPHIPFRYPKEFQKLYPLENITLAPDPEVPDGLPPVAYNPWMDIRQREDVQ
+ALNISVPYGPIPVDFQRKIRQSYFASVSYLDTQVGRLLSALDDLQLANSTIIAFTSDHGW
+ALGEHGEWAKYSNFDVATHVPLIFYVPGRTASLPEAGEKLFPYLDPFDSASQLMEPGRQS
+MDLVELVSLFPTLAGLAG
+>P35475_PF01229_30 <unknown description>
+HLVHVDAARALWPLRRFWRSTGFCPPLPHSQADQYVLSWDQQLNLAYVGAVPHRGIKQVR
+THWLLELVTTRGSTGRGLSYNFTHLDGYLDLLRENQLLPGFELMGSASGHFTDFEDKQQV
+FEWKDLVSSLARRYIGRYGLAHVSKWNFETWNEPDHHDFDNVSMTMQGFLNYYDACSEGL
+RAASPALRLGGPGDSFHTPPRSPLSWGLLRHCHDGTNFFTGEAGVRLDYISLHRKGARSS
+ISILEQEKVVAQQIRQLFPKFADTPIYNDEADPLVGWSLPQPWRADVTYAAMVVKVIAQH
+QNLLLANTTSAFPYALLSNDNAFLSYHPHPFAQRTLTARFQVNNTRPPHVQLLRKPVLTA
+MGLLALLDEEQLWAEVSQAGTVLDSNHTVGVLASAHRPQGPADAWRAAVLIYASDDTRAH
+PNRSVAVTLRLRGVPPGPGLVYVTRYLDNGLCSPDGEWRRLGRPVFPTAEQFRRMRAAED
+PVAAAPRPLPAGGRLT
+>Q9BTL4_PF05760_1 <unknown description>
+MEVQKEAQRIMTLSVWKMYHSRMQRGGLRLHRSLQLSLVMRSARELYLSAKVEALEPEVS
+LPAALPSDPRLHPPREAESTAETATPDGEHPFPEPMDTQEAPTAEETSACCAPRPAKVSR
+KRRSSSLSDGGDAGLVPSKKARLEEKEEEEGASSEVADRLQPPPAQAEGAFPNL
+>Q5T953_PF05760_1 <unknown description>
+MECALDAQSLISISLRKIHSSRTQRGGIKLHKNLLVSYVLRNARQLYLSERYAELYRRQQ
+QQQQQQPPHHQHQHLAYAAPGMPASAADFGPLQLGGGGDAEAREPAARHQLHQLHQLHQL
+HLQQQLHQHQHPAPRGCAAAAAAGAPAGGAGALSELPGCAALQPPHGAPHRGQPLEPLQP
+GPAPLPLPLPPPAPAALCPRDPRAPAACSAPPGAAPPAAAASPPASPAPASSPGFYRGAY
+PTPSDFGLHCSSQTTVLDLDTHVVTTVENGYLHQDCCASAHCPCCGQGAPGPGLASAAGC
+KRKYYPGQEEEEDDEEDAGGLGAEPPGGAPFAPCKRARFEDFCPDSSPDASNISNLISIF
+GSGFSGLVSRQPDSSEQPPPLNGQLCAKQALASLGAWTRAIVAF
+>Q5VY09_PF05760_1 <unknown description>
+MEFKLEAHRIVSISLGKIYNSRVQRGGIKLHKNLLVSLVLRSARQVYLSDPCPGLYLAGP
+AGTPAPPPQQQPGEPAAGPPAGWGEPPPPAARASWPETEPQPERSSVSDAPRVGDEVPVA
+TVTGVGDVFQGGEADATEAAWSRVEGPRQAAAREAEGTAGGWGVFPEVSRAARRPCGCPL
+GGEDPPGTPAATPRAACCCAPQPAEDEPPAPPAVCPRKRCAAGVG
+>Q5VY09_PF05760_253 <unknown description>
+GEDDDAEEMETGNVANLISIFGSSFSGLLRKSPGGGREEEEGEESGPEAAEPGQICCDKP
+VLRDMNPWSTAIVAF
+>Q9HBG6_PF00400_44 <unknown description>
+TLLQPLKGHKDTVYCVAYAKDGKRFASGSADKSVIIW
+>Q16666_PF02758_10 <unknown description>
+LLKGLEVINDYHFRMVKSLLSNDLKLNLKMREEYDKIQIADLMEEKFRGDAGLGKLIKIF
+EDIPTLEDLAE
+>Q16666_PF02760_201 <unknown description>
+QKRPVIVKVLSTTKPFEYETPEMEKKIMFHATVATQTQFFHVKVLNTSLKEKFNGKKIII
+ISDYLEYDSLLEVNEESTVSEAGPNQTFEVPNKIINRAKETLKIDILHKQASGNIVYGVF
+MLHKKTVNQKTTIYEIQDDRGKMDVVGTGQCHNIPCEEGDKLQLFCFRL
+>Q16666_PF02760_575 <unknown description>
+SDLKEVMVLNATESFVYEPKEQKKMFHATVATENEVFRVKVFNIDLKEKFTPKKIIAIAN
+YVCRNGFLEVYPFTLVADVNADRNMEIPKGLIRSASVTPKINQLCSQTKGSFVNGVFEVH
+KKNVRGEFTYYEIQDNTGKMEVVVHGRLTTINCEEGDKLKLTCFEL
+>Q9UG01_PF00400_15 <unknown description>
+GAAKVTCMAWSQNNAKFAVCTVDRVVLLYD
+>P47813_PF01176_32 <unknown description>
+GQEYAQVIKMLGNGRLEAMCFDGVKRLCHIRGKLRKKVWINTSDIILVGLRDYQDNKADV
+IL
+>O14602_PF01176_32 <unknown description>
+GQEYAQVIKMLGNGRLEALCFDGVKRLCHIRGKLRKKVWINTSDIILVGLRDYQDNKADV
+IL
+>P05198_PF00575_14 <unknown description>
+PEVEDVVMVNVRSIAEMGAYVSLLEYNNIEGMILLSELSRRRIRSINKLIRIGRNECVVV
+IRVDKEKGYIDLSKR
+>P05198_PF07541_131 <unknown description>
+FQRTAWVFDDKYKRPGYGAYDAFKHAVSDPSILDSLDLNEDEREVLINNINRRLTPQAVK
+IRADIEVACYGYEGIDAVKEALRAGLNCSTENMPIKINLIAPPRYVMTTTTLE
+>Q9NZI8_PF00076_4 <unknown description>
+LYIGNLNESVTPADLEKVFAEHKISYSGQFLVKSGYAFVDCPDEHWAMKAIETFSGKVEL
+QGKRL
+>Q9NZI8_PF00076_85 <unknown description>
+IRNIPPQLRWEVLDSLLAQYGTVENCEQVNTESETAVVNVTYSNREQTRQAIMKLNGHQL
+ENHALK
+>Q9NZI8_PF00013_198 <unknown description>
+LRLLVPTQYVGAIIGKEGATIRNITKQTQSKIDVHRKENAGAAEKAISVHSTPEGCSSAC
+KMILE
+>Q9NZI8_PF00013_280 <unknown description>
+KILAHNNFVGRLIGKEGRNLKKVEQDTETKITISSLQDLTLYNPERTITVKGAIENCCRA
+EQEIM
+>Q9NZI8_PF00013_409 <unknown description>
+QVFIPAQAVGAIIGKKGQHIKQLSRFASASIKIAPPETPDSKVRMVIITGPPEAQFKAQG
+RIY
+>Q9NZI8_PF00013_490 <unknown description>
+THIRVPASAAGRVIGKGGKTVNELQNLTAAEVVVPRDQTPDENDQVIVKIIGHFYASQMA
+QRKIR
+>Q9Y6M1_PF00076_5 <unknown description>
+LYIGNLSPAVTADDLRQLFGDRKLPLAGQVLLKSGYAFVDYPDQNWAIRAIETLSGKV
+>Q9Y6M1_PF00076_86 <unknown description>
+IRNIPPHLQWEVLDGLLAQYGTVENVEQVNTDTETAVVNVTYATREEAKIAMEKLSGHQF
+ENY
+>Q9Y6M1_PF00013_196 <unknown description>
+LRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEKPVTIHATPEGTSEAC
+RMILE
+>Q9Y6M1_PF00013_278 <unknown description>
+KILAHNGLVGRLIGKEGRNLKKIEHETGTKITISSLQDLSIYNPERTITVKGTVEACASA
+EIEIM
+>Q9Y6M1_PF00013_432 <unknown description>
+LFIPTQAVGAIIGKKGAHIKQLARFAGASIKIAPAEGPDVSERMVIITGPPEAQFKAQGR
+I
+>Q9Y6M1_PF00013_513 <unknown description>
+HIRVPSSTAGRVIGKGGKTVNELQNLTSAEVIVPRDQTPDENEEVIVRIIGHFFASQTAQ
+RKIRE
+>O00425_PF00076_4 <unknown description>
+LYIGNLSENAAPSDLESIFKDAKIPVSGPFLVKTGYAFVDCPDESWALKAIEALSGKIEL
+HGKPI
+>O00425_PF00076_85 <unknown description>
+IRNIPPHLQWEVLDSLLVQYGVVESCEQVNTDSETAVVNVTYSSKDQARQALDKLNGFQL
+ENFTLK
+>O00425_PF00013_198 <unknown description>
+LRLLVPTQFVGAIIGKEGATIRNITKQTQSKIDVHRKENAGAAEKSITILSTPEGTSAAC
+KSIL
+>O00425_PF00013_280 <unknown description>
+KILAHNNFVGRLIGKEGRNLKKIEQDTDTKITISPLQELTLYNPERTITVKGNVETCAKA
+EEEIM
+>O00425_PF00013_408 <unknown description>
+VHLFIPALSVGAIIGKQGQHIKQLSRFAGASIKIAPAEAPDAKVRMVIITGPPEAQFKAQ
+GRIY
+>O00425_PF00013_491 <unknown description>
+HIRVPSFAAGRVIGKGGKTVNELQNLSSAEVVVPRDQTPDENDQVVVKITGHFYACQVAQ
+RKIQE
+>P20042_PF01873_197 <unknown description>
+GEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFLLAELGTSGSIDGNNQL
+VIKGRFQQKQIENVLRRYIKEYVTCHTCRSPDTILQKDTRLYFLQCETCHS
+>Q2VIR3_PF00009_41 <unknown description>
+TINIGTIGHVAHGKSTVVKAISGVHTVRFKNELERNITIKLGYANAKIYQLDDPSCPRPE
+CYRSCGSSMPDEFPTDIPGTKGNFRLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIA
+GNESCPQPQTSEHLAAIEIMKLKHILILQNKIDLVKERQAKEQYEQILAFVQGTVAEGAP
+IIPISAQLKYNIEVVCEYIVKKIP
+>Q2VIR3_PF03144_276 <unknown description>
+GGVAGGSILKGVLKVGQETEVRPGIVSKDSEGKLMCKSIFSKIVSLFAEHNDLQYAAPGG
+LIGVGTKIDPTLCRADRMVGQIL
+>Q2VIR3_PF09173_369 <unknown description>
+TELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAVKADLGKIV
+LTNPVCTEVGEKIALSRRVEKHWRLIGWGQI
+>P41091_PF00009_41 <unknown description>
+TINIGTIGHVAHGKSTVVKAISGVHTVRFKNELERNITIKLGYANAKIYKLDDPSCPRPE
+CYRSCGSSTPDEFPTDIPGTKGNFKLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIA
+GNESCPQPQTSEHLAAIEIMKLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAP
+IIPISAQLKYNIEVVCEYIVKKIP
+>P41091_PF03144_276 <unknown description>
+GGVAGGSILKGVLKVGQEIEVRPGIVSKDSEGKLMCKPIFSKIVSLFAEHNDLQYAAPGG
+LIGVGTKIDPTLCRADRMVGQVL
+>P41091_PF09173_369 <unknown description>
+TELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAVKADLGKIV
+LTNPVCTEVGEKIALSRRVEKHWRLIGWGQI
+>P46199_PF00009_183 <unknown description>
+VTIMGHVDHGKTTLLDKFRKTQVAAVETGGITQHIGAFLVSLPSGEKITFLDTPGHAAFS
+AMRARGAQVTDIVVLVVAADDGVMKQTVESIQHAKDAQVPIILAVNKCDKAEADPEKVKK
+ELLAYDVVCEDYGGDVQAVPVSALTGDNLMALAEATVAL
+>P46199_PF11987_508 <unknown description>
+KRERDSNVLSVIIKGDVDGSVEAILNIIDTYDASHECELELVHFGVGDVSANDVNLAETF
+DGVIYGFNVNAGNVIQQSAAKKGVKIKLHKIIYRLVEDL
+>O60841_PF00009_633 <unknown description>
+IICVLGHVDTGKTKILDKLRHTHVQDGEAGGITQQIGATNVPLEAINEQTKMIKNFDREN
+VRIPGMLIIDTPGHESFSNLRNRGSSLCDIAILVVDIMHGLEPQTIESINLLKSKKCPFI
+VALNKIDRLYDWKKSPDSDVAATLKKQKKNTKDEFEERAKAIIVEFAQQGLNAALFYENK
+DPRTFVSLVPTSAHTGDGMGSLIYLLVELTQ
+>O60841_PF03144_870 <unknown description>
+GTTIDVILINGRLKEGDTIIVPGVEGPIVTQIRGLLLPPPMKELRVKNQYEKHKEVEAAQ
+GVKILGKDLEKTLAGLP
+>O60841_PF11987_966 <unknown description>
+ELKQTLNAIKLEEKGVYVQASTLGSLEALLEFLKTSEVPYAGINIGPVHKKDVMKASVML
+EHDPQYAVILAFDVRIERDAQEMADSLGVRIFSAEIIYHLFDAF
+>Q9H2K0_PF05198_79 <unknown description>
+GRKISQRVIHLFDEKGNDLGNMHRANVIRLMDERDLRLVQRNTSTEPAEYQLMTGLQILQ
+ERQRLR
+>Q53G44_PF01926_199 <unknown description>
+RILLVGPVGSGKSSFFNSVKSIFHGHVTGQAVVGSDITSITERYRIYSVKDGKNGKSLPF
+MLCDTMGLDGAEGAGLCMDDIPHILKGCMPDRYQFNSRKPITPEHSTFITSPSLKDRIHC
+VAYVLDINSIDNLYSKMLAKVKQVHK
+>P60842_PF00270_57 <unknown description>
+AIQQRAILPCIKGYDVIAQAQSGTGKTATFAISILQQIELDLKATQALVLAPTRELAQQI
+QKVVMALGDYMGASCHACIGGTNVRAEVQKLQMEAPHIIVGTPGRVFDMLNRRYLSPKYI
+KMFVLDEADEMLSRGFKDQIYDIFQKLNSNTQVVLLSATMPSDVL
+>P60842_PF00271_259 <unknown description>
+KLDTLCDLYETLTITQAVIFINTRRKVDWLTEKMHARDFTVSAMHGDMDQKERDVIMREF
+RSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRIGRGGRFG
+>Q14240_PF00270_58 <unknown description>
+AIQQRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQI
+QKVILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWI
+KMFVLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVL
+>Q14240_PF00271_260 <unknown description>
+KLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTVSALHGDMDQKERDVIMREF
+RSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRIGRGGRFG
+>P38919_PF00270_63 <unknown description>
+AIQQRAIKQIIKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAVQI
+QKGLLALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLRTRAIK
+MLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEI
+>P38919_PF00271_264 <unknown description>
+KFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREANFTVSSMHGDMPQKERESIMKEF
+RSGASRVLISTDVWARGLDVPQVSLIINYDLPNNRELYIHRIGRSGRYG
+>P23588_PF00076_99 <unknown description>
+FLGNLPYDVTEESIKEFFRGLNISAVRLPREPSNPERLKGFGYAEFEDLDSLLSALSLNE
+ESLGNRRIR
+>O60573_PF01652_55 <unknown description>
+PLQYNYTFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWRFYSHMVRPGDLTGHSDFH
+LFKEGIKPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVR
+FQEDIISIWNKTASDQATTARIRDTLRRVLNLPPNTIMEY
+>Q8N5X7_PF01652_48 <unknown description>
+PLHSSWTFWLDRSLPGATAAECASNLKKIYTVQTVQIFWSVYNNIPPVTSLPLRCSYHLM
+RGERRPLWEEESNAKGGVWKMKVPKDSTSTVWKELLLATIGEQFTDCAAADDEVIGVSVS
+VRDREDVVQVWNVNASLV
+>P06730_PF01652_38 <unknown description>
+PLQNRWALWFFKNDKSKTWQANLRLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDG
+IEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVR
+AKGDKIAIWTTECENREAVTHIGRVYKERLGLPPKIVI
+>Q04637_PF02854_762 <unknown description>
+RRVRSILNKLTPQMFQQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRC
+LMALKVPTTEKPTVTVNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLK
+EELEEARDIARRRSLGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTT
+IGKDLDFEKAKPRMDQYFNQMEKIIKEKKTSSRIRFMLQDVLDLRG
+>Q04637_PF02847_1242 <unknown description>
+LEKKSKAIIEEYLHLNDMKEAVQCVQELASPSLLFIFVRHGVESTLERSAIAREHMGQLL
+HQLLCAGHLSTAQYYQGLYEILELAEDMEIDIPHVWLYLAELVTPILQEGGV
+>Q04637_PF02020_1521 <unknown description>
+ELQALYALQALVVTLEQPPNLLRMFFDALYDEDVVKEDAFYSWESSKDPAEQQGKGVALK
+SVTAFFKWLREAEEESD
+>P78344_PF02854_78 <unknown description>
+FRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVDKALEEPKYSSLYAQLCL
+RLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTRNVDVYDKRENPLLPEEE
+EQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKKRVQLKDMGEDLECLCQI
+MRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQDTVELREH
+>P78344_PF02847_547 <unknown description>
+LTETVVTEYLNSGNANEAVNGVREMRAPKHFLPEMLSKVIILSLDRSDEDKEKASSLISL
+LKQEGIATSDNFMQAFLNVLDQCPKLEVDIPLVKSYLAQFAARAIISEL
+>P78344_PF02020_827 <unknown description>
+QVSALYALQVHCYNSNFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQ
+VNQWLTWLETAEEEESEE
+>O43432_PF02854_811 <unknown description>
+FRKVRSILNKLTPQMFNQLMKQVSGLTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCR
+CLVTLKVPMADKPGNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRL
+HDELEEAKDKARRRSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLT
+TIGKDLDFEKAKPRMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRL
+>O43432_PF02847_1278 <unknown description>
+LERKSKSIIDEFLHINDFKEAMQCVEELNAQGLLHVFVRVGVESTLERSQITRDHMGQLL
+YQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAELVTPMLKEGGI
+>O43432_PF02020_1563 <unknown description>
+ELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQNGKGVALK
+SVTAFFTWLREAEEESED
+>Q15056_PF00076_45 <unknown description>
+YVGNLPFNTVQGDIDAIFKDLSIRSVRLVRDKDTDKFKGFCYVEFDEVDSLKEALTYDGA
+LLGDRSL
+>P63241_PF01287_83 <unknown description>
+NIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLGKEIEQKYDCGEEILITVLSAMT
+EEAAVAIK
+>Q9GZV4_PF01287_83 <unknown description>
+NIKRNDYQLICIQDGYLSLLTETGEVREDLKLPEGELGKEIEGKYNAGEDVQVSVMCAMS
+EEYAVAIK
+>Q6IS14_PF01287_83 <unknown description>
+NIKRNDFQLIGIQDGYLSLLQDSGEVPEDLRLPEGDLGKEIEQKYDCGEEILITVLSAMT
+EEAAVAIK
+>P55010_PF01873_8 <unknown description>
+SVSDQFYRYKMPRLIAKVEGKGNGIKTVIVNMVDVAKALNRPPTYPTKYFGCELGAQTQF
+DVKNDRYIVNGSHEANKLQDMLDGFIKKFVLCPECENPETDLHVNPKKQTIGNSCKACGY
+>P55010_PF02020_311 <unknown description>
+QRYLLHGLECVVAMHQAQLISKIPHILKEMYDADLLEEEVIISWSEKASKKYVSKELAKE
+IRVKAEPFIKWLKEAEEESS
+>P56537_PF01912_4 <unknown description>
+RASFENNCEIGCFAKLTNTYCLVAIGGSENFYSVFEGELSDTIPVVHASIAGCRIIGRMC
+VGNRHGLLVPNNTTDQELQHIRNSLPDTVQIRRVEERLSALGNVTTCNDYVALVHPDLDR
+ETEEILADVLKVEVFRQTVADQVLVGSYCVFSNQGGLVHPKTSIEDQDELSSLLQVPLVA
+GTVNRGSEVIAAGMVVNDWCA
+>Q5TF58_PF00038_403 <unknown description>
+IDLQGEQEENLGNLIHETESFFKTRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSM
+KRGLDVQMETCRRLIKGS
+>P09912_PF06140_48 <unknown description>
+GGGLAVAGLPALGFTGAGIAANSVAASLMSWSAILNGGGVPAGGLVATLQSLGAGGSSVV
+IGNIGALM
+>Q9BYX4_PF16739_8 <unknown description>
+DENFRYLISCFRARVKMYIQVEPVLDYLTFLPAEVKEQIQRTVATSGNMQAVELLLSTLE
+KGVWHLGWTREFVEALRRTGSPLAARYMNP
+>Q9BYX4_PF16739_111 <unknown description>
+HDEYLQLLNLLQPTLVDKLLVRDVLDKCMEEELLTIEDRNRIAAAENNGNESGVRELLKR
+IVQKENWFSAFLNVLRQTGNNELVQELTGS
+>Q9BYX4_PF04851_306 <unknown description>
+LQLRPYQMEVAQPALEGKNIIICLPTGSGKTRVAVYIAKDHLDKKKKASEPGKVIVLVNK
+VLLVEQLFRKEFQPFLKKWYRVIGLSGDTQLKISFPEVVKSCDIIISTAQILENSLLNLE
+NGEDAGVQLSDFSLIIIDECHHTNKEAVYNNIMRHYLMQKLKNNRLKKENKPVIPLPQIL
+GLTASP
+>Q9BYX4_PF18119_537 <unknown description>
+KKFAIADATREDPFKEKLLEIMTRIQTYCQMSPMSDFGTQPYEQWAIQMEKKAAKEGNRK
+ERVCAEHLRKYNEALQINDTIRMIDAYTHLETFYNEEKDKKFAVIEDDSDEGGDDEYCDG
+DEDEDDLKKPLKLDETDRFLMTLFFENNKMLKRLAE
+>Q9BYX4_PF00271_706 <unknown description>
+NTIMEQYTRTEESARGIIFTKTRQSAYALSQWITENEKFAEVGVKAHHLIGAGHSSEFKP
+MTQNEQKEVISKFRTGKINLLIATTVAEEGLDIKECNIVIRYGLVTNEIAMVQARGRARA
+>Q9BYX4_PF11648_904 <unknown description>
+TFLCKNCSVLACSGEDIHVIEKMHHVNMTPEFKELYIVRENKALQKKCADYQINGEIICK
+CGQAWGTMMVHKGLDLPCLKIRNFVVVFKNNSTKKQYKKWVELPITFPNLDYSE
+>P09914_PF13424_54 <unknown description>
+IHNLLAYVKHLKGQNEEALKSLKEAENLMQEEHDNQANVRSLVTWGNFAWMYYHMGRLAE
+AQTYLDKVENICKK
+>P09914_PF13181_155 <unknown description>
+KNYERAKACFEKVLEVDPEN
+>P09914_PF13181_438 <unknown description>
+ESLSLLGFVYKLEGNMNEALEYYERALRLAAD
+>P09913_PF13181_51 <unknown description>
+ATMCNLLAYLKHLKGQNEAALECLRKAEELI
+>P09913_PF13181_254 <unknown description>
+AKFYRRKDEPDKAIELLKKALEYIPNN
+>O14879_PF13424_51 <unknown description>
+ATMYNLLAYIKHLDGNNEAALECLRQAEELIQQEHADQAEIRSLVTWGNYAWVYYHLGRL
+SDAQIYVDKV
+>O14879_PF13181_143 <unknown description>
+GWTQLKCGRNERAKVCFEKALEEKPNN
+>O14879_PF13176_247 <unknown description>
+AAKFYRRKGDLDKAIELFQRVLESTPN
+>O14879_PF13181_417 <unknown description>
+YWYLQGLIHKQNGDLLQAAKCYEK
+>Q13325_PF13424_51 <unknown description>
+LALYNLLAYVKHLKGQNKDALECLEQAEEIIQQEHSDKEEVRSLVTWGNYAWVYYHMDQL
+EEAQKY
+>Q13325_PF13181_154 <unknown description>
+KYYQKAKAAFEKALEVEPDN
+>Q13325_PF13374_339 <unknown description>
+FAYTDLANMYAEGGQYSNAEDIFRKALRL
+>Q13325_PF07719_437 <unknown description>
+SLSALGFVYKLEGEKRQAAEYYEKAQKIDPEN
+>Q6K0P9_PF02758_10 <unknown description>
+LLKGLEVINDYHFRIVKSLLSNDLKLNPKMKEEYDKIQIADLMEEKFPGDAGLGKLIEFF
+KEIPTLGDLAE
+>Q6K0P9_PF02760_212 <unknown description>
+EDPIIAMVLNATKVFKYESSENEQRRMFHATVATQTQFFHVKVLNINLKRKFIKKRIIII
+SNYSKRNSLLEVNEASSVSEAGPDQTFEVPKDIIRRAKKIPKINILHKQTSGYIVYGLFM
+LHTKIVNRKTTIYEIQDKTGSMAVVGKGECHNIPCEKGDKLRLFCFRL
+>A6NMD0_PF04505_151 <unknown description>
+NDYYLWSIFNFVYLNFCCLGFIALAYSLKVRDKKLLNDLNGAVEDAKTARLFNITSSALA
+ASCIIL
+>P13164_PF04505_33 <unknown description>
+VPDHVVWSLFNTLFLNWCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALIL
+GILMTI
+>Q01629_PF04505_53 <unknown description>
+VPDHVVWSLFNTLFMNTCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALIL
+GIFMTIL
+>Q01628_PF04505_54 <unknown description>
+VPDHVVWSLFNTLFMNPCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALIL
+GILMTIL
+>A6NNB3_PF04505_32 <unknown description>
+PPRDHLIWSVFSTLYLNLCCLGFLALAYSIKARDQKVVGDLEAARRFGSKAKCYNILAAM
+WTLVPPL
+>P01566_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLGQMGRISPFSCLKDRHDFRIPQEEFDGNQFQKAQAISVLHEMIQ
+QTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDSILAVRK
+YFQRITLYLIERKYSPCAWEVVRAEIMRSLSFSTNLQKRL
+>P01570_PF00143_26 <unknown description>
+LSQTHSLNNRRTLMLMAQMRRISPFSCLKDRHDFEFPQEEFDGNQFQKAQAISVLHEMMQ
+QTFNLFSTKNSSAAWDETLLEKFYIELFQQMNDLEACVIQEVGVEETPLMNEDSILAVKK
+YFQRITLYLMEKKYSPCAWEVVRAEIMRSLSFSTNLQKRL
+>P05015_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLAQMGRISHFSCLKDRYDFGFPQEVFDGNQFQKAQAISAFHEMIQ
+QTFNLFSTKDSSAAWDETLLDKFYIELFQQLNDLEACVTQEVGVEEIALMNEDSILAVRK
+YFQRITLYLMGKKYSPCAWEVVRAEIMRSFSFSTNLQK
+>P01571_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLAQMGRISPFSCLKDRHDFGLPQEEFDGNQFQKTQAISVLHEMIQ
+QTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNNLEACVIQEVGMEETPLMNEDSILAVRK
+YFQRITLYLTEKKYSPCAWEVVRAEIMRSLSFSTNLQK
+>P01568_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLAQMGRISPFSCLKDRHDFGFPQEEFDGNQFQKAQAISVLHEMIQ
+QTFNLFSTKDSSATWEQSLLEKFSTELNQQLNDLEACVIQEVGVEETPLMNVDSILAVKK
+YFQRITLYLTEKKYSPCAWEVVRAEIMRSFSLSKIFQERL
+>P01562_PF00143_26 <unknown description>
+LPETHSLDNRRTLMLLAQMSRISPSSCLMDRHDFGFPQEEFDGNQFQKAPAISVLHELIQ
+QIFNLFTTKDSSAAWDEDLLDKFCTELYQQLNDLEACVMQEERVGETPLMNADSILAVKK
+YFRRITLYLTEKKYSPCAWEVVRAEIMRSLSLSTNLQERL
+>P01563_PF00143_26 <unknown description>
+LPQTHSLGSRRTLMLLAQMRRISLFSCLKDRHDFGFPQEEFGNQFQKAETIPVLHEMIQQ
+IFNLFSTKDSSAAWDETLLDKFYTELYQQLNDLEACVIQGVGVTETPLMKEDSILAVRKY
+FQRITLYLKEKKYSPCAWEVVRAEIMRSFSLSTNLQESL
+>P05014_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLAQMGRISHFSCLKDRHDFGFPEEEFDGHQFQKAQAISVLHEMIQ
+QTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDSILAVRK
+YFQRITLYLTEKKYSPCAWEVVRAEIMRSLSFSTNLQKRL
+>P01569_PF00143_26 <unknown description>
+LPQTHSLSNRRTLMIMAQMGRISPFSCLKDRHDFGFPQEEFDGNQFQKAQAISVLHEMIQ
+QTFNLFSTKDSSATWDETLLDKFYTELYQQLNDLEACMMQEVGVEDTPLMNVDSILTVRK
+YFQRITLYLTEKKYSPCAWEVVRAEIMRSFSLSANLQERL
+>P05013_PF00143_26 <unknown description>
+LPQTHSLGHRRTMMLLAQMRRISLFSCLKDRHDFRFPQEEFDGNQFQKAEAISVLHEVIQ
+QTFNLFSTKDSSVAWDERLLDKLYTELYQQLNDLEACVMQEVWVGGTPLMNEDSILAVRK
+YFQRITLYLTEKKYSPCAWEVVRAEIMRSFSSSRNLQERL
+>P01567_PF00143_26 <unknown description>
+LPQTHSLRNRRALILLAQMGRISPFSCLKDRHEFRFPEEEFDGHQFQKTQAISVLHEMIQ
+QTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDFILAVRK
+YFQRITLYLMEKKYSPCAWEVVRAEIMRSFSFSTNLKK
+>P32881_PF00143_26 <unknown description>
+LPQTHSLGNRRALILLAQMRRISPFSCLKDRHDFEFPQEEFDDKQFQKAQAISVLHEMIQ
+QTFNLFSTKDSSAALDETLLDEFYIELDQQLNDLESCVMQEVGVIESPLMYEDSILAVRK
+YFQRITLYLTEKKYSSCAWEVVRAEIMRSFSLSINLQKRL
+>P01574_PF00143_30 <unknown description>
+LQRSSNFQCQKLLWQLNGRLEYCLKDRMNFDIPEEIKQLQQFQKEDAALTIYEMLQNIFA
+IFRQDSSSTGWNETIVENLLANVYHQINHLKTVLEEKLEKEDFTRGKLMSSLHLKRYYGR
+ILHYLKAKEYSHCAWTIVRVEILRNFYFINRLTGYL
+>Q86WN2_PF00143_29 <unknown description>
+FQQRQVNQESLKLLNKLQTLSIQQCLPHRKNFLLPQKSLSPQQYQKGHTLAILHEMLQQI
+FSLFRANISLDGWEENHTEKFLIQLHQQLEYLEALMGLEAEKLSGTLGSDNLRLQVKMYF
+RRIHDYLENQDYSTCAWAIVQVEISRCLFFVFSLTEKL
+>P01579_PF00714_15 <unknown description>
+VLGSLGCYCQDPYVKEAENLKKYFNAGHSDVADNGTLFLGILKNWKEESDRKIMQSQIVS
+FYFKLFKNFKDDQSIQKSVETIKEDMNVKFFNSNKKKRDDFEKLTNYSVTDLNVQRKAIH
+ELIQVMAELSPAAKTGKR
+>Q9P0W0_PF00143_33 <unknown description>
+LNVHLRRVTWQNLRHLSSMSNSFPVECLRENIAFELPQEFLQYTQPMKRDIKKAFYEMSL
+QAFNIFSQHTFKYWKERHLKQIQIGLDQQAEYLNQCLEEDKNENEDMKEMKENEMKPSEA
+RVPQLSSLELRRYFHRIDNFLKEKKYSDCAWEIVRVEIRRCLYYFYKFTA
+>Q8IU54_PF15177_32 <unknown description>
+KGCHIGRFKSLSPQELASFKKARDALEESLKLKNWSCSSPVFPGNWDLRLLQVRERPVAL
+EAELALTLKVLEAAAGPALEDVLDQPLHTLHHILSQLQACIQPQPTAGPRPRGRLHHWLH
+RLQEAPKKESAGCLEASVTFNLFRLLTRDLKYVAD
+>Q8IZJ0_PF15177_38 <unknown description>
+ARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCRCHSRLFPRTWDLRQLQVRERPMA
+LEAELALTLKVLEATADTDPALVDVLDQPLHTLHHILSQFRACIQPQPTAGPRTRGRLHH
+WLYRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASG
+>Q8IZI9_PF15177_34 <unknown description>
+ARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCKCRSRLFPRTWDLRQLQVRERPVA
+LEAELALTLKVLEATADTDPALGDVLDQPLHTLHHILSQLRACIQPQPTAGPRTRGRLHH
+WLHRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASG
+>P05000_PF00143_26 <unknown description>
+LPQNHGLLSRNTLVLLHQMRRISPFLCLKDRRDFRFPQEMVKGSQLQKAHVMSVLHEMLQ
+QIFSLFHTERSSAAWNMTLLDQLHTGLHQQLQHLETCLLQVVGEGESAGAISSPALTLRR
+YFQGIRVYLKEKKYSDCAWEVVRMEIMKSLFLSTNMQERL
+>O00458_PF05004_50 <unknown description>
+TMSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGIKNA
+LASKMLYEFILERRMTLTDSIERCLKKGKSDEQRAAAALASVLCIQLGPGIESEEILKTL
+GPILKKIICDGSASMQARQTCATCFGVCCFIATDDITELYSTLECLENIFTKSYLKEKDT
+TVICSTPNTVLHISSLLAWTLLLTICPINEVKKKLEMHFHKLPSLLSCDDVNMRIAAGES
+LALLFELARGIESDFFYEDMESLTQMLRALATDGNKHRAKVDKRKQRSVFRDVLRAVE
+>O00458_PF04836_392 <unknown description>
+NEFLRNVFELGPPVMLDAATLKTMKISRFERHLYNSAAFKARTKARSKCRDKRA
+>Q12894_PF05004_45 <unknown description>
+SECPSLLSTTAEDSLGGDVVDEQGQQEDLEEKLKEYVDCLTDKSAKTRQGALESLRLALA
+SRLLPDFLLERRLTLADALEKCLKKGKGEEQALAAAVLGLLCVQLGPGPKGEELFHSLQP
+LLVSVLSDSTASPAARLHCASALGLGCYVAAADIQDLVSCLACLESVFSRFYGLGGSSTS
+PVVPASLHGLLSAALQAWALLLTICPSTQISHILDRQLPRLPQLLSSESVNLRIAAGETI
+ALLFELARDLEEEFVYEDMEALCSVLRTLATDSNKYRAKADRRRQRSTFRAVLHSVE
+>Q12894_PF04836_386 <unknown description>
+NELLRDIFGLGPVLLLDATALKACKVPRFEKHLYNAAAFKARTKARSRVRDKRA
+>Q5T764_PF13424_54 <unknown description>
+IHNLLAYVKHLKGQNEEALVSLKKAEDLIQKEHANQADIRSLVTWGNFAWVYYHMGRLAE
+AQTYLDKVENTCK
+>Q5T764_PF13181_155 <unknown description>
+KNYERAKTCFEKALEGNPE
+>Q5T764_PF13176_342 <unknown description>
+AYVDLAETYAEIGHHRKAEEHFQKGLRMK
+>Q8IY31_PF14931_10 <unknown description>
+GLHFDELNKLRVLDPEVTQQTIELKEECKDFVDKIGQFQKIVGGLIELVDQLAKEAENEK
+MKAIGARNLLKSIAKQREAQQQQLQALIAEKKMQLERYRVEYEALCKVEAEQNEFIDQF
+>Q9H7X7_PF08477_5 <unknown description>
+KILFVGPCESGKTVLANFLTESSDITEYSPTQGVRILEFENPHVTSNNKGTGCEFELWDC
+GGDAKFESCWPALMKDAHGVVIVFNADIPSHRKEMEMWYSCFVQQPSLQDTQCMLIAHH
+>Q9Y547_PF00754_18 <unknown description>
+ATSSDEKHPPENIIDGNPETFWTTTGMFPQEFIICFHKHVRIERLVIQSYFVQTLKIEKS
+TSKEPVDFEQWIEKDLVHTEGQLQNEEIVAHDGSATYLRFIIVSA
+>Q9BW83_PF00071_7 <unknown description>
+KCILAGDPAVGKTALAQIFRSDGAHFQKSYTLTTGMDLVVKTVPVPDTGDSVELFIFDSA
+GKELFSEMLDKLWESPNVLCLVYDVTNEESFNNCSKWLEKARSQAPGISLPGVLVGNKTD
+LAGRRAVDSAEARAWALGQGLECFETSVKEMENFEAPFHCLAKQF
+>Q96FT9_PF15305_67 <unknown description>
+SVKASKFRRKASEEIEDFRLRPQSLNGSDYGGDIPIIPDLEEVQEEDFVLQVAAPPSIQI
+KRVMTYRDLDNDLMKYSAIQTLDGEIDLKLLTKVLAPEHEVREDDVGWDWDHLFTEVSSE
+VLTEWD
+>Q9NQC8_PF12317_63 <unknown description>
+AYDPADYEHLPVSAEIKELFQYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAFLKVPRP
+DGKPDNLGLLVLDEPSTKQSDPTVLSLWLTENSKQHNITQHMKVKSLEDAEKNPKAIDTW
+IESISELHRSKPPATVHYTRPMPDIDTLMQEWSPEFEELLGKVSLPTAEIDCSLAEYIDM
+ICAILDIPVYKSRIQSLHLLFSLYSEFKNSQ
+>Q9Y366_PF09822_14 <unknown description>
+YKKEIFTTNNGYKSMQKKLRSNWKIQSLKDEITSEKLNGVKLWITAGPREKFTAAEFEIL
+KKYLDTGGDVFVMLGEGGESRFDTNINFLLEEYGIMVNNDAV
+>A0AVF1_PF12895_39 <unknown description>
+FTGAITLLEFKRHVGEEEEDTNLWIGYCAFHLGDYKRALEEYENATKEENCNSEVWVNLA
+CTYFFLGMYKQAEAA
+>Q9NWB7_PF10498_44 <unknown description>
+MEDLVEKLKLLRYEEEFLRKSNLKAPSRHYFALPTNPGEQFYMFCTLAAWLINKAGRPFE
+QPQEYDDPNATISNILSELRSFGRTADFPPSKLKSGYGEHVCYVLDCFAEEALKYIGFTW
+KRPIYPVEELEEESVAEDDAELTLNKVDEEFVEEETDNEENFIDLNVLKAQTYHLDMNET
+AKQEDILESTTDAAEWSLEVERVLPQLKVTIRTDNKDWRIHVDQMHQHRSGIESALKETK
+GFLDKLHNEITRTLEKISSREKYINNQLENLVQEYRAAQAQLSEAKERYQQGNGGVTERT
+RLLSEVMEELEKVKQEMEEKGSSMTDGAPLVKIKQSLTKLKQETVEMDIRIGIVEHTL
+>Q8WYA0_PF18383_3 <unknown description>
+DQIKFIMDSLNKEPFRKNYNLITFDSLEPMQLLQVLSDVLAEIDPKQLVDIREEMPEQTA
+KRMLSLLGILKYKPSGNATDMSTFRQGLVIGSKPVIYPVLHWLLQRTNELKKRAYLARFL
+IKLE
+>Q13099_PF13424_237 <unknown description>
+MNMGNIYLKQRNYSKAIKFYRMALDQVPSVNKQMRIKIMQNIGVTFIQAGQYSDAINSYE
+HIMSMAP
+>Q13099_PF12895_465 <unknown description>
+DFAQASSYADIAVNSDRYNPAALTNKGNTVFANGDYEKAAEFYKEALRNDSSCTEALYNI
+GLTYEKLNRLDEALDCFLK
+>Q13099_PF13181_552 <unknown description>
+AEVLYQIANIYELMENPSQAIEWLMQVVSVIPT
+>Q13099_PF13174_657 <unknown description>
+QLMVASCFRRSGNYQKALDTYKDTHRKFPE
+>Q86VG3_PF17722_1 <unknown description>
+MSAHMSGLEIMDEDQLIKDVLDKFLNCHEQTYDEEFLNTFTHLSQEDHVSKRGVFGTDSS
+ENIFTSAKVTHKNEADDYHLRNKTIFLRTSSQCLEEQ
+>Q86VG3_PF17722_97 <unknown description>
+QVDNFLDLEDLDMDEEIKPQMSEDLLLLPGEVEQDVSTSIPSCIPFVAQPPTCEVKPKPS
+VKRMDKQTEEILGDEVQLFSLDEEFDYDNVMLTSKFSPAEIENIKELCKQQKR
+>P27352_PF01122_9 <unknown description>
+LSLLWATAGTSTQTQSSCSVPSAQEPLVNGIQVLMENSVTSSAYPNPSILIAMNLAGAYN
+LKAQKLLTYQLMSSDNNDLTIGQLGLTIMALTSSCRDPGDKVSILQRQMENWAPSSPNAE
+ASAFYGPSLAILALCQKNSEATLPIAVRFAKTLLANSSPFNVDTGAMATLALTCMYNKIP
+VGSEEGYRSLFGQVLKDIVEKISMKIKDNGIIGDIYSTGLAMQALSVTPEPSKKEWNCKK
+TTDMILNEIKQGKFHNPMSIAQILPSLKGKTYLDVPQVTCSPDHEVQPTLPSNPGPGPTS
+>P27352_PF14478_340 <unknown description>
+GSVLLVVLEEAQRKNPMFKFETTMTSWGLVVSSINNIAENVNHKTYWQFLSGVTPLNEGV
+ADYIPFNHEHITANFT
+>P78318_PF04177_12 <unknown description>
+LPELFETGRQLLDEVEVATEPAGSRIVQEKVFKGLDLLEKAAEMLSQLDLFSRNEDLEEI
+ASTDLKYLLVPAFQGALTMKQVNPSKRLDHLQRAREHFINYLTQCHCYHVAEFELPKTMN
+NSAENHTANSSMAYPSLVAMASQRQAKIQRYKQKKELEHRLSAMKSAVESGQADDERVRE
+YYLLHLQRWIDISLEEIESIDQEIKILRERDSSREASTSNSSRQERPPVKPFILTRNMAQ
+AKVFGAGYPSLPTMTVSDWYEQHRKYGALPDQGIAKAAPEEFRKAAQQQEEQEEKEEEDD
+EQTLHRAREWDDWKDTHP
+>Q8IVU1_PF13927_46 <unknown description>
+VEPSDDVAVPGQPIVLDCRVEGTPPVRITWRKNGVELPESTHSTLLANGSLMIRHFRLEP
+GGSPSDEGDYECVAQN
+>Q8IVU1_PF07679_141 <unknown description>
+FHVHPQATVGEEGGVARFQCQIHGLPKPLITWEKNRVPIDTDNERYTLLPKGVLQITGLR
+AEDGGIFHCVASNIA
+>Q8IVU1_PF13927_238 <unknown description>
+PAILVGPENLTLTVHQTAVLECVATGNPRPIVSWSRLDGRPIGVEGIQVLGTGNLIISDV
+TVQHSGVYVCAA
+>Q8IVU1_PF07679_331 <unknown description>
+EFVQHPQSISRPAGTTAMFTCQAQGEPPPHVTWLKNGQVLGPGGHVRLKNNNSTLTISGI
+GPEDEAIYQCVAENSAGSSQASARLTV
+>Q8IVU1_PF00041_426 <unknown description>
+PPRNVRAVSVSSTEVRVSWSEPLANTKEIIGYVLHIRKAADPPELEYQEAVSKSTFQHLV
+SDLEPSTAYSFYIKAYTPRGASS
+>Q8IVU1_PF00041_522 <unknown description>
+PAPPPLSVRVLGSSSLQLLWEPWPRLAQHEGGFKLFYRPASKTSFTGPILLPGTVSSYNL
+SQLDPTAVYEVKLLAYNQHGDGN
+>Q8TDY8_PF07679_145 <unknown description>
+FSLHPESQTVEENGTARFECHIEGLPAPIITWEKDQVTLPEEPRLIVLPNGVLQILDVQE
+SDAGPYRCVATNSARQHF
+>Q8TDY8_PF13927_245 <unknown description>
+VIVAAPENTTVVSGQSVVMECVASADPTPFVSWVRQDGKPISTDVIVLGRTNLLIANAQP
+WHSGVYVCRA
+>Q8TDY8_PF07679_335 <unknown description>
+PAITQAPEALSRTRASTARFVCRASGEPRPALRWLHNGAPLRPNGRVKVQGGGGSLVITQ
+IGLQDAGYYQCVAENSAGMACAAASLAV
+>Q8TDY8_PF00041_430 <unknown description>
+SAPTRVTATPLSSSAVLVAWERPEMHSEQIIGFSLHYQKARGMDNVEYQFAVNNDTTELQ
+VRDLEPNTDYEFYVVAYSQLGASRTS
+>Q8TDY8_PF00041_530 <unknown description>
+APQLSLSSPNPSDIRVAWLPLPPSLSNGQVVKYKIEYGLGKEDQIFSTEVRGNETQLMLN
+SLQPNKVYRVRISAGTAAGFGAPS
+>Q8TDY8_PF00041_632 <unknown description>
+APAELKVQAKMESLVVSWQPPPHPTQISGYKLYWREVGAEEEANGDRLPGGRGDQAWDVG
+PVRLKKKVKQYELTQLVPGRLYEVKLVAFNKHE
+>Q8TDY8_PF00041_752 <unknown description>
+PPAHVHAESNSSTSIWLRWKKPDFTTVKIVNYTVRFSPWGLRNASLVTYYTSSGEDILIG
+GLKPFTKYEFAVQSHGVDMDG
+>Q8TDY8_PF00041_850 <unknown description>
+PPSDLRLSPLTPSTVRLHWCPPTEPNGEIVEYLILYSSNHTQPEHQWTLLTTQGNIFSAE
+VHGLESDTRYFFKMGARTEVGPGPFS
+>P08069_PF01030_51 <unknown description>
+NCTVIEGYLHILLISKAEDYRSYRFPKLTVITEYLLLFRVAGLESLGDLFPNLTVIRGWK
+LFYNYALVIFEMTNLKDIGLYNLRNITRGAIRIEKNADLCYLSTVDWSLI
+>P08069_PF00757_176 <unknown description>
+KECGDLCPGTMEEKPMCEKTTINNEYNYRCWTTNRCQKMCPSTCGKRACTENNECCHPEC
+LGSCSAPDNDTACVACRHYYYAGVCVPACPPNTYRFEGWRCVDRDFCANILSAESSDSEG
+FVIHDGECMQECPSGFIRNGSQSMYCIPCEGPCPKVCE
+>P08069_PF01030_352 <unknown description>
+GCTIFKGNLLINIRRGNNIASELENFMGLIEVVTGYVKIRHSHALVSLSFLKNLRLILGE
+EQLEGNYSFYVLDNQNLQQLWDWDHRNLTIKAGKMYFAFNPKLCVSEIYRM
+>P08069_PF07714_999 <unknown description>
+ITMSRELGQGSFGMVYEGVAKGVVKDEPETRVAIKTVNEAASMRERIEFLNEASVMKEFN
+CHHVVRLLGVVSQGQPTLVIMELMTRGDLKSYLRSLRPEMENNPVLAPPSLSKMIQMAGE
+IADGMAYLNANKFVHRDLAARNCMVAEDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRW
+MSPESLKDGVFTTYSDVWSFGVVLWEIATLAEQPYQGLSNEQVLRFVMEGGLLDKPDNCP
+DMLFELMRMCWQYNPKMRPSFLEIISS
+>P05019_PF00049_52 <unknown description>
+TLCGAELVDALQFVCGDRGFYFNKPTGYGSSSRRAPQTGIVDECCFRSCDLRRLEMYC
+>P01344_PF00049_31 <unknown description>
+TLCGGELVDTLQFVCGDRGFYFSRPASR
+>P01344_PF00049_59 <unknown description>
+VSRRSRGIVEECCFRSCDLALLETYC
+>P01344_PF08365_112 <unknown description>
+KFFQYDTWKQSTQRLRRGLPALLRARRGHVLAKELEAFREAKRHRPLIALPTQDP
+>Q6UW32_PF14653_34 <unknown description>
+LMLCQPHKRCGDKFYDPLQHCCYDDAVVPLARTQTCGNCTFRVCFEQCCPWTFMVKLINQ
+NCDSART
+>Q6UWQ7_PF14653_31 <unknown description>
+PWLCQPAPRCGDKIYNPLEQCCYNDAIVSLSETRQCGPPCTFWPCFELCCLDSFGLTNDF
+VVKLKVQGVNSQCHSSPISSKC
+>Q6UXB1_PF14653_34 <unknown description>
+LWLCQPTPRCGNKIYNPSEQCCYDDAILSLKETRRCGSTCTFWPCFELCCPESFGPQQKF
+LVKLRVLGMKSQCHLSPISRSC
+>Q6B9Z1_PF14653_27 <unknown description>
+LWLCQPAPRCGEWTYNPLEQCCDDGVILDLNQTRLCGSSCTFWPCFQHCCLESLGSQNQT
+VVRFKVPGMKPDCKSSPITRIC
+>Q86VF2_PF07679_29 <unknown description>
+PDFEQKPVTSALPEGKNAVFRAVVCGEPRPEVRWQNSKGDLSDSSKYKISSSPGSKEHVL
+QINKLTGEDTDLYRCTAVNAYGEAACSVRLTV
+>Q86VF2_PF18362_166 <unknown description>
+QIWQLLMTADRKDYEKICLKYGIVDYRGMLRRLQ
+>Q86VF2_PF07679_2914 <unknown description>
+HFSQGLADMEVQPGEAATLSCTLTSDLGPGTWFKDGVKLTTQDGVIFKQDGLVHSLFITH
+VQGTQAGRYTFVAGDQQSEATLT
+>Q86VF2_PF07679_3006 <unknown description>
+DVTEKLREPLVVKAGKPVIVKIPFQSHLPIQAAWRKDGAEVVGSSDREAQVDLGDGYTRL
+CLPSAGRKDCGQYSVTLRSEGGSVQAELTLQV
+>Q86VF2_PF00041_3107 <unknown description>
+PMEVQDCHRAGVCLRWRPPRDNGGRTVECYVVERRQAGRSTWLKVGEAPADSTTFTDAHV
+EPGRKYTFRVRAVTSEGAGE
+>Q86VF2_PF00041_3203 <unknown description>
+APSAPAILSASSQGITLTWTAPRGPGSAHILGYLIERRKKGSNTWTAVNDQPVPERRWTV
+ADVRQGCQYEFRVTAVAPSGPGE
+>Q86VF2_PF00041_3305 <unknown description>
+VRNLQVTDRSNTSITLSWAGPDTQEGDEAQGYVVELCSSDSLQWLPCHVGTVPVTTYTAK
+GLRPGEGYFVRVTAVNEGGQSQPS
+>Q86VF2_PF07679_3415 <unknown description>
+LTVKVGDTVRVPVSFEAMPMPEVTWLKDGLPLPKRSVTVTKDGLTQLLIPVAGLSDSGLY
+TVVLRTLQGKE
+>Q86VF2_PF00041_3500 <unknown description>
+APGPIHLQENVPGTVTAEWEPSPDEAQDVPLHYAVFTRSSAHGPWHEAADRIHTNRFTLL
+GILPGHEYHFRVVAKNELGASKPS
+>Q86VF2_PF07679_3616 <unknown description>
+FLVGLRSHLLPQGCECCMSCAVQGSPRPHVTWFKNDRSLEGNPAVYSTDLLGVCSLTIPS
+VSPKDSGEYKAVAENTLGQAVSTATLIV
+>P01591_PF15097_24 <unknown description>
+EDERIVLVDNKCKCARITSRIIRSSEDPNEDIVERNIRIIVPLNNRENISDPTSPLRTRF
+VYHLSDLCKKCDPTEVELDNQIVTATQSNICDEDSATETCYTYDRNKCYTAVVPLVYGGE
+TKMVETALTPDACYPD
+>P15814_PF07654_116 <unknown description>
+VTLFPPSSEELQANKATLVCLMNDFYPGILTVTWKADGTPITQGVEMTTPSKQSNNKYAA
+SSYLSLTPEQWRSRRSYSCQVMHEG
+>B9A064_PF07654_117 <unknown description>
+VTLFPPSSEELQANKATLVCLISDFYPGAVTVAWKADGSPVKAGVETTKPSKQSNNKYAA
+SSYLSLTPEQWKSHRSYSCQVTHEG
+>A6NGN9_PF00047_39 <unknown description>
+ADNYTVCEGDNATLSCFIDEHVTRVAWLNRSNILYAGNDRWTSDPRVRLLINTPEEFSIL
+ITEVGLGDEGLYTCSFQTRHQPYTTQ
+>A6NGN9_PF13927_135 <unknown description>
+IVNISSPVTVNEGGNVNLLCLAVGRPEPTVTWRQLRDGFTSEGEILEISDIQRGQAGEYE
+CVTHN
+>A6NGN9_PF13927_217 <unknown description>
+PPTITDVTSARTALGRAALLRCEAMAVPPADFQWYKDDRLLSSGTAEGLKVQTERTRSML
+LFANVSARHYGNYTCRAAN
+>Q6WRI0_PF13855_82 <unknown description>
+KLELLMLHSNGIHTIPDKTFSDLQALQVLKMSYNKVRKLQKDTFYGLRSLTRLHMDHNNI
+>Q6WRI0_PF07679_486 <unknown description>
+TVLVGGTVGLNCPGQGDPTPHVDWLLADGSKVRAPYVSEDGRILIDKSGKLELQMADSFD
+TGVYHCISSNY
+>Q6WRI0_PF13927_583 <unknown description>
+HTVFIGETLDLPCHSTGIPDASISWVIPGNNVLYQSSRDKKVLNNGTLRILQVTPKDQGY
+YRCVAAN
+>Q6WRI0_PF07679_1657 <unknown description>
+SFTIPANSDAFLPCEAVGNPLPTIHWTRVPSGLDLSKRKQNSRVQVLPNGTLSIQRVEIQ
+DRGQYLCSASNLFGTDHLHVTLSV
+>Q6WRI0_PF13927_1744 <unknown description>
+PPRILERRTKEITVHSGSTVELKCRAEGRPSPTVTWILANQTVVSESSQGSRQAVVTVDG
+TLVLHNLSIYDRGFYKCVASN
+>Q6WRI0_PF07679_1847 <unknown description>
+QRRQVIVGTWGESLKLPCTAKGTPQPSVYWVLSDGTEVKPLQFTNSKLFLFSNGTLYIRN
+LASSDRGTYECIATSSTGSERRVVMLT
+>Q6WRI0_PF13927_1941 <unknown description>
+PRIEAASQKRTEVNFGDKLLLNCSATGEPKPQIMWRLPSKAVVDQQHRVGSWIHVYPNGS
+LFIGSVTEKDSGVYLCVARN
+>Q6WRI0_PF07679_2048 <unknown description>
+KQVLHGKDFQVDCKASGSPVPEISWSLPDGTMINNAMQADDSGHRTRRYTLFNNGTLYFN
+KVGVAEEGDYTCYAQNTLGKDEMKVHLTV
+>Q6WRI0_PF07679_2143 <unknown description>
+IRQSNKTNKRIKAGDTAVLDCEVTGDPKPKIFWLLPSNDMISFSIDRYTFHANGSLTINK
+VKLLDSGEYVCVARNPSGDDTKMYKLDV
+>Q6WRI0_PF07679_2252 <unknown description>
+VRHSKKHFDCRAEGTPSPEVMWIMPDNIFLTAPYYGSRITVHKNGTLEIRNVRLSDSADF
+ICVARNEGGESVLVVQLEV
+>Q6WRI0_PF07679_2339 <unknown description>
+FRNPFNEKIVAQLGKSTALNCSVDGNPPPEIIWILPNGTRFSNGPQSYQYLIASNGSFII
+SKTTREDAGKYRCAARNKVGYIEKLVILEI
+>Q6WRI0_PF13927_2431 <unknown description>
+KPVILTYAPGTVKGISGESLSLHCVSDGIPKPNIKWTMPSGYVVDRPQINGKYILHDNGT
+LVIKEATAYDRGNYICKAQN
+>Q6WRI0_PF13927_2527 <unknown description>
+PPRITNRPPRSIVTRTGAAFQLHCVALGVPKPEITWEMPDHSLLSTASKERTHGSEQLHL
+QGTLVIQNPQTSDSGIYKCTAKN
+>Q5DX21_PF07686_28 <unknown description>
+SPGSIQVARGQPAVLPCTFTTSAALINLNVIWMVTPLSNANQPEQVILYQGGQMFDGAPR
+FHGRVGFTGTMPATNVSIFINNTQLSDTGTYQCLVNNLPDIGGRNIGVTGLTV
+>Q5DX21_PF13927_153 <unknown description>
+GSQDIGSDVILLCSSEEGIPRPTYLWEKLDNTLKLPPTATQDQVQGTVTIRNISALSSGL
+YQCVASN
+>Q96ID5_PF07686_36 <unknown description>
+VVAGDAVTLKCNFKTDGRMREIVWYRVTDGGTIKQKIFTFDAMFSTNYSHMENYRKREDL
+VYQSTVRLPEVRISDNGPYECHVGIYD
+>Q8N9C0_PF07679_67 <unknown description>
+PEFVEKPQPVTAPEGDKAVFRARVQGNAKPHISWKRESGIPIKESAKIFYDSINKEHVLK
+LEPLTSDDSDNYKCIASNDHADAIYTVSLL
+>Q8N9C0_PF18362_190 <unknown description>
+EMLEILSKVPKKDFEKVCMEYGFTDFRGLLRKLK
+>Q8N9C0_PF07679_236 <unknown description>
+ILKPLEDKETKVDTTVVFDCIMELKDPNVKMIWIKGTEPLRIQYSLGKYDVKQMGTKYML
+VISNVNMNDAGIYSLSVGDK
+>Q8N9C0_PF07679_329 <unknown description>
+KFLGEMKPVKVTERQTAVFEIRLSKKEPNFVWKFNGKELKRDDKYEITVSEDGLTHTLKI
+KDARLSDSGEFSAEAGNLVQKAQLT
+>Q8N9C0_PF07679_420 <unknown description>
+KFVSNLKNVRVKERSRACLECELTSKDVTLRWKKDGQLLMHGTKYSMNHEGKRAELIIED
+AQLSDGGEYTVVAMQD
+>Q8N9C0_PF07679_615 <unknown description>
+HAITVKVGHTAHIKVPFRGKPLPKVTWYKDGMEVTEEERVSMERGEDQALLTISNCVRED
+SGLILLKLKNDHGSATATLHLSV
+>Q8N9C0_PF00041_704 <unknown description>
+PQGRVEFLELSGSCVHMKWKAPKDNGGRPVTQFIVERRAVGKKSWIKIGEVDGKVTNFST
+NKVEEGKAYQFRILAVNSEGVS
+>Q8N9C0_PF00041_807 <unknown description>
+QPQVTDVTKEAVTITWNAPTQDGGAPVLGYIVERRKKGSNLWVPVNKDPIQGTKCTVDGL
+LEDTEYEFRVIAVNKAGPGQPS
+>Q8N9C0_PF00041_906 <unknown description>
+VQDLHVSDSSNSSISLAWREPAEGDPPSGYILEMRAEDTKEWSKCTKIPISGTCYTVGGL
+IERQKYFFRIRAVNEAGVGEP
+>Q8N9C0_PF07679_1012 <unknown description>
+SHMVVRAGTALCIHAAFSGSPPPDVIWQKDGVPTKGRETITKSKNHSQFLINSTKRSDSG
+VYRILLQNEFGEARYDIHVRV
+>Q8N9C0_PF00041_1098 <unknown description>
+PPTNLRLFEEVPNTVTLTWNHSPDVQEDGEAHYIIMKRDASTATWYTAAERVFSNKYTVT
+GLLPGRKYYFRVVARNEIGDSE
+>Q8N9C0_PF07679_1213 <unknown description>
+PRFVTPLKPHTVLRGQDCTMTCAFLGNPRPTVTLYKGDVNITANSKFWYNSTSGVCTLVI
+PTCTLKDSGDYSVLVENELGKDRSSCTLTV
+>Q8N6C5_PF13895_52 <unknown description>
+ENITLWCRSPSRISSKFLLLKDKTQMTWIRPSHKTFQVSFLIGALTESNAGLYRCCYWKE
+TGWSKPSKVLEL
+>Q8N6C5_PF13895_328 <unknown description>
+RPSAVVQMGQNVSLRCRGPVDGVGLALYKKGEDKPLQFLDATSIDDNTSFFLNNVTYSDT
+GIYSCHYLLTWKTSIRMPSHNTVELM
+>Q8N6C5_PF13895_787 <unknown description>
+PVVTPGARVTFNCSTPHQHMSFILYKDGSEIASSDRSWASPGASAAHFLIISVGIGDGGN
+YSCRYYDFSIWSEPSDPVELVV
+>Q8N6C5_PF13895_874 <unknown description>
+KPTLLAQPGPVVFPGKSVILRCQGTFQGMRFALLQEGAHVPLQFRSVSGNSADFLLHTVG
+AEDSGNYSCIYYETTMS
+>Q8N6C5_PF13895_970 <unknown description>
+KPWLFAEPSSVVPMGQNVTLWCRGPVHGVGYILHKEGEATSMQLWGSTSNDGAFPITNIS
+GTSMGRYSCCYHPDWTSSIKIQPSNTLEL
+>Q8N6C5_PF00047_1072 <unknown description>
+QPGPMVAPGENMTLQCQGELPDSTFVLLKEGAQEPLEQQRPSGYRADFWMPAVRGEDSGI
+YSCV
+>Q8N6C5_PF13895_1165 <unknown description>
+LSAWPSTMFKLGKDITLQCRGPLPGVEFVLEHDGEEAPQQFSEDGDFVINNVEGKGIGNY
+SCSYRLQAYPDIWSEPSDPLEL
+>Q93033_PF07686_31 <unknown description>
+LFRAEGYPVSIGCNVTGHQGPSEQHFQWSVYLPTNPTQEVQIISTKDAAFSYAVYTQRVR
+SGDVYVERVQGNSVLLHISKLQMKDAGEYECHTPNTDEKYYGSYSAKTNLIV
+>Q93033_PF07686_154 <unknown description>
+QTLGKEEGEPLALTCEASKATAQHTHLSVTWYLTQDGGGSQATEIISLSKDFILVPGPLY
+TERFAASDVQLNKLGPTTFRLSIERLQSSDQGQLFCEA
+>Q93033_PF07679_414 <unknown description>
+VMSTKNKQQVVWEGETLAFLCKAGGAESPLSVSWWHIPRDQTQPEFVAGMGQDGIVQLGA
+SYGVPSYHGNTRLEKMDWATFQLEITFTAITDSGTYECRVSEKSRNQ
+>Q93033_PF07686_824 <unknown description>
+VTEHREVAIRCSLESVGSSATLYSVMWYWNRENSGSKLLVHLQHDGLLEYGEEGLRRHLH
+CYRSSSTDFVLKLHQVEMEDAGMYWCRV
+>O75054_PF07686_33 <unknown description>
+TEGSHITIWCNVSGYQGPSEQNFQWSIYLPSSPEREVQIVSTMDSSFPYAIYTQRVRGGK
+IFIERVQGNSTLLHITDLQARDAGEYECHTPSTDKQYFGS
+>O75054_PF07686_152 <unknown description>
+PQTLHRVEQDPLELTCEVASETIQHSHLSVAWLRQKVGEKPVEVISLSRDFMLHSSSEYA
+QRQSLGEVRLDKLGRTTFRLTIFHLQPSDQGEFYCEA
+>O75054_PF07686_685 <unknown description>
+SKRTLTLVENKPIQLNCSVKSQTSQNSHFAVLWYVHKPSDADGKLILKTTHNSAFEYGTY
+AEEEGLRARLQFERHVSGGLFSLTVQRAEVSDSGSYYCHVEEWLLS
+>O75054_PF07686_824 <unknown description>
+GNLSVLETRQVQLECVVLNRTSITSQLMVEWFVWKPNHPERETVARLSRDATFHYGEQAA
+KNNLKGRLHLESPSPGVYRLFIQNVAVQDSGTYSCHVEEWLPSPS
+>Q9NSI5_PF07679_42 <unknown description>
+IEGPQNARVLKGSQARFNCTVSQGWKLIMWALSDMVVLSVRPMEPIITNDRFTSQRYDQG
+GNFTSEMIIHNVEPSDSGNIRCSLQNSRLHGSAYLTVQ
+>O95976_PF07686_43 <unknown description>
+THEAVTIKCTFSATGCPSEQPTCLWFRYGAHQPENLCLDGCKSEADKFTVREALKENQVS
+LTVNRVTSNDSAIYICGIAFPSVPEARAKQTGGGTTLVV
+>Q969P0_PF07686_38 <unknown description>
+YRVAGTAVSISCNVTGYEGPAQQNFEWFLYRPEAPDTALGIVSTKDTQFSYAVFKSRVVA
+GEVQVQRLQGDAVVLKIARLQAQDAGIYECHTPSTDTRYLGSYSGKVELRV
+>Q14623_PF01085_28 <unknown description>
+CGPGRVVGSRRRPPRKLVPLAYKQFSPNVPEKTLGASGRYEGKIARSSERFKELTPNYNP
+DIIFKDEENTGADRLMTQRCKDRLNSLAISVMNQWPGVKLRVTEGWDEDGHHSEESLHYE
+GRAVDITTSDRDRNKYGLLARLAVEAGFDWVYYESKAHVHCS
+>Q14623_PF01079_191 <unknown description>
+KSEHSAAAKTGGCFPAGAQVRLESGARVALSAVRPGDRVLAMGEDGSPTFSDVLIFLDRE
+PHRLRAFQVIETQDPPRRLALTPAHLLFTADNHTEPAARFRATFASHVQPGQYVLVAGVP
+GLQPARVAAVSTHVALGAYAPLTKHGTLVVEDVVASCFAAVADHHLAQLAFWPLRLFHSL
+AWGSWTPGEGVHWYPQLLYRLGRLLL
+>Q8IYA8_PF15771_19 <unknown description>
+GNKKSSNWNNNQNDYSSLSDSQFLFGSQFCPENSETLSAPLDFGAHLRHSKQSQQNYLEG
+EPSIFTKYQTKPQLFGGDIKDGGLFPPPLSVGKSKGLLEQFEEKKKRAKDKCDSETLYNF
+VSNVRESILRLQTSVEKSEDHLSSRSQSILDSLETVAKTLQETIQAQNDLVFEAVQDKGN
+MEQAILEMKKRFEARQGEFIEMKSNLKHLEVLVAQQSQEFQQLCEQLGQLNVPSVLAELK
+RLISVPPVKDSASQTSPPLAQSLNLTRQEKYTSEKPVLWQAQALPAAWNPGMGSLQPGEF
+DVWGEGAKNDDLQEEAALPAFGSHERNRHVKDKVVQTNCKNWAVTKTGAKNHGSSVPGHK
+IPSDRDLVSQGASQLTSLEINFSTSIKNACQKYQAQSMFLCDPREHLVIKQKDGTVEMRG
+KDKKQQPRKAHRAHRGRLIASKQKQIPIQTCKFNSKYQSPQPAISVPQSPFLGQQEPRAQ
+PLHLQCPRSPRKPVCPILGGTVMPNKTVRAVQGRLLQLSRCSSQDNWLLSSSSQGDHQMS
+WFSDLNLGCSETPLCKEAGKNLLYDLGFDSSDD
+>Q6NXR0_PF05049_22 <unknown description>
+ERLEALRTAFESGDLPQAASHLQELLASTESIRLEVGVTGESGAGKSSLINALRGLEAED
+PGAALTGVMETTMQPSPYPHPQFPDVTLWDLPGAGSPGCPADKYLKQVDFSRYDFFLLVS
+PRRCGAVETRLAAEILCQGKKFYFVRTKVDEDLAATRTQRPSGFREAAVLQEIRDHCAER
+LREAGVADPRIFLVSNLSPARYDFPTLVSTWEHDLPSHRRHAGLLSLPDISLEALQKKKA
+MLQEQVLKTALVLGVIQALPVPGLAAAYDDALLIHSLRGYHRSFGLDDDSLAKLAEQVGK
+QAGDLRSVIRSPLANEVSPETVLRLYSQ
+>P25963_PF12796_130 <unknown description>
+LLGAGCDPELRDFRGNTPLHLACEQGCLASVGVLTQSCTTPHLHSILKATNYNGHTCLHL
+ASIHGYLGIVELLVSLGADVNAQ
+>P25963_PF00023_216 <unknown description>
+NGRTALHLAVDLQNPDLVSLLLKCGADVNRVT
+>Q15653_PF12796_62 <unknown description>
+LHLAVIHQHEPFLDFLLGFSAGTEYMDLQNDLGQTALHLAAILGETSTVEKLYAAGAGLC
+VAERRGHTALHLACRVGAHACARALLQ
+>Q15653_PF13637_208 <unknown description>
+HTPLHVAVIHKDVEMVRLLRDAGADLDKPEPTCGRSPLHLAVEAQAADVLELLL
+>Q9UBC1_PF13637_67 <unknown description>
+PPLHRACARHDAPALCLLLRLGADPAHQDRHGDTALHAAARQG
+>Q5VVH5_PF04402_53 <unknown description>
+VQVSGTSEVSAGPDRAQVVVRVSSTKEAAAEAKKSVCRRLDYITQSLQQQGVQAENITVT
+KDFRRVENAYHMEAEVCITFTEFGKMQNICNFLVEKLDSSVVISPPQFYHTPGSVENLRR
+QACLVAVENAWRKAQEVCNLVGQTLGKPLLIKEEETKEWE
+>Q9BYH8_PF12796_590 <unknown description>
+SLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNG
+NTALHVAASLQYRLTQLDAVRLLMRKGADPSTR
+>O15111_PF00069_17 <unknown description>
+MRERLGTGGFGNVCLYQHRELDLKIAIKSCRLELSTKNRERWCHEIQIMKKLNHANVVKA
+CDVPEELNILIHDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQILSLLSDIGSGIRYLH
+ENKIIHRDLKPENIVLQDVGGKIIHKIIDLGYAKDVDQGSLCTSFVGTLQYLAPELFENK
+PYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPKCIFACEEMSGEVRFSS
+HLPQPNSLCSLVVEPMENWLQLMLNWDPQQRGG
+>O15111_PF18397_386 <unknown description>
+LFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPIIQLRKVWAEAVHYVSGLKEDYSRLF
+QGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKLEFFHKSIQLDLERYSEQMTYGISSE
+KMLKAWKEMEEKAIHYAEVGVIGYLEDQIMSLHAEIMELQKSPYGRRQGDLMESLEQRAI
+DLYKQLKHRPSDHSYSDSTEMVKIIVHTVQSQDRVLKELFGHLSKLLGCKQKIIDLLPKV
+EVALSNIKEADNTVMFMQGKRQKEIWHLLKIACT
+>O15111_PF12179_707 <unknown description>
+AQMIEENLNCLGHLSTIIHEANEEQGNSMMNLDWSWL
+>O14920_PF00069_16 <unknown description>
+EMKERLGTGGFGNVIRWHNQETGEQIAIKQCRQELSPRNRERWCLEIQIMRRLTHPNVVA
+ARDVPEGMQNLAPNDLPLLAMEYCQGGDLRKYLNQFENCCGLREGAILTLLSDIASALRY
+LHENRIIHRDLKPENIVLQQGEQRLIHKIIDLGYAKELDQGSLCTSFVGTLQYLAPELLE
+QQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSEVDIVVSEDLNGTVKF
+SSSLPYPNNLNSVLAERLEKWLQLMLMWHPRQRGT
+>O14920_PF18397_389 <unknown description>
+LFDNSKITYETQISPRPQPESVSCILQEPKRNLAFFQLRKVWGQVWHSIQTLKEDCNRLQ
+QGQRAAMMNLLRNNSCLSKMKNSMASMSQQLKAKLDFFKTSIQIDLEKYSEQTEFGITSD
+KLLLAWREMEQAVELCGRENEVKLLVERMMALQTDIVDLQRSPMGRKQGGTLDDLEEQAR
+ELYRRLREKPRDQRTEGDSQEMVRLLLQAIQSFEKKVRVIYTQLSKTVVCKQKALELLPK
+VEEVVSLMNEDEKTVVRLQEKRQKELWNLLKIACS
+>O14920_PF12179_706 <unknown description>
+EELVAEAHNLCTLLENAIQDTVREQDQSFTALDWSWL
+>Q14164_PF00069_10 <unknown description>
+HTDDLLGQGATASVYKARNKKSGELVAVKVFNTTSYLRPREVQVREFEVLRKLNHQNIVK
+LFAVEETGGSRQKVLVMEYCSSGSLLSVLESPENAFGLPEDEFLVVLRCVVAGMNHLREN
+GIVHRDIKPGNIMRLVGEEGQSIYKLTDFGAARELDDDEKFVSVYGTEEYLHPDMYERAV
+LRKPQQKAFGVTVDLWSIGVTLYHAATGSLPFIP
+>Q14164_PF18396_308 <unknown description>
+RVVVHVFSLSQAVLHHIYIHAHNTIAIFQEAVHKQTSVAPRHQEYLFEGHLCVLEPSVSA
+QHIAHTTASSPLTLFSTAIPKGLAF
+>Q14164_PF18394_400 <unknown description>
+PKFVPKVDLQADYNTAKGVLGAGYQALRLARALLDGQELMFRGLHWVMEVLQATCRRTLE
+VARTSLLYLSSSLGTERFSSVAGTPEIQELKAAAELRSRLRTLAEVLSRCSQNITETQES
+LSSLNRELVKSRDQVHEDRSIQQIQCCLDKMNFIYKQFKKSRMRPGLGYNEEQIHKLDKV
+NFSHLAKRLLQVFQEECVQKYQASLVTHGKRMRVVHETRNHLRLVGCSVAACNTEAQGVQ
+ESLSKL
+>Q13422_PF00096_145 <unknown description>
+FQCNQCGASFTQKGNLLRHIKLH
+>Q13422_PF00096_173 <unknown description>
+FKCHLCNYACRRRDALTGHLRTH
+>Q13422_PF00096_201 <unknown description>
+HKCGYCGRSYKQRSSLEEHKERCH
+>Q9UKS7_PF00096_140 <unknown description>
+FHCNQCGASFTQKGNLLRHIKLH
+>Q9UKS7_PF00096_168 <unknown description>
+FKCPFCSYACRRRDALTGHLRTH
+>Q9UKS7_PF00096_196 <unknown description>
+HKCNYCGRSYKQRSSLEEHKERCH
+>Q9UKT9_PF00096_146 <unknown description>
+FQCNQCGASFTQKGNLLRHIKLH
+>Q9UKT9_PF00096_202 <unknown description>
+YKCEFCGRSYKQRSSLEEHK
+>Q9H2S9_PF00096_187 <unknown description>
+FHCNQCGASFTQKGNLLRHIKLH
+>Q9H2S9_PF00096_215 <unknown description>
+FKCPFCNYACRRRDALTGHLRTH
+>Q9H2S9_PF00096_248 <unknown description>
+YKCNYCGRSYKQQSTLEEHKERCH
+>P22301_PF00726_6 <unknown description>
+LLCCLVLLTGVRASPGQGTQSENSCTHFPGNLPNMLRDLRDAFSRVKTFFQMKDQLDNLL
+LKESLLEDFKGYLGCQALSEMIQFYLEEVMPQAENQDPDIKAHVNSLGENLKTLRLRLRR
+CHRFLPCENKSKAVEQVKNAFNKLQEKGIYKAMSEFDIFINYIEAYMTM
+>P20809_PF07400_32 <unknown description>
+SPDPRAELDSTVLLTRSLLADTRQLAAQLRDKFPADGDHNLDSLPTLAMSAGALGALQLP
+GVLTRLRADLLSYLRHVQWLRRAGGSSLKTLEPELGTLQARLDRLLRRLQLLMSRLALPQ
+PPPDPPAPPLAPPSSAWGGIRAAHAILGGLHLTLDWAVRGLLLLKTR
+>P29460_PF10420_126 <unknown description>
+KTFLRCEAKNYSGRFTCWWLTTISTDLTFSVKSSRGSSDPQGVTCGAATLSAERVRGDNK
+EYEYSVECQEDSACPAAEESLPIEVMVDAVH
+>P35225_PF03487_16 <unknown description>
+ALLLTTVIALTCLGGFASPGPVPPSTALRELIEELVNITQNQKAPLCNGSMVWSINLTAG
+MYCAALESLINVSGCSAIEKTQRMLSGFCPHKVSAGQFSSLHVRDTKIEVAQFVKDLLLH
+LKKLFREG
+>P40933_PF02372_33 <unknown description>
+VFILGCFSAGLPKTEANWVNVISDLKKIEDLIQSMHIDATLYTESDVHPSCKVTAMKCFL
+LELQVISLESGDASIHDTVENLIILANNSLSSNGNVTESGCKECEELEEKNIKEFLQSFV
+HIVQMFIN
+>Q14005_PF00595_217 <unknown description>
+IVLMKGQAKGLGFSIVGGKDSIYGPIGIYVKTIFAGGAAAADGRLQEGDEILELNGESMA
+GLTHQDALQKFKQAKKGLLTLTV
+>Q14005_PF00595_1115 <unknown description>
+ILHKEEGAGLGFSLAGGADLENKVITVHRVFPNGLASQEGTIQKGNEVLSINGKSLKGTT
+HHDALAILRQARE
+>Q14005_PF00595_1235 <unknown description>
+VTLEKMSAGLGFSLEGGKGSLHGDKPLTINRIFKGAASEQSETVQPGDEILQLGGTAMQG
+LTRFEAWNIIKALPDGPVTIVI
+>Q9UHF5_PF06083_96 <unknown description>
+RSLSPWGYSINHDPSRIPVDLPEARCLCLGCVNPFTMQEDRSMVSVPVFSQVPVRRRLCP
+PPPRTGPCRQRAVMETIAVGCTCI
+>Q9P0M4_PF06083_104 <unknown description>
+RSISPWRYRVDTDEDRYPQKLAFAECLCRGCIDARTGRETAALNSVRLLQSLLVLRRRPC
+SRDGSGLPTPGAFAFHTEFIHVPVGCTCV
+>Q8TAD2_PF06083_89 <unknown description>
+RSVSPWAYRISYDPARYPRYLPEAYCLCRGCLTGLFGEEDVRFRSAPVYMPTVVLRRTPA
+CAGGRSVYTEAYVTIPVGCTCV
+>Q96PD4_PF06083_77 <unknown description>
+RSTSPWNYTVTWDPNRYPSEVVQAQCRNLGCINAQGKEDISMNSVPIQQETLVVRRKHQG
+CSVSFQLEKVLVTVGCTCV
+>Q16552_PF06083_69 <unknown description>
+RSTSPWNLHRNEDPERYPSVIWEAKCRHLGCINADGNVDYHMNSVPIQQEILVLRREPPH
+CPNSFRLEKILVSVGCTCV
+>Q13478_PF01582_377 <unknown description>
+AFVSYLKECRPENGEEHTFAVEILPRVLEKHFGYKLCIFERDVVPGGAVVDEIHSLIEKS
+RRLIIVLSKSYMSNEVRYELESGLHEALVERKIKIILIEFTPVTDFTFLPQSLKLLKSHR
+VLKWKADKSLSYNSRFWKNLLYLMPAKTVKPGRDE
+>Q14116_PF00340_73 <unknown description>
+DCRDNAPRTIFIISMYKDSQPRGMAVTISVKCEKISTLSCENKIISFKEMNPPDNIKDTK
+SDIIFFQRSVPGHDNKMQFESSSYEGYFLACEKERDLFKLILKKEDELGDRS
+>Q9UHD0_PF00726_23 <unknown description>
+HGLRRCLISTDMHHIEESFQEIKRAIQAKDTFPNVTILSTLETLQIIKPLDVCCVTKNLL
+AFYVDRVFKDHQEPNPKILRKISSIANSFLYMQKTLRQCQEQRQCHCRQEATNATRVIHD
+NYDQLEVHAAAIKSLGELDVFLAWIN
+>Q9NPH3_PF18452_39 <unknown description>
+EDEPARIKCPLFEHFLKFNYSTAHSAGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDV
+LWFRPTLLNDTGNYTCMLRNTTYCSKVAFPLEVVQKDSCFNS
+>Q9NPH3_PF13927_242 <unknown description>
+PPVIHSPNDHVVYEKEPGEELLIPCTVYFSFLMDSRNEVWWTIDGKKPDDITIDVTINES
+ISHSRTEDETRTQILSIKKVTSEDLKRSYVCHAR
+>Q9NPH3_PF01582_405 <unknown description>
+YDIYVSYARNAEEEEFVLLTLRGVLENEFGYKLCIFDRDSLPGGIVTDETLSFIQKSRRL
+LVVLSPNYVLQGTQALLELKAGLENMASRGNINVILVQYKAVKETKVKELKRAKTVLTVI
+KWKGEKSKYPQGRFWKQLQVAMPVKKSPRRSSSDEQGLSYS
+>P01583_PF02394_1 <unknown description>
+MAKVPDMFEDLKNCYSENEEDSSSIDHLSLNQKSFYHVSYGPLHEGCMDQSVSLSISETS
+KTSKLTFKESMVVVATNGKVLKKRRLSLSQSITDDDLEAIANDSEEEII
+>P01583_PF00340_157 <unknown description>
+LHNLDEAVKFDMGAYKSSKDDAKITVILRISKTQLYVTAQDEDQPVLLKEMPEIPKTITG
+SETNLLFFWETHGTKNYFTSVAHPNLFIATKQDYWVCLAGGPPSITDFQI
+>P01584_PF02394_1 <unknown description>
+MAEVPELASEMMAYYSGNEDDLFFEADGPKQMKCSFQDLDLCPLDGGIQLRISDHHYSKG
+FRQAASVVVAMDKLRKMLVPCPQTFQENDLSTFFPFIFEEEPI
+>P01584_PF00340_147 <unknown description>
+LQGQDMEQQVVFSMSFVQGEESNDKIPVALGLKEKNLYLSCVLKDDKPTLQLESVDPKNY
+PKKKMEKRFVFNKIEINNKLEFESAQFPNWYISTSQAENMPVFLGGTKGGQDITDFTM
+>Q8WWZ1_PF00340_36 <unknown description>
+NCCAEKICILPNRGLARTKVPIFLGIQGGSRCLACVETEEGPSLQLEDVNIEELYKGGEE
+ATRFTFFQSSSGSAFRLEAAAWPGWFLCGPAEPQQPVQLTKESEPSARTKFYF
+>P14778_PF18452_23 <unknown description>
+CKEREEKIILVSSANEIDVRPCPLNPNEHKGTITWYKDDSKTPVSTEQASRIHQHKEKLW
+FVPAKVEDSGHYYCVVRNSSYCLRIKISAKFVENEPNLCYNAQ
+>P14778_PF13895_130 <unknown description>
+QKLPVAGDGGLVCPYMEFFKNENNELPKLQWYKDCKPLLLDNIHFSGVKDRLIVMNVAEK
+HRGNYTCHASYTYLGKQYPITR
+>P14778_PF01582_387 <unknown description>
+AYILYPKTVGEGSTSDCDIFVFKVLPEVLEKQCGYKLFIYGRDDYVGEDIVEVINENVKK
+SRRLIIILVRETSGFSWLGGSSEEQIAMYNALVQDGIKVVLLELEKIQDYEKMPESIKFI
+KQKHGAIRWSGDFTQGPQSAKTRFWKNVRYHMPVQRRSPSSK
+>P27930_PF18452_69 <unknown description>
+WHKNDSARTVPGEEETRMWAQDGALWLLPALQEDSGTYVCTTRNASYCDKMSIELRVFEN
+T
+>P27930_PF00047_242 <unknown description>
+PLKTISASLGSRLTIPCKVFLGTGTPLTTMLWWTANDTHIESAYPGGRVTEGPRQEYSEN
+NENYIEVPLIFDPVTREDLHMDFKCVVHNTLSFQTLRTT
+>P18510_PF00340_60 <unknown description>
+LQGPNVNLEEKIDVVPIEPHALFLGIHGGKMCLSCVKSGDETRLQLEAVNITDLSENRKQ
+DKRFAFIRSDSGPTTSFESAACPGWFLCTAMEADQPVSLTNMPDEGVMVTKFYF
+>Q9NYY1_PF00726_36 <unknown description>
+ATNLQEIRNGFSEIRGSVQAKDGNIDIRILRRTESLQDTKPANRCCLLRHLLRLYLDRVF
+KNYQTPDHYTLRKISSLANSFLTIKKDLRLCHAHMTCHCGEEAMKKYSQILSHFEKLEPQ
+AAVVKALGELDILLQWME
+>Q9HBE4_PF02372_14 <unknown description>
+CLMVIFLGTLVHKSSSQGQDRHMIRMRQLIDIVDQLKNYVNDLVPEFLPAPEDVETNCEW
+SAFSCFQKAQLKSANTGNNERIINVSIKKLKRKPPSTNAGRRQKHRLTCPSCDSYEKKPP
+KEFLERFKSLLQKMI
+>Q9GZX6_PF14565_40 <unknown description>
+CRLDKSNFQQPYITNRTFMLAKEASLADNNTDVRLIGEKLFHGVSMSERCYLMKQVLNFT
+LEEVLFPQSDRFQPYMQEVVPFLARLSNRLSTCHIEGDDLHIQRNVQKLKDTVKKLGESG
+EIKAIGELDLLFMSLRNAC
+>Q9NPF7_PF16649_28 <unknown description>
+PAWTQCQQLSQKLCTLAWSAHPLVGHMDLREEGDEETTNDVPHIQCGDGCDPQGLRDNSQ
+FCLQRIHQGLIFYEKLLGSDIFTGEPSLLPDSPVGQLHASLLGLSQLLQPEGHHWETQQI
+PSLSPSQPWQRLLLRFKILRSLQAFVAVAARVFAHGA
+>Q9NPH9_PF00726_58 <unknown description>
+EDRIKNIRLLKKKTKKQFMKNCQFQEQLLSFFMEDVFGQLQLQGCKKIRFVEDFHSLRQK
+LSHCISCASSAREMKSITRMKRIFYRIGNKGIYKAISELDILLSWIK
+>Q14213_PF00041_130 <unknown description>
+DPPEGVRLSPLAERQLQVQWEPPGSWPFPEIFSLKYWIRYKRQGAARFHRVGPIEATSFI
+LRAVRPRARYYVQVAAQD
+>P01589_PF00084_27 <unknown description>
+DPPEIPHATFKAMAYKEGTMLNCECKRGFRRIKSGSLYMLCTGNSSHSSWDNQ
+>P14784_PF18707_32 <unknown description>
+SQFTCFYNSRANISCVWSQDGALQDTSCQVHAWPDRRRWNQTCELLPVSQASWACNLILG
+APDSQKLTTVDIVTLRVLCREGVRWRVMAIQD
+>P31785_PF09240_60 <unknown description>
+VQCFVFNVEYMNCTWNSSSEPQPTNLTLHYWYKNSDNDKVQKCSHYLFSEEITSGCQLQK
+KEIHLYQTFVVQLQDPREPRRQATQMLKLQN
+>P60568_PF00715_7 <unknown description>
+LSCIALSLALVTNSAPTSSSTKKTQLQLEHLLLDLQMILNGINNYKNPKLTRMLTFKFYM
+PKKATELKHLQCLEEELKPLEEVLNLAQSKNFHLRPRDLISNINVIVLELKGSETTFMCE
+YADETATIVEFLNRWITFCQSIIS
+>Q8NI17_PF09240_59 <unknown description>
+NISCVYYYRKNLTCTWSPGKETSYTQYTVKRTYAFGEKHDNCTTNSSTSENRASCSFFLP
+RITIPDNYTIEVEAENGDGVIKSHMTYWRL
+>Q8NI17_PF00041_156 <unknown description>
+PPKIFRVKPVLGIKRMIQIEWIKPELAPVSSDLKYTLRFRTVNSTSWMEVNFAKNRKDKN
+QTYNLTGLQPFTEYVIALRCAVKES
+>Q8NI17_PF00041_460 <unknown description>
+KVENIGVKTVTITWKEIPKSERKGIICNYTIFYQAEGGKGFSKTVNSSILQYGLESLKRK
+TSYIVQVMASTSAG
+>Q6EBC2_PF15209_24 <unknown description>
+SHTLPVRLLRPSDDVQKIVEELQSLSKMLLKDVEEEKGVLVSQNYTLPCLSPDAQPPNNI
+HSPAIRAYLKTIRQLDNKSVIDEIIEHLDKLIFQDAPETNISVPTDTHECKRFILTISQQ
+FSECMDLALK
+>P24001_PF15225_68 <unknown description>
+ELTPLLEKERDGLRCRGNRSPVPDVEDPATEEPGESFCDKVMRWFQAMLQRLQTWWHGVL
+AWVKEKVVALVHAVQALWKQFQSFCCSLSELFMSSFQ
+>O95760_PF15095_5 <unknown description>
+MKYSTNKISTAKWKNTASKALCFKLGKSQQKAKEVCPMYFMKLRSGLMIKKEACYFRRET
+TKRPSLKTGRKHKRHLVLAACQQQSTVECFAFGISGVQKYTRALHDSSITGISPITEYLA
+SLSTYNDQSITFALEDESYEIYVEDLKKDEKKDKVLLSYYESQHPSNESGDGVDGKMLMV
+TLSPTKDFWLHANNKEHSVELHKCEKPLPDQAFFVLHNMHSNCVSFECKTDPGVFIGVKD
+NHLALIKVDSSENLCTENILFKLS
+>Q6ZMJ4_PF15036_29 <unknown description>
+LTQNEECTVTGFLRDKLQYRSRLQYMKHYFPINYKISVPYEGVFRIANVTRLQRAQVSER
+ELRYLWVLVSLSATESVQDVLLEGHPSWKYLQEVETLLLNVQQGLTDVEVSPKVESVLSL
+LNAPGPNLKLVRPKALLDNCFRVMELLYCSCCKQSS
+>Q9UHA7_PF00340_51 <unknown description>
+HVETLEKDRGNPIYLGLNGLNLCLMCAKVGDQPTLQLKEKDIMDLYNQPEPVKSFLFYHS
+QSGRNSTFESVAFPGWFIAVSSEGGCPLILTQELGKANTTDF
+>Q9NZH8_PF00340_69 <unknown description>
+QGRGDPIYLGIQNPEMCLYCEKVGEQPTLQLKEQKIMDLYGQPEPVKPFLFYRAKTGRTS
+TLESVAFPDWFIASSKRDQPIILTSELG
+>Q9NZH6_PF00340_96 <unknown description>
+SSLSSASAEKGSPILLGVSKGEFCLYCDKDKGQSHPSLQLKKEKLMKLAAQKESARRPFI
+FYRAQVGSWNMLESAAHPGWFICTSCNCNEPVGVTDKFE
+>P26951_PF18611_27 <unknown description>
+ITNLRMKAKAQQLTWDLNRNVTDIECVKDADYSMPAVNNSYCQFGAISLCEVTNYTVRVA
+NPPFSTWILFP
+>P26951_PF09240_109 <unknown description>
+NLTCWIHDVDFLSCSWAVGPGAPADVQYDLYLNVANRRQQYECLHYKTDAQGTRIGCRFD
+DISRLSSGSQSSHILVRGRSAAFGIPCTDKFVVFSQI
+>P32927_PF09294_341 <unknown description>
+APPSLNVTKDGDSYSLRWETMKMRYEHIDHTFEIQYRKDTATWKDSKTETLQNAHSMALP
+ALEPSTRYWARVRVRTSRTGYNGIWSEW
+>P08700_PF02059_20 <unknown description>
+APMTQTTPLKTSWVNCSNMIDEIITHLKQPPLPLLDFNNLNGEDQDILMENNLRRPNLEA
+FNRAVKSLQNASAIESILKNLLPCLPLATAAPTRHPIHIKDGDWNEFRRKLTFYLKTL
+>Q6UX52_PF17736_27 <unknown description>
+PVVSIAYKVLEVFPKGRWVLITCCAPQPPPPITYSLCGTKNIKVAKKVVKTHEPASFNLN
+VTLKSSPDLLTYFCWASSTSGAHVDSARLQMHWEL
+>Q6UX52_PF17736_125 <unknown description>
+PVSELRANFTLQDRGAGPRVEMICQASSGSPPITNSLIGKDGQVHLQQRPCHRQPANFSF
+LPSQTSDWFWCQAANNANVQHSALTVVPPG
+>P24394_PF09238_28 <unknown description>
+VLQEPTCVSDYMSISTCEWKMNGPTNCSTELRLLYQLVFLLSEAHTCIPENNGGAGCVCH
+LLMDDVVSADNYTLDLWAGQQLLWKGSFKPSEHV
+>P05112_PF00727_26 <unknown description>
+KCDITLQEIIKTLNSLTEQKTLCTELTVTDIFAASKNTTEKETFCRAATVLRQFYSHHEK
+DTRCLGATAQQFHRHKQLIRFLKRLDRNLWGLAGLNSCPVKEANQSTLENFLERLKTIMR
+EKYS
+>Q01344_PF09240_131 <unknown description>
+NLTCTTNTTEDNYSRLRSYQVSLHCTWLVGTDAPEDTQYFLYYRYGSWTEECQEYSKDTL
+GRNIACWFPRTFILSKGRDWLAVLVNGSSKHSAIRPFDQLFALHAI
+>P05113_PF02025_23 <unknown description>
+EIPTSALVKETLALLSTHRTLLIANETLRIPVPVHKNHQLCTEEIFQGIGTLESQTVQGG
+TVERLFKNLSLIKKYIDGQKKKCGEERRRVNQFLDYLQEFLGVMNTEWIIE
+>P08887_PF00047_36 <unknown description>
+TSLPGDSVTLTCPGVEPEDNATVHWVLRKPAAGSHPSRWAGMGRRLLLRSVQLHDSGNYS
+CY
+>P08887_PF09240_118 <unknown description>
+QLSCFRKSPLSNVVCEWGPRSTPSLTTKAVLLVRKFQNSPAEDFQEPCQYSQESQKFSCQ
+LAVPEGDSSFYIVSMCVASSVGSKFSKTQTFQGCG
+>P40189_PF06328_27 <unknown description>
+PCGYISPESPVVQLHSNFTAVCVLKEKCMDYFHVNANYIVWKTNHFTIPKEQYTIINRTA
+SSVTFTDIASLNIQLTCNILTFGQLE
+>P40189_PF09240_131 <unknown description>
+NLSCIVNEGKKMRCEWDGGRETHLETNFTLKSEWATHKFADCKAKRDTPTSCTVDYSTVY
+FVNIEVWVEAENALGKVTSDHINFDP
+>P40189_PF00041_224 <unknown description>
+PPHNLSVINSEELSSILKLTWTNPSIKSVIILKYNIQYRTKDASTWSQIPPEDTASTRSS
+FTVQDLKPFTEYVFRIRCMKEDGKGYWS
+>P40189_PF00041_525 <unknown description>
+VRTKKVGKNEAVLEWDQLPVDVQNGFIRNYTIFYRTIIGNETAVNVDSSHTEYTLSSLTS
+DTLYMVRMAAYTDEGG
+>P05231_PF00489_27 <unknown description>
+PAPVPPGEDSKDVAAPHRQPLTSSERIDKQIRYILDGISALRKETCNKSNMCESSKEALA
+ENNLNLPKMAEKDGCFQSGFNEETCLVKIITGLLEFEVYLEYLQNRFESSEEQARAVQMS
+TKVLIQFLQKKAKNLDAITTPDPTTNASLLTKLQAQNQWLQDMTTHLILRSFKEFLQSSL
+RALRQM
+>P16871_PF18447_32 <unknown description>
+DAELDDYSFSCYSQLEVNGSQHSLTCAFEDPDVNITNLEFEICGALVEVKCLNFRKLQEI
+YFIETKKFLLIGKSNICVKVGEKSLTCKKIDLTTIV
+>P16871_PF00041_131 <unknown description>
+APFDLSVVYREGANDFVVTFNTSHLQKKYVKVLMHDVAYRQEKDENKWTHVNLSSTKLTL
+LQRKLQPAAMYEIKVRSIPDHYFKGFWS
+>P13232_PF01415_26 <unknown description>
+DCDIEGKDGKQYESVLMVSIDQLLDSMKEIGSNCLNNEFNFFKRHICDANKEGMFLFRAA
+RKLRQFLKMNSTGDFDLHLLKVSEGTTILLNCTGQVKGRKPAALGEAQPTKSLEENKSLK
+EQKKLNDLCFLKRLLQEIKTCWNKILMGTKEH
+>P10145_PF00048_33 <unknown description>
+RCQCIKTYSKPFHPKFIKELRVIESGPHCANTEIIVKLSDGRELCLDPKENWVQRVVEK
+>Q86SU0_PF05624_167 <unknown description>
+HWLTVIFIILGALLLLLLIGVCWCQCCPQYCCCYIRCPCCPAHCCCPE
+>Q71H61_PF05624_186 <unknown description>
+EWVFVGLVLLGVFLFFVLVGICWCQCCPHSCCCYVRCPCCPDSCCCPQ
+>P30740_PF00079_7 <unknown description>
+ANTRFALDLFLALSENNPAGNIFISPFSISSAMAMVFLGTRGNTAAQLSKTFHFNTVEEV
+HSRFQSLNADINKRGASYILKLANRLYGEKTYNFLPEFLVSTQKTYGADLASVDFQHASE
+DARKTINQWVKGQTEGKIPELLASGMVDNMTKLVLVNAIYFKGNWKDKFMKEATTNAPFR
+LNKKDRKTVKMMYQKKKFAYGYIEDLKCRVLELPYQGEELSMVILLPDDIEDESTGLKKI
+EEQLTLEKLHEWTKPENLDFIEVNVSLPRFKLEESYTLNSDLARLGVQDLFNSSKADLSG
+MSGARDIFISKIVHKSFVEVNEEGTEAAAATAGIATFCMLMPEENFTADHPFLFFIRHNS
+SGSILFLGRFSSP
+>Q12905_PF07528_104 <unknown description>
+QVGSYKKGTMTTGHNVADLVVILKILPTLEAVAALGNKVVESLRAQDPSEVLTMLTNETG
+FEISSSDATVKILITTVPPNLRKLDPELHLDIKVLQSALAAIRHARWFEENASQSTVKVL
+IRLLKDLRIRFPGFEPLTPWILDLLGHYAVMNNPTRQPLALNVAYRRCLQILAAGLFLPG
+SVGITDPCESGNFRVHTVMTLEQQDMVCYTAQTLVRILSHGGFRKILGQEGDAS
+>Q12906_PF07528_94 <unknown description>
+RVGLVAKGLLLKGDLDLELVLLCKEKPTTALLDKVADNLAIQLAAVTEDKYEILQSVDDA
+AIVIKNTKEPPLSLTIHLTSPVVREEMEKVLAGETLSVNDPPDVLDRQKCLAALASLRHA
+KWFQARANGLKSCVIVIRVLRDLCTRVPTWGPLRGWPLELLCEKSIGTANRPMGAGEALR
+RVLECLASGIVMPDGSGIYDPCEKEATDAIGHLDRQQREDITQSAQHALRLAAFGQLHKV
+LGMDPLPSK
+>Q12906_PF00035_411 <unknown description>
+QLKPGLQYKLVSQTGPVHAPIFTMSVEVDGNSFEASGPSKKTAKLHVAVKVLQD
+>Q12906_PF00035_533 <unknown description>
+VMELNEKRRGLKYELISETGGSHDKRFVMEVEVDGQKFQGAGSNKKVAKAYAALAALEKL
+>Q9H0C8_PF00481_112 <unknown description>
+AERKGEREEMQDAHVILNDITEECRPPSSLITRVSYFAVFDGHGGIRASKFAAQNLHQNL
+IRKFPKGDVISVEKTVKRCLLDTFKHTDEEFLKQASSQKPAWKDGSTATCVLAVDNILYI
+ANLGDSRAILCRYNEESQKHAALSLSKEHNPTQYEERMRIQKAGGNVRDGRVLGVLEVSR
+SIGDGQYKRCGVTSVPDIRRCQLTPNDRFILLACDGLFKVFTPEEAVNFILSCLEDEKIQ
+TREGKSAADARYEAACNRLANKAVQRGSADNV
+>Q13418_PF12796_6 <unknown description>
+TQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARINVM
+>Q13418_PF12796_68 <unknown description>
+DTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLVANGA
+>Q13418_PF07714_194 <unknown description>
+NFLTKLNENHSGELWKGRWQGNDIVVKVLKVRDWSTRKSRDFNEECPRLRIFSHPNVLPV
+LGACQSPPAPHPTLITHWMPYGSLYNVLHEGTNFVVDQSQAVKFALDMARGMAFLHTLEP
+LIPRHALNSRSVMIDEDMTARISMADVKFSFQCPGRMYAPAWVAPEALQKKPEDTNRRSA
+DMWSFAVLLWELVTREVPFADLSNMEIGMKVALEGLRPTIPPGISPHVCKLMKICMNEDP
+AKRPKFDMIVPI
+>Q01638_PF18452_32 <unknown description>
+LIVRCPRQGKPSYTVDWYYSQTNKSIPTQERNRVFASGQLLKFLPAAVADSGIYTCIVRS
+PT
+>Q01638_PF01582_379 <unknown description>
+AYVVYPRNYKSSTDGASRVEHFVHQILPDVLENKCGYTLCIYGRDMLPGEDVVTAVETNI
+RKSRRHIFILTPQITHNKEFAYEQEVALHCALIQNDAKVILIEMEALSELDMLQAEALQD
+SLQHLMKVQGTIKWREDHIANKRSLNSKFWKHVRYQMPVPSKI
+>Q9HB29_PF18452_47 <unknown description>
+ITSGEVSVTWYKNSSKIPVSKIIQSRIHQDETWILFLPMEWGDSGVYQCVIKGRDSCHRI
+HVNLTVFEKH
+>Q9HB29_PF13895_126 <unknown description>
+PNLSDEYKQILHLGKDDSLTCHLHFPKSCVLGPIKWYKDCNEIKGERFTVLETRLLVSNV
+SAEDRGNYACQAILTHSGKQYEVL
+>Q9HB29_PF01582_385 <unknown description>
+AYVLYPKPHKESQRHAVDALVLNILPEVLERQCGYKLFIFGRDEFPGQAVANVIDENVKL
+CRRLIVIVVPESLGFGLLKNLSEEQIAVYSALIQDGMKVILIELEKIEDYTVMPESIQYI
+KQKHGAIRWHGDFTEQSQCMKTKFWKTVRYHMPPRRCRPFPPVQLLQHTPCYRTAGPELG
+SRRKKCTLTT
+>Q9H6K1_PF14555_26 <unknown description>
+VLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIGAYYDF
+>Q9H6K1_PF16158_82 <unknown description>
+TIGEGESIPPDTQFVKTWRIQNSGAEAWPPGVCLKYVGGDQFGHVNMVMVRSLEPQEIAD
+VSVQMCSPSRAGMYQGQWRMCTATGLYYGDVIWVILSV
+>P52292_PF01749_13 <unknown description>
+RLHRFKNKGKDSTEMRRRRIEVNVELRKAKKDDQMLKRRNVSSFPDDATSPLQENRNNQG
+TVNWSVDDIVKGINSSNVENQLQATQ
+>P52292_PF00514_109 <unknown description>
+QPPIDNIIRAGLIPKFVSFLGRTDCSPIQFESAWALTNIAS
+>P52292_PF00514_151 <unknown description>
+TSEQTKAVVDGGAIPAFISLLASPHAHISEQAVWALGNIA
+>P52292_PF00514_194 <unknown description>
+SVFRDLVIKYGAVDPLLALLAVPDMSSLACGYLRNLTWTLSNLCR
+>P52292_PF00514_249 <unknown description>
+VEQILPTLVRLLHHDDPEVLADTCWAISYLT
+>P52292_PF00514_283 <unknown description>
+NERIGMVVKTGVVPQLVKLLGASELPIVTPALRAIGNIV
+>P52292_PF00514_324 <unknown description>
+TDEQTQVVIDAGALAVFPSLLTNPKTNIQKEATWTMSNITA
+>P52292_PF00514_367 <unknown description>
+QDQIQQVVNHGLVPFLVSVLSKADFKTQKEAVWAVTNYT
+>P52292_PF00514_410 <unknown description>
+VEQIVYLVHCGIIEPLMNLLTAKDTKIILVILDAISNI
+>P52292_PF16186_458 <unknown description>
+EKLSIMIEECGGLDKIEALQNHENESVYKASLSLIEKYFSVEEEEDQNVVPE
+>O00629_PF01749_11 <unknown description>
+RLKNFKNKGRDLETMRRQRNEVVVELRKNKRDEHLLKRRNVPHEDICEDSDIDGDYRVQN
+TSLEAIVQNASSDNQGIQLSAVQ
+>O00629_PF00514_104 <unknown description>
+NPPIDDLIKSGILPILVHCLERDDNPSLQFEAAWALTNIAS
+>O00629_PF00514_146 <unknown description>
+TSEQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWALGNI
+>O00629_PF00514_189 <unknown description>
+PQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVMVNLCR
+>O00629_PF00514_233 <unknown description>
+PPPPMETIQEILPALCVLIHHTDVNILVDTVWALSYLT
+>O00629_PF00514_274 <unknown description>
+NEQIQMVIDSGIVPHLVPLLSHQEVKVQTAALRAVGNIV
+>O00629_PF00514_315 <unknown description>
+TDEQTQVVLNCDALSHFPALLTHPKEKINKEAVWFLSNITA
+>O00629_PF00514_357 <unknown description>
+NQQQVQAVIDANLVPMIIHLLDKGDFGTQKEAAWAISNLT
+>O00629_PF00514_402 <unknown description>
+DQVAYLIQQNVIPPFCNLLTVKDAQVVQVVLDGLSNI
+>O00629_PF16186_451 <unknown description>
+NLIEECGGLEKIEQLQNHENEDIYKLAYEIIDQFFSSDDIDEDPSLVP
+>O00505_PF01749_11 <unknown description>
+RIKSFKNKGRDVETMRRHRNEVTVELRKNKRDEHLLKKRNVPQEESLEDSDVDADFKAQN
+VTLEAILQNATSDNPVVQLSAVQ
+>O00505_PF00514_104 <unknown description>
+NPPIDDLIKSGILPILVKCLERDDNPSLQFEAAWALTNIAS
+>O00505_PF00514_147 <unknown description>
+SAQTQAVVQSNAVPLFLRLLRSPHQNVCEQAVWALGNI
+>O00505_PF00514_189 <unknown description>
+PQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVIVNLCR
+>O00505_PF00514_233 <unknown description>
+PPPPMETVQEILPALCVLIYHTDINILVDTVWALSYLT
+>O00505_PF00514_274 <unknown description>
+NEQIQMVIDSGVVPFLVPLLSHQEVKVQTAALRAVGNIV
+>O00505_PF00514_315 <unknown description>
+TDEQTQVVLNCDVLSHFPNLLSHPKEKINKEAVWFLSNITA
+>O00505_PF00514_357 <unknown description>
+NQQQVQAVIDAGLIPMIIHQLAKGDFGTQKEAAWAISNLT
+>O00505_PF00514_402 <unknown description>
+DQVEYLVQQNVIPPFCNLLSVKDSQVVQVVLDGLKNI
+>O00505_PF16186_449 <unknown description>
+IAEIIEECGGLEKIEVLQQHENEDIYKLAFEIIDQYFSGDDIDEDPCLIP
+>P52294_PF01749_10 <unknown description>
+RLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEEVMSDGGFHE
+AQISNMEMAPGGVITSDMIEMIFSKSPEQQLSATQ
+>P52294_PF00514_115 <unknown description>
+NPPIDEVISTPGVVARFVEFLKRKENCTLQFESAWVLTNIAS
+>P52294_PF00514_158 <unknown description>
+NSLQTRIVIQAGAVPIFIELLSSEFEDVQEQAVWALGNIA
+>P52294_PF00514_202 <unknown description>
+MCRDYVLDCNILPPLLQLFSKQNRLTMTRNAVWALSNLCR
+>P52294_PF00514_253 <unknown description>
+SPCLNVLSWLLFVSDTDVLADACWALSYLS
+>P52294_PF00514_286 <unknown description>
+NDKIQAVIDAGVCRRLVELLMHNDYKVVSPALRAVGNIV
+>P52294_PF00514_330 <unknown description>
+QTQVILNCSALQSLLHLLSSPKESIKKEACWTISNITA
+>P52294_PF00514_370 <unknown description>
+RAQIQTVIDANIFPALISILQTAEFRTRKEAAWAITNAT
+>P52294_PF00514_413 <unknown description>
+AEQIKYLVELGCIKPLCDLLTVMDSKIVQVALNGLENIL
+>P52294_PF16186_466 <unknown description>
+NPYCALIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGTEDEDSSIAP
+>O15131_PF01749_13 <unknown description>
+RMKSYKNKALNPQEMRRRREEEGIQLRKQKREEQLFKRRNVYLPRNDESMLESPIQDPDI
+SSTVPIPEEEVVTTDMVQMIFSNNADQQLTATQ
+>O15131_PF00514_116 <unknown description>
+NPPIDQVIQKPGVVQRFVKFLERNENCTLQFEAAWALTNIAS
+>O15131_PF00514_163 <unknown description>
+TKVVIETGAVPIFIKLLNSEHEDVQEQAVWALGNIA
+>O15131_PF00514_201 <unknown description>
+NAECRDFVLNCEILPPLLELLTNSNRLTTTRNAVWALSNLCR
+>O15131_PF00514_255 <unknown description>
+PCLNVLSRLLFSSDPDVLADVCWALSYLS
+>O15131_PF00514_287 <unknown description>
+NDKIQAVIDSGVCRRLVELLMHNDYKVVSPALRAVGNIV
+>O15131_PF00514_331 <unknown description>
+QTQVILNCSALPCLLHLLSSPKESIRKEACWTVSNITA
+>O15131_PF00514_371 <unknown description>
+RAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNAT
+>O15131_PF00514_413 <unknown description>
+TPEQIRYLVALGCIKPLCDLLTVMDSKIVQVALNGLENIL
+>O15131_PF16186_467 <unknown description>
+NPYCALIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGVEEDDPS
+>O60684_PF01749_13 <unknown description>
+RMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEAAMFDSLLMDSYV
+SSTTGESVITREMVEMLFSDDSDLQLATTQ
+>O60684_PF00514_113 <unknown description>
+SPPIDEVINTPRVVDRFVEFLKRNENCTLQFEAAWALTNIAS
+>O60684_PF00514_157 <unknown description>
+SQQTKIVIEAGAVPIFIELLNSDFEDVQEQAVWALGNIA
+>O60684_PF00514_198 <unknown description>
+SSVCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCR
+>O60684_PF00514_250 <unknown description>
+VSPCLPVLSRLLFSSDSDLLADACWALSYLS
+>O60684_PF00514_284 <unknown description>
+NEKIQAVIDSGVCRRLVELLMHNDYKVASPALRAVGNIV
+>O60684_PF00514_328 <unknown description>
+QTQVILNCSALPCLLHLLSSPKESIRKEACWTISNITA
+>O60684_PF00514_368 <unknown description>
+RAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNAT
+>O60684_PF00514_410 <unknown description>
+TPEQIRYLVSLGCIKPLCDLLTVMDSKIVQVALNGLENIL
+>O60684_PF16186_464 <unknown description>
+NPYCGLIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGVEDDDSSLAP
+>A9QM74_PF01749_10 <unknown description>
+RRRKFKYRGKDVSLRRQQRMAVSLELRKAKKDEQTLKRRNITSFCPDTPSEKTAKGVAVS
+LTLGEIIKGVNSSDPVLCFQATQ
+>A9QM74_PF00514_103 <unknown description>
+NPPLKLVIEAGLIPRMVEFLKSSLYPCLQFEAAWALTNIAS
+>A9QM74_PF00514_145 <unknown description>
+TSEQTRAVVEGGAIQPLIELLSSSNVAVCEQAVWALGNIA
+>A9QM74_PF00514_188 <unknown description>
+PEFRDNVITSNAIPHLLALISPTLPITFLRNITWTLSNLCR
+>A9QM74_PF00514_232 <unknown description>
+PYPCDTAVKQILPALLHLLQHQDSEVLSDACWALSYLT
+>A9QM74_PF00514_274 <unknown description>
+KRIGQVVNTGVLPRLVVLMTSSELNVLTPSLRTVGNIV
+>A9QM74_PF00514_314 <unknown description>
+TDEQTQMAIDAGMLNVLPQLLQHNKPSIQKEAAWALSNVAA
+>A9QM74_PF00514_358 <unknown description>
+HHIQQLLAYDVLPPLVALLKNGEFKVQKEAVWMVAN
+>A9QM74_PF16186_448 <unknown description>
+ENLCLLIEELGGIDRIEALQLHENRQIGQSALNIIEKHFGEEEDESQT
+>Q14974_PF03810_21 <unknown description>
+AQKFLERAAVENLPTFLVELSRVLANPGNSQVARVAAGLQIKNSLTSKDPDIKAQYQQRW
+LAIDANARREVKNYVLQTLGT
+>Q14974_PF13513_380 <unknown description>
+WRYRDAAVMAFGCILEGPEPSQLKPLVIQAMPTLIELMKDPSVVVRDTAAWTVGRI
+>P20839_PF00478_114 <unknown description>
+GLTYNDFLILPGFIDFIADEVDLTSALTRKITLKTPLISSPMDTVTEADMAIAMALMGGI
+GFIHHNCTPEFQANEVRKVKKFEQGFITDPVVLSPSHTVGDVLEAKMRHGFSGIPITETG
+TMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMTPRIELVVAPAGVTLKEANEILQRSKKGK
+LPIVNDCDELVAIIARTDLKKNRDYPLASKDSQKQLLCGAAVGTREDDKYRLDLLTQAGV
+DVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVIGGNVVTAAQAKNLIDAGVDGLRVGMGCG
+SICITQEVMACGRPQGTAVYKVAEYARRFGVPIIADGGIQTVGHVVKALALGASTVMMGS
+LLAATTEAPGEYFFSDGVRLKKYRGMGSLDAMEKSSSSQKRYFSEGDKVKIAQGVSGSIQ
+DKGSIQKFVPYLIAGIQHGCQDIGARSLSVLRSMMYSGELKFEKRTMSAQIEGGVH
+>P20839_PF00571_201 <unknown description>
+TDPVVLSPSHTVGDVLEAKMRHGFSGIPITETGTMGSKLVGIVTSRDI
+>P20839_PF00571_261 <unknown description>
+SEVMTPRIELVVAPAGVTLKEANEILQRSKKGKLPIVNDCDELVAIIARTDL
+>P12268_PF00478_29 <unknown description>
+GLTYNDFLILPGYIDFTADQVDLTSALTKKITLKTPLVSSPMDTVTEAGMAIAMALTGGI
+GFIHHNCTPEFQANEVRKVKKYEQGFITDPVVLSPKDRVRDVFEAKARHGFCGIPITDTG
+RMGSRLVGIISSRDIDFLKEEEHDCFLEEIMTKREDLVVAPAGITLKEANEILQRSKKGK
+LPIVNEDDELVAIIARTDLKKNRDYPLASKDAKKQLLCGAAIGTHEDDKYRLDLLAQAGV
+DVVVLDSSQGNSIFQINMIKYIKDKYPNLQVIGGNVVTAAQAKNLIDAGVDALRVGMGSG
+SICITQEVLACGRPQATAVYKVSEYARRFGVPVIADGGIQNVGHIAKALALGASTVMMGS
+LLAATTEAPGEYFFSDGIRLKKYRGMGSLDAMDKHLSSQNRYFSEADKIKVAQGVSGAVQ
+DKGSIHKFVPYLIAGIQHSCQDIGAKSLTQVRAMMYSGELKFEKRTSSAQVEGGVH
+>P12268_PF00571_116 <unknown description>
+TDPVVLSPKDRVRDVFEAKARHGFCGIPITDTGRMGSRLVGIISSRDI
+>P12268_PF00571_176 <unknown description>
+EEIMTKREDLVVAPAGITLKEANEILQRSKKGKLPIVNEDDELVAIIARTDL
+>Q96LU5_PF10502_22 <unknown description>
+IAHCAFEYVGGVVMCSGPSMEPTIQNSDIVFAENLSRHFYGIQRGDIVIAKSPSDPKSNI
+CKRVIGLEGDKI
+>Q96LU5_PF10502_101 <unknown description>
+FFKSHSYVPMGHVWLEGDNLQNSTDSRCYGPIPYGLIRGRIFFKIW
+>Q96T52_PF10502_15 <unknown description>
+FCKGFFVAVPVAVTFLDRVACVARVEGASMQPSLNPGGSQSSDVVLLNHWKVRNFEVHRG
+DIVSLVSPKNPEQKIIKRVIALEGDIVRT
+>Q96T52_PF10502_108 <unknown description>
+NRYVKVPRGHIWVEGDHHGHSFDSNSFGPVSLGLLHAHATHILW
+>Q9NV31_PF00163_4 <unknown description>
+KLKFHEQKLLKQVDFLNWEVTDHNLHELRVLRRYRLQRREDYTRYNQLSRAVRELARRLR
+D
+>Q9NV31_PF01479_109 <unknown description>
+RRLPTVLLKLRMAQHLQAAVAFVEQGHVRVGPDVVTDPAFLVTRSME
+>Q96G21_PF04427_90 <unknown description>
+SRDPSSRLKMFAKELKLVFPGAQRMNRGRHEVGALVRACKANGVTDLLVVHEHRGTPVGL
+IVSHLPFGPTAYFTLCNVVMRHDIPDLGTMSEAKPHLITHGFSSRLGKRVSDILRYLFPV
+PKDDSHRVITFANQDDYISFRHHVYKKTDHRNVELTEVGPRFELKLY
+>P29218_PF00459_6 <unknown description>
+QECMDYAVTLARQAGEVVCEAIKNEMNVMLKSSPVDLVTATDQKVEKMLISSIKEKYPSH
+SFIGEESVAAGEKSILTDNPTWIIDPIDGTTNFVHRFPFVAVSIGFAVNKKIEFGVVYSC
+VEGKMYTARKGKGAFCNGQKLQVSQQEDITKSLLVTELGSSRTPETVRMVLSNMEKLFCI
+PVHGIRSVGTAAVNMCLVATGGADAYYEMGIHCWDVAGAGIIVTEAGGVLMDVTGGPFDL
+MSRRVIAANNRILAERIAKEIQ
+>O14732_PF00459_17 <unknown description>
+EECFQAAVQLALRAGQIIRKALTEEKRVSTKTSAADLVTETDHLVEDLIISELRERFPSH
+RFIAEEAAASGAKCVLTHSPTWIIDPIDGTCNFVHRFPTVAVSIGFAVRQELEFGVIYHC
+TEERLYTGRRGRGAFCNGQRLRVSGETDLSKALVLTEIGPKRDPATLKLFLSNMERLLHA
+KAHGVRVIGSSTLALCHLASGAADAYYQFGLHCWDLAAATVIIREAGGIVIDTSGGPLDL
+MACRVVAASTREMAMLIAQALQT
+>Q9NX62_PF00459_62 <unknown description>
+DLREMLAVSVLAAVRGGDEVRRVRESNVLHEKSKGKTREGAEDKMTSGDVLSNRKMFYLL
+KTAFPSVQINTEEHVDAADQEVILWDHKIPEDILKEVTTPKEVPAESVTVWIDPLDATQE
+YTEDLRKYVTTMVCVAVNGKPMLGVIHKPFSEYTAWAMVDGGSNVKARSSYNEKTPRIVV
+SRSHSGMVKQVALQTFGNQTTIIPAGGAGYKVLALLDVPDKSQEKADLYIHVTYIKKWDI
+CAGNAILKALGGHMTTLSGEEISYTGSDGIEGGLLASIRMNHQALVRKL
+>Q9P2X3_PF05773_11 <unknown description>
+RQNEEIEAMAAIYGEEWCVIDDCAKIFCIRISDDIDDPKWTLCLQVMLPNEYPGTAPPIY
+QLNAPWLKGQERADLSNSLEEIYIQNIGESILYLWVEKIRDV
+>Q9P2X3_PF01205_182 <unknown description>
+RRSTFQAHLAPVVCPKQVKMVLSKLYENKKIASATHNIYAYRIYCEDKQTFLQDCEDDGE
+TAAGGRLLHLMEILNVKNVMVVVSRWYGGILLGPDRFKHINNCARNIL
+>Q17R60_PF01390_235 <unknown description>
+RVELSVSLVNQKFKAELADSQSPYYQELAGKSQLQMQKIFKKLPGFKKIHVLGFRPKKEK
+DGSSSTEMQLTAIFKRHSA
+>Q17R60_PF01390_576 <unknown description>
+FFSLRVANMAFSNDLFNKSSLEYRALEQQFTQLLVPYLRSNLTGFKQLEILNFRNGSVIV
+NSKMKFAKSVPYNLTKAVHGVLEDFRSAAA
+>Q9BZV3_PF01390_244 <unknown description>
+EFSIHLLGKQYREELQDSSSFHHQHLEEEFISEVENAFTGLPGYKEIRVLEFRSPKENDS
+GVDVYYAVTFNGEA
+>Q9BZV3_PF01390_902 <unknown description>
+FFSLRVTNMMFSEDLFNKNSLEYKALEQRFLELLVPYLQSNLTGFQNLEILNFRNGSIVV
+NSRMKFANSVPPNVNNAVYMILEDF
+>Q9GZP8_PF15761_1 <unknown description>
+MEFDLGAALEPTSQKPGVGAGHGGDPKLSPHKVQGRSEAGAGPGPKQGHHSSSDSSSSSS
+DSDTDVKSHAAGSKQHESIPGKAKKPKVKKKEKGKKEKGKKKEAPH
+>P80217_PF07334_9 <unknown description>
+LHALQEEQARLKMRLWDLQQLRKELGDSPKDKVPFSVPKIPLVFRGHTQQDPEVPKSLVS
+NLRIHCPLLAGS
+>P80217_PF07292_81 <unknown description>
+ALITFDDPKVAEQVLQQKEHTINMEECRLRVQVQPLELPMVTTIQMSSQLSGRRVLVTGF
+PASLRLSEEELLDKLEIFFGKTRNGGGDVD
+>P80217_PF07292_180 <unknown description>
+MLGFARDGVAQRLCQIGQFTVPLGGQQVPLRVSPYVNGEIQKAEIRSQPVPRSVLVLNIP
+DILDGPELHDVLEIHFQKPTRGGGEVEA
+>Q9C086_PF04795_211 <unknown description>
+EMLLKREERARKRRLQAARRAEEHKNQTIERLTKTAATSGRGGRGGARGERRGGRAAAPA
+PMVRYCSGAQGSTLSFPP
+>Q9C086_PF04438_306 <unknown description>
+PPRCSVPGCPHPRRYACSRTGQALCSLQCYR
+>Q6PI98_PF08265_142 <unknown description>
+YSDVSGLLANYTDPQSKLRFSTIEEFSYI
+>Q53TQ3_PF13891_18 <unknown description>
+CSYSPKLCKQRRLNGYAFCIRHVLEDKTAPFKQCEYVAKYNSQRCTNPIPKSEDRRYCNS
+H
+>Q53TQ3_PF13891_453 <unknown description>
+GEQCANKALPFTRHCFQHILLNHSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHA
+>C9JVW0_PF15018_26 <unknown description>
+RWLRLAPVCAYFLCVSLAAVLLAVYYGLIWVPT
+>P0DMQ5_PF15018_31 <unknown description>
+KKWVRLATVFAYVLSVSLAAIVLAVYYSLIWQP
+>P17181_PF01108_13 <unknown description>
+LVAVAPWVLSAAAGGKNLKSPQKVEVDIIDDNFILRWNRSDESVGNVTFSFDYQKTGMDN
+WIKLSGCQNITSTKCNFSSLKLNVYEEIKLRIRAEKENTSS
+>P17181_PF09294_127 <unknown description>
+QIGPPEVHLEAEDKAIVIHISPGTKDSVMWALDGLSFTYSLVIWKNSSGVEERIENIYSR
+HKIYKLSPETTYCLKVKAALLTSWKIGVYSPVHCIKTT
+>P17181_PF09294_333 <unknown description>
+LPPVFNIRSLSDSFHIYIGAPKQSGNTPVIQDYPLIYEIIFWENTSNAERKIIEKKTDVT
+VPNLKPLTVYCVKARAHTMDEKLNKSSVFSDAVCEKT
+>P48551_PF01108_10 <unknown description>
+FRSLNLVLMVYISLVFGISYDSPDYTDESCTFKISLRNFRSILSWELKNHSIVPTHYTLL
+YTIMSKPEDLKVVKNCANTTRSFCDLTDEWRSTHEAYVTVLEGFSGNTT
+>P48551_PF09294_133 <unknown description>
+SFEPPEFEIVGFTNHINVMVKFPSIVEEELQFDLSLVIEEQSEGIVKKHKPEIKGNMSGN
+FTYIIDKLIPNTNYCVSVYLEHSDEQAVIKSPLKCTL
+>Q3KP66_PF11819_2 <unknown description>
+ESKDEVSDTDSGIILQSGPDSPVSPMKELTHAVHKQQRALEARLEACLEELRRLCLREAE
+LTGTLPAEYPLKPGEKAPKVRRRIGAAYKLDDWALHREDPLSSLERQLALQLQITEAARR
+LCLEENLSRQ
+>Q0VD86_PF15142_12 <unknown description>
+PFAKCSRVVSRSPPPRLPSQSLRPMPQRYGDVFWKNLNQRPTPTWLEEQHIPPMLRATGC
+SQLGLYPPEQLPPPEMLWRRKKRRPCLEGMQQQGLGGVPARVRAVTYHLEDLRRRQSIIN
+ELKKAQWGSSGAASEPVVLGEEGCGFPSTNEYPDLEEERATYPQEEDRFLTPGRAQLLW
+>Q9NQS7_PF12178_6 <unknown description>
+PGPIHLLELCDQKLMEFLCNMDNKDLVWLEEIQEEA
+>Q9NQS7_PF03941_825 <unknown description>
+DLNSDDSTDDEAHPRKPIPTWARGTPLSQAIIHQYYHPPNLLELFGTILPLDLEDIF
+>Q27J81_PF06371_24 <unknown description>
+DPTEANLESADPELCIRLLQMPSVVNYSGLRKRLEGSDGGWMVQFLEQSGLDLLLEALAR
+LSGRGVARISDALLQLTCVSCVRAVMNSRQGIEYILSNQGYVRQLSQALDTSNVMVKKQV
+FELLAALCI
+>Q27J81_PF06367_156 <unknown description>
+EGHVLTLDALDHYKTVCSQQYRFSIVMNELSGSDNVPYVVTLLSVINAVILGPEDLRART
+QLRNEFIGLQLLDVLARLRDLEDADLLIQLEAFEEAKAEDEEELLRVSGGVDMSSHQEVF
+ASLFHKVSCSPVSAQLLSVLQGLLHLEPTLRSSQLLWEALESLVNRAVLLASDAQECTLE
+EVVERL
+>Q27J81_PF02181_556 <unknown description>
+RVNPPTLRMKKLNWQKLPSNVAREHNSMWASLSSPDAEAVEPDFSSIERLFSFPAAKPKE
+PTMVAPRARKEPKEITFLDAKKSLNLNIFLKQFKCSNEEVAAMIRAGDTTKFDVEVLKQL
+LKLLPEKHEIENLRAFTEERAKLASADHFYLLLLAIPCYQLRIECMLLCEGAAAVLDMVR
+PKAQLVLAACESLLTSRQLPIFCQLILRIGNFLNYGSHTGDADGFKISTLLKLTETKSQQ
+NRVTLLHHVLEEAEKSHPDLLQLPRDLEQPSQAAGINLEIIRSEASSNLKKLLETERKVS
+ASVAEVQEQYTERLQASISAFRALDELFEAIEQKQRELADYLCEDAQQLSLEDTFSTMKA
+FRDLF
+>Q27J81_PF02205_974 <unknown description>
+DALLADIRKGFQLRKT
+>Q9UK53_PF12998_15 <unknown description>
+YVEDYLDSIESLPFDLQRNVSLMREIDAKYQEILKELDECYERFSRETDGAQKRRMLHCV
+QRALIRSQELGDEKIQIVSQMVELVENRTRQVDSHVELF
+>Q9H160_PF12998_27 <unknown description>
+YVQDYLECVESLPHDMQRNVSVLRELDNKYQETLKEIDDVYEKYKKEDDLNQKKRLQQLL
+QRALINSQELGDEKIQIVTQMLELVENRARQMELHSQC
+>Q9NXR8_PF12998_3 <unknown description>
+YLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREEQM
+ASIKKDYYKALEDADEKVQLANQIYDLVDRHLRKLDQELAKF
+>Q9UNL4_PF12998_6 <unknown description>
+YLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLATEYMSSARSLSSEEKLALL
+KQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARF
+>Q8WYH8_PF12998_6 <unknown description>
+YLEHYLDSIENLPCELQRNFQLMRELDQRTEDKKAEIDILAAEYISTVKTLSPDQRVERL
+QKIQNAYSKCKEYSDDKVQLAMQTYEMVDKHIRRLDADLARF
+>P15260_PF01108_12 <unknown description>
+QGVSRAEMGTADLGPSSVPTPTNVTIESYNMNPIVYWEYQIMPQVPVFTVEVKNYGVKNS
+EWIDACINISHHYCNISDHVGDPSNSLWVRVKARVGQKESA
+>P15260_PF07140_161 <unknown description>
+YDPETTCYIRVYNVYVRMNGSEIQYKILTQKEDDCDEIQCQLAIPVSSLNSQYCVSAEGV
+LHVWGVTTEKSKEVCITIFNSSIKGSLWIPVVAALLLFLVLSLVFICFYIKKINPLKEKS
+IILPKSLISVVRSATLETKPESKYVSLITSYQPFSLE
+>P38484_PF01108_10 <unknown description>
+LLLLGVFAAAAAAPPDPLSQLPAPQHPKIRLYNAEQVLSWEPVALSNSTRPVVYQVQFKY
+TDSKWFTADIMSIGVNCTQITATECDFTAASPSAGFPMDFNVTLRLRAELGALHSAW
+>P38484_PF09294_140 <unknown description>
+VGPPENIEVTPGEGSLIIRFSSPFDIADTSTAFFCYYVHYWEKGGIQQVKGPFRSNSISL
+DNLKPSRVYCLQVQAQLLWNKSNIFRVGHLSNISCYET
+>P05111_PF00019_261 <unknown description>
+NCHRVALNISFQELGWERWIVYPPSFIFHYCHGGCGLHIPPNLSLPVPGAPPTPAQPYSL
+LPGAQPCCAALPGTMRPLHVRTTSDGGYSFKYETVPNLLTQHCAC
+>P08476_PF00688_55 <unknown description>
+VEAVKKHILNMLHLKKRPDVTQPVPKAALLNAIRKLHVGKVGENGYVEIEDDIGRRAEMN
+ELMEQTSEIITFAESGTARKTLHFEISKEGSDLSVVERAEVWLFLKVPKANRTRTKVTIR
+LFQQQKHPQGSLDTGEEAEEVGLKGERSELLLSEKVVDARKSTWHVFPVSSSIQRLLDQG
+KSSLDVRIACEQCQESGASLVLLGKK
+>P08476_PF00019_321 <unknown description>
+CCKKQFFVSFKDIGWNDWIIAPSGYHANYCEGECPSHIAGTSGSSLSFHSTVINHYRMRG
+HSPFANLKSCCVPTKLRPMSMLYYDDGQNIIKKDIQNMIVEECGC
+>P09529_PF00688_70 <unknown description>
+VDGDFLEAVKRHILSRLQMRGRPNITHAVPKAAMVTALRKLHAGKVREDGRVEIPHLDGH
+ASPGADGQERVSEIISFAETDGLASSRVRLYFFISNEGNQNLFVVQASLWLYLKLLPYVL
+EKGSRRKVRVKVYFQEQGHGDRWNMVEKRVDLKRSGWHTFPLTEAIQALFERGERRLNLD
+VQCDSCQELAVVPVFVDPGEESHRPFVV
+>P09529_PF00019_303 <unknown description>
+CCRQQFFIDFRLIGWNDWIIAPTGYYGNYCEGSCPAYLAGVPGSASSFHTAVVNQYRMRG
+LNPGTVNSCCIPTKLSTMSMLYFDDEYNIVKRDVPNMIVEECGC
+>P55103_PF00019_247 <unknown description>
+CCRQEFFVDFREIGWHDWIIQPEGYAMNFCIGQCPLHIAGMPGIAASFHTAVLNLLKANT
+AAGTTGGGSCCVPTARRPLSLLYYDRDSNIVKTDIPDMVVEACGC
+>P58166_PF00019_247 <unknown description>
+CCRRDHYVDFQELGWRDWILQPEGYQLNYCSGQCPPHLAGSPGIAASFHSAVFSLLKANN
+PWPASTSCCVPTARRPLSLLYLDHNGNVVKTDVPDMVVEACGC
+>Q9NTI7_PF15342_120 <unknown description>
+PRTQPHQSCAQQGPERVEPDDWTSTLMSRGRNRQPLVLGDNVFADLVGNWLDLPEL
+>Q8IU57_PF01108_10 <unknown description>
+LLLCLLQAAPGRPRLAPPQNVTLLSQNFSVYLTWLPGLGNPQDVTYFVAYQSSPTRRRWR
+EVEECAGTKELLCSMMCLKKQDLYNKFKGRVRTVSPSSKSPW
+>O95050_PF01234_4 <unknown description>
+GFTGGDEYQKHFLPRDYLATYYSFDGSPSPEAEMLKFNLECLHKTFGPGGLQGDTLIDIG
+SGPTIYQVLAACDSFQDITLSDFTDRNREELEKWLKKEPGAYDWTPAVKFACELEGNSGR
+WEEKEEKLRAAVKRVLKCDVHLGNPLAPAVLPLADCVLTLLAMECACCSLDAYRAALCNL
+ASLLKPGGHLVTTVTLRLPSYMVGKREFSCVALEKEEVEQAVLDAGFDIEQLLHSPQSYS
+VTNAANNGVCFIVARK
+>Q9NPH2_PF07994_59 <unknown description>
+LGVMLVGWGGNNGSTLTAAVLANRLRLSWPTRSGRKEANYYGSLTQAGTVSLGLDAEGQE
+VFVPFSAVLPMVAPNDLVFDGWDISSLNLAEAMRRAKVLDWGLQEQLWPHMEALRPRPSV
+YIPEFIAANQSARADNLIPGSRAQQLEQIRRDIRDFRSSAGLDKVIVLWTANTERFCEVI
+PGLNDTAENLLRTIELGLEVSPSTLFAVASILEGCAFLNGSPQNTLVPGALELAWQHRVF
+VGGDDFKSGQTKVKSVLVDFLIGSGLKTMSIVSYNHLGNNDGENLSAPLQFRSKEVSKSN
+VVDDMVQSNPVLYTPGEEPDHCVVIKYVPYVGDSKRALDEYTSELMLGGTNTLVLHNTCE
+DSLLAAPIMLDLALLTELCQRVSFCTDMDPEPQTFHPVLSLLSFLFKAPLVPPGSPVVNA
+LFRQRSCIENILR
+>Q9NPH2_PF01658_307 <unknown description>
+GQTKVKSVLVDFLIGSGLKTMSIVSYNHLGNNDGENLSAPLQFRSKEVSKSNVVDDMVQS
+NPVLYTPGEEPDHCVVIKYVPYVGDSKRALDEYTSELMLGGTNTLVLHNTCEDS
+>Q9ULG1_PF13892_274 <unknown description>
+NARRRKVWLSIVKKELPKANKQKASARNLFLTNSRKLAHQCMKEVRRAALQAQKNCKETL
+PRARRLTKEMLLYWKKYEKVEKEHRKRAEKEALEQRKLDEEMREAKRQQRKLNFLITQTE
+LYAHFMSRKR
+>Q9ULG1_PF00176_535 <unknown description>
+QGINGILADEMGLGKTVQSIALLAHLAERENIWGPFLIISPASTLNNWHQEFTRFVPKFK
+VLPYWGNPHDRKVIRRFWSQKTLYTQDAPFHVVITSYQLVVQDVKYFQRVKWQYMVLDEA
+QALKSSSSVRWKILLQFQCRNRLLLTGTPIQNTMAELWALLHFIMPTLFDSHEEFNEWFS
+KDIESHAENKSAIDENQLSRLHMILKPFMLRRIKKDVENELSDKIEILMYCQLTSRQKLL
+YQALKNKISIEDLLQSSMGSTQQAQNTTSSLMNLVMQFRKVCNHPELF
+>Q9ULG1_PF00271_1106 <unknown description>
+LDVLLTRLKSQGHRVLIYSQMTRMIDLLEEYMVYRKHTYMRLDGSSKISERRDMVADFQN
+RNDIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTVDQQAMDRAHRLG
+>Q9NRR6_PF03372_304 <unknown description>
+ATWNMQGQKELPPSLDEFLLPAEADYAQDLYVIGVQEGCSDRREWETRLQETLGPHYVLL
+SSAAHGVLYMSLFIRRDLIWFCSEVECSTVTTRIVSQIKTKGALGISFTFFGTSFLFITS
+HFTSGDGKVAERLLDYTRTVQALVLPRNVPDTNPYRSSAADVTTRFDEVFWFGDFNFRLS
+GGRTVVDALLCQGLVVDVPALLQHDQLIREMRKGSIFKGFQEPDIHFLPSYKFDIGKDTY
+DSTSKQRTPSYTDRVLYRSRHKGDICPVSYSSCPGIKTSDH
+>Q9BT40_PF03372_19 <unknown description>
+VTWNVASAAPPLDLSDLLQLNNRNLNLDIYVIGLQELNSGIISLLSDAAFNDSWSSFLMD
+VLSPLSFIKVSHVRMQGILLLVFAKYQHLPYIQILSTKSTPTGLFGYWGNKGGVNICLKL
+YGYYVSIINCHLPPHISNNYQRLEHFDRILEMQNCEGRDIPNILDHDLIIWFGDMNFRIE
+DFGLHFVRESIKNRCYGGLWEKDQLSIAKKHDPLLREFQEGRLLFPPTYKFDRNSNDYDT
+SEKKRKPAWTDRILWRLKRQPCAGPDTPIPPASHFSLSLRGYSSHMTYGISDH
+>Q9BT40_PF17751_332 <unknown description>
+VLMPEDLWTVENDMMVSYSSTSDFPSSPWDWIGLYKVGLRDVNDYVSYAWVGDSKVSCSD
+NLNQVYIDISNIPTTEDEFLLCYYSNSLRSVVGISRPFQI
+>P49441_PF00459_78 <unknown description>
+GEESNEFTNDWGEKITLRLCSTEEETAELLSKVLNGNKVASEALARVVHQDVAFTDPTLD
+STEINVPQDILGIWVDPIDSTYQYIKGSADIKSNQGIFPCGLQCVTILIGVYDIQTGVPL
+MGVINQPFVSRDPNTLRWKGQCYWGLSYMGTNMHSLQLTISRRNGSETHTGNTGSEAAFS
+PSFSAVISTSEKETIKAALSRVCGDRIFGAAGAGYKSLCVVQGLVDIYIFSEDTTFKWDS
+CAAHAILRAMGGGIVDLKECLERNPETGLDLPQLVYHVENEGAAGVDRWANKGGLIAYRS
+R
+>Q1MX18_PF16748_23 <unknown description>
+MQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDAQGDLILAGGPG
+>Q1MX18_PF19427_80 <unknown description>
+RGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSEYSAVSRNSLKEMGEIEKLL
+MEKCSELSAVTERCLQVENEHVLKSMKACVSETLSMLGQHFGQLLELALTREVQALVRKI
+DASDNIYTTESTTGNLFSLTQEGAPLCRIIAKEGGVVALFKVCRQDSFRCLYPQALRTLA
+SICCVEEGVHQLEKVDGVLCLADILTDNSHSEATRAEAAAVVAQVTSPHLPVTQHLSSFL
+ESMEEIVTALVKLCQEASSGEVFLLASAALANITFFDTMACEMLLQLNAIRVLLEACSDK
+QRVDTPYTRDQIVTILANMSVLEQCASDIIQENGVQLIMGMLSEKPRSGTPAEVAACERV
+QQKAAVTLARLSRDPDVAREAVRLSCMSRLIELCRSPSERNSSDAVLVACLAALRRLAGV
+CPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV
+>O15503_PF07281_87 <unknown description>
+RSLVLFSVGVVLALVLNLLQIQRNVTLFPEEVIATIFSSAWWVPPCCGTAAAVVGLLYPC
+IDSHLGEPHKFKREWASVMRCIAVFVGINHASAKLDFANNVQLSLTLAALSLGLWWTFDR
+SRSGLGLGITIAFLATLITQFLVYNGVYQYTSPDFLYIRSWLPCIFFSGGVTVGNIGRQL
+A
+>Q9Y5U4_PF07281_31 <unknown description>
+RGVVLFFIGVFLALVLNLLQIQRNVTLFPPDVIASIFSSAWWVPPCCGTASAVIGLLYPC
+IDRHLGEPHKFKREWSSVMRCVAVFVGINHASAKVDFDNNIQLSLTLAALSIGLWWTFDR
+SRSGFGLGVGIAFLATVVTQLLVYNGVYQYTSPDFLYVRSWLPCIFFAGGITMGNIGRQL
+A
+>P51460_PF00049_31 <unknown description>
+EKLCGHHFVRALVRVCGGPRWSTEARRPATGGDRELLQWLERRHLLHGLVADSNLTLGPG
+LQPLPQTSHHHRHHRAAATNPARYCCLSGCTQQDLLTLC
+>Q9Y5Q6_PF00049_27 <unknown description>
+RLCGLEYIRTVIYICASSRWRRHQEGIPQAQQAETGNSFQLPHKREFSEENPAQNLPKVD
+ASGEDRLWGGQMPTEELWKSKKHSVMSRQDLQTLCCTDGCSMTDLSALC
+>Q9Y581_PF00049_31 <unknown description>
+KLCGRYLVKEIEKLCGHANWSQFRFEEETPFSRLIAQASEKVEAYSPYQFESPQTASPAR
+GRGTNPVSTSWEEAVNSWEMQSLPEYKDKKGYSPLGKTREFSSSHNINVYIHENAKFQKK
+RRNKIKTLSNLFWGHHPQRKRRGYSEKCCLTGCTKEELSIAC
+>Q01101_PF00096_295 <unknown description>
+YRCPECAKVFSCPANLASHRRWH
+>Q01101_PF00096_367 <unknown description>
+YECHHCAKKFRRQAYLRKHLLAH
+>Q01101_PF00096_443 <unknown description>
+CPVCGESFASKGAQERHLRLLH
+>Q01101_PF00096_469 <unknown description>
+FPCKYCPATFYSSPGLTRHINKCH
+>Q96T92_PF00096_291 <unknown description>
+YRCPECDKVFSCPANLASHRRWH
+>Q96T92_PF00096_426 <unknown description>
+FVCPYCHKKFRRQAYLRKHLSTH
+>P14616_PF01030_47 <unknown description>
+NCSVVEGHLQILLMFTATGEDFRGLSFPRLTQVTDYLLLFRVYGLESLRDLFPNLAVIRG
+TRLFLGYALVIFEMPHLRDVALPALGAVLRGAVRVEKNQELCHLSTIDWGL
+>P14616_PF00757_175 <unknown description>
+ECADVCPGVLGAAGEPCAKTTFSGHTDYRCWTSSHCQRVCPCPHGMACTARGECCHTECL
+GGCSQPEDPRACVACRHLYFQGACLWACPPGTYQYESWRCVTAERCASLHSVPGRASTFG
+IHQGSCLAQCPSGFTRNSSSIFCHKCEGLCPKECK
+>P14616_PF01030_346 <unknown description>
+GCTHVEGSLILNLRQGYNLEPQLQHSLGLVETITGFLKIKHSFALVSLGFFKNLKLIRGD
+AMVDGNYTLYVLDNQNLQQLGSWVAAGLTIPVGKIYFAFNPRLCLEHI
+>P14616_PF07714_980 <unknown description>
+SIIRELGQGSFGMVYEGLARGLEAGEESTPVALKTVNELASPRECIEFLKEASVMKAFKC
+HHVVRLLGVVSQGQPTLVIMELMTRGDLKSHLRSLRPEAENNPGLPQPALGEMIQMAGEI
+ADGMAYLAANKFVHRDLAARNCMVSQDFTVKIGDFGMTRDVYETDYYRKGGKGLLPVRWM
+APESLKDGIFTTHSDVWSFGVVLWEIVTLAEQPYQGLSNEQVLKFVMDGGVLEELEGCPL
+QLQELMSRCWQPNPRLRPSFTHILD
+>P06213_PF01030_52 <unknown description>
+NCSVIEGHLQILLMFKTRPEDFRDLSFPKLIMITDYLLLFRVYGLESLKDLFPNLTVIRG
+SRLFFNYALVIFEMVHLKELGLYNLMNITRGSVRIEKNNELCYLATIDWSRI
+>P06213_PF00757_179 <unknown description>
+NEECGDICPGTAKGKTNCPATVINGQFVERCWTHSHCQKVCPTICKSHGCTAEGLCCHSE
+CLGNCSQPDDPTKCVACRNFYLDGRCVETCPPPYYHFQDWRCVNFSFCQDLHHKCKNSRR
+QGCHQYVIHNNKCIPECPSGYTMNSSNLLCTPCLGPCPKVCH
+>P06213_PF01030_359 <unknown description>
+GCTVINGSLIINIRGGNNLAAELEANLGLIEEISGYLKIRRSYALVSLSFFRKLRLIRGE
+TLEIGNYSFYALDNQNLRQLWDWSKHNLTITQGKLFFHYNPKLCLSEIHK
+>P06213_PF17870_940 <unknown description>
+TYFYVTDYLDVPSNIAKIIIGPLIFVFLFSVVIGSIYLFLRKRQPDGP
+>P06213_PF07714_1023 <unknown description>
+ITLLRELGQGSFGMVYEGNARDIIKGEAETRVAVKTVNESASLRERIEFLNEASVMKGFT
+CHHVVRLLGVVSKGQPTLVVMELMAHGDLKSYLRSLRPEAENNPGRPPPTLQEMIQMAAE
+IADGMAYLNAKKFVHRDLAARNCMVAHDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRW
+MAPESLKDGVFTTSSDMWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGYLDQPDNCP
+ERVTDLMRMCWQFNPKMRPTFLEIVNLL
+>Q2T9L4_PF15252_52 <unknown description>
+EVVSQIDKLTSDFDFELEPDDWTTATVSSTSSSDKAGMGGPFDLGHLDFMTADILSDSWE
+FCSFLDVSTPSDSVDGPESTRPGAGPDYRLMNGGTPIPNGPRVETPDSSSEEAFGAGPTV
+KSQLPQRTPGTRERVRFSDKVLYHALCCDDEEGDGEQEVEEEEVGLPPEPAHTEAHAGPH
+KPSPAPYKSRRSPLTSRHSGSTLAPEQTRRVTRNSSTQTVSDKSTQTVL
+>Q6ZSG2_PF15265_1 <unknown description>
+MVSKDTGKCILTTSESEVEPAACLALEMKYALDPNRQIKKRNKALQVRFKDICEAQNEQR
+DTQLSSGQLGEKREAKPVSCRAAYRKYMTVPARRSIPNVTKSTGVQTSPDLKKCYQTFPL
+DRKKGNLKSLPAADPFKSQNNGFLTDAKEKNEAGPMEEARPCGAGRVHKTTALVFHSNQH
+MNTVDQPLGVNCTEPCKSPEPLSYGEAALQNSTRPPSEEPDYQLLGRAKQDRGRPNSEEP
+APPALRRVFKTEVATVYAPALSARAPEPGLSDSAAASQWSLCPADDERRRATHLNGLQAP
+SETALACSPPMQCLSPECSEQPSQTHTPPGLGNQPSPTAVAAGEECQRIVPHTEVVDLKA
+QLQMMENLISSSQETIKVLLGVIQELEKGEAHREGLSYRTGQDTANCDTCRNSACIIYSV
+ELDFKQQEDKLQPVLRKLHPIEETQVIPSPYSQETYSSTPKQKSKTESKKHGRWKLWFL
+>P01308_PF00049_28 <unknown description>
+QHLCGSHLVEALYLVCGERGFFYTPKTRREAEDLQVGQVELGGGPGAGSLQPLALEGSLQ
+KRGIVEQCCTSICSLYQLENYC
+>Q5TA45_PF16661_22 <unknown description>
+VSIAGKNVMLDCGMHMGFNDDRRFPDFSYITQNGRLTDFLDCVIISHFHLDHCGALPYFS
+EMVGYDGPIYMTHPTQAICPILLEDYRKIAVDKKGEANFFTSQMIKDCMKKVVAVHLHQT
+VQVDDELEIKAYYAGHVLGAAMFQIKVGSESVVYTGDYNMTPDRHLGAAWIDK
+>Q5TA45_PF10996_245 <unknown description>
+AQELCILLETFWERMNLKVPIYFSTGLTEKANHYYKLFIPWTNQKIRKTFVQRNMFEFKH
+IKAFDRAFADNPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVGHKI
+>Q5TA45_PF07521_378 <unknown description>
+LEVKMQVEYMSFSAHADAKGIMQLVGQAEPESVLLVHGEAKKMEFLKQKIEQELRVNCYM
+P
+>Q96CB8_PF00628_162 <unknown description>
+CVVCRQMMVASGNQLVECQECHNLYHRDCHKPQVTDKEANDPRLVWYCARCTR
+>Q9NVM9_PF10221_5 <unknown description>
+SESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSMEYCR
+IMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALAAVGPPNPRADPECCSILH
+GLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQETIH
+EHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRSKKELSPVLTSEVHSVRAGRHLA
+TKLNILVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLKSGDSHLGGG
+SREGSFKETITLKWCTPRTNNIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSVLLEQ
+PRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGEFMRE
+NRLTPFLDPRYKIDGSLEVPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLASVIVKE
+SLTEEDVLNCQKTIYNLVDMERKNDPLPISTVGTRGKGPKRDEQYRIMWNELETLVRAHI
+NNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDKEDKSEKAVKDYEQEKSWQDSERLK
+GILERGKEELAEAEIIKDSPDSPEPPNKKPLVEMDETPQVEKSKGPVSLLSLWSNRINTA
+NSRKHQEFAGRLNSVNNRAELYQHLKEE
+>Q96SY0_PF13519_3 <unknown description>
+TVVVMDVSLSMTRPVSIEGSEEYQRKHLAAHGLTMLFEHMATNYKLEFTALVVFSSLWEL
+MVPFTRDYNTLQEALSNMDDYDKTCLESALVGVCNIVQQEWGGAIPCQVVLVTDG
+>Q96SY0_PF19435_142 <unknown description>
+FPLPFPFPSKLYIMCMANLEELQSTDSLECLERLIDLNNGEGQIFTIDGPLCLKNVQSMF
+GKLIDLAYTPFHAVLKCGHLTADVQVFPRPEPFVVDEEIDPIPKVINTDLEIVGFIDIAD
+ISSPPVLSRHLVLPIALNKEGDEVGTGITDDNEDENSANQIAGKIPNFCVLLHGSLKVEG
+MVAIVQLGPEWHGMLYSQADSKKKSNLMMSLFEPGPEPLPWLGKMAQLGPISDAKENPYG
+EDDNKSPFPLQPKNKRSYAQNVTVWIKPSGLQTDVQKILRNARKLPEKTQTFYKELNRLR
+KAALAFGFLDLLKGVADMLERECTLLPETAHPDAAFQLTHAAQQLKLASTGTSEYAAYDQ
+NITPLHTDFS
+>Q96N11_PF14964_2 <unknown description>
+SDIRHSLLRRDALSAAKEVLYHLDIYFSSQLQSAPLPIVDKGPVELLEEFVFQVPKERSA
+QPKRLNSLQELQLLEIMCNYFQEQTKDSVRQIIFSSLFSPQGNKADDSRMSLLGKLVSMA
+VAVCRIPVLECAASWLQRTPVVYCVRLAKALVDDYCCLVPGSIQTLKQIFSASPRFCCQF
+ITSVTALYDLSSDDLIPPMDLLEMIVTWIFEDPRLILITFLNTPIAANLPIGFLELTPLV
+GLIRWCVKAPLAYKRKKKPPLSNGHVSNKVTKDPGVGMDRDSHLLYSKLHLSVLQVLMTL
+QLHLTEKNLYGRLGLILFDHMVPLVEEINRLADELNPLNASQEIELSLDRLAQALQVAMA
+SGALLCTRDDLRTLCSRLPH
+>Q8N201_PF12432_350 <unknown description>
+LLRLLTSTCGYKEVRLLAVQKLEMWLQNPKLTRPAQDLLMSVCMNCNTHGSEDMDVISHL
+IKIRLKPKVLLNHFMLCIREL
+>Q68E01_PF10189_85 <unknown description>
+QHEEICLGLFTLILTEPAQAQKCYRDLALVSRDGMNIVLNKINQILMEKYLKLQDTCRTQ
+LVWLVRELVKSGVLGADGVCMTFMKQIAGGDVTAKNIWLAESVLDILTEQREWVLKSSIL
+IAMAVYTYLRLIVDHHGTAQLQALRQKEVDFCISLLRERFMECLMIGRDLVRLLQNVARI
+PEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFLACRLTPDMETKLLFMTSRVRFGQ
+QKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSNEVLSSDILPRWAIIGWLLTTCTS
+NVAASNAKLALFYDWLFFSPDKDSIMNIEPAILVMHHSMKPHPAITATLLDFMCRIIPNF
+YPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKLDKELRAMLRE
+>Q96HW7_PF12765_184 <unknown description>
+VTKDAEGLAARDVQKIIGDYFSDQDPRVRTAAIK
+>Q96HW7_PF13646_380 <unknown description>
+VHGLEDEMYEVRIAAVEALCMLAQSSPSFAEKCLDFLVDMFNDEIEEVRLQSIHTMRKIS
+NNITLREDQLDTVLAVLEDSSRDIREALHE
+>Q6P9B9_PF14837_29 <unknown description>
+QELSQEIKAFLTGVDPILGHQLSAREHARCGLLLLRSLPPARAAVLDHLRGVFDESVRAH
+LAALDETPVAGPPHLRPPPPSHVPAGGPGLEDVVQEVQQVLSEFIRANPKAWAPVISAWS
+IDLMGQLSSTYSGQHQRVPHATGALNELLQLWMGCRATRTLMDIYVQCLSALIGSCPDAC
+VDALLDTSVQHSPHFDWVVAHIGSSFPGTIISRVLSCGLKDFC
+>Q6P9B9_PF14838_290 <unknown description>
+KIASVVGILGHLASRHGDSIRRELLRMFHDSLAGGSGGRSGDPSLQATVPFLLQLAVMSP
+ALLGTVSGELVDCLKPPAVLSQLQQHLQGFPREELDNMLNLAVHLVSQASGAGAYRLLQF
+LVDTAMPASVITTQGLAVPDTVREACDRLIQLLLLHLQKLVHHRGGSPGEGVLGPPPPPR
+LVPFLDALKNHVGELCGETLRLERKRFLWQHQLLGLLSVYTRPSCGPEALGHLLSRARSP
+EELSLATQLYAGLVVSLSGLLPLAFRSCLARVHAGTLQPPFTARFLRNLALLVGWEQQGG
+EGPAALGAHFGESASAHLSDLAPLLLHPEEEVAEAAASLLAICPFPSEALSPSQLLGLVR
+AGVHRFFASLRLHGPPGVASACQLLTRLSQTSPAGLKAVLQLLVEGALHRGNTELFGGQV
+DGDNETLSVVSASLASASLLDTNRRHTAAVPGPGGIWSVFHAGVIGRGLKPPKFVQSRNQ
+QEVIYNTQSLLSLLVHCCSAPGGTECGECWGAPILSPEAAKAVAVTLVESVCPDAAGAEL
+AWPPEEHARATVERDLRIGRRFREQPLLFELLKLVAAAPPALCYCSVLLRGLLAALLGHW
+EASRHPDTTHSPWHLEASCTLVAVMAEGSLLPPALGNMHEVFSQLAPFEVRLLLLSVWGF
+LREHGPLPQKFIFQSERGRFIRDFSREGGGEGGPHLAVLHSVLHRNIDRL
+>Q9UL03_PF13519_4 <unknown description>
+LLFLIDTSASMNQRSHLGTTYLDTAKGAVETFMKLRARDPASRGDRYMLVTFEEPPYAIK
+AGWKENHATFMNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFLEPA
+IIITITDG
+>Q9UL03_PF15300_808 <unknown description>
+VNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTRLIFLQNVIKEASRFKKRMLIEQL
+EN
+>Q9NV88_PF16661_85 <unknown description>
+LPETELIDLSTVDVILISNYHCMMALPYITEHTGFTGTVYATEPTVQIGRLLMEELVNFI
+ERVPKAQSASLWKNKDIQRLLPSPLKDAVEVSTWRRCYTMQEVNSALSKIQLVGYSQKIE
+LFGAVQVTPLSSGYALGSSNWIIQSHYEKVSYVS
+>Q9ULD6_PF19031_306 <unknown description>
+PHIIMYLTLQLDSETSKEEQEILYHYPMSEASQKLKSVRGIFLTLCDMLENVTGTQVTSS
+SLLLNGKQIHVAYWKESDKLLLIGLPAEEVPLPRLRNMIENVIQTLKFMYG
+>Q9ULD6_PF19032_514 <unknown description>
+ILGSSLFYKGYLICSHLPKDDLIDIAVYCRHYCLLPLAAKQRIGQLIIWREVFPQHHLRP
+LADSSTEVFPEPEGRYFLLVVGLKHYMLCVLLEAGGCASKAIGSPGPDCVYVDQVKTTL
+>Q9ULD6_PF19033_796 <unknown description>
+ENTLFHYVALETVQGIFITPTLEEVAQLSGSIHPQLIKNFHQCCLSIRAVFQQTLVEEKK
+KGLNSGDHSDSAKSVSSLNPVKEHGVLFECSPGNWTDQKKAPPVMAYWVVGRLFLHPKPQ
+ELYVCFHDSVTEIAIEIAFKL
+>P07476_PF10583_1 <unknown description>
+MSQQHTLPVTLSPALSQELLKTVPPPVNTHQEQMKQPTPLPPPCQKVPVELPVEVPSKQE
+EKHMTAVKG
+>P07476_PF00904_104 <unknown description>
+PEQQLKQEK
+>P07476_PF00904_156 <unknown description>
+PEQQEGHLKH
+>P07476_PF00904_166 <unknown description>
+LEQQEGQLKH
+>P07476_PF00904_176 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_186 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_196 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_206 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_216 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_226 <unknown description>
+PEQQEGQLEL
+>P07476_PF00904_238 <unknown description>
+QQEGQLEL
+>P07476_PF00904_247 <unknown description>
+EQQEGQLEL
+>P07476_PF00904_257 <unknown description>
+EQQEGQLKH
+>P07476_PF00904_266 <unknown description>
+LEHQEGQLEV
+>P07476_PF00904_276 <unknown description>
+PEEQMGQLKY
+>P07476_PF00904_286 <unknown description>
+LEQQEGQLKH
+>P07476_PF00904_296 <unknown description>
+LDQQEKQPE
+>P07476_PF00904_306 <unknown description>
+PEQQMGQLKH
+>P07476_PF00904_316 <unknown description>
+LEQQEGQPKH
+>P07476_PF00904_326 <unknown description>
+LEQQEGQLEQ
+>P07476_PF00904_336 <unknown description>
+LEEQEGQLKH
+>P07476_PF00904_346 <unknown description>
+LEQQEGQLEH
+>P07476_PF00904_356 <unknown description>
+LEHQEGQLG
+>P07476_PF00904_366 <unknown description>
+PEQQVLQLKQ
+>P07476_PF00904_376 <unknown description>
+LEKQQGQPKH
+>P07476_PF00904_386 <unknown description>
+LEEEEGQLKH
+>P07476_PF00904_398 <unknown description>
+QQEGQLKH
+>P07476_PF00904_408 <unknown description>
+QQEGQLEQ
+>P07476_PF00904_413 <unknown description>
+LEQQERQVEH
+>P07476_PF00904_423 <unknown description>
+LEQQVGQLKH
+>P07476_PF00904_433 <unknown description>
+LEEQEGQLKH
+>P07476_PF00904_443 <unknown description>
+LEQQQGQLEV
+>P07476_PF00904_453 <unknown description>
+PEQQVGQPK
+>P07476_PF00904_463 <unknown description>
+LEQEEKQLEL
+>P07476_PF00904_473 <unknown description>
+PEQQEGQVKH
+>P07476_PF00904_483 <unknown description>
+LEKQEAQLEL
+>P07476_PF00904_493 <unknown description>
+PEQQVGQPKH
+>P07476_PF00904_503 <unknown description>
+LEQQEKHLEH
+>P07476_PF00904_513 <unknown description>
+PEQQDGQLKH
+>P07476_PF00904_523 <unknown description>
+LEQQEGQLK
+>P07476_PF00904_533 <unknown description>
+LEQQKGQLEQ
+>Q9Y283_PF00023_48 <unknown description>
+GRTPLMYCVLADRLDCADALLKAGADVNKTD
+>Q9Y283_PF12796_85 <unknown description>
+LHLAAQKGNYRFMKLLLTRRANWMQKDLEEMTPLHLTTRHRSPKCLALLLKFMAPGEVDT
+QDKNKQTALHWSAYYNNPEHVKLLIKHDSNIGI
+>Q9Y283_PF12796_179 <unknown description>
+DVEGKIPLHWAANHKDPSAVHTVRCILDAAPTESLLNWQDYEGRTPLHFAVADGNVTVVD
+VLTSYESCNIT
+>Q9Y283_PF00023_256 <unknown description>
+RTPLHWAALLGHAQIVHLLLE
+>Q9Y283_PF12796_305 <unknown description>
+VKVFLKHPSVKDDSDLEGRTSFMWAAGKGSDDVLRTMLSLKSDIDINMADKYGGTALHAA
+ALSGHVSTVKLLLENNAQVDATD
+>Q9Y283_PF12796_394 <unknown description>
+LFRACEMGHKDVIQTLIKGGARVDLVDQDGHSLLHWAALGGNADVCQILIENKINPNVQD
+YAGRTPLQCAAYGGYINCMAVLMENNADPNIQD
+>Q9Y283_PF00023_489 <unknown description>
+GRTALHWSCNNGYLDAIKLLLD
+>Q9Y283_PF00612_558 <unknown description>
+AAFKIQAVYKGYKVRKAFR
+>Q9Y283_PF00612_918 <unknown description>
+KAAAVIQRAWRSYQLRKHL
+>A6NMK8_PF15265_3 <unknown description>
+QQNMKVRPVLLKRNSLESVEFVKQPHHRRSKSQQVRFKEDGTTKNPTGLAEVDVQTPEDP
+AVMGKTQATRHHLPPTYSLSFPRSQKAGGFRNIAIQTSPSLRKHFPVFKRKRLTASKSLV
+EMPTASQSAIQVNGNLSEQDIVSSDLAYLRLAQHLEDGPRRVKVSHAFLPRVPKVQSNGP
+VSICLEAGTWRSLEKATAAIQVPDDIYHSPSWEARESALSPDRSAEVSNSIHPLDDTRPG
+DGRRVTPLDSEKSTSCLNATSVASHTPGTEELKPELLLPKDNSDDKDLGSLSSQSKETCV
+PSSPRTHSSPSQGSHSQPAHPGRASDCPSSSNNHQNLVSLKTNSASKSAPGCQEQTANNP
+TESDTLEFPNCPGSNHLPSSLSRSETKLQSNREISDINQIHLARGELCDLQGRLQSVEES
+LHSNQEKIKVLLNVIQDLEKARALTEGRNFYRTGQDLNNCSTCQNTACIIYSVEYDFRQQ
+EGRFHEVLQSLEEAEPVEEASPPPKSPAEPPAPEKQDLRRKTKKVKKKCFWWI
+>P49895_PF00837_8 <unknown description>
+LWLKRLWVLLEVAVHVVVGKVLLILFPDRVKRNILAMGEKTGMTRNPHFSHDNWIPTFFS
+TQYFWFVLKVRWQRLEDTTELGGLAPNCPVVRLSGQRCNIWEFMQGNRPLVLNFGSCTUP
+SFMFKFDQFKRLIEDFSSIADFLVIYIEEAHASDGWAFKNNMDIRNHQNLQDRLQAAHLL
+LARSPQCPVVVDTMQNQSSQLYAALPERLYIIQEGRILYKGKSGPWNYNPEEVRAVLEKL
+H
+>Q92813_PF00837_4 <unknown description>
+LSVDLLITLQILPVFFSNCLFLALYDSVILLKHVVLLLSRSKSTRGEWRRMLTSEGLRCV
+WKSFLLDAYKQVKLGEDAPNSSVVHVSSTEGGDNSGNGTQEKIAEGATCHLLDFASPERP
+LVVNFGSATUPPFTSQLPAFRKLVEEFSSVADFLLVYIDEAHPSDGWAIPGDSSLSFEVK
+KHQNQEDRCAAAQQLLERFSLPPQCRVVADRMDNNANIAYGVAFERVCIVQRQKIAYLGG
+KGPFSYNLQEVRHWLEKNF
+>P23677_PF03770_245 <unknown description>
+YLQLQDLLDGFDGPCVLDCKMGVRTYLEEELTKARERPKLRKDMYKKMLAVDPEAPTEEE
+HAQRAVTKPRYMQWREGISSSTTLGFRIEGIKKADGSCSTDFKTTRSREQVLRVFEEFVQ
+GDEEVLRRYLNRLQQIRDTLEVSEFFRRHEVIGSSLLFVHDHCHRAGVWLIDFGKTTPLP
+DGQILDHRRPWEEGNREDGYLLGLDNLIGIL
+>P27987_PF03770_726 <unknown description>
+YNQMDDLLADFDSPCVMDCKMGIRTYLEEELTKARKKPSLRKDMYQKMIEVDPEAPTEEE
+KAQRAVTKPRYMQWRETISSTATLGFRIEGIKKEDGTVNRDFKKTKTREQVTEAFREFTK
+GNHNILIAYRDRLKAIRTTLEVSPFFKCHEVIGSSLLFIHDKKEQAKVWMIDFGKTTPLP
+EGQTLQHDVPWQEGNREDGYLSGLNNLVDIL
+>Q96DU7_PF03770_468 <unknown description>
+NQMEDLLADFEGPSIMDCKMGSRTYLEEELVKARERPRPRKDMYEKMVAVDPGAPTPEEH
+AQGAVTKPRYMQWRETMSSTSTLGFRIEGIKKADGTCNTNFKKTQALEQVTKVLEDFVDG
+DHVILQKYVACLEELREALEISPFFKTHEVVGSSLLFVHDHTGLAKVWMIDFGKTVALPD
+HQTLSHRLPWAEGNREDGYLWGLDNMICLL
+>Q92551_PF03770_207 <unknown description>
+FLLLENVVHHFKYPCVLDLKMGTRQHGDDASAEKAARQMRKCEQSTSATLGVRVCGMQVY
+QLDTGHYLCRNKYYGRGLSIEGFRNALYQYLHNGLDLRRDLFEPILSKLRGLKAVLERQA
+SYRFYSSSLLVIYDGKECRAESCLDRRSEMRLKHLDMVLPEVASSCGPSTSPSNTSPEAG
+PSSQPKVDVRMIDFAHSTFKGFRDDPTVHDGPDRGYVFGLENLISIME
+>Q9UHH9_PF03770_203 <unknown description>
+FILLENLTSRYEVPCVLDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVY
+QAGSGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQE
+SYRFYSSSLLVIYDGKERPEVVLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMI
+DFAHTTCRLYGEDTVVHEGQDAGYIFGLQSLIDIV
+>Q96PC2_PF03770_198 <unknown description>
+FLLLENVVSQYTHPCVLDLKMGTRQHGDDASEEKKARHMRKCAQSTSACLGVRICGMQVY
+QTDKKYFLCKDKYYGRKLSVEGFRQALYQFLHNGSHLRRELLEPILHQLRALLSVIRSQS
+SYRFYSSSLLVIYDGQEPPERAPGSPHPHEAPQAAHGSSPGGLTKVDIRMIDFAHTTYKG
+YWNEHTTYDGPDPGYIFGLENLIRILQ
+>Q6GPH6_PF03281_377 <unknown description>
+VYLVSQAPDQEQLTSVDWPESFVACEHLFLKLVGRFAPENTCHLKCLQIILSLRQHQSLP
+HGASRPILTSYHFKTALMHLLLRLPLTDWAHNMLSQRLQDILWFLGRGLQQRSLHHFLI
+>Q3MIP1_PF03281_163 <unknown description>
+YEQHKIRRPDSFDVLVPLRLPPLVALEPRSLGEEPALAPAFRGCFLCALKAPPSPSGASG
+GHWLRDCKPFADAFCVDVRGRRHLSATLVLRWFQSHLQRSLATVRYSLEGRCRVTLTPGG
+LEQPPTLHILPCRTDYGCCRLSMAVRLIPAVHLGDGVFLVAPPPPPLPSAPLLELPEGLR
+AEALWGVNTARQEQKLLSWLQERAAPGACYLKCLQLLKALRDLGARGLDSAAATQWGRIL
+SSYVLKTVLLAVLLRKGAPGQGWDEEHLGRCLEELVQFLRDCLLRRHTLFH
+>P61925_PF02827_2 <unknown description>
+TDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEEDAQRSST
+EQSGEAQGE
+>Q9C010_PF02827_9 <unknown description>
+TDVESGVANFASSARAGRRNALPDIQSSAATDGTSDLPLKLEALSVKEDAKEKDEKTTQD
+QLEKPQNEE
+>Q9Y2B9_PF02827_2 <unknown description>
+MEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQVEGSAPDK
+EAGNQPQS
+>Q8NFU5_PF03770_127 <unknown description>
+YLKLEDVTHKFNKPCIMDVKIGQKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHV
+HSDSYETENQHYGRSLTKETIKDGVSRFFHNGYCLRKDAVAASIQKIEKILQWFENQKQL
+NFYASSLLFVYEGSSQPTTTKLNDRTLAEKFLSKGQLSDTEVLEYNNNFHVLSSTANGKI
+ESSVGKSLSKMYARHRKIYTKKHHSQTSLKVENLEQDNGWKSMSQEHLNGNVLSQLEKVF
+YHLPTGCQEIAEVEVRMIDFAHVFPSNTIDEGYVYGLKHLISVL
+>Q9UI26_PF03810_28 <unknown description>
+AEEQLKQWETQPGFYSVLLNIFTNHTLDINVRWLAVLYFKHGIDRYWRRVAPHALSEEEK
+TTLRAGLITN
+>O94829_PF03810_45 <unknown description>
+AQKWLMQAQVSPQAWHFSWQLLQPDKVPEIQYFGASALHIKISRYWSDIPTDQYESLKAQ
+LFT
+>O94829_PF08389_117 <unknown description>
+KIVLTRLCVALASLALSMMPDAWPCAVADMVRLFQAEDSPVDGQGRCLALLELLTVLPEE
+FQTSRLPQYRKGLVRTSLAVECGAVFPLLEQLLQQPSSPSCVRQKVLKCFSSWVQLEVPL
+QDCEALIQAAFAALQDSELFDSSVEA
+>O94829_PF18773_402 <unknown description>
+QFPSDEEYGFWSSDEKEQFRIYRVDISDTLMYVYEMLGAE
+>O94829_PF18786_584 <unknown description>
+TSQCMWLMQALGFLLSALQVEEILKNLHSLISPYIQQLEKLAEE
+>O94829_PF18786_631 <unknown description>
+PSNKLAIVHILGLLSNLFTTLDISHHEDDHEGPELRKLPVPQ
+>O94829_PF18806_826 <unknown description>
+LKFPEAPTVKASCGFFTELLPRCGEVESVGKVVQEDGRMLLIAVLEAIGGQASRSLMDCF
+ADILFALNKHCFSL
+>Q8TEX9_PF03810_24 <unknown description>
+ATEQLQIVLRAPAALPALCDLLASAADPQIRQFAAVLTRRRLNTRWRRLAAEQRESLKSL
+ILTAL
+>Q8TEX9_PF02985_395 <unknown description>
+LLQIVCKGLEDPSQVVRNAALFALGQFSENL
+>Q8TEX9_PF13513_913 <unknown description>
+PEVRSNAIFGMGVLAEHGGHPAQEHFPKLLGLLFPLLARERHDRVRDNICGALARL
+>O00410_PF18808_270 <unknown description>
+SLNNMQRQLALEVIVTLSETAAAMLRKHTNIVAQTIPQMLAMMVDLEEDEDWANADELED
+DDFDSNAVAGESALDRMACGLGGKLVLP
+>O00410_PF13646_363 <unknown description>
+IMQMLQNPDWKYRHAGLMALSAIGEGCHQQMEGILNEIVNFVLLFLQDPHPRVRYAACNA
+VGQMATDFAPGFQKKFHEKVIAALLQTMEDQGNQRVQAHAAAAL
+>O00410_PF18829_765 <unknown description>
+VLSEIMHSFAKCIEVMGDGCLNNEHFEELGGILKAKLEEHFKNQELRQVKRQDEDYDEQV
+EESLQDEDDNDVYILTKVSDILHSIFSSYKEKVLPWFEQLLPLIVNLI
+>O00410_PF02985_907 <unknown description>
+LRPMLQYVCDNSPEVRQAAAYGLGVMAQY
+>O00410_PF18816_1005 <unknown description>
+DKEEAVQTFNYLCDLIESNHPIVLGPNNTNLPKIFSIIAEGEMHEAIK
+>O95373_PF03810_22 <unknown description>
+AERQLNEAHKSLNFVSTLLQITMSEQLDLPVRQAGVIYLKNMITQYWPDRETAPGDISPY
+TIPEEDRHCIRENIVEAII
+>O95373_PF08506_200 <unknown description>
+QKQIFKIFYALVQYTLPLELINQQNLTEWIEILKTVVNRDVPNETLQVEEDDRPELPWWK
+CKKWALHILARLFERYGSPGNVSKEYNEFAEVFLKAFAVGVQQVLLKVLYQYKEKQYMAP
+RVLQQTLNYINQGVSHALTWKNLKPHIQGIIQDVIFPLMCYTDADEELWQEDPYEYIRMK
+FDVFEDFISPTTAAQTLLFTACSKRKEVLQKTMGFCYQILTEPNADPRKKDGALHMIGSL
+A
+>O15397_PF03810_22 <unknown description>
+AENELNQSYKIINFAPSLLRIIVSDHVEFPVRQAAAIYLKNMVTQYWPDREPPPGEAIFP
+FNIHENDRQQIRDNIVEGII
+>O15397_PF08506_202 <unknown description>
+KQILKIFYALVQYALPLQLVNNQTMTTWMEIFRTIIDRTVPPETLHIDEDDRPELVWWKC
+KKWALHIVARLFERYGSPGNVTKEYFEFSEFFLKTYAVGIQQVLLKILDQYRQKEYVAPR
+VLQQAFNYLNQGVVHSITWKQMKPHIQNISEDVIFSVMCYKDEDEELWQEDPYEYIRMKF
+DIFEDYASPTTAAQTLLYTAAKKRKEVLPKMMAFCYQILTDPNFDPRKKDGALHVIGSLA
+>Q96P70_PF03810_43 <unknown description>
+AEEQIKVLEVTEEFGVHLAELTVDPQGALAIRQLASVILKQYVETHWCAQSEKFRPPETT
+ERAKIVIRELLPNGL
+>Q6NXS1_PF04979_45 <unknown description>
+QKWDEINILATYHPADKGYGLMKIDEPSPPYHSMMGDDEDACRDTETTEAMAPDILAKKL
+AAAEGLEPKYRIQEQESSGEEDSDLSPEEREKKRQFEMRRKLHYNEGLNIKLARQLISKD
+LHDDDEDE
+>O14990_PF04979_45 <unknown description>
+QKWDESSILAAHRATYRDYDLMKANEPGTSYMSVQDNGEDSVRDVEGEDSVRGVEGKEAT
+DASDHSCEVDEQESSEAYMRKILLHKQEKKRQFEMRRRLHYNEELNIKLARQLMWKELQS
+EDNEN
+>P41236_PF04979_45 <unknown description>
+QKWDEMNILATYHPADKDYGLMKIDEPSTPYHSMMGDDEDACSDTEATEAMAPDILARKL
+AAAEGLEPKYRIQEQESSGEEDSDLSPEEREKKRQFEMKRKLHYNEGLNIKLARQLISKD
+LHDDDEDE
+>Q9H8X2_PF06090_13 <unknown description>
+YHGEGNKSLVVAHAQRCVVLRFLKFPPNRKKTSEEIFQHLQNIVDFGKNVMKEFLGENYV
+HYGEVVQLPLEFVKQLCLKIQSERPESRCDKDLDTLSGYAMCLPNLTRLQTYRFAEHRPI
+LCVEIKPKCGFIPFSSDVTHEMKHKVCRYCMHQHLKVATGKWKQISKYCPLDLYSGNKQR
+MHFALKSLLQEAQNNLKIFKNGELIYGCKDARSPVADWSELAHHLKPFFFPSNGLASGPH
+CTRAVIRELVHVITRVLLSGSDKGRAGTLSPGLGPQGPRVCEASPFSRSLRCQGKNTPER
+SGLPKGCLLYKTLQVQMLDLLDIEGLYPLYNRVERYLEEFPEERKTLQIDGPYDEAFYQK
+LLDLSTEDDGTVAFALTKVQQYRVAMTAKDCSIMIALSPCLQDASSDQRPVVPSSRSRFA
+FSVSVLDLDLKPYESIPHQYKLDG
+>Q9Y573_PF00651_28 <unknown description>
+NKMRNGQHFCDVQLQVGQESFKAHRLVLAASSPYFAALFTGGMKESSKDVVPILGIEAGI
+FQILLDFIYTGIVNIGVNNVQELIIAADMLQLTEVVHLCCEFLKGQ
+>Q9Y573_PF07707_139 <unknown description>
+CIGIFQFSEQIACHDLLEFSENYIHVHFLEVHSGEEFLALTKDQLIKILRSEELSIEDEY
+QVFLAAMQWILKDLGKRRKHVVEVLDPIRFPLLPPQRLLKYI
+>Q9Y573_PF01344_289 <unknown description>
+YLYAVGGYTRLQGGRWSDSRALSCVERFDTFSQYWTTVSSL
+>Q9Y573_PF01344_332 <unknown description>
+ARSGLGVTVLGGMVYAIGGEKDSMIFDCTECYDPVTKQWTTVASMN
+>Q9Y573_PF01344_379 <unknown description>
+PRCGLGVCVCYGAIYALGGWVGAEIGNTIERFDPDENKWEVVGNM
+>Q9Y573_PF01344_426 <unknown description>
+SRYYFGCCEMQGLIYVIGGISNEGIELRSFEVYDPLSKRWSPLPPMG
+>Q9Y573_PF01344_474 <unknown description>
+RRAYLGVAALNDCIYSVGGWNETQDALHTVEKYSFEEEKWVEVASM
+>Q9Y573_PF01344_522 <unknown description>
+PRAGMCVVAVNGLLYVSGGRSSSHDFLAPGTLDSVEVYNPHSDTWTEIGNM
+>Q8IWB1_PF03281_285 <unknown description>
+NLLCATDSLYLDTMQVMKWFQTALTRAWKGIAHKYEFDLAFGQLDSPGSLKIKFRSGKFM
+PFNLIPVIQCDDSDLYFVSHLPREPSEGTPASSTDWLLSFAVYERHFLRTTLKALPEGAC
+HLSCLQIASFLLSKQSRLTGPSGLSSYHLKTALLHLLLLRQAADWKAGQLDARLHELLCF
+LEKSLLQKKLHHFFI
+>P05154_PF00079_45 <unknown description>
+RRDFTFDLYRALASAAPSQSIFFSPVSISMSLAMLSLGAGSSTKMQILEGLGLNLQKSSE
+KELHRGFQQLLQELNQPRDGFQLSLGNALFTDLVVDLQDTFVSAMKTLYLADTFPTNFRD
+SAGAMKQINDYVAKQTKGKIVDLLKNLDSNAVVIMVNYIFFKAKWETSFNHKGTQEQDFY
+VTSETVVRVPMMSREDQYHYLLDRNLSCRVVGVPYQGNATALFILPSEGKMQQVENGLSE
+KTLRKWLKMFKKRQLELYLPKFSIEGSYQLEKVLPSLGISNVFTSHADLSGISNHSNIQV
+SEMVHKAVVEVDESGTRAAAATGTIFTFRSARLNSQRLVFNRPFLMFIVDNNILFLGKVN
+RP
+>Q9H2U2_PF00719_94 <unknown description>
+MIVEIPRWTNAKMEIATKEPMNPIKQYVKDGKLRYVANIFPYKGYIWNYGTLPQTWEDPH
+EKDKSTNCFGDNDPIDVCEIGSKILSCGEVIHVKILGILALIDEGETDWKLIAINANDPE
+ASKFHDIDDVKKFKPGYLEATLNWFRLYKVPDGKPENQFAFNGEFKNKAFALEVIKSTHQ
+CWK
+>Q15181_PF00719_46 <unknown description>
+MVVEVPRWSNAKMEIATKDPLNPIKQDVKKGKLRYVANLFPYKGYIWNYGAIPQTWEDPG
+HNDKHTGCCGDNDPIDVCEIGSKVCARGEIIGVKVLGILAMIDEGETDWKVIAINVDDPD
+AANYNDINDVKRLKPGYLEATVDWFRRYKVPDGKPENEFAFNAEFKDKDFAIDIIKSTHD
+HWK
+>A6NCM1_PF00612_208 <unknown description>
+QAAVTIQKVWKGYLQRKR
+>A6NCM1_PF00004_563 <unknown description>
+ILLVGPSGMGKKMLVKAVCTETGANLFDLSPENLLGKYPGRNGAQMMVHIVFKVARLLQP
+SVIWIGNAEKNFYKKTPKEDKEMDPKRIKKDLTKALRLLTPGDRVMLIGTTSRPQLAE
+>Q15051_PF00612_295 <unknown description>
+HQAACLIQAYWKGFQTRKRLK
+>Q15051_PF00612_388 <unknown description>
+EKSALIIQKHWRGYRERKNFH
+>Q6IPM2_PF00612_544 <unknown description>
+EAAVVLQAAFRGHLTRTK
+>Q6IPM2_PF00612_603 <unknown description>
+EAIVIIQSALRAHLARAR
+>Q8N6M8_PF00612_72 <unknown description>
+ATKIQAWWRGTLVRRAL
+>Q8N6M8_PF00612_126 <unknown description>
+WAAVTLQSQARMWRIRRRY
+>Q8IXL9_PF00612_44 <unknown description>
+TKAAVKIQAWWRGTLVRRT
+>Q8IXL9_PF00612_72 <unknown description>
+IIQCWWRMTLSR
+>Q8IXL9_PF00612_100 <unknown description>
+ERAVIKLQSLVRMWRVR
+>P0C7M6_PF00612_39 <unknown description>
+QIQAWWRGVLVRRT
+>P0C7M6_PF00612_91 <unknown description>
+QATVKLQSCIRMWQCRQCYR
+>A8MTL0_PF00612_12 <unknown description>
+RSAAVFIQAWWRGMLVRRT
+>A8MTL0_PF00612_69 <unknown description>
+WAAVRLQSWVRMWCVRQRY
+>Q1A5X6_PF15157_4 <unknown description>
+EELKRLQNPLEQVNDGKYSFENHQLAMDAENNIEKYPLNLQPLESKVKIIQRAWREYLQR
+QEPLGKRSPSPPSVSSEKLSSSVSMNTFSDSSTPVSVM
+>Q9H0B3_PF00612_105 <unknown description>
+RAATLIQANWRGYWLRQKL
+>Q9H0B3_PF00612_1116 <unknown description>
+AVITIQAGVRGYLARRRIR
+>Q9H0B3_PF00612_1137 <unknown description>
+HRGAMVIQATWRGYRVRRN
+>Q9H0B3_PF00612_1161 <unknown description>
+RATTTIQSAWRGYSTRR
+>Q9H0B3_PF00612_1302 <unknown description>
+KAATAIQSAWRGFKIRQQMR
+>Q9H0B3_PF00612_1325 <unknown description>
+MAAKIVQATWRGHHTRSC
+>Q6DN90_PF01369_510 <unknown description>
+RKRHYRIGLNLFNKKPEKGVQYLIERGFVPDTPVGVAHFLLQRKGLSRQMIGEFLGNRQK
+QFNRDVLDCVVDEMDFSTMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCICNPGVV
+RQFRNPDTIFILAFAIILLNTDMYSPNVKPERKMKLEDFIKNLRGVDDGEDIPREMLMGI
+YERIRKREL
+>Q6DN90_PF16453_730 <unknown description>
+CVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFNDLLVVTKIFQKKKNSVTYSFR
+QSFSLYGMQVLLFENQYYPNGIRLTSSVPGADIKVLINFNAPNPQDRKKFTDDLRESIAE
+VQEMEKHRIESELEKQ
+>Q9UPP2_PF01369_651 <unknown description>
+RKRLYRIGLNLFNINPDKGIQFLISRGFIPDTPIGVAHFLLQRKGLSRQMIGEFLGNSKK
+QFNRDVLDCVVDEMDFSSMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCMCNPEVV
+QQFHNPDTIFILAFAIILLNTDMYSPNIKPDRKMMLEDFIRNLRGVDDGADIPRELVVGI
+YERIQQKEL
+>Q9UPP2_PF16453_860 <unknown description>
+KTVLSVPHRRLVCCSRLFEVTDVNKLQKQAAHQREVFLFNDLLVILKLCPKKKSSSTYTF
+CKSVGLLGMQFQLFENEYYSHGITLVTPLSGSEKKQVLHFCALGSDEMQKFVEDLKESIA
+EVTELEQIRIEWELEKQ
+>P46940_PF00307_48 <unknown description>
+EEAKRWMEACLGEDLPPTTELEEGLRNGVYLAKLGNFFSPKVVSLKKIYDREQTRYKATG
+LHFRHTDNVIQWLNAMDEIGLPKIFYPETTDIYDRKNMPRCIYCIHALSLY
+>P46940_PF00612_749 <unknown description>
+ITRLQARCRGYLVRQEFR
+>P46940_PF00612_778 <unknown description>
+AITCIQSQWRGYKQKKAYQ
+>P46940_PF00612_809 <unknown description>
+VVKIQSLARMHQARKRYR
+>P46940_PF00612_839 <unknown description>
+IIKIQAFIRANKARDDYK
+>P46940_PF00616_1025 <unknown description>
+LFKTALQEEIKSKVDQIQEIVTGNPTVIKMVVSFNRGARGQNALRQILAPVVKEIMDDKS
+LNIKTDPVDIYKSWVNQMESQTGEASKLPYDVTPEQALAHEEVKTRLDSSIRNMRAVTDK
+FLSAIVSSVDKIPYGMRFIAKVLKDSLHEKFPDAGEDELLKIIGNLLYYRYMNPAIVAPD
+AFDIIDLSAGGQLTTDQRRNLGSIAKMLQHAAS
+>P46940_PF03836_1452 <unknown description>
+LQEKKEKIQTGLKKLTELGTVDPKNKYQELINDIARDIRNQRRYRQRRKAELVKLQQTYA
+ALNSKATFYGEQVDYYKSYIKTCLDNLASKGKVSKKPREMKGKKSKKISLKYTAARLHEK
+GVLLEIEDL
+>Q13576_PF00307_45 <unknown description>
+EEAKRWMEVCLVEELPPTTELEEGLRNGVYLAKLAKFFAPKMVSEKKIYDVEQTRYKKSG
+LHFRHTDNTVQWLRAMESIGLPKIFYPETTDVYDRKNIPRMIYCIHALSLY
+>Q13576_PF00612_693 <unknown description>
+NVVKIQAFWKGYKQRKEY
+>Q13576_PF00612_721 <unknown description>
+TDSIVKIQSWFRMATARKSY
+>Q13576_PF00616_938 <unknown description>
+LFKTALEEEIKSKVDQVQDIVTGNPTVIKMVVSFNRGARGQNTLRQLLAPVVKEIIDDKS
+LIINTNPVEVYKAWVNQLETQTGEASKLPYDVTTEQALTYPEVKNKLEASIENLRRVTDK
+VLNSIISSLDLLPYGLRYIAKVLKNSIHEKFPDATEDELLKIVGNLLYYRYMNPAIVAPD
+GFDIIDMTAGGQINSDQRRNLGSVAKVLQHAAS
+>Q13576_PF03836_1367 <unknown description>
+LEQKKRKIQRNLRTLEQTGHVSSENKYQDILNEIAKDIRNQRIYRKLRKAELAKLQQTLN
+ALNKKAAFYEEQINYYDTYIKTCLDNLKRKNTRRSIKLDGKGEPKGAKRAKPVKYTAAKL
+HEKGVLLDIDDL
+>Q86VI3_PF00307_37 <unknown description>
+LEEAKRWMEACLKEELPSPVELEESLRNGVLLAKLGHCFAPSVVPLKKIYDVEQLRYQAT
+GLHFRHTDNINFWLSAIAHIGLPSTFFPETTDIYDKKNMPRVVYCIHALSL
+>Q86VI3_PF00612_734 <unknown description>
+VIQLQARLRGFLVRQKF
+>Q86VI3_PF00612_763 <unknown description>
+AVIKIQAHWRGYRQRKIY
+>Q86VI3_PF00612_792 <unknown description>
+DAIIKIQAWARMWAARRQY
+>Q86VI3_PF00612_822 <unknown description>
+NSIVKIQAFFRARKAQDDYR
+>Q86VI3_PF00616_1009 <unknown description>
+LFKTALQEEIKSKVEQPQDVVTGNPTVVRLVVRFYRNGRGQSALQEILGKVIQDVLEDKV
+LSVHTDPVHLYKNWINQTEAQTGQRSHLPYDVTPEQALSHPEVQRRLDIALRNLLAMTDK
+FLLAITSSVDQIPYGMRYVAKVLKATLAEKFPDATDSEVYKVVGNLLYYRFLNPAVVAPD
+AFDIVAMAAGGALAAPQRHALGAVAQLLQHAA
+>Q86VI3_PF03836_1433 <unknown description>
+LAEKQRRVLRNLRRLEALGLVSARNGYQGLVDELAKDIRNQHRHRHRRKAELVKLQATLQ
+GLSTKTTFYEEQGDYYSQYIRACLDHLAPDSKSSGKGKKQPSLHYTAAQLLEKGVLVEIE
+DLP
+>Q8NA54_PF00240_141 <unknown description>
+GQEIVIPFKVDTILKYLKDHFSHLLGIPHSVLQIRYSGKILKNNETLVQHGVKPQEIVQV
+>Q9Y5U9_PF08571_5 <unknown description>
+LYSLLQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVRTVMR
+VPLIIVNSIAIVLLLLFG
+>Q9Y6F6_PF05781_346 <unknown description>
+RSPTQDAAGVGPPASQGRGPAGEPMGPEAGSKAELPPTVSRPPLLRGLSWDSGPEEPGPR
+LQKVLAKLPLAEEEKRFAGKAGGKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILMRNQN
+LVGLKLPDLSEAAEQEKGLPSELSPAIEEEESKSGLDVMPNISDVLLRKLRVHRSLPGSA
+PPLTEKEVENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSAS
+LWHHCEHRETYQKLLEDIAVLHRLAARLSSRAEVVGAVRQEKRMSKATEVMMQYVENLKR
+TYEKDHAELMEFKKLANQNSSRSCGPSEDGVPRTARSMSLTLGKNMPRRRVSVAVVPKFN
+ALNLPGQTPSSSSIPSLPALSESPNGKGSLPVTSALPALLENGKTNGDPDCEASAPALTL
+SCLEELSQETKARMEEEAYSKGFQEGLKKTKELQDLKEEEEEQKSESPEEPEEVEETEEE
+EKGPRSSKLEELVHFLQVMYPKLCQHWQVIWMMAAVMLVLTVVLGLYNSYNSCAEQADGP
+LGRSTCSAAQRDSWWSSGLQHEQPTEQ
+>Q12912_PF05781_1 <unknown description>
+MNDDPSMEENGVERVCPESLLQSREYSSLPLPRHTSSTDGTITSSDPGLEILNMASCDLD
+RNSLCKKEEDTRSASPTIEAQGTSPAHDNIAFQDSTSKDKTILNLEAKEEPETIEEHKKE
+HASGDSVVSPLPVTTVKSVNLRQSENTSANEKEVEAEFLRLSLGFKCDWFTLEKRVKLEE
+RSRDLAEENLKKEITNCLKLLESLTPLCEDDNQAQEIIKKLEKSIKFLSQCAARVASRAE
+MLGAINQESRVSKAVEVMIQHVENLKRMYAKEHAELEELKQVLLQNERSFNPLEDDDDCQ
+IKKRSASLNSKPSSLRRVTIASLPRNIGNAGMVAGMENNDRFSRRSSSWRILGSKQSEHR
+PSLPRFISTYSWADAEEEKCELKTKDDSEPSGEETVERTRKPSLSEKKNNPSKWDVSSVY
+DTIASWATNLKSSIRKANKALWLSIAFIVLFAALMSFLTGQLFQKSVDAAPTQQEDSWTS
+LEHILWPFTRLRHNGPPPV
+>P51617_PF00531_28 <unknown description>
+CRFYKVMDALEPADWCQFAALIVRDQTELRLCERSGQRTASVLWPWINRNARVADLVHIL
+THLQLLRARDIITAW
+>P51617_PF00069_214 <unknown description>
+EELKIGEGGFGCVYRAVMRNTVYAVKRLKENADLEWTAVKQSFLTEVEQLSRFRHPNIVD
+FAGYCAQNGFYCLVYGFLPNGSLEDRLHCQTQACPPLSWPQRLDILLGTARAIQFLHQDS
+PSLIHGDIKSSNVLLDERLTPKLGDFGLARFSRFAGSSPSQSSMVARTQTVRGTLAYLPE
+EYIKTGRLAVDTDTFSFGVVVLETLAGQRAVKTHGARTKYLKDLVEEEAEEAGVALRSTQ
+STLQAGLAADAWAAPIAMQIYKKHLDPRPGPCPPELGLGLGQLACCCLHRRAKRRPPMTQ
+V
+>O43187_PF00531_15 <unknown description>
+DLCRNMDALSEWDWMEFASYVITDLTQLRKIKSMERVQGVSITRELLWWWGMRQATVQQL
+VDLLCRLELYRAAQIILNW
+>O43187_PF00069_210 <unknown description>
+FNQNRKISQGTFADVYRGHRHGKPFVFKKLRETACSSPGSIERFFQAELQICLRCCHPNV
+LPVLGFCAARQFHSFIYPYMANGSLQDRLQGQGGSDPLPWPQRVSICSGLLCAVEYLHGL
+EIIHSNVKSSNVLLDQNLTPKLAHPMAHLCPVNKRSKYTMMKTHLLRTSAAYLPEDFIRV
+GQLTKRVDIFSCGIVLAEVLTGIPAMDNN
+>Q9Y616_PF00531_27 <unknown description>
+ELCAVLDSCDGALGWRGLAERLSSSWLDVRHIEKYVDQGKSGTRELLWSWAQKNKTIGDL
+LQVLQEMGHRRAIHLITNY
+>Q9Y616_PF00069_166 <unknown description>
+HKDFLIGEGEIFEVYRVEIQNLTYAVKLFKQEKKMQCKKHWKRFLSELEVLLLFHHPNIL
+ELAAYFTETEKFCLIYPYMRNGTLFDRLQCVGDTAPLPWHIRIGILIGISKAIHYLHNVQ
+PCSVICGSISSANILLDDQFQPKLTDFAMAHFRSHLEHQSCTINMTSSSSKHLWYMPEEY
+IRQGKLSIKTDVYSFGIVIMEVLTGCRVVLDDPKHIQLRDLLRELMEKRGLDSCLSFLDK
+KVPPCPRNFSAKLFCLAGRCAATRAKLRPSMDEVLN
+>Q9NWZ3_PF07714_190 <unknown description>
+NKMGEGGFGVVYKGYVNNTTVAVKKLAAMVDITTEELKQQFDQEIKVMAKCQHENLVELL
+GFSSDGDDLCLVYVYMPNGSLLDRLSCLDGTPPLSWHMRCKIAQGAANGINFLHENHHIH
+RDIKSANILLDEAFTAKISDFGLARASEKFAQTVMTSRIVGTTAYMAPEALRGEITPKSD
+IYSFGVVLLEIITGLPAVDEHREPQLLLDIKEEIEDEEKTIEDYIDKKMNDADSTSVEAM
+YSVASQCLHEKKNKRPDIKKVQQLL
+>P48200_PF00330_69 <unknown description>
+WKTKQSNVEVPFFPARVLLQDFTGIPAMVDFAAMREAVKTLGGDPEKVHPACPTDLTVDH
+SLQ
+>P48200_PF00330_210 <unknown description>
+EPETVLKNQEVEFGRNRERLQFFKWSSRVFKNVAVIPPGTGMAHQINLEYLSRVVFEEKD
+LLFPDSVVGTDSHITMVNGLGILGWGVGGIETEAVMLGLPVSLTLPEVVGCELTGSSNPF
+VTSIDVVLGITKHLRQVGVAGKFVEFFGSGVSQLSIVDRTTIANMCPEYGAILSFFPVDN
+VTLKHLEHTGFSKAKLESMETYLKAVKLFRNDQNSSGEPEYSQVIQINLNSIVPSVSGPK
+RPQDRVAVTDMKSDFQACLNEKVGFKGFQIAAEKQKDIVSIHYEGSEYKLSHGSVVIAAV
+ISCTNNCNPSVMLAAGLLAKKAVEAGLRVKPYIRTSLSPGSGMVTHYLSSSGVLPYLSKL
+GFEIVGYGCSICVGNTAPLSDAVLNAVKQGDLVTCGILSGNKNFEGRLCDCVRANYLASP
+PLVVAYAIAG
+>P48200_PF00694_768 <unknown description>
+AKYLTNRGLTPREFNSYGARRGNDAVMTRGTFANIKLFNKFIGKPAPKTIHFPSGQTLDV
+FEAAELYQKEGIPLIILAGKKYGSGNSRDWAAKGPYLLGVKAVLAESYEKIHKDHLIGIG
+IAPLQFL
+>P10914_PF00605_7 <unknown description>
+RMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAIHTGRYK
+AGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRM
+>P14316_PF00605_7 <unknown description>
+RMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAIHTGKHQ
+PGVDKPDPKTWKANFRCAMNSLPDIEEVKDKSIKKGNNAFRVYRM
+>Q14653_PF00605_8 <unknown description>
+ILPWLVSQLDLGQLEGVAWVNKSRTRFRIPWKHGLRQDAQQEDFGIFQAWAEATGAYVPG
+RDKPDLPTWKRNFRSALNRKEGLRLAEDRSKDPHDPHKIYEFV
+>Q14653_PF10401_202 <unknown description>
+WEFEVTAFYRGRQVFQQTISCPEGLRLVGSEVGDRTLPGWPVTLPDPGMSLTDRGVMSYV
+RHVLSCLGGGLALWRAGQWLWAQRLGHCHTYWAVSEELLPNSGHGPDGEVPKDKEGGVFD
+LGPFIVDLITFTEGSGRSPRYALWFCVGESWPQDQPWTKRLVMVKVVPTCLRALVEMAR
+>Q15306_PF00605_23 <unknown description>
+KLRQWLIDQIDSGKYPGLVWENEEKSIFRIPWKHAGKQDYNREEDAALFKAWALFKGKFR
+EGIDKPDPPTWKTRLRCALNKSNDFEELVERSQLDISDPYKVYRIV
+>Q15306_PF10401_249 <unknown description>
+DCRLHICLYYREILVKELTTSSPEGCRISHGHTYDASNLDQVLFPYPEDNGQRKNIEKLL
+SHLERGVVLWMAPDGLYAKRLCQSRIYWDGPLALCNDRPNKLERDQTCKLFDTQQFLSEL
+QAFAHHGRSLPRFQVTLCFGEEFPDPQRQRKLITAHVEPLLARQLYYFA
+>Q13568_PF00605_16 <unknown description>
+RLKPWLVAQVNSCQYPGLQWVNGEKKLFCIPWRHATRHGPSQDGDNTIFKAWAKETGKYT
+EGVDEADPAKWKANLRCALNKSRDFRLIYDGPRDMPPQPYKIYEVC
+>Q13568_PF10401_264 <unknown description>
+TDLEIKFQYRGRPPRALTISNPHGCRLFYSQLEATQEQVELFGPISLEQVRFPSPEDIPS
+DKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCASAHDSCPNPIQREVKT
+KLFSLEHFLNELILFQKGQTNTPPPFEIFFCFGEEWPDRKPREKKLITVQVVPVAARLLL
+EMFS
+>O14896_PF00605_9 <unknown description>
+RLKPWLVAQVDSGLYPGLIWLHRDSKRFQIPWKHATRHSPQQEEENTIFKAWAVETGKYQ
+EGVDDPDPAKWKAQLRCALNKSREFNLMYDGTKEVPMNPVKIYQVC
+>O14896_PF10401_223 <unknown description>
+MTDLDIKFQYRGKEYGQTMTVSNPQGCRLFYGDLGPMPDQEELFGPVSLEQVKFPGPEHI
+TNEKQKLFTSKLLDVMDRGLILEVSGHAIYAIRLCQCKVYWSGPCAPSLVAPNLIERQKK
+VKLFCLETFLSDLIAHQKGQIEKQPPFEIYLCFGEEWPDGKPLERKLILVQVIPVVARMI
+YEMFS
+>Q92985_PF00605_14 <unknown description>
+FGEWLLGEISSGCYEGLQWLDEARTCFRVPWKHFARKDLSEADARIFKAWAVARGRWPPS
+SRGGGPPPEAETAERAGWKTNFRCALRSTRRFVMLRDNSGDPADPHKVYAL
+>Q92985_PF10401_289 <unknown description>
+LDVTIMYKGRTVLQKVVGHPSCTFLYGPPDPAVRATDPQQVAFPSPAELPDQKQLRYTEE
+LLRHVAPGLHLELRGPQLWARRMGKCKVYWEVGGPPGSASPSTPACLLPRNCDTPIFDFR
+VFFQELVEFRARQRRGSPRYTIYLGFGQDLSAGRPKEKSLVLVKLEPWLCRVHLE
+>Q02556_PF00605_9 <unknown description>
+RLRQWLIEQIDSSMYPGLIWENEEKSMFRIPWKHAGKQDYNQEVDASIFKAWAVFKGKFK
+EGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIV
+>Q02556_PF10401_203 <unknown description>
+SQMVISFYYGGKLVGQATTTCPEGCRLSLSQPGLPGTKLYGPEGLELVRFPPADAIPSER
+QRQVTRKLFGHLERGVLLHSSRQGVFVKRLCQGRVFCSGNAVVCKGRPNKLERDEVVQVF
+DTSQFFRELQQFYNSQGRLPDGRVVLCFGEEFPDMAPLRSKLILVQIEQLYVRQLAEEA
+>Q00978_PF00605_11 <unknown description>
+KLRNWVVEQVESGQFPGVCWDDTAKTMFRIPWKHAGKQDFREDQDAAFFKAWAIFKGKYK
+EGDTGGPAVWKTRLRCALNKSSEFKEVPERGRMDVAEPYKVYQL
+>Q00978_PF10401_218 <unknown description>
+YSLLLTFIYNGRVVGEAQVQSLDCRLVAEPSGSESSMEQVLFPKPGPLEPTQRLLSQLER
+GILVASNPRGLFVQRLCPIPISWNAPQAPPGPGPHLLPSNECVELFRTAYFCRDLVRYFQ
+GLGPPPKFQVTLNFWEESHGSSHTPQNLITVKMEQAFARYLLEQ
+>A6NK06_PF03972_8 <unknown description>
+ESFATAIHGLKVGHLTDRVIQRSKRMILDTLGAGFLGTTTEVFHIASQYSKIYSSNISST
+VWGQPDIRLPPTYAAFVNGVAIHSMDFDDTWHPATHPSGAVLPVLTALAEALPRSPKFSG
+LDLLLAFNVGIEVQGRLLHFAKEANDMPKRFHPPSVVGTLGSAAAASKFLGLSSTKCREA
+LAIAVSHAGAPMANAATQTKPLHIGNAAKHGIEAAFLAMLGLQGNKQVLDLEAGFGAFYA
+NYSP
+>A6NK06_PF19305_274 <unknown description>
+FPAHLSTHWVADAAASVRKHLVAERALLPTDYIKRIVLRIPNVQYVNRPFPVSEHEARHS
+FQYVACAMLLDGGITVPSFHECQINRPQVRELLSKVELEYPPDNLPSFNILYCEISVTLK
+DGATFTDRSDTFYGHWRKPLSQEDLEEKFRANASKMLSWDTVESLIKIVKNLED
+>A1A4Y4_PF05049_5 <unknown description>
+NVEKASADGNLPEVISNIKETLKIVSRTPVNITMAGDSGNGMSTFISALRNTGHEGKASP
+PTELVKATQRCASYFSSHFSNVVLWDLPGTGSATTTLENYLMEMQFNRYDFIMVASAQFS
+MNHVMLAKTAEDMGKKFYIVWTKLDMDLSTGALPEVQLLQIRENVLENLQKERVCE
+>Q9NZN1_PF18452_46 <unknown description>
+GEPVRIKCALFYGYIRTNYSLAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWF
+RPTLLQDSGLYACVIRNSTYCMKVSISLTVGENDTGLCYNSKMKY
+>Q9NZN1_PF00047_256 <unknown description>
+ETQLGDSANLTCRAFFGYSGDVSPLIYWMKGEKFIEDLDENRVWESDIRILKEHLGEQEV
+SISLIVDSVEEGDLGNYSCYVENGNGRR
+>Q9NZN1_PF01582_408 <unknown description>
+YLSYTKVDPDQWNQETGEEERFALEILPDMLEKHYGYKLFIPDRDLIPTGTYIEDVARCV
+DQSKRLIIVMTPNYVVRRGWSIFELETRLRNMLVTGEIKVILIECSELRGIMNYQEVEAL
+KHTIKLLTVIKWHGPKCNKLNSKFWKRLQYEMPFKRIEPITHE
+>Q9NP60_PF18452_43 <unknown description>
+ALAGEPVRVKCALFYSYIRTNYSTAQSTGLRLMWYKNKGDLEEPIIFSEVRMSKEEDSIW
+FHSAEAQDSGFYTCVLRNSTYCMKVSMSLTVAENESGLCYNSRIRY
+>Q9NP60_PF13895_150 <unknown description>
+EKSEVTKRKEISCPDMDDFKKSDQEPDVVWYKECKPKMWRSIIIQKGNALLIQEVQEEDG
+GNYTCELKYEGKLVRRTTELKV
+>Q9NP60_PF00047_251 <unknown description>
+SVIDVQLGKPLNIPCKAFFGFSGESGPMIYWMKGEKFIEELAGHIREGEIRLLKEHLGEK
+EVELALIFDSVVEADLANYTCHVENRNGRKHAS
+>Q9NP60_PF01582_420 <unknown description>
+NPEEEQFALEVLPDVLEKHYGYKLFIPERDLIPSGTYMEDLTRYVEQSRRLIIVLTPDYI
+LRRGWSIFELESRLHNMLVSGEIKVILIECTELKGKVNCQEVESLKRSIKLLSLIKWKGS
+KSSKLNSKFWKHLVYEMPIKKKE
+>P35568_PF00169_22 <unknown description>
+PKSMHKRFFVLRAASEAGGPARLEYYENEKKWRHKSSAPKRSIPLESCFNINKRADSKNK
+HLVALYTRDEHFAIAADSEAEQDSWYQALL
+>P35568_PF02174_161 <unknown description>
+KEVWQVILKPKGLGQTKNLIGIYRLCLTSKTISFVKLNSEAAAVVLQLMNIRRCGHSENF
+FFIEVGRSAVTGPGEFWMQVDDSVVAQNMHETILEAMRAMS
+>Q9Y4H2_PF00169_32 <unknown description>
+RKCGYLRKQKHGHKRFFVLRGPGAGGDEATAGGGSAPQPPRLEYYESEKKWRSKAGAPKR
+VIALDCCLNINKRADAKHKYLIALYTKDEYFAVAAENEQEQEGWYRALTDL
+>Q9Y4H2_PF02174_195 <unknown description>
+REVWQVNLKPKGLGQSKNLTGVYRLCLSARTIGFVKLNCEQPSVTLQLMNIRRCGHSDSF
+FFIEVGRSAVTGPGELWMQADDSVVAQNIHETILEAMKALK
+>P78414_PF05920_145 <unknown description>
+WLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>Q9BZI1_PF05920_132 <unknown description>
+WLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>P78415_PF05920_145 <unknown description>
+WLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>P78413_PF05920_160 <unknown description>
+WLQEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>P78411_PF05920_131 <unknown description>
+WLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>P78412_PF05920_164 <unknown description>
+WLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRR
+>Q9BUE6_PF01521_25 <unknown description>
+LTLTPSAVNKIKQLLKDKPEHVGVKVGVRTRGCNGLSYTLEYTKTKGDSDEEVIQDGVRV
+FIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGTCGCGE
+>Q86U28_PF01521_49 <unknown description>
+QIRLTDSCVQRLLEITEGSEFLRLQVEGGGCSGFQYKFSLDTVINPDDRVFEQGGARVVV
+DSDSLAFVKGAQVDFSQELIRSSFQVLNNPQAQQGCSCG
+>Q9H1K1_PF01592_35 <unknown description>
+YHKKVVDHYENPRNVGSLDKTSKNVGTGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFG
+CGSAIASSSLATEWVKGKTVEEALTIKNTDIAKELCLPPVKLHCSMLAEDAIKAALADYK
+LKQ
+>P05161_PF00240_5 <unknown description>
+LTVKMLAGNEFQVSLSSSMSVSELKAQITQKIGVHAFQQRLAVHPSGVALQDRVPLASQG
+LGPGSTVLLVVDK
+>P05161_PF00240_84 <unknown description>
+ILVRNNKGRSSTYEVRLTQTVAHLKQQVSGLEGVQDDLFWLTFEGKPLEDQLPLGEYGLK
+PLSTVFMNLRLR
+>Q96AZ6_PF00929_8 <unknown description>
+VAMDCEMVGLGPHRESGLARCSLVNVHGAVLYDKFIRPEGEITDYRTRVSGVTPQHMVGA
+TPFAVARLEILQLLKGKLVVGHDLKHDFQALKEDMSGYTIYDTSTDRLLWREAKLDHCRR
+VSLRVLSERLLHKSIQNSLLGHSSVEDARATMELY
+>Q1W4C9_PF00050_48 <unknown description>
+DYNADCPNVTAPVCASNGHTFQNECFFCVEQREFHYRIKFEKYGKC
+>Q6IE38_PF00050_56 <unknown description>
+PCPGLYQPICGTNFITYDNPCILCVESLKSHGRIRFYHDGKC
+>P00995_PF00050_32 <unknown description>
+CYNELNGCTKIYDPVCGTDGNTYPNECVLCFENRKRQTSILIQKSGPC
+>O60575_PF00050_37 <unknown description>
+CEHMVESPTCSQMSNLVCGTDGLTYTNECQLCLARIKTKQDIQIMKDGKC
+>Q9NQ38_PF00050_97 <unknown description>
+CDDFKKGERDGDFICPDYYEAVCGTDGKTYDNRCALCAENAKTGSQIGVKSEGEC
+>Q9NQ38_PF00050_174 <unknown description>
+GCTRENDPVLGPDGKTHGNKCAMCAEL
+>Q9NQ38_PF00050_225 <unknown description>
+CKEYEKQVRNGRLFCTRESDPVRGPDGRMHGNKCALCAEIFKQRF
+>Q9NQ38_PF00050_297 <unknown description>
+CSQYQNQAKNGILFCTRENDPIRGPDGKMHGNLCSMCQAYFQ
+>Q9NQ38_PF00050_437 <unknown description>
+CSEYRKSRKNGRLFCTRENDPIQGPDGKMHGNTCSMCEAF
+>Q9NQ38_PF00050_496 <unknown description>
+CSEFRDQVRNGTLICTREHNPVRGPDGKMHGNKCAMCASVF
+>Q9NQ38_PF00050_642 <unknown description>
+GKLFCTRENDPVRGPDGKTHGNKCAMCKAVFQKENE
+>Q9NQ38_PF00050_707 <unknown description>
+CAEYREQMKNGRLSCTRESDPVRDADGKSYNNQCTMCKAKLEREA
+>Q9NQ38_PF00050_774 <unknown description>
+CDEFRSQMKNGKLICTRESDPVRGPDGKTHGNKCTMCKEKLEREAA
+>Q9NQ38_PF00050_916 <unknown description>
+CSEFRNYIRNNELICPRENDPVHGADGKFYTNKCYMCRA
+>Q9NQ38_PF00050_993 <unknown description>
+CKDYRVLPRIGYLCPKDLKPVCGDDGQTYNNPCMLCHENLIRQTNTHIRSTGKC
+>Q6UWN8_PF00050_34 <unknown description>
+QDPKVYCTRESNPHCGSDGQTYGNKCAFCKAIVKSGGKISLKHPGKC
+>P58062_PF00050_32 <unknown description>
+CSIYKKYPVVAIPCPITYLPVCGSDYITYGNECHLCTESLKSNGRVQFLHDGSC
+>P0C7L1_PF00050_57 <unknown description>
+PSEPICGSDQVTYSSDCHLCSKILFEGLNITKLYDGQC
+>Q5DT21_PF00050_32 <unknown description>
+CSHYKKLPPGQQRFCHHMYDPICGSDGKTYKNDCFFCSKVKKTDGTLKFVHFGKC
+>P61371_PF00412_17 <unknown description>
+CVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFVRDGKTYCKRDYIRL
+>P61371_PF00412_79 <unknown description>
+CAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEFALREDGLFCRADH
+>P61371_PF00046_183 <unknown description>
+RVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKK
+>Q96A47_PF00412_27 <unknown description>
+CVGCGSQIHDQFILRVSPDLEWHAACLKCAECSQYLDETCTCFVRDGKTYCKRDYVRL
+>Q96A47_PF00412_89 <unknown description>
+CAKCQVGFSSSDLVMRARDSVYHIECFRCSVCSRQLLPGDEFSLREHELLCRADH
+>Q96A47_PF00046_193 <unknown description>
+RVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKK
+>Q6UXK2_PF13855_52 <unknown description>
+NVTTLSLSANKITVLRRGAFADVTQVTSLWLAHNEVRTVEPGALAVLSQLKNLDLSHN
+>Q6UXK2_PF13855_124 <unknown description>
+ALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLAPGTFDALSALSHLQLYHNP
+>O14498_PF13855_51 <unknown description>
+NVTTLSLSANRLPGLPEGAFREVPLLQSLWLAHNEIRTVAAGALASLSHLKSLDLSHNLI
+>O14498_PF13855_123 <unknown description>
+ALQLLKMDSNELTFIPRDAFRSLRALRSLQLNHNRLHTLAEGTFTPLTALSHLQINENP
+>O14498_PF07679_245 <unknown description>
+AELRPGFVLALHCDVDGQPAPQLHWHIQIPSGIVEITSPNVGTDGRALPGTPVASSQPRF
+QAFANGSLLIPDFGKLEEGTYSCLATNELGSAESSVDV
+>B1AKI9_PF00090_221 <unknown description>
+GDWSLWSVCSVTCGNGNQKRTRSCGYACTATESRTCDRPNC
+>B1AKI9_PF03782_294 <unknown description>
+TDSCERWMSCKSEFLKKYMHKVMNDLPSCPCSYPTEVAYSTADIFDRIKRKDFRWKDASG
+PKEKLEIYKPTARYCIRSMLSLESTTLAAQHCCYGDNMQLITRGKGAGTPNLISTEFSAE
+LHYKVDVLPWIICKGDWSRY
+>Q6H9L7_PF00090_331 <unknown description>
+EWSPWSPCSGNCSTGKQQRTRPCGYGCTATETRTCDLPSC
+>Q6H9L7_PF03782_402 <unknown description>
+DSCEKWLNCKSDFLIKYLSQMLRDLPSCPCAYPLEAMDSPVSLQDEHQGRSFRWRDASGP
+RERLDIYQPTARFCLRSMLSGESSTLAAQHCCYDEDSRLLTRGKGAGMPNLISTDFSPKL
+HFKFDTTPWILC
+>Q96CN7_PF00857_115 <unknown description>
+TVFFCCDMQERFRPAIKYFGDIISVGQRLLQGARILGIPVIVTEQYPKGLGSTVQEIDLT
+GVKLVLPKTKFSMVLPEVEAALAEIPGVRSVVLFGVETHVCIQQTALELVGRGVEVHIVA
+DATSSRSMMDRMFALERLARTGIIVTTSE
+>Q96AB3_PF00857_16 <unknown description>
+SVLFLCDMQEKFRHNIAYFPQIVSVAARMLKVARLLEVPVMLTEQYPQGLGPTVPELGTE
+GLRPLAKTCFSMVPALQQELDSRPQLRSVLLCGIEAQACILNTTLDLLDRGLQVHVVVDA
+CSSRSQVDRLVALARMRQSGAFLSTSE
+>A4D126_PF01128_47 <unknown description>
+VAAVLPAGGCGERMGVPTPKQFCPILERPLISYTLQALERVCWIKDIVVAVTGENMEVMK
+SIIQKYQHKRISLVEAGVTRHRSIFNGLKALAEDQINSKLSKPEVVIIHDAVRPFVEEGV
+LLKVVTAAKEHGAAGAIRPLVSTVVSPSADGCLDYSLERARHRASEMPQAFLFDVIYEAY
+QQCSDYDLEFGTECLQLALKYCCTKAKLVEGSPDLWKVTYKRDLYAAESIIK
+>A4D126_PF18706_283 <unknown description>
+QEICVVMDTEEDNKHVGHLLEEVLKSELNHVKVTSEALGHAGRHLQQIILDQCYNFVCVN
+VTTSDFQETQKLLSMLEESSLCILYPVVVVSVHFLDFKLVPPSQKMENLMQIREFAKEVK
+ERNILLYGLLISYPQDDQKLQESLRQGAIIIASLIKERNSGLIGQLLIA
+>P53990_PF03398_13 <unknown description>
+VNLRLVINRLKLLEKKKTELAQKARKEIADYLAAGKDERARIRVEHIIREDYLVEAMEIL
+ELYCDLLLARFGLIQSMKELDSGLAESVSTLIWAAPRLQSEVAELKIVADQLCAKYSKEY
+GKLCRTNQIGTVNDRLMHKLSVEAPPKILVERYLIEIAKNYNVP
+>Q2M1V0_PF00046_83 <unknown description>
+RRVRTTFTTEQLHELEKIFHFTHYPDVHIRSQLAARINLPEARVQIWFQNQRAKWRK
+>Q9ULR0_PF06246_1 <unknown description>
+MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQI
+QNAGLGEFRIRDLNDEINKLLREKGHWEVRIKELGGPDYGKVGPKMLDHEGKEVPGNRGY
+KYFGAAKDLPGVRELFEKEPLPPPRKTRAELMKAIDFEYYGYLDEDDGVIVPLEQEYEKK
+LRAELVEKWKAEREARLARGEKEEEEEEEEEINIYAVTEEESDEEGSQEKGGDDSQQKFI
+AHVPVPSQQEIEEALVRRKKMELLQK
+>O75578_PF00092_167 <unknown description>
+DVVIVLDGSNSIYPWSEVQTFLRRLVGKLFIDPEQIQVGLVQYGESPVHEWSLGDFRTKE
+EVVRAAKNLSRREGRETKTAQAIMVACTEGFSQSHGGRPEAARLLVVVTDGESHDGEELP
+AALKACEAGRVTRYGIAVLGHYLRRQRDPSSFLREIRTIASDPDERFFFNVTDEAALTDI
+VD
+>O75578_PF01839_486 <unknown description>
+FGSELCPLDTDRDGTTDVLLVAAPMFLGPQNKETGRVYVY
+>O75578_PF01839_549 <unknown description>
+FGFAMGALPDLNQDGFADVAVGAPLEDGHQGALYLY
+>O75578_PF08441_642 <unknown description>
+SRPIVHLTPSLEVTPQAISVVQRDCRRRGQEAVCLTAALCFQVTSRTPGRWDHQFYMRFT
+ASLDEWTAGARAAFDGSGQRLSPRRLRLSVGNVTCEQLHFHVLDTSDYLRPVALTVTFAL
+DNTTKPGPVLNEGSPTSIQKLVPFSKDCGPDNECVTDLVLQVNMDIRGSRKAPFVVRGGR
+RKVLVSTTLENRKENAYNTSLSLIFSRNLHLASLTPQRESPIKVECAAPSAHARLCSVGH
+PVFQTGAKVTFLLEFEFSCSSLLSQVFVKLTASSDSLERNGTLQDNTAQTSAYIQYEPHL
+LFSSESTLHRYEVHPYGTLPVGPGPEFKTTLRVQNLGCYVVSGLIISALLPAVAHGGNYF
+LSLSQVITNNASCIVQNLTEPP
+>Q9UKX5_PF00092_164 <unknown description>
+DIVIVLDGSNSIYPWVEVQHFLINILKKFYIGPGQIQVGVVQYGEDVVHEFHLNDYRSVK
+DVVEAASHIEQRGGTETRTAFGIEFARSEAFQKGGRKGAKKVMIVITDGESHDSPDLEKV
+IQQSERDNVTRYAVAVLGYYNRRGINPETFLNEIKYIASDPDDKHFFNVTDEAALKDIVD
+>Q9UKX5_PF01839_480 <unknown description>
+FGSEITSVDIDGDGVTDVLLVGAPMYFNEGRERGKVYVY
+>Q9UKX5_PF01839_542 <unknown description>
+FGSSIASVRDLNQDSYNDVVVGAPLEDNHAGAIYIF
+>Q9UKX5_PF08441_635 <unknown description>
+SRPVVQINASLHFEPSKINIFHRDCKRSGRDATCLAAFLCFTPIFLAPHFQTTTVGIRYN
+ATMDERRYTPRAHLDEGGDRFTNRAVLLSSGQELCERINFHVLDTADYVKPVTFSVEYSL
+EDPDHGPMLDDGWPTTLRVSVPFWNGCNEDEHCVPDLVLDARSDLPTAMEYCQRVLRKPA
+QDCSAYTLSFDTTVFIIESTRQRVAVEATLENRGENAYSTVLNISQSANLQFASLIQKED
+SDGSIECVNEERRLQKQVCNVSYPFFRAKAKVAFRLDFEFSKSIFLHHLEIELAAGSDSN
+ERDSTKEDNVAPLRFHLKYEADVLFTRSSSLSHYEVKPNSSLERYDGIGPPFSCIFRIQN
+LGLFPIHGMMMKITIPIATRSGNRLLKLRDFLTDEANTSCNIWGNSTEYRPTPV
+>P56199_PF00092_172 <unknown description>
+DIVIVLDGSNSIYPWDSVTAFLNDLLERMDIGPKQTQVGIVQYGENVTHEFNLNKYSSTE
+EVLVAAKKIVQRGGRQTMTALGIDTARKEAFTEARGARRGVKKVMVIVTDGESHDNHRLK
+KVIQDCEDENIQRFSIAILGSYNRGNLSTEKFVEEIKSIASEPTEKHFFNVSDELALVTI
+V
+>P56199_PF01839_490 <unknown description>
+FGSILTTTDIDKDSNTDILLVGAPMYMGTEKEEQGKVYVY
+>P56199_PF01839_571 <unknown description>
+FGTAIAAVKDLNLDGFNDIVIGAPLEDDHGGAVYIY
+>P56199_PF08441_664 <unknown description>
+SRDVAVVKVTMNFEPNKVNIQKKNCHMEGKETVCINATVCFDVKLKSKEDTIYEADLQYR
+VTLDSLRQISRSFFSGTQERKVQRNITVRKSECTKHSFYMLDKHDFQDSVRITLDFNLTD
+PENGPVLDDSLPNSVHEYIPFAKDCGNKEKCISDLSLHVATTEKDLLIVRSQNDKFNVSL
+TVKNTKDSAYNTRTIVHYSPNLVFSGIEAIQKDSCESNHNITCKVGYPFLRRGEMVTFKI
+LFQFNTSYLMENVTIYLSATSDSEEPPETLSDNVVNISIPVKYEVGLQFYSSASEYHISI
+AANETVPEVINSTEDIGNEINIFYLIRKSGSFPMPELKLSISFPNMTSNGYPVLYPTGLS
+SSENANCRPHIFEDPFSINSGKKMTTS
+>P08514_PF01839_320 <unknown description>
+FGHSVAVTDVNGDGRHDLLVGAPLYMESRADRKLAEVGRVYLF
+>P08514_PF01839_387 <unknown description>
+FGSAIAPLGDLDRDGYNDIAVAAPYGGPSGRGQVLVF
+>P08514_PF08441_481 <unknown description>
+AQPVVKASVQLLVQDSLNPAVKSCVLPQTKTPVSCFNIQMCVGATGHNIPQKLSLNAELQ
+LDRQKPRQGRRVLLLGSQQAGTTLNLDLGGKHSPICHTTMAFLRDEADFRDKLSPIVLSL
+NVSLPPTEAGMAPAVVLHGDTHVQEQTRIVLDCGEDDVCVPQLQLTASVTGSPLLVGADN
+VLELQMDAANEGEGAYEAELAVHLPQGAHYMRALSNVEGFERLICNQKKENETRVVLCEL
+GNPMKKNAQIGIAMLVSVGNLEEAGESVSFQLQIRSKNSQNPNSKIVLLDVPVRAEAQVE
+LRGNSFPASLVVAAEEGEREQNSLDSWGPKVEHTYELHNNGPGTVNGLHLSIHLPGQSQP
+SDLLYILDIQPQGGLQCFPQPPVNPLKVDWGLPIPSPSPIHPAHHKRDRRQIFLPEPEQP
+SRLQDPVLVSCDSAPCTVVQC
+>P08514_PF00357_1020 <unknown description>
+KVGFFKRNRPPLEE
+>P17301_PF00092_174 <unknown description>
+DVVVVCDESNSIYPWDAVKNFLEKFVQGLDIGPTKTQVGLIQYANNPRVVFNLNTYKTKE
+EMIVATSQTSQYGGDLTNTFGAIQYARKYAYSAASGGRRSATKVMVVVTDGESHDGSMLK
+AVIDQCNHDNILRFGIAVLGYLNRNALDTKNLIKEIKAIASIPTERYFFNVSDEAAL
+>P17301_PF01839_491 <unknown description>
+FGSVLCSVDVDKDTITDVLLVGAPMYMSDLKKEEGRVYLF
+>P17301_PF01839_554 <unknown description>
+FGSAIAALSDINMDGFNDVIVGSPLENQNSGAVYIY
+>P17301_PF08441_670 <unknown description>
+NKNAQIILKLCFSAKFRPTKQNNQVAIVYNITLDADGFSSRVTSRGLFKENNERCLQKNM
+VVNQAQSCPEHIIYIQEPSDVVNSLDLRVDISLENPGTSPALEAYSETAKVFSIPFHKDC
+GEDGLCISDLVLDVRQIPAAQEQPFIVSNQNKRLTFSVTLKNKRESAYNTGIVVDFSENL
+FFASFSLPVDGTEVTCQVAASQKSVACDVGYPALKREQQVTFTINFDFNLQNLQNQASLS
+FQALSESQEENKADNLVNLKIPLLYDAEIHLTRSTNINFYEISSDGNVPSIVHSFEDVGP
+KFIFSLKVTTGSVPVSMATVIIHIPQYTKEKNPLMYLTGVQTDKAGDISCNADINPLKIG
+QTSSSVSFKSENFRHTKE
+>P26006_PF01839_307 <unknown description>
+FGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAIYVF
+>P26006_PF01839_369 <unknown description>
+FGLSVASIGDINQDGFQDIAVGAPFEGLGKVYIY
+>P26006_PF08441_462 <unknown description>
+ARPVINIVHKTLVPRPAVLDPALCTATSCVQVELCFAYNQSAGNPNYRRNITLAYTLEAD
+RDRRPPRLRFAGSESAVFHGFFSMPEMRCQKLELLLMDNLRDKLRPIIISMNYSLPLRMP
+DRPRLGLRSLDAYPILNQAQALENHTEVQFQKECGPDNKCESNLQMRAAFVSEQQQKLSR
+LQYSRDVRKLLLSINVTNTRTSERSGEDAHEALLTLVVPPALLLSSVRPPGACQANETIF
+CELGNPFKRNQRMELLIAFEVIGVTLHTRDLQVQLQLSTSSHQDNLWPMILTLLVDYTLQ
+TSLSMVNHRLQSFFGGTVMGESGMKTVEDVGSPLKYEFQVGPMGEGLVGLGTLVLGLEWP
+YEVSNGKWLLYPTEITVHGNGSWPCRPPGDLINPLNLTLSDPGDRPSSPQRRRRQLDPGG
+GQGPPPVTLAAAKKAKSETVLTCATGRAHCVWLEC
+>P13612_PF01839_306 <unknown description>
+FGASVCAVDLNADGFSDLLVGAPMQSTIREEGRVFVY
+>P13612_PF01839_368 <unknown description>
+FGESIVNLGDIDNDGFEDVAIGAPQEDDLQGAIYIY
+>P13612_PF08441_463 <unknown description>
+TRPVVIVDASLSHPESVNRTKFDCVENGWPSVCIDLTLCFSYKGKEVPGYIVLFYNMSLD
+VNRKAESPPRFYFSSNGTSDVITGSIQVSSREANCRTHQAFMRKDVRDILTPIQIEAAYH
+LGPHVISKRSTEEFPPLQPILQQKKEKDIMKKTINFARFCAHENCSADLQVSAKIGFLKP
+HENKTYLAVGSMKTLMLNVSLFNAGDDAYETTLHVKLPVGLYFIKILELEEKQINCEVTD
+NSGVVQLDCSIGYIYVDHLSRIDISFLLDVSSLSRAEEDLSITVHATCENEEEMDNLKHS
+RVTVAIPLKYEVKLTVHGFVNPTSFVYGSNDENEPETCMVEKMNLTFHVINTGNSMAPNV
+SVEIMVPNSFSPQTDKLFNILDVQTTTGECHFENYQRVCALEQQKSAMQTLKGIVRFLSK
+TDKRL
+>P08648_PF01839_326 <unknown description>
+FGYAVAATDVNGDGLDDLLVGAPLLMDRTPDGRPQEVGRVYVY
+>P08648_PF01839_392 <unknown description>
+FGSSLTPLGDLDQDGYNDVAIGAPFGGETQQGVVFVF
+>P08648_PF08441_490 <unknown description>
+RPIVSASASLTIFPAMFNPEERSCSLEGNPVACINLSFCLNASGKHVADSIGFTVELQLD
+WQKQKGGVRRALFLASRQATLTQTLLIQNGAREDCREMKIYLRNESEFRDKLSPIHIALN
+FSLDPQAPVDSHGLRPALHYQSKSRIEDKAQILLDCGEDNICVPDLQLEVFGEQNHVYLG
+DKNALNLTFHAQNVGEGGAYEAELRVTAPPEAEYSGLVRHPGNFSSLSCDYFAVNQSRLL
+VCDLGNPMKAGASLWGGLRFTVPHLRDTKKTIQFDFQILSKNLNNSQSDVVSFRLSVEAQ
+AQVTLNGVSKPEAVLFPVSDWHPRDQPQKEEDLGPAVHHVYELINQGPSSISQGVLELSC
+PQALEGQQLLYVTRVTGLNCTTNHPINPKGLELDPEGSLHHQQKREAPSRSSASSGPQIL
+KCPEAECFRLRC
+>P23229_PF01839_355 <unknown description>
+FGYDVAVVDLNKDGWQDIVIGAPQYFDRDGEVGGAVYVY
+>P23229_PF01839_424 <unknown description>
+GDINQDGYPDIAVGAPYDDLGKVFIY
+>P23229_PF08441_503 <unknown description>
+SRPVINIQKTITVTPNRIDLRQKTACGAPSGICLQVKSCFEYTANPAGYNPSISIVGTLE
+AEKERRKSGLSSRVQFRNQGSEPKYTQELTLKRQKQKVCMEETLWLQDNIRDKLRPIPIT
+ASVEIQEPSSRRRVNSLPEVLPILNSDEPKTAHIDVHFLKEGCGDDNVCNSNLKLEYKFC
+TREGNQDKFSYLPIQKGVPELVLKDQKDIALEITVTNSPSNPRNPTKDGDDAHEAKLIAT
+FPDTLTYSAYRELRAFPEKQLSCVANQNGSQADCELGNPFKRNSNVTFYLVLSTTEVTFD
+TPDLDINLKLETTSNQDNLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSE
+DEVGSLIEYEFRVINLGKPLTNLGTATLNIQWPKEISNGKWLLYLVKVESKGLEKVTCEP
+QKEINSLNLTESHNSRKKREITEKQIDDNRKFSLFAERKYQTLNCSVNVNCVNIRC
+>Q13683_PF01839_320 <unknown description>
+FGYSLAVADLNSDGWPDLIVGAPYFFERQEELGGAVYVY
+>Q13683_PF01839_381 <unknown description>
+FGISLAVLGDLNQDGFPDIAVGAPFDGDGKVFIY
+>Q13683_PF08441_471 <unknown description>
+ARPILHVSHEVSIAPRSIDLEQPNCAGGHSVCVDLRVCFSYIAVPSSYSPTVALDYVLDA
+DTDRRLRGQVPRVTFLSRNLEEPKHQASGTVWLKHQHDRVCGDAMFQLQENVKDKLRAIV
+VTLSYSLQTPRLRRQAPGQGLPPVAPILNAHQPSTQRAEIHFLKQGCGEDKICQSNLQLV
+RARFCTRVSDTEFQPLPMDVDGTTALFALSGQPVIGLELMVTNLPSDPAQPQADGDDAHE
+AQLLVMLPDSLHYSGVRALDPAEKPLCLSNENASHVECELGNPMKRGAQVTFYLILSTSG
+ISIETTELEVELLLATISEQELHPVSARARVFIELPLSIAGMAIPQQLFFSGVVRGERAM
+QSERDVGSKVKYEVTVSNQGQSLRTLGSAFLNIMWPHEIANGKWLLYPMQVELEGGQGPG
+QKGLCSPRPNILHLDVDSRDRRRRELEPPEQQEPGERQEPSMSWWPVSSAEKKKNITLDC
+ARGTANCVVFSC
+>P53708_PF01839_321 <unknown description>
+FGYTVVVSDVNSDGLDDVLVGAPLFMEREFESNPREVGQIYLY
+>P53708_PF01839_386 <unknown description>
+FGSAMAHLGDLNQDGYNDIAIGVPFAGKDQRGKVLIY
+>P53708_PF08441_483 <unknown description>
+ARPVVTVDAQLLLHPMIINLENKTCQVPDSMTSAACFSLRVCASVTGQSIANTIVLMAEV
+QLDSLKQKGAIKRTLFLDNHQAHRVFPLVIKRQKSHQCQDFIVYLRDETEFRDKLSPINI
+SLNYSLDESTFKEGLEVKPILNYYRENIVSEQAHILVDCGEDNLCVPDLKLSARPDKHQV
+IIGDENHLMLIINARNEGEGAYEAELFVMIPEEADYVGIERNNKGFRPLSCEYKMENVTR
+MVVCDLGNPMVSGTNYSLGLRFAVPRLEKTNMSINFDLQIRSSNKDNPDSNFVSLQINIT
+AVAQVEIRGVSHPPQIVLPIHNWEPEEEPHKEEEVGPLVEHIYELHNIGPSTISDTILEV
+GWPFSARDEFLLYIFHIQTLGPLQCQPNPNINPQDIKPAASPEDTPELSAFLRNSTIPHL
+VRKRDVHVVEFHRQSPAKILNCTNIECLQISC
+>P53708_PF00357_1035 <unknown description>
+KCGFFDRARPPQEDM
+>Q13797_PF01839_304 <unknown description>
+FGSSLCAVDLNGDGLSDLLVGAPMFSEIRDEGQVTVY
+>Q13797_PF01839_364 <unknown description>
+FGESIASLDDLDNDGFPDVAIGAPKEDDFAGAVYIY
+>Q13797_PF08441_459 <unknown description>
+ARPVITVDVSIFLPGSINITAPQCHDGQQPVNCLNVTTCFSFHGKHVPGEIGLNYVLMAD
+VAKKEKGQMPRVYFVLLGETMGQVTEKLQLTYMEETCRHYVAHVKRRVQDVISPIVFEAA
+YSLSEHVTGEEERELPPLTPVLRWKKGQKIAQKNQTVFERNCRSEDCAADLQLQGKLLLS
+SMDEKTLYLALGAVKNISLNISISNLGDDAYDANVSFNVSRELFFINMWQKEEMGISCEL
+LESDFLKCSVGFPFMRSKSKYEFSVIFDTSHLSGEEEVLSFIVTAQSGNTERSESLHDNT
+LVLMVPLMHEVDTSITGIMSPTSFVYGESVDAANFIQLDDLECHFQPINITLQVYNTGPS
+TLPGSSVSISFPNRLSSGGAEMFHVQEMVVGQEKGNCSFQKNPTPCIIPQEQENIFHTIF
+AFFTKSGRKVLDCEK
+>Q13349_PF00092_150 <unknown description>
+DIVFLIDGSGSIDQNDFNQMKGFVQAVMGQFEGTDTLFALMQYSNLLKIHFTFTQFRTSP
+SQQSLVDPIVQLKGLTFTATGILTVVTQLFHHKNGARKSAKKILIVITDGQKYKDPLEYS
+DVIPQAEKAGIIRYAIGVGHAFQGPTARQELNTISSAPPQDHVFKVDNFAALGSIQKQ
+>Q13349_PF01839_457 <unknown description>
+FGASLCSVDVDSDGSTDLILIGAPHYYEQTRGGQVSV
+>Q13349_PF01839_520 <unknown description>
+FGAALTVLGDVNEDKLIDVAIGAPGEQENRGAVYLF
+>Q13349_PF08441_616 <unknown description>
+PVLKVGVAMRFSPVEVAKAVYRCWEEKPSALEAGDATVCLTIQKSSLDQLGDIQSSVRFD
+LALDPGRLTSRAIFNETKNPTLTRRKTLGLGIHCETLKLLLPDCVEDVVSPIILHLNFSL
+VREPIPSPQNLRPVLAVGSQDLFTASLPFEKNCGQDGLCEGDLGVTLSFSGLQTLTVGSS
+LELNVIVTVWNAGEDSYGTVVSLYYPAGLSHRRVSGAQKQPHQSALRLACETVPTEDEGL
+RSSRCSVNHPIFHEGSNGTFIVTFDVSYKATLGDRMLMRASASSENNKASSSKATFQLEL
+PVKYAVYTMISRQEESTKYFNFATSDEKKMKEA
+>Q13349_PF00357_1124 <unknown description>
+KLGFFKRHYKEMLE
+>P38570_PF00092_203 <unknown description>
+IAIILDGSGSIDPPDFQRAKDFISNMMRNFYEKCFECNFALVQYGGVIQTEFDLRDSQDV
+MASLARVQNITQVGSVTKTASAMQHVLDSIFTSSHGSRRKASKVMVVLTDGGIFEDPLNL
+TTVINSPKMQGVERFAIGVGEEFKSARTARELNLIASDPDETHAFKVTNYMALDGL
+>P38570_PF01839_514 <unknown description>
+FGSELCPVDIDMDGSTDFLLVAAPFYHVHGEEGRVYVY
+>P38570_PF08441_676 <unknown description>
+SRPVVRLKVSMAFTPSALPIGFNGVVNVRLCFEISSVTTASESGLREALLNFTLDVDVGK
+QRRRLQCSDVRSCLGCLREWSSGSQLCEDLLLMPTEGELCEEDCFSNASVKVSYQLQTPE
+GQTDHPQPILDRYTEPFAIFQLPYEKACKNKLFCVAELQLATTVSQQELVVGLTKELTLN
+INLTNSGEDSYMTSMALNYPRNLQLKRMQKPPSPNIQCDDPQPVASVLIMNCRIGHPVLK
+RSSAHVSVVWQLEENAFPNRTADITVTVTNSNERRSLANE
+>P38570_PF00357_1148 <unknown description>
+KCGFFKRKYQQLN
+>P20701_PF00092_156 <unknown description>
+DLVFLFDGSMSLQPDEFQKILDFMKDVMKKLSNTSYQFAAVQFSTSYKTEFDFSDYVKRK
+DPDALLKHVKHMLLLTNTFGAINYVATEVFREELGARPDATKVLIIITDGEATDSGNIDA
+AKDIIRYIIGIGKHFQTKESQETLHKFASKPASEFVKILDTFEKLKDLFT
+>P20701_PF01839_460 <unknown description>
+FGGELCGVDVDQDGETELLLIGAPLFYGEQRGGRVFIY
+>P20701_PF01839_521 <unknown description>
+FGEAITALTDINGDGLVDVAVGAPLEEQGAVYIF
+>P20701_PF08441_612 <unknown description>
+SRPVVDMVTLMSFSPAEIPVHEVECSYSTSNKMKEGVNITICFQIKSLIPQFQGRLVANL
+TYTLQLDGHRTRRRGLFPGGRHELRRNIAVTTSMSCTDFSFHFPVCVQDLISPINVSLNF
+SLWEEEGTPRDQRAQGKDIPPILRPSLHSETWEIPFEKNCGEDKKCEANLRVSFSPARSR
+ALRLTAFASLSVELSLSNLEEDAYWVQLDLHFPPGLSFRKVEMLKPHSQIPVSCEELPEE
+SRLLSRALSCNVSSPIFKAGHSVALQMMFNTLVNSSWGDSVELHANVTCNNEDSDLLEDN
+SATTIIPILYPINILIQDQEDSTLYVSFTPKGPKIHQVKHMYQVRIQPSIHDHNIPTLEA
+VVGVPQ
+>P20701_PF00357_1113 <unknown description>
+KVGFFKRNLKEKMEA
+>P11215_PF00092_150 <unknown description>
+DIAFLIDGSGSIIPHDFRRMKEFVSTVMEQLKKSKTLFSLMQYSEEFRIHFTFKEFQNNP
+NPRSLVKPITQLLGRTHTATGIRKVVRELFNITNGARKNAFKILVVITDGEKFGDPLGYE
+DVIPEADREGVIRYVIGVGDAFRSEKSRQELNTIASKPPRDHVFQVNNFEALKTIQNQ
+>P11215_PF01839_457 <unknown description>
+FGASLCSVDVDSNGSTDLVLIGAPHYYEQTRGGQVSV
+>P11215_PF01839_520 <unknown description>
+FGAALTVLGDVNGDKLTDVAIGAPGEEDNRGAVYLF
+>P11215_PF08441_614 <unknown description>
+SQPVLRVKAIMEFNPREVARNVFECNDQVVKGKEAGEVRVCLHVQKSTRDRLREGQIQSV
+VTYDLALDSGRPHSRAVFNETKNSTRRQTQVLGLTQTCETLKLQLPNCIEDPVSPIVLRL
+NFSLVGTPLSAFGNLRPVLAEDAQRLFTALFPFEKNCGNDNICQDDLSITFSFMSLDCLV
+VGGPREFNVTVTVRNDGEDSYRTQVTFFFPLDLSYRKVSTLQNQRSQRSWRLACESASST
+EVSGALKSTSCSINHPIFPENSEVTFNITFDVDSKASLGNKLLLKANVTSENNMPRTNKT
+EFQLELPVKYAVYMVVTSHGVSTKYLNFTASENTSRVMQHQYQVSNLGQRSLPISLVFLV
+PVRLNQ
+>P11215_PF00357_1129 <unknown description>
+KLGFFKRQYKDMMSE
+>P06756_PF01839_253 <unknown description>
+GYSVAVGDFNGDGIDDFVSGVPRAARTLGMVYIY
+>P06756_PF01839_306 <unknown description>
+FGFSVAATDINGDDYADVFIGAPLFMDRGSDGKLQEVGQVSV
+>P06756_PF01839_370 <unknown description>
+FGSAIAPLGDLDQDGFNDIAIAAPYGGEDKKGIVYIF
+>P06756_PF08441_467 <unknown description>
+ARPVITVNAGLEVYPSILNQDNKTCSLPGTALKVSCFNVRFCLKADGKGVLPRKLNFQVE
+LLLDKLKQKGAIRRALFLYSRSPSHSKNMTISRGGLMQCEELIAYLRDESEFRDKLTPIT
+IFMEYRLDYRTAADTTGLQPILNQFTPANISRQAHILLDCGEDNVCKPKLEVSVDSDQKK
+IYIGDDNPLTLIVKAQNQGEGAYEAELIVSIPLQADFIGVVRNNEALARLSCAFKTENQT
+RQVVCDLGNPMKAGTQLLAGLRFSVHQQSEMDTSVKFDLQIQSSNLFDKVSPVVSHKVDL
+AVLAAVEIRGVSSPDHVFLPIPNWEHKENPETEEDVGPVVQHIYELRNNGPSSFSKAMLH
+LQWPYKYNNNTLLYILHYDIDGPMNCTSDMEINPLRIKISSLQTTEKNDTVAGQGERDHL
+ITKRDLALSEGDIHTLGCGVAQCLKIVC
+>P06756_PF00357_1017 <unknown description>
+RMGFFKRVRPPQEEQ
+>P20702_PF00092_151 <unknown description>
+DIVFLIDGSGSISSRNFATMMNFVRAVISQFQRPSTQFSLMQFSNKFQTHFTFEEFRRSS
+NPLSLLASVHQLQGFTYTATAIQNVVHRLFHASYGARRDAAKILIVITDGKKEGDSLDYK
+DVIPMADAAGIIRYAIGVGLAFQNRNSWKELNDIASKPSQEHIFKVEDFDALKDIQNQ
+>P20702_PF01839_458 <unknown description>
+FGASLCSVDVDSDGSTDLVLIGAPHYYEQTRGGQVSV
+>P20702_PF01839_521 <unknown description>
+FGAALTVLGDVNGDKLTDVVIGAPGEEENRGAVYLF
+>P20702_PF08441_615 <unknown description>
+TRPVLWVGVSMQFIPAEIPRSAFECREQVVSEQTLVQSNICLYIDKRSKNLLGSRDLQSS
+VTLDLALDPGRLSPRATFQETKNRSLSRVRVLGLKAHCENFNLLLPSCVEDSVTPITLRL
+NFTLVGKPLLAFRNLRPMLAADAQRYFTASLPFEKNCGADHICQDNLGISFSFPGLKSLL
+VGSNLELNAEVMVWNDGEDSYGTTITFSHPAGLSYRYVAEGQKQGQLRSLHLTCDSAPVG
+SQGTWSTSCRINHLIFRGGAQITFLATFDVSPKAVLGDRLLLTANVSSENNTPRTSKTTF
+QLELPVKYAVYTVVSSHEQFTKYLNFSESEEKESHVAMHRYQVNNLGQRDLPVSINFWVP
+VELNQEAVWMDVEVSHPQNPSLRCSS
+>P20702_PF00357_1129 <unknown description>
+KVGFFKRQYKEMMEE
+>P05556_PF17205_25 <unknown description>
+NRCLKANAKSCGECIQAGPNCGWCTNSTFLQEGMPTSARCDDLEALKKKGCP
+>P05556_PF00362_138 <unknown description>
+AEDYPIDLYYLMDLSYSMKDDLENVKSLGTDLMNEMRRITSDFRIGFGSFVEKTVMPYIS
+TTPAKLRNPCTSEQNCTSPFSYKNVLSLTNKGEVFNELVGKQRISGNLDSPEGGFDAIMQ
+VAVCGSLIGWRNVTRLLVFSTDAGFHFAGDGKLGGIVLPNDGQCHLENNMYTMSHYYDYP
+SIAHLVQKLSENNIQTIFAVTEEFQPVYKELKNLIPKSAVGTLSANSSNVIQLIIDAYNS
+LSSEV
+>P05556_PF18372_466 <unknown description>
+CQSEGIPESPKCHEGNGTFECGACRCNEGR
+>P05556_PF07974_599 <unknown description>
+CEASNGQICNGRGICECGVCKCTDPKFQGQTC
+>P05556_PF07965_640 <unknown description>
+CAEHKECVQCRAFNKGEKKDTCTQECSYFNITKVESRDKLPQPVQPDPVSHCKEKDVDDC
+WFYFTYSVNGNNEVMVHVVENPECPTGP
+>P05556_PF08725_752 <unknown description>
+KLLMIIHDRREFAKFEKEKMNAKWDTGENPIYKSAVTTVVNPKY
+>P05106_PF17205_29 <unknown description>
+NICTTRGVSSCQQCLAVSPMCAWCSDEALPLGSPRCDLKENLLKDNC
+>P05106_PF00362_134 <unknown description>
+EDYPVDIYYLMDLSYSMKDDLWSIQNLGTKLATQMRKLTSNLRIGFGAFVDKPVSPYMYI
+SPPEALENPCYDMKTTCLPMFGYKHVLTLTDQVTRFNEEVKKQSVSRNRDAPEGGFDAIM
+QATVCDEKIGWRNDASHLLVFTTDAKTHIALDGRLAGIVQPNDGQCHVGSDNHYSASTTM
+DYPSLGLMTEKLSQKNINLIFAVTENVVNLYQNYSELIPGTTVGVLSMDSSNVLQLIVDA
+YGKIRSKV
+>P05106_PF18372_463 <unknown description>
+CQAQAEPNSHRCNNGNGTFECGVCRCGPGW
+>P05106_PF07974_593 <unknown description>
+CMSSNGLLCSGRGKCECGSCVCIQPGSYGDTC
+>P05106_PF07965_634 <unknown description>
+CTFKKECVECKKFDRGALHDENTCNRYCRDEIESVKELKDTGKDAVNCTYKNEDDCVVRF
+QYYEDSSGKSILYVVEEPECPKGP
+>P05106_PF08725_742 <unknown description>
+KLLITIHDRKEFAKFEEERARAKWDTANNPLYKEATSTFTNITY
+>P16144_PF17205_28 <unknown description>
+NRCKKAPVKSCTECVRVDKDCAYCTDEMFRDRRCNTQAELLAAGCQ
+>P16144_PF00362_127 <unknown description>
+ESPVDLYILMDFSNSMSDDLDNLKKMGQNLARVLSQLTSDYTIGFGKFVDKVSVPQTDMR
+PEKLKEPWPNSDPPFSFKNVISLTEDVDEFRNKLQGERISGNLDAPEGGFDAILQTAVCT
+RDIGWRPDSTHLLVFSTESAFHYEADGANVLAGIMSRNDERCHLDTTGTYTQYRTQDYPS
+VPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHTYFPVSSLGVLQEDSSNIVELLEEAFNRI
+RSN
+>P16144_PF18372_457 <unknown description>
+CELQKEVRSARCSFNGDFVCGQCVCSEGW
+>P16144_PF07974_545 <unknown description>
+RTSGFLCNDRGRCSMGQCVCEPGWTGPSC
+>P16144_PF07965_626 <unknown description>
+CEDLRSCVQCQAWGTGEKKGRTCEECNFKVKMVDELKRAEEVVVRCSFRDEDDDCTYSYT
+MEGDGAPGPNSTVLVHKKKDCPPG
+>P16144_PF03160_980 <unknown description>
+NITIIKEQARDVVSFEQPEFSVSRGDQVARIPVIRRVLDGGKSQVSYRTQDGTAQGNRDY
+IPVEGELLFQPGEAWKELQVKLLELQEVDSLLRGRQVRRFHVQLS
+>P16144_PF00041_1129 <unknown description>
+APQNPNAKAAGSRKIHFNWLPPSGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYP
+YCDYEMKVCAYGAQGEGPYS
+>P16144_PF00041_1221 <unknown description>
+SEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYGLVNDDNRPIGPMKKVLVDNPKN
+RMLLIENLRESQPYRYTVKARNGAGWGPE
+>P16144_PF00041_1530 <unknown description>
+TPTRLVFSALGPTSLRVSWQEPRCERPLQGYSVEYQLLNGGELHRLNIPNPAQTSVVVED
+LLPNHSYVFRVRAQSQEGWGRE
+>P16144_PF00041_1642 <unknown description>
+SAPGPLVFTALSPDSLQLSWERPRRPNGDIVGYLVTCEMAQGGGPATAFRVDGDSPESRL
+TVPGLSENVPYKFKVQARTTEGFGPE
+>P18084_PF17205_26 <unknown description>
+NICTSGSATSCEECLLIHPKCAWCSKEDFGSPRSITSRCDLRANLVKNGC
+>P18084_PF00362_134 <unknown description>
+EDYPVDLYYLMDLSLSMKDDLDNIRSLGTKLAEEMRKLTSNFRLGFGSFVDKDISPFSYT
+APRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVSRNRDAPEGGFDAV
+LQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQCHLNEANEYTASNQ
+MDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEILDGDSKNIIQLIIN
+AYNSIRSKV
+>P18084_PF18372_465 <unknown description>
+CSVGLEPNSARCNGSGTYVCGLCECSPGY
+>P18084_PF07965_635 <unknown description>
+CSTKRDCVECLLLHSGKPDNQTCHSLCRDEVITWVDTIVKDDQEAVLCFYKTAKDCVMMF
+TYVELPSGKSNLTVLREPECGNT
+>P18084_PF08725_743 <unknown description>
+KLLVTIHDRREFAKFQSERSRARYEMASNPLYRKPISTH
+>P18564_PF17205_28 <unknown description>
+AETCEDCLLIGPQCAWCAQENFTHPSGVGERCDTPANLLAKGCQ
+>P18564_PF00362_129 <unknown description>
+EDYPVDLYYLMDLSASMDDDLNTIKELGSRLSKEMSKLTSNFRLGFGSFVEKPVSPFVKT
+TPEEIANPCSSIPYFCLPTFGFKHILPLTNDAERFNEIVKNQKISANIDTPEGGFDAIMQ
+AAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDSKNEYSMSTVLE
+YPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNILQLIISAY
+EELRSEV
+>P18564_PF18372_456 <unknown description>
+CQKEVEVNSSKCHHGNGSFQCGVCACHPGH
+>P18564_PF07974_547 <unknown description>
+HKGLLCGGNGDCDCGECVCRSGWTGEYC
+>P18564_PF07974_583 <unknown description>
+CVSEDGVLCSGRGDCVCGKCVCTNPGASGPTC
+>P18564_PF07965_624 <unknown description>
+CNSKRSCIECHLSAAGQAREECVDKCKLAGATISEEEDFSKDGSVSCSLQGENECLITFL
+ITTDNEGKTIIHSINEKDCPKPP
+>P18564_PF08725_731 <unknown description>
+KLLVSFHDRKEVAKFEAERSKAKWQTGTNPLYRGSTSTFKNVTY
+>P26010_PF17205_44 <unknown description>
+SCQPAPSCQKCILSHPSCAWCKQLNFTASGEAEARRCARREELLARGCP
+>P26010_PF00362_147 <unknown description>
+AEGYPVDLYYLMDLSYSMKDDLERVRQLGHALLVRLQEVTHSVRIGFGSFVDKTVLPFVS
+TVPSKLRHPCPTRLERCQSPFSFHHVLSLTGDAQAFEREVGRQSVSGNLDSPEGGFDAIL
+QAALCQEQIGWRNVSRLLVFTSDDTFHTAGDGKLGGIFMPSDGHCHLDSNGLYSRSTEFD
+YPSVGQVAQALSAANIQPIFAVTSAALPVYQELSKLIPKSAVGELSEDSSNVVQLIMDAY
+NSLSSTV
+>P26010_PF07974_605 <unknown description>
+CISPEGGLCSGHGRCKCNRCQCLDGYYGALC
+>P26010_PF08725_747 <unknown description>
+RLSVEIYDRREYSRFEKEQQQLNWKQDSNPLYKSAITTTINPRF
+>P26012_PF17205_45 <unknown description>
+NRCASSNAASCARCLALGPECGWCVQEDFISGGSRSERCDIVSNLISKGCS
+>P26012_PF00362_143 <unknown description>
+KKYPVDLYYLVDVSASMHNNIEKLNSVGNDLSRKMAFFSRDFRLGFGSYVDKTVSPYISI
+HPERIHNQCSDYNLDCMPPHGYIHVLSLTENITEFEKAVHRQKISGNIDTPEGGFDAMLQ
+AAVCESHIGWRKEAKRLLLVMTDQTSHLALDSKLAGIVVPNDGNCHLKNNVYVKSTTMEH
+PSLGQLSEKLIDNNINVIFAVQGKQFHWYKDLLPLLPGTIAGEIESKAANLNNLVVEAYQ
+KLISEV
+>P26012_PF07974_553 <unknown description>
+CPYHHGNLCAGHGECEAGRCQCFSGWEGDRC
+>O14713_PF10480_1 <unknown description>
+MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNNNSDTC
+AEFRIKYVGAIEKLKLSEGKGLEGPLDLINYIDVAQQDGKLPFVPPEEEFIMGVSKYGIK
+VSTSDQYDVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASNEEYSLWVYQCNSLEQA
+QAICKVLSTAFDSVLTSEKP
+>Q9UKP3_PF04968_4 <unknown description>
+LCRNKGCGQHFDPNTNLPDSCCHHPGVPIFHDALKGWSCCRKRTVDFSEFLNIKGCTMGP
+H
+>Q9UKP3_PF04968_148 <unknown description>
+SCQNPGCDAVYQGPESDATPCTYHPGAPRFHEGMKSWSCCGIQTLDFGAFLAQPGCRVGR
+H
+>Q9UKP3_PF04969_218 <unknown description>
+CRHDWHQTDSLVVVTVYGQIPLPAFNWVKASQTELHVHIVFDGNRVFQAQMKLWGVINVE
+QSSVFLMPSRVEISLVK
+>Q96J02_PF00168_19 <unknown description>
+QLQITVISAKLKENKKNWFGPSPYVEVTVDGQSKKTEKCNNTNSPKWKQPLTVIVTPVSK
+LHFRVWSHQTLKSDVLLGTAALDIYETLKSN
+>Q96J02_PF00397_287 <unknown description>
+LPPGWEQRVDQHGRVYYVDHVEKRTTWDRP
+>Q96J02_PF00397_319 <unknown description>
+LPPGWERRVDNMGRIYYVDHFTRTTTWQRP
+>Q96J02_PF00397_399 <unknown description>
+LPPGWEKRTDSNGRVYFVNHNTRITQWEDP
+>Q96J02_PF00397_439 <unknown description>
+LPEGWEMRFTVDGIPYFVDHNRRTTTYIDP
+>Q96J02_PF00632_558 <unknown description>
+LLSHEVLNPMYCLFEYAGKDNYCLQINPASYINPDHLKYFRFIGRFIAMALFHGKFIDTG
+FSLPFYKRILNKPVGLKDLESIDPEFYNSLIWVKENNIEECDLEMYFSVDKEILGEIKSH
+DLKPNGGNILVTEENKEEYIRMVAEWRLSRGVEEQTQAFFEGFNEILPQQYLQYFDAKEL
+EVLLCGMQEIDLNDWQRHAIYRHYARTSKQIMWFWQFVKEIDNEKRMRLLQFVTGTCRLP
+VGGFADLMGSNGPQKFCIEKVGKENWLPRSHTCFNRLDLPPYKSYEQLKEKLLFAIEETE
+GFG
+>P15884_PF00010_569 <unknown description>
+RRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLE
+>Q969R8_PF15907_49 <unknown description>
+KNDDSRPWLTCSCQGMLTCVGVGDVCNKGKNLLVAVSAEGWFHLFDLTPAKVLDASGHHE
+TLIGEEQRPVFKQHIPANTKVMLISDIDGDGCRELVVGYTDRVVRAFRWEELGEGPEHLT
+GQLVSLKKWMLEGQVDSLSVTLGPLGLPELMVSQPGCAYAILLCTWKKDTGSPPASEGPT
+DGSRETPAARDVVLHQTSGRIHNKNVSTHLIGNIKQGHGTESSGSGLFALCTLDGTLKLM
+EEMEEADKLLWSVQVDHQLFALEKLDVTGNGHEEVVACAWDGQTYIIDHNRTVVRFQVDE
+NIRAFCAGLYACKEGRNSPCLVYVTFNQKIYVYWEVQL
+>O95965_PF07974_96 <unknown description>
+CETYDGSTCAGHGKCDCGKCKCDQGWYGDAC
+>O95965_PF07974_185 <unknown description>
+CIDDETEEICGGHGKCYCGNCYCKAGWHGDKC
+>O95965_PF07974_279 <unknown description>
+VYDRYSDDFCSGHGQCNCGRCDCKAGWYGKKC
+>O95965_PF07974_368 <unknown description>
+CEDLDGVVCGGHGTCSCGRCVCERGWFGKLC
+>P19827_PF08487_53 <unknown description>
+IRSLKVNCKVTSRFAHYVVTSQVVNTANEAREVAFDLEIPKTAFISDFAVTADGNAFIGD
+IKDKVTAWKQYRKAAISGENAGLVRASGRTMEQFTIHLTVNPQSKVTFQLTY
+>P19827_PF00092_292 <unknown description>
+NVVFVIDISGSMRGQKVKQTKEALLKILGDMQPGDYFDLVLFGTRVQSWKGSLVQASEAN
+LQAAQDFVRGFSLDEATNLNGGLLRGIEILNQVQESLPELSNHASILIMLTDGDPTEGVT
+DRSQILKNVRNAIRGRFPLYNLGFGHNVDFNFLEVMSMENNGRAQRIYEDHDATQQLQGF
+Y
+>P19827_PF06668_706 <unknown description>
+TGFSVNGQLIGNKARSPGQHDGTYFGRLGIANPATDFQLEVTPQNITLNPGFGGPVFSWR
+DQAVLRQDGVVVTINKKRNLVVSVDDGGTFEVVLHRVWKGSSVHQDFLGFYVLDSHRMSA
+RTHGLLGQFFHPIGFEVSDIHPGSDPTKPDATMVVRNRRLTVTRGLQKDYSKDPWHGAEV
+SCWFIHN
+>P19823_PF08487_72 <unknown description>
+LYSYKVQSTITSRMATTMIQSKVVNNSPQPQNVVFDVQIPKGAFISNFSMTVDGKTFRSS
+IKEKTVGRALYAQARAKGKTAGLVRSSALDMENFRTEVNVLPGAKVQFELHY
+>P19823_PF00092_310 <unknown description>
+NILFVIDVSGSMWGVKMKQTVEAMKTILDDLRAEDHFSVIDFNQNIRTWRNDLISATKTQ
+VADAKRYIEKIQPSGGTNINEALLRAIFILNEANNLGLLDPNSVSLIILVSDGDPTVGEL
+KLSKIQKNVKENIQDNISLFSLGMGFDVDYDFLKRLSNENHGIAQRIYGNQDTSSQLKKF
+YNQ
+>P19823_PF06668_736 <unknown description>
+SGIVVNGQLVGAKKPNNGKLSTYFGKLGFYFQSEDIKIEISTETITLSHGSSTFSLSWSD
+TAQVTNQRVQISVKKEKVVTITLDKEMSFSVLLHRVWKKHPVNVDFLGIYIPPTNKFSPK
+AHGLIGQFMQEPKIHIFNERPGKDPEKPEASMEVKGQKLIITRGLQKDYRTDLVFGTDVT
+CWFVHN
+>Q06033_PF08487_46 <unknown description>
+YSTKINSKVTSRFAHNVVTMRAVNRADTAKEVSFDVELPKTAFITNFTLTIDGVTYPGNV
+KEKEVAKKQYEKAVSQGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTY
+>Q06033_PF00092_284 <unknown description>
+NVAFVIDISGSMAGRKLEQTKEALLRILEDMQEEDYLNFILFSGDVSTWKEHLVQATPEN
+LQEARTFVKSMEDKGMTNINDGLLRGISMLNKAREEHRIPERSTSIVIMLTDGDANVGES
+RPEKIQENVRNAIGGKFPLYNLGFGNNLNYNFLENMALENHGFARRIYEDSDADLQLQGF
+YE
+>Q06033_PF06668_685 <unknown description>
+TGLTVNGQITGDKRGSPDSKTRKTYFGKLGIANAQMDFQVEVTTEKITLWNRAVPSTFSW
+LDTVTVTQDGLSMMINRKNMVVSFGDGVTFVVVLHQVWKKHPVHRDFLGFYVVDSHRMSA
+QTHGLLGQFFQPFDFKVSDIRPGSDPTKPDATLVVKNHQLIVTRGSQKDYRKDASIGTKV
+VCWFVHN
+>Q14624_PF08487_36 <unknown description>
+YSLTVDSRVSSRFAHTVVTSRVVNRANTVQEATFQMELPKKAFITNFSMIIDGMTYPGII
+KEKAEAQAQYSAAVAKGKSAGLVKATGRNMEQFQVSVSVAPNAKITFELVY
+>Q14624_PF00092_274 <unknown description>
+NVVFVIDKSGSMSGRKIQQTREALIKILDDLSPRDQFNLIVFSTEATQWRPSLVPASAEN
+VNKARSFAAGIQALGGTNINDAMLMAVQLLDSSNQEERLPEGSVSLIILLTDGDPTVGET
+NPRSIQNNVREAVSGRYSLFCLGFGFDVSYAFLEKLALDNGGLARRIHEDSDSALQLQDF
+YQ
+>Q14624_PF06668_776 <unknown description>
+EKAGFSWIEVTFKNPLVWVHASPEHVVVTRNRRSSAYKWKETLFSVMPGLKMTMDKTGLL
+LLSDPDKVTIGLLFWDGRGEGLRLLLRDTDRFSSHVGGTLGQFYQEVLWGSPAASDDGRR
+TLRVQGNDHSATRERRLDYQEGPPGVEISCWSV
+>Q6UXX5_PF08487_37 <unknown description>
+MTSYSMRSTVVSRYAHTLVTSVLFNPHAEAHEAIFDLDLPHLAFISNFTMTINNKVYIAE
+VKEKHQAKKIYEEAHQQGKTAAHVGIRDRESEKFRISTSLAAGTEVTFSLAY
+>Q6UXX5_PF00092_283 <unknown description>
+NVVFVIDVSSSMFGTKMEQTKTAMNVILSDLQANDYFNIISFSDTVNVWKAGGSIQATIQ
+NVHSAKDYLHCMEADGWTDVNSALLAAASVLNHSNQEPGRGPSVGRIPLIIFLTDGEPTA
+GVTTPSVILSNVRQALGHRVSLFSLAFGDDADFTLLRRLSLENRGIARRIYEDTDAALQL
+KGLYE
+>Q6UXX5_PF06668_1117 <unknown description>
+AGLHVSGKLLGAPPRPGHKDQTRTYFQIITVTTDKPRAYTITISRSSISLRGEGTLRLSW
+DQPALLKRPQLELYVAAAARLTLRLGPYLEFLVLRHRYRHPSTLQLPHLGFYVANGSGLS
+PSARGLIGQFQHADIRLVTGPMGPCLRRHHGPDVPVILGKRLLKDSPRLLPRWASCWLVK
+>Q08881_PF00169_7 <unknown description>
+LEEQLIKKSQQKRRTSPSNFKVRFFVLTKASLAYFEDRHGKKRTLKGSIELSRIKCVEIV
+KSDISIPCHYKYPFQVVHDNYLLYVFAPDRESRQRWVLALKEE
+>Q08881_PF00779_119 <unknown description>
+KYHPNFWMDGKWRCCSQLEKLATGCAQYD
+>Q08881_PF00018_177 <unknown description>
+IALYDYQTNDPQELALRRNEEYCLLDSSEIHWWRVQDRNGHEGYVPS
+>Q08881_PF00017_239 <unknown description>
+WYNKSISRDKAEKLLLDTGKEGAFMVRDSRTAGTYTVSVFTKAVVSENNPCIKHYHIKET
+NDNPKRYYVAEKYVFDSIPLLINYH
+>Q08881_PF07714_363 <unknown description>
+LTFVQEIGSGQFGLVHLGYWLNKDKVAIKTIREGAMSEEDFIEEAEVMMKLSHPKLVQLY
+GVCLEQAPICLVFEFMEHGCLSDYLRTQRGLFAAETLLGMCLDVCEGMAYLEEACVIHRD
+LAARNCLVGENQVIKVSDFGMTRFVLDDQYTSSTGTKFPVKWASPEVFSFSRYSSKSDVW
+SFGVLMWEVFSEGKIPYENRSNSEVVEDISTGFRLYKPRLASTHVYQIMNHCWKERPEDR
+PAFSRLLRQL
+>O43736_PF04089_136 <unknown description>
+SDPAAIIHDFEKGMTAYLDLLLGNCYLMPLNTSIVMPPKNLVELFGKLASGRYLPQTYVV
+REDLVAVEEIRDVSNLGIFIYQLCNNRKSF
+>Q9Y287_PF04089_140 <unknown description>
+SDPANIVHDFNKKLTAYLDLNLDKCYVIPLNTSIVMPPRNLLELLINIKAGTYLPQSYLI
+HEHMVITDRIENIDHLGFFIYRLCHDKETYK
+>Q9NQX7_PF04089_139 <unknown description>
+GDPADIIHDFQRGLTAYHDISLDKCYVIELNTTIVLPPRNFWELLMNVKRGTYLPQTYII
+QEEMVVTEHVSDKEALGSFIYHLCNGKDTY
+>Q9BY32_PF01725_10 <unknown description>
+IVFVTGNAKKLEEVVQILGDKFPCTLVAQKIDLPEYQGEPDEISIQKCQEAVRQVQGPVL
+VEDTCLCFNALGGLPGPYIKWFLEKLKPEGLHQLLAGFEDKSAYALCTFALSTGDPSQPV
+RLFRGRTSGRIVAPRGCQDFGWDPCFQPDGYEQTYAEMPKAEKNAVSHRFRALLELQE
+>Q6ZRS4_PF14722_114 <unknown description>
+LSRGTSFNSCYSTASVPQSIPEWLEFWEIDPVEILLDLGFGADEPDICMQIPARFLGCGS
+AARGINIRVFLEAQKQRMDIENPNLYGRFRQLEILDHVTNAFSSLLSDVSILPNRAEEKA
+GGESVQRTSVSAAKEHRRRMGKLLR
+>Q6ZRS4_PF14723_846 <unknown description>
+TTLKALQDTTVRELCSCTVHEMEAMKTICQSFREYLEEIEQHLMGQQALFSRDMSEEERE
+EAEQLQTLREALRQQVAELEFQLGDRAQ
+>P28290_PF14722_149 <unknown description>
+QKGRSMNSTGSGKSSGTVSSVSELLELYEEDPEEILYNLGFGRDEPDIASKIPSRFFNSS
+SFAKGIDIKVFLSAQMQRMEVENPNYALTSRFRQIEVLTTVANAFSSLYSQVSGTPLQRI
+GSMSSVTSNKETDPPPPLTRSNTANRLMKTLS
+>P28290_PF14723_864 <unknown description>
+TLSTHSVPNISGATCSAFASPFGCPYSHRHATYPYRVCSVNPPSAIEMQLRRVLHDIRNS
+LQNLSQYPMMRGPDPAAAPYSTQKSSVLPLYENTFQELQVMRRSLNLFRTQMMDLELAML
+RQQTMVYHHMTEEERFEVDQLQGLRNSVRMELQDLELQLEERLLGLEEQL
+>Q13572_PF17927_9 <unknown description>
+RVGYWLSEKKIKKLNFQAFAELCRKRGMEVVQLNLSRPIEEQGPLDVIIHKLTDVILEAD
+QNDSQSLELVHRFQEYIDAHPETIVLDPLPAI
+>Q13572_PF05770_119 <unknown description>
+YMEDDRICSPPFMELTSLCGDDTMRLLEKNGLTFPFICKTRVAHGTNSHEMAIVFNQEGL
+NAIQPPCVVQNFINHNAVLYKVFVVGESYTVVQRPSLKNFSAGTSDRESIFFNSHNVSKP
+ESSSVLTELDKIEGVFERPSDEVIRELSRALRQALGVSLFGIDIIINNQTGQHAVIDINA
+FPGYEGVSEFFTDLLNHIA
+>Q14643_PF08709_5 <unknown description>
+MSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPETGDLNNPPKKFRDCLFKLCPMN
+RYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNVIQLL
+HLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGDKVVL
+NPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDN
+>Q14643_PF02815_233 <unknown description>
+ILKGGDVVRLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPC
+RGGAGYWNSLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMV
+YSLVSVPEGNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEK
+PVMLKIGTSPVKEDKEAFAI
+>Q14643_PF01365_475 <unknown description>
+KLLEDLVYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGP
+MLRLEELGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDT
+ITALLHNNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICK
+AVLNPTNADILIETKL
+>Q14643_PF01365_1204 <unknown description>
+VRKSRKQQQRLLRNMGAHAVVLELLQIPYEKAEDTKMQEIMRLAHEFLQNFCAGNQQNQA
+LLHKHINLFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQ
+TIVKAEGKFIKKCQDMVMAELVNSGEDVL
+>Q14643_PF08454_1971 <unknown description>
+ITIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLLG
+LYINEKNVALINQTLESLTEYCQGPCHENQNCIATHESNGIDIITAL
+>Q14643_PF00520_2363 <unknown description>
+FLLGAFNVCNKIIFLMSFVGNCGTFTRGYRAMVLDVEFLYHLLYLVICAMGLFVHEFFYS
+LLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIVGYLFFKDDFILEVDRL
+PNETAVPETGESLASEFLFSDVCRVESGENCSSPAPREELVPAEETEQDKEHTCETLLMC
+IVTVLSHGLRSGGGVGDVLRKPSKEEPLFAARVIYDLLFFFMVIIIVLNLIFGVIIDTFA
+DLRSEK
+>Q14571_PF08709_5 <unknown description>
+MSSFLYIGDIVSLYAEGSVNGFISTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKVCPMN
+RYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENKKLLGEIVKYSNVIQLL
+HIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNIVVGDKVVL
+MPVNAGQPLHASNIELLDNPGCKEVNAVNCNTSWKITLFMKYS
+>Q14571_PF02815_233 <unknown description>
+VLKGGDVVRLFHAEQEKFLTCDEYEKKQHIFLRTTLRQSATSATSSKALWEIEVVHHDPC
+RGGAGQWNSLFRFKHLATGNYLAAELNPDYRDAQNEGKNVRDGVPPTSKKKRQAGEKIMY
+TLVSVPHGNDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTSIPIDTDEERP
+VMLKIGTCQTKEDKEAFAI
+>Q14571_PF01365_474 <unknown description>
+KLLEDLIFFVADVPNNGQEVLDVVITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEG
+SMLRLEDLGDQRYAPYKYMLRLCYRVLRHSQQDYRKNQEYIAKNFCVMQSQIGYDILAED
+TITALLHNNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNTTAIPVTQELIC
+KFMLSPGNADILIQTKV
+>Q14571_PF01365_1194 <unknown description>
+VQNKKCRNQHQRLLKNMGAHSVVLDLLQIPYEKNDEKMNEVMNLAHTFLQNFCRGNPQNQ
+VLLHKHLNLFLTPGLLEAETMRHIFMNNYHLCNEISERVVQHFVHCIETHGRHVEYLRFL
+QTIVKADGKYVKKCQDMVMTELINGGEDVLI
+>Q14571_PF08454_1915 <unknown description>
+IAIMQPILRFLQLLCENHNRELQNFLRNQNNKTNYNLVCETLQFLDCICGSTTGGLGLLG
+LYINEKNVALVNQNLESLTEYCQGPCHENQTCIATHESNGIDIIIAL
+>Q14571_PF00520_2265 <unknown description>
+VLLWIAVAICTSMLFFFSKPVGIRPFLVSIMLRSIYTIGLGPTLILLGAANLCNKIVFLV
+SFVGNRGTFTRGYRAVILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFDLVYREETLLNV
+IKSVTRNGRSIILTAVLALILVYLFSIIGFLFLKDDFTMEVDRLKNRTPVTGSHQVPTMT
+LTTMMEACAKENCSPTIPASNTADEEYEDGIERTCDTLLMCIVTVLNQGLRNGGGVGDVL
+RRPSKDEPLFAARVVYDLLFYFIVIIIVLNLIFGVIIDTFADLRSEK
+>Q14573_PF08709_4 <unknown description>
+MSSFLHIGDIVSLYAEGSVNGFISTLGLVDDRCVVEPAAGDLDNPPKKFRDCLFKVCPMN
+RYSAQKQYWKAKQTKQDKEKIADVVLLQKLQHAAQMEQKQNDTENKKVHGDVVKYGSVIQ
+LLHMKSNKYLTVNKRLPALLEKNAMRVTLDATGNEGSWLFIQPFWKLRSNGDNVVVGDKV
+ILNPVNAGQPLHASNYELSDNAGCKEVNSVNCNTSWKINLFMQFRD
+>Q14573_PF02815_234 <unknown description>
+VLKGGDVVRLFHAEQEKFLTCDEYKGKLQVFLRTTLRQSATSATSSNALWEVEVVHHDPC
+RGGAGHWNGLYRFKHLATGNYLAAEENPSYKGDASDPKAAGMGAQGRTGRRNAGEKIKYC
+LVAVPHGNDIASLFELDPTTLQKTDSFVPRNSYVRLRHLCTNTWIQSTNVPIDIEEERPI
+RLMLGTCPTKEDKEAFAI
+>Q14573_PF01365_474 <unknown description>
+QLLEDLVFFVSDVPNNGQNVLDIMVTKPNRERQKLMREQNILKQVFGILKAPFREKGGEG
+PLVRLEELSDQKNAPYQHMFRLCYRVLRHSQEDYRKNQEHIAKQFGMMQSQIGYDILAED
+TITALLHNNRKLLEKHITKTEVETFVSLVRKNREPRFLDYLSDLCVSNHIAIPVTQELIC
+KCVLDPKNSDILIRTE
+>Q14573_PF01365_1188 <unknown description>
+QMRKKQQRLLKNMDAHKVMLDLLQIPYDKGDAKMMEILRYTHQFLQKFCAGNPGNQALLH
+KHLHLFLTPGLLEAETMQHIFLNNYQLCSEISEPVLQHFVHLLATHGRHVQYLDFLHTVI
+KAEGKYVKKCQDMIMTELTN
+>Q14573_PF08454_1867 <unknown description>
+VLIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDIMCGSTTGGLGLLG
+LYINEDNVGLVIQTLETLTEYCQGPCHENQTCIVTHESNGIDIITAL
+>Q14573_PF00520_2241 <unknown description>
+LLFWILICFSIAALFTKRYSIRPLIVALILRSIYYLGIGPTLNILGALNLTNKIVFVVSF
+VGNRGTFIRGYKAMVMDMEFLYHVGYILTSVLGLFAHELFYSILLFDLIYREETLFNVIK
+SVTRNGRSILLTALLALILVYLFSIVGFLFLKDDFILEVDRLPNNHSTASPLGMPHGAAA
+FVDTCSGDKMDCVSGLSVPEVLEEDRELDSTERACDTLLMCIVTVMNHGLRNGGGVGDIL
+RKPSKDESLFPARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEK
+>Q15811_PF12763_21 <unknown description>
+ERAKHDQQFHSLKPISGFITGDQARNFFFQSGLPQPVLAQIWALADMNNDGRMDQVEFSI
+AMKLIKLKLQGYQLPSALP
+>Q15811_PF12763_223 <unknown description>
+LKYRQLFNSHDKTMSGHLTGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILA
+MHLIDVAMSGQPLPPVLPPEYIPPS
+>Q15811_PF14604_747 <unknown description>
+ALYPFESRSHDEITIQPGDIVMVKGEWVDESQTGEPGWLGGELKGKTGWFPANYAE
+>Q15811_PF16617_803 <unknown description>
+KIPENEVPAPVKPVTDSTSAPAPKLALRETPAPLAVTSSEPSTTPNNWADFSSTWPTSTN
+EKPETDNWDAWAAQPSLTVPSAGQLRQRSAFTPATATGSSPSPVLGQGEKVEGLQ
+>Q15811_PF00018_919 <unknown description>
+QALYPWRAKKDNHLNFNKNDVITVLEQQDMWWFGEVQGQKGWFPK
+>Q15811_PF07653_1008 <unknown description>
+IAMYTYESSEQGDLTFQQGDVILVTKKDGDWWTGTVGDKAGVFPSNYVR
+>Q15811_PF07653_1079 <unknown description>
+AQVIASYTATGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVK
+>Q15811_PF00018_1163 <unknown description>
+MYDYTAQNDDELAFNKGQIINVLNKEDPDWWKGEVNGQVGLFPS
+>Q15811_PF00621_1242 <unknown description>
+IHELIVTEENYVNDLQLVTEIFQKPLMESELLTEKEVAMIFVNWKELIMCNIKLLKALRV
+RKKMSGEKMPVKMIGDILSAQLPHMQPYIRFCSRQLNGAALIQQKTDEAPDFKEFVKRLA
+MDPRCKGMPLSSFILKPMQRVTRYPLIIKNILENTPENHPDHSHLKHALEKAEELCSQVN
+>Q15811_PF16652_1440 <unknown description>
+VQCEGLSEQLVFNSVTNCLGPRKFLHSGKLYKAKSNKELYGFLFNDFLLLTQITKPLGSS
+GTDKVFSPKSNLQYKMYKTPIFLNEVLVKLPTDPSGDEPIFHISHIDRVYTLRAESINER
+TAWVQKIKAASELYIETEKKKREKAYLVRSQRA
+>Q15811_PF00168_1596 <unknown description>
+GRLMVNVVEGIELKPCRSHGKSNPYCEVTMGSQCHITKTIQDTLNPKWNSNCQFFIRDLE
+QEVLCITVFERDQFSPDDFLGRTEIRVADIKKDQGSK
+>Q9NZM3_PF12763_20 <unknown description>
+SEERTKHDRQFDNLKPSGGYITGDQARNFFLQSGLPAPVLAEIWALSDLNKDGKMDQQEF
+SIAMKLIKLKLQ
+>Q9NZM3_PF12763_247 <unknown description>
+KYRQKFNTLDKSMSGYLSGFQARNALLQSNLSQTQLATIWTLADVDGDGQLKAEEFILAM
+HLTDMAKAGQPLPLTLPPELVPPS
+>Q9NZM3_PF14604_764 <unknown description>
+ALYPFEARNHDEMSFNSGDIIQVDEKTVGEPGWLYGSFQGNFGWFPCNYVE
+>Q9NZM3_PF07653_903 <unknown description>
+AQALCSWTAKKDNHLNFSKHDIITVLEQQENWWFGEVHGGRGWFPKSYVKI
+>Q9NZM3_PF00018_987 <unknown description>
+IALYPYSSVEPGDLTFTEGEEILVTQKDGEWWTGSIGDRSGIFPS
+>Q9NZM3_PF00018_1063 <unknown description>
+AYVASGSEQLSLAPGQLILILKKNTSGWWQGELQARGKKRQKGWFPA
+>Q9NZM3_PF14604_1134 <unknown description>
+AMYDYAANNEDELSFSKGQLINVMNKDDPDWWQGEINGVTGLFPSNYVK
+>Q9NZM3_PF00621_1214 <unknown description>
+IHELIQTEERYMADLQLVVEVFQKRMAESGFLTEGEMALIFVNWKELIMSNTKLLKALRV
+RKKTGGEKMPVQMIGDILAAELSHMQAYIRFCSCQLNGAALLQQKTDEDTDFKEFLKKLA
+SDPRCKGMPLSSFLLKPMQRITRYPLLIRSILENTPESHADHSSLKLALERAEELCSQVN
+>Q9NZM3_PF16652_1412 <unknown description>
+VQCEGLAEQLIFNSLTNCLGPRKLLHSGKLYKTKSNKELHGFLFNDFLLLTYMVKQFAVS
+SGSEKLFSSKSNAQFKMYKTPIFLNEVLVKLPTDPSSDEPVFHISHIDRVYTLRTDNINE
+RTAWVQKIKAASEQYIDTEKKKREKAYQARSQKT
+>Q9NZM3_PF00168_1569 <unknown description>
+GRLMVHVIEATELKACKPNGKSNPYCEISMGSQSYTTRTIQDTLNPKWNFNCQFFIKDLY
+QDVLCLTLFDRDQFSPDDFLGRTEIPVAKIRTEQESKG
+>Q96ST2_PF08711_639 <unknown description>
+KILQELPSVSQETLKHSGIGRAVMYLYKHPKESRSNKDMAGKLINEWSRPI
+>Q6PHW0_PF00881_98 <unknown description>
+NKRRSVRFISNEQVPMEVIDNVIRTAGTAPSGAHTEPWTFVVVKDPDVKHKIRKIIEEEE
+EINYMKRMGHRWVTDLKKLRTNWIKEYLDTAPILILIFKQVHGFAANGKKKVHYYNEISV
+SIACGILLAALQNAGLVTVTTTPLNCGPRLRVLLGRPAHEKLLMLLPVGY
+>Q8IYV9_PF15005_21 <unknown description>
+GCVICDPSVVLALKSLEKDYLPGHLDAKHHKAMMERVENAVKDFQELSLNEDAYMGVVDE
+ATLQKGSWSLLKDLKRITDSDVKGDLFVKELFWMLHLQKETFATYVARFQKEAYCPNKCG
+VMLQTLIWCKNCKKEVHACRKSYDC
+>Q8IYV9_PF16706_168 <unknown description>
+RNVEVPQMEDMILDCELNWHQASEGLTDYSFYRVWGNNTETLVSKGKEATLTKPMVGPED
+AGSYRCELGSVNSSPATIINFHVTVLPK
+>Q6UXV1_PF15005_20 <unknown description>
+GCLQCDPLVLEALGHLRSALIPSRFQLEQLQARAGAVLMGMEGPFFRDYALNVFVGKVET
+NQLDLVASFVKNQTQHLMGNSLKDEPLLEELVTLRANVIKEFKKVLISYELKACNPKLCR
+LLKEEVLDCLHCQRITPKCIHKKYC
+>Q5VZ72_PF15005_20 <unknown description>
+GCLECDPKFIEDVGSLLGNLIPSEVPGRTQLLERQIKEMIHLSFKVSHSDKRLRVLAVQQ
+VVKLRTWLKNEFYKLGNETWKGVFIYQGKLLDVCQNLESKLKELLKNFSEIACSEDCIVV
+EGPILDCWTCLRMTNRCFKGEYC
+>Q1ZYL8_PF15005_17 <unknown description>
+GCLHCHSNFSKKFSFYRHHVNFKSWWVGDIPVSGALLTDWSDDTMKELHLAIPAKITREK
+LDQVATAVYQMMDQLYQGKMYFPGYFPNELRNIFREQVHLIQNAIIESRIDCQHRCGIFQ
+YETISCNNCTDSHVACFGYNC
+>Q6IE81_PF10513_26 <unknown description>
+NSRSQHRRSSCSRHEDRKPSEVFRTDLITAMKLHDSYQLNPDEYYVLADPWRQEWEKGVQ
+VPVSPGTIPQPVARVVSEEKSLMFIRPKKYIVSSGSEPPELGYVDIRTLADSVCRYDLND
+MDAAWLELTNEEFKEMGMPELDEYTMERVLEEFEQ
+>Q6IE81_PF13831_218 <unknown description>
+NEMVFCDKCNICVHQACYGILKVPEGSWLCRTCA
+>Q6IE81_PF13832_257 <unknown description>
+KCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEPITKVSHIPSSRWALVCS
+LCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEVKFKSYCPKH
+>Q92613_PF10513_46 <unknown description>
+KDLISAMKLPDSHHINPDSYYLFADTWKEEWEKGVQVPASPDTVPQPSLRIIAEKVKDVL
+FIRPRKYIHCSSPDTTEPGYINIMELAASVCRYDLDDMDIFWLQELNEDLAEMGCGPVDE
+NLMEKTVEVLER
+>Q92613_PF13831_215 <unknown description>
+NDMVFCDKCNVCVHQACYGILKVPEGSWLCRSCV
+>Q92613_PF13832_254 <unknown description>
+QCVLCPKKGGALKTTKTGTKWAHVSCALWIPEVSIACPERMEPITKISHIPPSRWALVCN
+LCKLKTGACIQCSIKSCITAFHVTCAFEHGLEMKTILDEGDEVKFKSYCLKH
+>P78504_PF07657_32 <unknown description>
+SGQFELEILSMQNVNGELQNGNCCGGARNPGDRKCTRDECDTYFKVCLKEYQSRVTAGGP
+CSFGSGSTPVIGGNTF
+>P78504_PF01414_167 <unknown description>
+WQTLKQNTGVAHFEYQIRVTCDDYYYGFGCNKFCRPRDDFFGHYACDQNGNKTCMEGWMG
+PEC
+>P78504_PF00008_300 <unknown description>
+CGTHQPCLNGGTCSNTGPDKYQCSCPEGYSGPN
+>P78504_PF00008_340 <unknown description>
+CLSDPCHNRGSCKETSLGFECECSPGWTGPT
+>P78504_PF00008_378 <unknown description>
+CSPNNCSHGGTCQDLVNGFKCVCPPQWTGKT
+>P78504_PF07645_412 <unknown description>
+DANECEAKPCVNAKSCKNLIASYYCDCLPGWMGQ
+>P78504_PF00008_456 <unknown description>
+GQCQNDASCRDLVNGYRCICPPGYAGDH
+>P78504_PF00008_491 <unknown description>
+CASNPCLNGGHCQNEINRFQCLCPTGFSGN
+>P78504_PF00008_529 <unknown description>
+CEPNPCQNGAQCYNRASDYFCKCPEDYEGKN
+>P78504_PF00008_633 <unknown description>
+CESNPCRNGGTCIDGVNSYKCICSDGWEGAY
+>P78504_PF00008_671 <unknown description>
+CSQNPCHNGGTCRDLVNDFYCDCKNGWKGKT
+>P78504_PF00008_748 <unknown description>
+CLPNPCHNGGTCVVNGESFTCVCKEGWEGP
+>P78504_PF00008_786 <unknown description>
+CSPHPCYNSGTCVDGDNWYRCECAPGFAGP
+>P78504_PF12661_829 <unknown description>
+CAFGATCVDEINGYRCVCPPG
+>Q9Y219_PF07657_28 <unknown description>
+GYFELQLSALRNVNGELLSGACCDGDGRTTRAGGCGHDECDTYVRVCLKEYQAKVTPTGP
+CSYGHGATPVLGGNSF
+>Q9Y219_PF01414_178 <unknown description>
+WKSLHFSGHVAHLELQIRVRCDENYYSATCNKFCRPRNDFFGHYTCDQYGNKACMDGWMG
+KEC
+>Q9Y219_PF00008_311 <unknown description>
+CGSHHPCTNGGTCINAEPDQYRCTCPDGYSGRN
+>Q9Y219_PF00008_351 <unknown description>
+CTSNPCANGGSCHEVPSGFECHCPSGWSGPT
+>Q9Y219_PF00008_389 <unknown description>
+CASNPCAAGGTCVDQVDGFECICPEQWVGA
+>Q9Y219_PF07645_423 <unknown description>
+DANECEGKPCLNAFSCKNLIGGYYCDCIPGWKGI
+>Q9Y219_PF12661_469 <unknown description>
+CQHGGTCKDLVNGYQCVCPRGF
+>Q9Y219_PF00008_502 <unknown description>
+CASSPCHSGGLCEDLADGFHCHCPQGFSGP
+>Q9Y219_PF12661_606 <unknown description>
+CGPHGRCVSQPGGNFSCICDSGF
+>Q9Y219_PF00008_640 <unknown description>
+CLGQPCRNGGTCIDEVDAFRCFCPSGWEGE
+>Q9Y219_PF00008_678 <unknown description>
+CLPDPCHSRGRCYDLVNDFYCACDDGWKGKT
+>Q9Y219_PF00008_716 <unknown description>
+CDAYTCSNGGTCYDSGDTFRCACPPGWKGST
+>Q9Y219_PF00008_755 <unknown description>
+CLPNPCVNGGTCVGSGASFSCICRDGWEGRT
+>Q9Y219_PF00008_793 <unknown description>
+CNPLPCYNGGICVDGVNWFRCECAPGFAGP
+>Q9Y219_PF00008_831 <unknown description>
+CQSSPCAYGATCVDEINGYRCSCPPGRAGPR
+>Q8N5M9_PF07086_1 <unknown description>
+MASRAGPRAAGTDGSDFQHRERVAMHYQMSVTLKYEIKKLIYVHLVIWLLLVAKMSVGHL
+RLLSHDQVAMPYQWEYPYLLSILPSLLGLLSFPRNNISYLVLSMISMGLFSIAPLIYGSM
+EMFPAAQQLYRHGKAYRFLFGFSAVSIMYLVLVLAVQVHAWQLYYSKKLLDSWFTS
+>P23458_PF18379_38 <unknown description>
+VIFYLSDREPLRLGSGEYTAEELCIRAAQACRISPLCHNLFALYDENTKLWYAPNRTITV
+DDKMSLRLHYRMRFYFTNWHGTNDNEQSVWR
+>P23458_PF18377_147 <unknown description>
+TPLLDASSLEYLFAQGQYDLVKCLAPIRDPKTEQDGHDIENECLGMAVLAISHYAMMKKM
+QLPELPKDISYKRYIPETLNKSIRQRNLLTRMRINNVFKDFLKEFNNKTICDSSVSTHDL
+KVKYLATLETL
+>P23458_PF17887_284 <unknown description>
+EIFETSMLLISSENEMNWFHSNDGGNVLYYEVMVTGNLGIQWRHKPNVVSVEKEKNKLKR
+KKLENKHKKDEEKNKIREEWNNFSYFPEITHIVIKESVVSINKQDNKKMELKLSSHEEAL
+SFVSLVDGYFRLTADAHH
+>P23458_PF07714_584 <unknown description>
+VQGEHLGRGTRTHIYSGTLMDYKDDEGTSEEKKIKVILKVLDPSHRDISLAFFEAASMMR
+QVSHKHIVYLYGVCVRDVENIMVEEFVEGGPLDLFMHRKSDVLTTPWKFKVAKQLASALS
+YLEDKDLVHGNVCTKNLLLAREGIDSECGPFIKLSDPGIPITVLSRQECIERIPWIAPEC
+VEDSKNLSVAADKWSFGTTLWEICYNGEIPLKDKTLIEKERFYESRCRPVTPSCKELADL
+MTRCMNYDPNQRPFFRAIMRD
+>P23458_PF07714_876 <unknown description>
+KRIRDLGEGHFGKVELCRYDPEGDNTGEQVAVKSLKPESGGNHIADLKKEIEILRNLYHE
+NIVKYKGICTEDGGNGIKLIMEFLPSGSLKEYLPKNKNKINLKQQLKYAVQICKGMDYLG
+SRQYVHRDLAARNVLVESEHQVKIGDFGLTKAIETDKEYYTVKDDRDSPVFWYAPECLMQ
+SKFYIASDVWSFGVTLHELLTYCDSDSSPMALFLKMIGPTHGQMTVTRLVNTLKEGKRLP
+CPPNCPDEVYQLMRKCWEFQPSNRTSFQNLIE
+>O60674_PF18379_39 <unknown description>
+LQVYLYHSLGKSEADYLTFPSGEYVAEEICIAASKACGITPVYHNMFALMSETERIWYPP
+NHVFHIDESTRHNVLYRIRFYFPRWYCSGSNRAYRH
+>O60674_PF18377_143 <unknown description>
+PLLDDFVMSYLFAQWRHDFVHGWIKVPVTHETQEECLGMAVLDMMRIAKENDQTPLAIYN
+SISYKTFLPKCIRAKIQDYHILTRKRIRYRFRRFIQQFSQCKATARNLKLKYLINLETL
+>O60674_PF17887_302 <unknown description>
+KHKESETLTEQDLQLYCDFPNIIDVSIKQANQEGSNESRVVTIHKQDGKNLEIELSSLRE
+ALSFVSLIDGYYRLTADAHH
+>O60674_PF00017_401 <unknown description>
+HGPISMDFAISKLKKAGNQTGLYVLRCSPKDFNKYFLTFAVERENVIEYKHCLITKNENE
+EYNLSGTKKNFSSLKDLLNCY
+>O60674_PF07714_546 <unknown description>
+IFNESLGQGTFTKIFKGVRREVGDYGQLHETEVLLKVLDKAHRNYSESFFEAASMMSKLS
+HKHLVLNYGVCVCGDENILVQEFVKFGSLDTYLKKNKNCINILWKLEVAKQLAWAMHFLE
+ENTLIHGNVCAKNILLIREEDRKTGNPPFIKLSDPGISITVLPKDILQERIPWVPPECIE
+NPKNLNLATDKWSFGTTLWEICSGGDKPLSALDSQRKLQFYEDRHQLPAPKWAELANLIN
+NCMDYEPDFRPSFRAIIRDL
+>O60674_PF07714_849 <unknown description>
+LKFLQQLGKGNFGSVEMCRYDPLQDNTGEVVAVKKLQHSTEEHLRDFEREIEILKSLQHD
+NIVKYKGVCYSAGRRNLKLIMEYLPYGSLRDYLQKHKERIDHIKLLQYTSQICKGMEYLG
+TKRYIHRDLATRNILVENENRVKIGDFGLTKVLPQDKEYYKVKEPGESPIFWYAPESLTE
+SKFSVASDVWSFGVVLYELFTYIEKSKSPPAEFMRMIGNDKQGQMIVFHLIELLKNNGRL
+PRPDGCPDEIYMIMTECWNNNVNQRPSFRDLA
+>P52333_PF18379_39 <unknown description>
+QRLSFSFGDHLAEDLCVQAAKASGILPVYHSLFALATEDLSCWFPPSHIFSVEDASTQVL
+LYRIRFYFPNWFGLEK
+>P52333_PF18377_126 <unknown description>
+SAILDLPVLEHLFAQHRSDLVSGRLPVGLSLKEQGECLSLAVLDLARMAREQAQRPGELL
+KTVSYKACLPPSLRDLIQGLSFVTRRRIRRTVRRALRRVAACQADRHSLMAKYIMDLERL
+>P52333_PF17887_287 <unknown description>
+EVLQPFCDFPEIVDISIKQAPRVGPAGEHRLVTVTRTDNQILEAEFPGLPEALSFVALVD
+GYFRLTTDSQH
+>P52333_PF07714_521 <unknown description>
+LEWHENLGHGSFTKIYRGCRHEVVDGEARKTEVLLKVMDAKHKNCMESFLEAASLMSQVS
+YRHLVLLHGVCMAGDSTMVQEFVHLGAIDMYLRKRGHLVPASWKLQVVKQLAYALNYLED
+KGLPHGNVSARKVLLAREGADGSPPFIKLSDPGVSPAVLSLEMLTDRIPWVAPECLREAQ
+TLSLEADKWGFGATVWEVFSGVTMPISALDPAKKLQFYEDRQQLPAPKWTELALLIQQCM
+AYEPVQRPSFRAVIRDL
+>P52333_PF07714_823 <unknown description>
+KYISQLGKGNFGSVELCRYDPLGDNTGALVAVKQLQHSGPDQQRDFQREIQILKALHSDF
+IVKYRGVSYGPGRQSLRLVMEYLPSGCLRDFLQRHRARLDASRLLLYSSQICKGMEYLGS
+RRCVHRDLAARNILVESEAHVKIADFGLAKLLPLDKDYYVVREPGQSPIFWYAPESLSDN
+IFSRQSDVWSFGVVLYELFTYCDKSCSPSAEFLRMMGCERDVPALCRLLELLEEGQRLPA
+PPACPAEVHELMKLCWAPSPQDRPSFSALGPQ
+>Q9Y624_PF07686_35 <unknown description>
+EPEVRIPENNPVKLSCAYSGFSSPRVEWKFDQGDTTRLVCYNNKITASYEDRVTFLPTGI
+TFKSVTREDTGTYTCMVSEEGGNSYGEVKVKLIV
+>Q9Y624_PF13927_134 <unknown description>
+KPTVNIPSSATIGNRAVLTCSEQDGSPPSEYTWFKDGIVMPTNPKSTRAFSNSSYVLNPT
+TGELVFDPLSASDTGEYSCEARN
+>P57087_PF07686_35 <unknown description>
+QQVVTAVEYQEAILACKTPKKTVSSRLEWKKLGRSVSFVYYQQTLQGDFKNRAEMIDFNI
+RIKNVTRSDAGKYRCEVSAPSEQGQNLEEDTVTLEVL
+>P57087_PF13927_137 <unknown description>
+PSCEVPSSALSGTVVELRCQDKEGNPAPEYTWFKDGIRLLENPRLGSQSTNSSYTMNTKT
+GTLQFNTVSKLDTGEYSCEARN
+>Q9BX67_PF07686_38 <unknown description>
+NRTPVVQEFESVELSCIITDSQTSDPRIEWKKIQDEQTTYVFFDNKIQGDLAGRAEILGK
+TSLKIWNVTRRDSALYRCEVVARNDRKEIDEIVIELTV
+>Q9BX67_PF13927_146 <unknown description>
+VPKAVPVGKMATLHCQESEGHPRPHYSWYRNDVPLPTDSRANPRFRNSSFHLNSETGTLV
+FTAVHKDDSGQYYCIASN
+>Q86YT9_PF07686_26 <unknown description>
+SPPELTVHVGDSALMGCVFQSTEDKCIFKIDWTLSPGEHAKDEYVLYYYSNLSVPIGRFQ
+NRVHLMGDILCNDGSLLLQDVQEADQGTYICEIRLKGESQVFKKAVVLHVL
+>Q86YT9_PF07686_139 <unknown description>
+EPKELMVHVGGLIQMGCVFQSTEVKHVTKVEWIFSGRRAKEEIVFRYYHKLRMSVEYSQS
+WGHFQNRVNLVGDIFRNDGSIMLQGVRESDGGNYTCSIHLGNLVFKKTIVLHV
+>Q92833_PF02375_558 <unknown description>
+PVLRPSAKEFHDPLIYIESVRAQVEKFGMCRVIP
+>Q92833_PF01388_629 <unknown description>
+ACIKKHLKSQGITMDELPLIGGCELDLACFFRLINEMGGMQQVTDLKKWNKLADMLRIPR
+TAQDRLAKLQEAYCQYLLSYD
+>Q92833_PF02373_916 <unknown description>
+WLNIGMVFSTSCWSRDQNHLPYIDYLHTGADCIWYCIPAEEENKLEDVVHTLLQANGTPG
+LQMLESNVMISPEVLCKEGIKVHRTVQQSGQFVVCFPGSFVSKVCCGYSVSETVHF
+>Q92833_PF02928_1139 <unknown description>
+CQICQHLCYLSMVVQENENVVFCLECALRHVEKQKSCRGLKLMYRYDEEQI
+>Q9P266_PF15351_1 <unknown description>
+MYSVEDLLISHGYKLSRDPPASREDNPKGRQAARTGTRAGQGLQNGHEDGPAALAHRKTS
+AGKGHVSDSESRRSTPRGHGEPQSTSASRTSEAGFCNQPPSAWSSHPPTGNDQAYRRRGR
+QEARSQKPREHENLEARGMAQAHSLPVHVREGPWEVGGRSEHVMKKPVWEEELRMSGPAK
+WQNVSLESWNQPRKLGRQMSDGDGERLFQDLYPFIQGEHVLNSQNKGKSRSLPRVLSPES
+LSCTEIPIPLNERHSPKMPPYPPTCAPNLDSTRNSEKSGCSAPFPRPKFGRPLKPPSYSS
+HQQSRGGADSSDSQDSQQMDAYVPRHELCLSDPGLEPPVYVPPPSYRSPPQNIPNPYLED
+TVPINVCGGHSQQQSPTEKAGASGQPPSGPPGTGNEYGVSPRLPQGLPAHPRPVTAYDGF
+VQYIPFDDPRLRHFKLAQPQGFCEDIKLDDKSYNSSPVTAQEPAHGGMQPDGAIWNPQSL
+IPPSGDERGLVLADSSPRWLWGQPPGDGENSGLPNQRDRCVARGQWPDVRGSQHGHTGRQ
+VSSPYSQGESTCETQTKLKKFQTGTRTKKSSKKKMNETIFCLVSIPVKSESHLPDRDMDN
+NDLKPSADQKNGSDKSPALQEQSLLSMSSTDLELQALTGSMGGRTEFQKQDLGEPEEDRQ
+TNDLSFIHLTKHRELKHSGSWPGHRYRDQQTQTSFSEEPQSSQLLPGAKLGGPSRAALSP
+KCSDPAASEAQTHTAFPTGDHKQRPSARNLKGHRSLSPSSNSAFSRTSLSVDQAPTPKAG
+RSQPCVDVHGLGAHPGPKREVVKGEPTGPCNSKQLFGQFLLKPVSRRPWDLISQLESFNK
+ELQEEEESSSSSSSSSSSSEESEAEPQQENRAHCRQEDVGFRGNSPEMRVEPQPRMWVPE
+SPVCRSGRGESKSESWSEELQPGHPRAWPPSPGRFRVEEGGGAPFCSADGSTSAEKRHLE
+VSNGMDELAGSPFPVTRMSSRSSDAKPLPASYPAEPREPQESPKITSAFSSVKPSEAVPR
+KFDSGGERGAGLPLSLSNKNRGLSAPDLRSVGLTPGQEQGASELEGSLGEASTIEIPPGE
+SLQARAARILGIEVAVESLLPGIRRAGQNQPAEPDASACTPESPQEELLSRPAPADVPRV
+STDAFYGRRKCGWTKSPLFVGDRDSARRAPQAFEHSDVDGVVTSTDPVPEPEPSPLESKF
+FEQKDVETKPPFRSTLFHFVERTPSVAGSEKRLRSPSKVIESLQEKLASPPRRADPDRLM
+RMKEVSSVSRMRVLSFRNADSQEDAEELKATTRGQAGLPGGLVSPGSGDRAQRLGHSLSV
+SKDSISREEKEHPAAQKEKSMDQDFWCP
+>Q8WYK2_PF00170_70 <unknown description>
+EEEERRKRRREKNKVAAARCRNKKKERTEFLQRESERLELMNAELKTQIEELKQERQQL
+>Q9Y4A0_PF04218_4 <unknown description>
+KRKRVVLTIKDKLDIIKKLEDGGSSKQLAVIYGIGETTVRDIRKNKEKI
+>Q9Y4A0_PF03221_76 <unknown description>
+YEELDRAMLEWFNQQRAKGNPISGPICAKRAEFFFYALGMDGDFNPSAGWLTRFKQRHSI
+R
+>Q9Y4A0_PF03184_207 <unknown description>
+ERVTIMCCANATGLHKLKLCVVGKAKKPRSFKSTDTLNLPVSYFSQKGAWMDLSIFRQWF
+DKIFVPQVREYLRSKGLQEKAVLLLDNSPTHPNENVLRSDDGQIFAKYLPPNVASLIQPS
+DQGVIATMKRNYRAGLLQNNLEEGNDLKSFWKKLTLLDALYEIAMAWNLVKPVTISRAW
+>O75564_PF04218_14 <unknown description>
+KRKRVVLTLKEKIDICTRLEKGESRKALMQEYNVGMSTLYDIRAHKAQLLRFF
+>O75564_PF03221_87 <unknown description>
+EHLDRVLYEWFLGKRSEGVPVSGPMLIEKAKDFYEQMQLTEPCVFSGGWLWRFKARHGIK
+K
+>O75564_PF03184_213 <unknown description>
+KDRLTVLMCANATGSHRLKPLAIGKCSGPRAFKGIQHLPVAYKAQGNAWVDKEIFSDWFH
+HIFVPSVREHFRTIGLPEDSKAVLLLDSSRAHPQEAELVSSNVFTIFLPASVASLVQPME
+QGIRRDFMRNFINPPVPLQGPHARYNMNDAIFSVACAWNAVPSHVFRRAW
+>Q15652_PF02373_2383 <unknown description>
+SSEIPGALWHIYAGKDVDKIREFLQKISKEQGLEVLPEHDPIRDQSWYVNKKLRQRLLEE
+YGVRTCTLIQFLGDAIVLPAGALHQVQNFHSCIQVTEDF
+>Q6NUN7_PF15261_656 <unknown description>
+KLGGLGPDFESIRDKTQKLIQQKEYAKQVKEYNMKTLSILSKPQTEKTQKKSAIPRQKAL
+EYAKTIPKPKPSNLTHQASKEQKNPTYAGKEESLPEISLLEILQNRHEREKQAVAAFKV
+>Q9UQF2_PF14604_495 <unknown description>
+AIFRFVPRHEDELELEVDDPLLVELQAEDYWYEAYNMRTGARGVFPAYY
+>Q9UQF2_PF00640_567 <unknown description>
+FRVKFLGSVQVPYHKGNDVLCAAMQKIATTRRLTVHFNPPSSCVLEISVRGVKIGVKADD
+SQEAKGNKCSHFFQLKNISFCGYHPKNNKYFGFITKHPADHRFACHVFVSEDSTKALAES
+VGRAFQQFYKQFVE
+>Q13387_PF14604_611 <unknown description>
+AVFRFIPRHPDELELDVDDPVLVEAEEDDFWFRGFNMRTGERGVFPAFY
+>Q13387_PF00640_683 <unknown description>
+FDVQFLGSVEVPCHQGNGILCAAMQKIATARKLTVHLRPPASCDLEISLRGVKLSLSGGG
+PEFQRCSHFFQMKNISFCGCHPRNSCYFGFITKHPLLSRFACHVFVSQESMRPVAQSVGR
+AFLEYYQEHLA
+>O60271_PF09744_24 <unknown description>
+VSGLAGSIYREFERLIGRYDEEVVKELMPLVVAVLENLDSVFAQDQEHQVELELLRDDNE
+QLITQYEREKALRKHAEEKFIEFEDSQEQEKKDLQTRVESLESQTRQLELKAKNYADQIS
+RLEEREAELKKEYNALHQRHTEMIHNYMEHLERTK
+>O60271_PF16471_405 <unknown description>
+GMGREVENLILENTQLLETKNALNIVKNDLIAKVDELTCEKDVLQGELEAVKQAKLKLEE
+KNRELEEELR
+>O60271_PF19056_958 <unknown description>
+VREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVAL
+ADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMK
+IEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLG
+TGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLTE
+>Q9P055_PF05571_9 <unknown description>
+CLGLYCGKTLLFKNGSTEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLYLGFMAMLP
+LVLHWFFIEWYSGKKSSSALFQHITALFECSMAAIITLLVSDPVGVLYIRSCRVLMLSDW
+YTMLYNPSPDYVTTVHCTHEAVYPLYTIVFIYYAFCLVLMMLLRPLLVKKIACGLGKSDR
+FKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIENCYDLLVRK
+KRLIVLFSHWLLHAYGIISISRVDKLEQDLPLLALVPTPALFYLFTAKFTEP
+>Q96N16_PF16034_415 <unknown description>
+ERERLLRSKRHRGKSLKPPKKHVVETFFGFDEESVDSETLSETSYNTDRTDRTPATPEED
+LDDATAREEADLRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQA
+KIEDLEKLLVEKGQDSKWVEEKQLLIRTNQDLLEKIYRLEMEENQLKNEMQDAKDQNELL
+EFRVLELEERERRSPAFN
+>Q96AA8_PF16034_409 <unknown description>
+DREKLIRRRKHRRSSKPIKRPVLDPFIGYDEDSMDSETSSMASFRTDRTPATPDDDLDES
+LAAEESELRFRQLTKEYQALQRAYALLQEQTGGIIDAEREAKAQEQLQAEVLRYKAKIED
+LEATLAQKGQDSHWVEDKQLFIKRNQELLEKIEKQEAENHRLQQELQDARDQNELLEFRN
+LELEERERRSPPFN
+>Q6NYC1_PF02373_174 <unknown description>
+WFVMGPPRSGTGIHIDPLGTSAWNALVQGHKRWCLFPTSTPRELIKVTRDEGGNQQDEAI
+TWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNF
+>P0C870_PF13621_40 <unknown description>
+HFYRDWVCPNRPCIIRNALQHWPALQKWSLPYFRATVGSTEVSVAVTPDGYADAVRGDRF
+MMPAERRLPLSFVLDVLEGRAQHPGVLYVQKQCSNLPSELPQLLPDLESHVPWASEALGK
+MPDAVNFWLGEAAAVTSLHKDHYENLYCVVSGEKHFLFHPPSDRPFIPYELYTPATYQLT
+EEGTFKVVDEEAMEKVPWIPLDPLAPDLARYPSYSQAQALRCTVRAGEMLYLPALWFHHV
+QQSQGCIAVNFWYDMEYD
+>Q96S16_PF13621_183 <unknown description>
+PGYSEVIYGRKRWFLYPPEKTPEFHPNKTTLAWLRDTYPALPPSARPLECTIRAGEVLYF
+PDRWWHA
+>Q8N9B5_PF15920_6 <unknown description>
+EETLESDWVAVRPHVFDEREKHKFVFIVAWNEIEGKFAITCHNRTAQRQR
+>Q8N9B5_PF15871_229 <unknown description>
+WAGLFSFQDLRAVHQQLCSVNSQLEPCLPVFPEEPSGMWTVLFGGAPEMTEQEIDTLCYQ
+LQVYLGHGLDTCGWKILSQVLFTETDDPEEYYESLSELRQKGYEEVLQRARKRIQELLDK
+HKNTESMVELLDLYQMEDEAYSSLAEATTELYQYLLQPFRDMRELAMLRRQQIKISMEND
+YLGPRRIESLQKEDADWQRKAHMAVLSIQDLTVKYFEITAKAQKAVYDRMRADQKKFGKA
+SWAAAAERMEKLQYAVSKETLQMMRAKEICLEQRKHALKEEMQSLRGGTEAIARLDQLEA
+DYYDLQLQLYEVQFEILKCEELLLTAQLESIKRLISEKRDEVVYYDTYESMEAM
+>Q15040_PF02099_31 <unknown description>
+QRRELCALHALNNVFQDSNAFTRDTLQEIFQRLSPNTMVTPHKKSMLGNGNYDVNVIMAA
+LQTKGYEAVWWDKRRDVGVIALTNVMGFIMNLPSSLCWGPLKLPLKRQHWICVREVGGAY
+YNLDSKLKMPEWIGGESELRKFLKH
+>Q8TAC2_PF02099_19 <unknown description>
+QRLELCAVHALNNVLQQQLFSQEAADEICKRLAPDSRLNPHRSLLGTGNYDVNVIMAALQ
+GLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLPLRRRHWVALRQVDGVYYN
+LDSKLRAPEALGDEDGVRAFLAAALAQGL
+>Q9HDC5_PF02493_14 <unknown description>
+YCGGWEEGKAHGHGICTGPKG
+>Q9HDC5_PF02493_38 <unknown description>
+YSGSWSHGFEVVGGYTWPSGNT
+>Q9HDC5_PF02493_60 <unknown description>
+YQGYWAQGKRHGLGVET
+>Q9HDC5_PF02493_82 <unknown description>
+YRGEWSHGFKGRYGVRQ
+>Q9HDC5_PF02493_106 <unknown description>
+YEGTWSNGLQDGYGVETYGDGGT
+>Q9HDC5_PF02493_129 <unknown description>
+YQGQWAGGMRHGYGVRQS
+>Q9HDC5_PF02493_281 <unknown description>
+YMGEWKNDKRNGFGVSERSNGM
+>Q9HDC5_PF02493_304 <unknown description>
+YEGEWANNKRHGYGCTVFPDGS
+>Q9BR39_PF02493_14 <unknown description>
+YCGGWEGGKAHGHGLCTGPKG
+>Q9BR39_PF02493_38 <unknown description>
+YSGSWNFGFEVAGVYTWPSGNT
+>Q9BR39_PF02493_60 <unknown description>
+FEGYWSQGKRHGLGIET
+>Q9BR39_PF02493_82 <unknown description>
+YKGEWTHGFKGRYGIRQSSS
+>Q9BR39_PF02493_106 <unknown description>
+YEGTWNNGLQDGYGTETYADGGT
+>Q9BR39_PF02493_129 <unknown description>
+YQGQFTNGMRHGYGVRQS
+>Q9BR39_PF02493_291 <unknown description>
+YMGEWKNDKRSGFGVSERSSGL
+>Q9BR39_PF02493_314 <unknown description>
+YEGEWLDNLRHGYGCTTLPDGHR
+>Q8WXH2_PF02493_15 <unknown description>
+YCGGWEDGKAHGHGVCTGPKG
+>Q8WXH2_PF02493_39 <unknown description>
+YTGSWSHGFEVLGVYTWPSGNT
+>Q8WXH2_PF02493_61 <unknown description>
+YQGTWAQGKRHGIGL
+>Q8WXH2_PF02493_83 <unknown description>
+YKGEWTHGFKGRYGVRECA
+>Q8WXH2_PF02493_107 <unknown description>
+YEGTWSNGLQDGYGTETYSDGGT
+>Q8WXH2_PF02493_130 <unknown description>
+YQGQWVGGMRQGYGVRQS
+>Q8WXH2_PF02493_288 <unknown description>
+YVGEWKNDKRSGFGVSQRSDG
+>Q8WXH2_PF02493_311 <unknown description>
+YEGEWASNRRHGYGCMTFPDGT
+>Q96JJ6_PF02493_15 <unknown description>
+YVGGWEAGRAHGYGVCTGP
+>Q96JJ6_PF02493_39 <unknown description>
+YSGCWAHGFESLGVFTGPGGH
+>Q96JJ6_PF02493_61 <unknown description>
+YQGHWQQGKREGLGVER
+>Q96JJ6_PF02493_83 <unknown description>
+YRGEWLGGLKGRSGVWESVSGL
+>Q96JJ6_PF02493_106 <unknown description>
+YAGLWKDGFQDGYGTETYSDGGT
+>Q96JJ6_PF02493_129 <unknown description>
+YQGQWQAGKRHGYGVRQS
+>Q96JJ6_PF02493_282 <unknown description>
+YAGEWRADRRSGFGVSQRSNGLR
+>Q96JJ6_PF02493_305 <unknown description>
+YEGEWLGNRRHGYGRTTRPDGSR
+>Q96MG2_PF15312_115 <unknown description>
+EELPWGDLSLNKCLVLASLVALLGSAFQLCRDAVPGEAALQARVPEPWVPPSSAPREPSS
+PLP
+>O76095_PF05439_39 <unknown description>
+LSASTSNLPCWLVEEFVVAEECSPCSNFRAKTTPECGPTGYVEKITCSSSKRNEFKSCRS
+ALMEQRLFWKFEGAVVCVALIFACLVIIRQRQLDRKALEKVRKQI
+>P17275_PF03957_6 <unknown description>
+EQPFYHDDSYTATGYGRAPGGLSLHDYKLLKPSLAVNLADPYRSLKAPGARGPGPEGGGG
+GSYFSGQGSDTGASLKLASSELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGGAGGAG
+GGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGATGGPPAGPGGVYAGPEPPPVYTNL
+SSYSPASASSGGAGAAVGTGSSYPTTTISYLPHAPPFAGGHPAQLGLGRGASTFKEEPQT
+VPEARSRDATP
+>P17275_PF00170_266 <unknown description>
+QERIKVERKRLRNRLAATKCRKRKLERIARLEDKVKTLKAENAGLSSTAGLLREQVAQLK
+QKVM
+>P17535_PF03957_2 <unknown description>
+ETPFYGDEALSGLGGGASGSGGSFASPGRLFPGAPPTAAAGSMMKKDALTLSLSEQVAAA
+LKPAAAPPPTPLRADGAPSAAPPDGLLASPDLGLLKLASPELERLIIQSNGLVTTTPTSS
+QFLYPKVAASEEQEFAEGFVKALEDLHKQNQLGAGAAAAAAAAAAGGPSGTATGSAPPGE
+LAPAAAAPEAPVYANLSSYAGGAGGAGGAATVAFAAEPVPFPPPPPPGALGPPRLAALKD
+EPQTVPDVPSFGESP
+>P17535_PF00170_266 <unknown description>
+QERIKAERKRLRNRIAASKCRKRKLERISRLEEKVKTLKSQNTELASTASLLREQVAQLK
+QKV
+>A6ND01_PF03024_26 <unknown description>
+ICMNAKHHKRVPSPEDKLYEECIPWKDNACCTLTTSWEAHLDVSPLYNFSLFHCGLLMPG
+CRKHFIQAICFYECSPNLGPWIQPVGSLGWEVAPSGQGERVVNVPLCQEDCEEWWEDCRM
+SYTCKSNWRGGWDWSQGKNRCPKGAQCLPFSHYFPTPADLCEKTWSNSFKASPERRNSGR
+CLQ
+>P05412_PF03957_6 <unknown description>
+ETTFYDDALNASFLPSESGPYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDLLTSPD
+VGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHSQN
+TLPSVTSAAQPVNGAGMVAPAVASVAGGSGSGGFSASLHSEPPVYANLSNFNPGALSSGG
+GAPSYGAAGLAFPAQPQQQQQPPHHLPQQMPVQHPRLQALKEEPQTVPEMPGETP
+>P05412_PF00170_250 <unknown description>
+QERIKAERKRMRNRIAASKCRKRKLERIARLEEKVKTLKAQNSELASTANMLREQVAQLK
+QKVM
+>Q9UK76_PF17054_1 <unknown description>
+MTTTTTFKGVDPNSRNSSRVLRPPGGGSNFSLGFDEPTEQPVRKNKMASNIFGTPEENQ
+>Q9H910_PF17054_10 <unknown description>
+GRAGSRAMKPPGGESSNLFGSPEEATPSSRPNRMASNIFG
+>Q92628_PF15376_23 <unknown description>
+GPVSVSEMSLLHALGPVQTWLGQELEKCGIDAMIYTRYVLSLLLHDSYDYDLQEQENDIF
+LGWEKGAYKKWGKSKKKCSDLTLEEMKKQAAVQCLRSASDESSGIETLVEELCSRLKDLQ
+SKQEEKIHKKLEGSPSPEAELSPPAKDQVEMYYEAFPPLSEKPVCLQEIMTVWNKSKVCS
+YSSSSSSSTAPPASTDTSSPKDCNSESEVTKERSSEVPTTVHEKTQSKSKNEKENKFSNG
+TIEEKPALYKKQIRHKPEGKIRPRSWSSGSSEAGSSSSGNQGELKASMKYVKVRHKAREI
+RNKKGRNGQSRLSLKHGEKAERNIHTGSSSSSSSGSVKQLCKRGKRPLKEIGRKDPGSTE
+GKDLYMENRKDTEYKEEPLWYTEPIAEYFVPLSRKSKLETTYRNRQDTSDLTSEAVEELS
+ESVHGLCISNNNLHKTYLAAGTFIDGHFVEMPAVINEDIDLTGTSLCSLPEDNKYLDDIH
+LSELTHFYEVDIDQSMLDPGASETMQGESRILNMIRQKSKENTDFEAECCIVLDGMELQG
+ERAIWTDSTSSVGAEGLFLQDLGNLAQFWECCSSSSGDADGESFGGDSPVRLSPILDSTV
+LNSHLLAGNQELFSDINEGSGINSCFSVFEVQCSNSVLPFSFETLNLGNENTDSSANMLG
+KTQSRLLIWTKNSAFEENEHCSNLSTRTCSPWSHSEETRSDNETLNIQFEESTQFNAEDI
+NYVVPRVSSNYVDEELLDFLQDETCQQNSRTLGEIPTLVFKKTSKLESVCGIQLEQKTEN
+KNFETTQVCNESPHGDGYSSGVIKDIWTKMADTNSVATVEIERTDAELFSADVNNYCCCL
+DAEAELETLQEPDKAVRRSEYHLWEGQKESLEKRAFASSELSNVDGGDYTTPSKPWDVAQ
+DKENTFILGGVYGELKTFNSDGEWAVVPPSHTKGSLLQCAASDVVTIAGTDVFMTPGNSF
+APGHRQLWKPFVSFEQNDQPKSGENGLNKGFSFIFHEDLLGACGNFQVEDPGLEYSFSSF
+DLSNPFSQVLHVECSFEPEGIASFSPSFKPKSILCSDSDSEVFHPRICGVDRTQYRAIRI
+SPRTHFRPISASELSPGGGSESEFESEKDEANIPIPSQVDIFEDPQADLKPLEEDAEKEG
+HYYGKSELESGKFLPRLKKSGMEKSAQTSLDSQEESTGILSVGKQNQCLECSMNESLEID
+LESSEANCKIMAQCEEEINNFCGCKAGCQFPAYEDNPVSSGQLEEFPVLNTDIQGMNRSQ
+EKQTWWEKALYSPLFPASEC
+>Q5VV43_PF02010_489 <unknown description>
+LRLSNLDPGNYSFRLTVTDSDGATNSTTAALIVNNAVDYPPVANAGPNHTITLPQNSITL
+NGNQSSDDHQIVLYEWSLGPGSEGKHVVMQGVQTPYLHLSAMQEGDYTFQLKVTDSSRQQ
+STAVVTVIVQPENNRPPVAVAGPDKELIFPVESATLDGSSSSDDHGIVFYHWEHVRGP
+>Q6ZU52_PF14818_1 <unknown description>
+MDLHKQWENTETNWHKEKMELLDQFDNERKEWESQWKIMQKKIEELCREVKLWRKININE
+SAKIIDLYHEKTIPEKVIESSPNYPDLGQSEFIRTNHKDGLRKENKREQSLVSGGNQMCK
+EQKATKKSKVGFLDPLATDN
+>Q6ICG6_PF09741_30 <unknown description>
+VFWTWMFSTYFMEKWAPRQDDMLFYVRRKLAYSGSESGADGRKAAEPEVEVEVYRRDSKK
+LPGLGDPDIDWEESVCLNLILQKLDYMVTCAVCTRADGGDIHIHKKKSQQVFASPSKHPM
+DSKGEESKISYPNIFFMIDSFEEVFSDMTVGEGEMVCVELVASDKTNTFQGVIFQGSIRY
+EALKKVYDNRVSVAARMAQKMSFGFYKYSNMEFVRMKGPQGKGHAEMAVSRV
+>Q96AT1_PF15377_5 <unknown description>
+NQVSYVRPAEPAFLARFKERVGYREGPTVETKRIQPQPPDEDGDHSDKEDEQPQVVVLKK
+GDLSVEEVMKIKAEIKAAKADEEPTPADGRIIYRKPVKHPSDEKYSGLTASSKKKKPNED
+EVNQDSVKKNSQKQIKNSSLLSFDNED
+>Q86T90_PF15369_93 <unknown description>
+DLCLEDKRRIANLIKELARVSEEKEVTEERLKAEQESFEKKIRQLEEQNELIIKEREALQ
+LQYRECQELLSLYQKYLSEQQEKLTMSLSELGAARMQEQQVSSRKSTLQCSSVELDGSYL
+SIARPQTYYQTKQRPKSAVQDSASESLIAFRNNSLKPVTLHHPKDDLDKIPSETTTCNCE
+SPGRKPAVPTEKMPQEELHMKECPHLKPTPSQCCGHRLAADRVHDSHPTNMTPQHPKTHP
+ESCSYCRLSWASLVHGGGALQPIETLKKQISEDRKQQLMLQKMELEIEKERLQHLLAQQE
+TKLLLKQQQLHQSRLDYN
+>Q9HCM3_PF12877_1038 <unknown description>
+LVPESRFQVQTVLQFVPPSVDTGFCNFTQRIEKGLMTALFEVRKHHQGTYNLTVQILNIT
+ISSSRVTPRRGPVNIIFAVKSTQGFLNGSEVSELLRNLSVVEFSFYLGYPVLQIAEPFQY
+PQLNLSQLLKSSWVRTVLLGVMEKQLQNEVFQAEMERKLAQLLSEVSTRRRMWRRATVAA
+GNSVVQVVNVSRLEGDDNPVQLIYFVEDQDGERLSAVKSSDLINKMDLQRAAIILGYRIQ
+GVIAQPVDRVKRPSPESQSNNLWVIVGVVIPVLVVMVIVVILYWKLCRTDKLDFQPDTVA
+NIQQRQKLQIPSVKGFDFAKQHLGQHNKDDILIIHEPAPLPGPLKDHTTPSENGDVPSPK
+SKIPSKNVRHRGRVSPSDADSTVSEESSERDAGDKTPGAVNDGRSHRAPQSGPPLPSSGN
+EQHSSASIFEHVDRISRPPEASRRVPSKIQLIAMQPIPAPPVQRPSPADRVAESNKINKE
+IQTALRHKSEIEHHRNKIRLRAKRRGHYEFPVVDDLSSGDTKERHRVYRRAQMQIDKILD
+PTASVPSVFIEPRKSSRIKRSPKPRRKHQVNGCPADAEKDRLITTDSDGTYRRPPGVHNS
+AYIGCPSDPDLPADVQTPSSVELGRYPALPFPASQYIPPQPSIEEARQTMHSLLDDAFAL
+VAPSS
+>Q5VZ46_PF15737_354 <unknown description>
+RTVGPNPEPVLSPRHEEATHLLQRARMKARTRPLRASHDIVPTITQGSRDGHRSPARDPR
+TTPACRDSLQNGHTSDSSSGESSGGHRPRRGPSPSHVRFEDESAREAEFRHLERLQQRQR
+QVLST
+>Q9BY89_PF15327_1628 <unknown description>
+DFSFIDQTSVLDSSALKTRVQLSKRSRRRAPISHSLRRSRFSESESRSPLEDETDNTWMF
+KDSTEEKSPRKEESDEEETASKAERTPVSHPQRMPAFPGMDPAVLKAQLHKRPEVDSPGE
+TPSWAPQPKSPKSPFQPGVLGSRVLPSSMDKDERSDEPSPQWLKELKSKK
+>Q8N8K9_PF12012_533 <unknown description>
+FSLSDEEEMWQAGCLGDDSPITLLSTVVKYNSQYLNMRTLQEHADLMYGDIELLKDPQNQ
+PYFARTDSVKRESRSGSTRVCHGKIYHEHSRGHKQCPYCLLYKYMYIHRPPTQMEAKSPF
+YLTARKEATDMGSVWYEEQRMGLRSLRGIVPNL
+>P13645_PF00038_145 <unknown description>
+NEKVTMQNLNDRLASYLDKVRALEESNYELEGKIKEWYEKHGNSHQGEPRDYSKYYKTID
+DLKNQILNLTTDNANILLQIDNARLAADDFRLKYENEVALRQSVEADINGLRRVLDELTL
+TKADLEMQIESLTEELAYLKKNHEEEMKDLRNVSTGDVNVEMNAAPGVDLTQLLNNMRSQ
+YEQLAEQNRKDAEAWFNEKSKELTTEIDNNIEQISSYKSEITELRRNVQALEIELQSQLA
+LKQSLEASLAETEGRYCVQLSQIQAQISALEEQLQQIRAETECQNTEYQQLLDIKIRLEN
+EIQTYRSLLEGEG
+>Q99456_PF00038_124 <unknown description>
+SEKETMQNLNDRLASYLDKVRALEEANTELENKIREWYETRGTGTADASQSDYSKYYPLI
+EDLRNKIISASIGNAQLLLQIDNARLAAEDFRMKYENELALRQGVEADINGLRRVLDELT
+LTRTDLEMQIESLNEELAYMKKNHEDELQSFRVGGPGEVSVEMDAAPGVDLTRLLNDMRA
+QYETIAEQNRKDAEAWFIEKSGELRKEISTNTEQLQSSKSEVTDLRRAFQNLEIELQSQL
+AMKKSLEDSLAEAEGDYCAQLSQVQQLISNLEAQLLQVRADAERQNVDHQRLLNVKARLE
+LEIETYRRLLDGEA
+>P13646_PF00038_103 <unknown description>
+NEKITMQNLNDRLASYLEKVRALEEANADLEVKIRDWHLKQSPASPERDYSPYYKTIEEL
+RDKILTATIENNRVILEIDNARLAADDFRLKYENELALRQSVEADINGLRRVLDELTLSK
+TDLEMQIESLNEELAYMKKNHEEEMKEFSNQVVGQVNVEMDATPGIDLTRVLAEMREQYE
+AMAERNRRDAEEWFHTKSAELNKEVSTNTAMIQTSKTEITELRRTLQGLEIELQSQLSMK
+AGLENTVAETECRYALQLQQIQGLISSIEAQLSELRSEMECQNQEYKMLLDIKTRLEQEI
+ATYRSLLEGQDA
+>P02533_PF00038_114 <unknown description>
+SEKVTMQNLNDRLASYLDKVRALEEANADLEVKIRDWYQRQRPAEIKDYSPYFKTIEDLR
+NKILTATVDNANVLLQIDNARLAADDFRTKYETELNLRMSVEADINGLRRVLDELTLARA
+DLEMQIESLKEELAYLKKNHEEEMNALRGQVGGDVNVEMDAAPGVDLSRILNEMRDQYEK
+MAEKNRKDAEEWFFTKTEELNREVATNSELVQSGKSEISELRRTMQNLEIELQSQLSMKA
+SLENSLEETKGRYCMQLAQIQEMIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIA
+TYRRLLEGEDA
+>P19012_PF00038_104 <unknown description>
+NEKITMQNLNDRLASYLDKVRALEEANADLEVKIHDWYQKQTPTSPECDYSQYFKTIEEL
+RDKIMATTIDNSRVILEIDNARLAADDFRLKYENELALRQGVEADINGLRRVLDELTLAR
+TDLEMQIEGLNEELAYLKKNHEEEMKEFSSQLAGQVNVEMDAAPGVDLTRVLAEMREQYE
+AMAEKNRRDVEAWFFSKTEELNKEVASNTEMIQTSKTEITDLRRTMQELEIELQSQLSMK
+AGLENSLAETECRYATQLQQIQGLIGGLEAQLSELRCEMEAQNQEYKMLLDIKTRLEQEI
+ATYRSLLEGQDA
+>P08779_PF00038_116 <unknown description>
+SEKVTMQNLNDRLASYLDKVRALEEANADLEVKIRDWYQRQRPSEIKDYSPYFKTIEDLR
+NKIIAATIENAQPILQIDNARLAADDFRTKYEHELALRQTVEADVNGLRRVLDELTLART
+DLEMQIEGLKEELAYLRKNHEEEMLALRGQTGGDVNVEMDAAPGVDLSRILNEMRDQYEQ
+MAEKNRRDAETWFLSKTEELNKEVASNSELVQSSRSEVTELRRVLQGLEIELQSQLSMKA
+SLENSLEETKGRYCMQLSQIQGLIGSVEEQLAQLRCEMEQQSQEYQILLDVKTRLEQEIA
+TYRRLLEGEDA
+>Q04695_PF00038_84 <unknown description>
+EKATMQNLNDRLASYLDKVRALEEANTELEVKIRDWYQRQAPGPARDYSQYYRTIEELQN
+KILTATVDNANILLQIDNARLAADDFRTKFETEQALRLSVEADINGLRRVLDELTLARAD
+LEMQIENLKEELAYLKKNHEEEMNALRGQVGGEINVEMDAAPGVDLSRILNEMRDQYEKM
+AEKNRKDAEDWFFSKTEELNREVATNSELVQSGKSEISELRRTMQALEIELQSQLSMKAS
+LEGNLAETENRYCVQLSQIQGLIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIAT
+YRRLLEGEDA
+>P05783_PF00038_79 <unknown description>
+NEKETMQSLNDRLASYLDRVRSLETENRRLESKIREHLEKKGPQVRDWSHYFKIIEDLRA
+QIFANTVDNARIVLQIDNARLAADDFRVKYETELAMRQSVENDIHGLRKVIDDTNITRLQ
+LETEIEALKEELLFMKKNHEEEVKGLQAQIASSGLTVEVDAPKSQDLAKIMADIRAQYDE
+LARKNREELDKYWSQQIEESTTVVTTQSAEVGAAETTLTELRRTVQSLEIDLDSMRNLKA
+SLENSLREVEARYALQMEQLNGILLHLESELAQTRAEGQRQAQEYEALLNIKVKLEAEIA
+TYRRLLEDGED
+>P08727_PF00038_79 <unknown description>
+NEKLTMQNLNDRLASYLDKVRALEAANGELEVKIRDWYQKQGPGPSRDYSHYYTTIQDLR
+DKILGATIENSRIVLQIDNARLAADDFRTKFETEQALRMSVEADINGLRRVLDELTLART
+DLEMQIEGLKEELAYLKKNHEEEISTLRGQVGGQVSVEVDSAPGTDLAKILSDMRSQYEV
+MAEQNRKDAEAWFTSRTEELNREVAGHTEQLQMSRSEVTDLRRTLQGLEIELQSQLSMKA
+ALEDTLAETEARFGAQLAHIQALISGIEAQLGDVRADSERQNQEYQRLMDIKSRLEQEIA
+TYRSLLEGQED
+>P35900_PF00038_69 <unknown description>
+NEKMAMQNLNDRLASYLEKVRTLEQSNSKLEVQIKQWYETNAPRAGRDYSAYYRQIEELR
+SQIKDAQLQNARCVLQIDNAKLAAEDFRLKYETERGIRLTVEADLQGLNKVFDDLTLHKT
+DLEIQIEELNKDLALLKKEHQEEVDGLHKHLGNTVNVEVDAAPGLNLGVIMNEMRQKYEV
+MAQKNLQEAKEQFERQTAVLQQQVTVNTEELKGTEVQLTELRRTSQSLEIELQSHLSMKE
+SLEHTLEETKARYSSQLANLQSLLSSLEAQLMQIRSNMERQNNEYHILLDIKTRLEQEIA
+TYRRLLEGEDV
+>Q9C075_PF00038_71 <unknown description>
+NGKATMQNLNDRLASYLEKVRALEEANMKLESRILKWHQQRDPGSKKDYSQYEENITHLQ
+EQIVDGKMTNAQIILLIDNARMAVDDFNLKYENEHSFKKDLEIEVEGLRRTLDNLTIVTT
+DLEQEVEGMRKELILMKKHHEQEMEKHHVPSDFNVNVKVDTGPREDLIKVLEDMRQEYEL
+IIKKKHRDLDTWYKEQSAAMSQEAASPATVQSRQGDIHELKRTFQALEIDLQTQYSTKSA
+LENMLSETQSRYSCKLQDMQEIISHYEEELTQLRHELERQNNEYQVLLGIKTHLEKEITT
+YRRLLEGES
+>Q2M2I5_PF00038_140 <unknown description>
+EKQTMQNLNDRLANYLDKVRALEEANTDLENKIKEWYDKYGPGSGDGGSGRDYSKYYSII
+EDLRNQIIAATVENAGIILHIDNARLAADDFRLKYENELCLRQSVEADINGLRKVLDDLT
+MTRSDLEMQIESFTEELAYLRKNHEEEMKNMQGSSGGEVTVEMNAAPGTDLTKLLNDMRA
+QYEELAEQNRREAEERFNKQSASLQAQISTDAGAATSAKNEITELKRTLQALEIELQSQL
+AMKSSLEGTLADTEAGYVAQLSEIQTQISALEEEICQIWGETKCQNAEYKQLLDIKTRLE
+VEIETYRRLLDGE
+>Q7Z3Z0_PF00038_78 <unknown description>
+NEKVTMQNLNDRLASYLDSVHALEEANADLEQKIKGWYEKFGPGSCRGLDHDYSRYFPII
+DDLKNQIIASTTSNANAVLQIDNARLTADDFRLKYENELALHQSVEADVNGLRRVLDEIT
+LCRTDLEIQYETLSEEMTYLKKNHKEEMQVLQCAAGGNVNVEMNAAPGVDLTVLLNNMRA
+EYEALAEQNRRDAEAWFNEKSASLQQQISEDVGATTSARNELTEMKRTLQTLEIELQSLL
+ATKHSLECSLTETESNYCAQLAQIQAQIGALEEQLHQVRTETEGQKLEYEQLLDIKLHLE
+KEIETYCLLIGGDD
+>Q7Z3Y9_PF00038_82 <unknown description>
+NEKVTMQNLNDRLASYLDHVHALEEANADLEQKIKGWYEKCEPGSSREHDHDYSRYFSVI
+EDLKRQIISATICNASIVLQNDNARLTADDFRLKYENELALHHSVEADTSGLRRVLDELT
+LCTTDLEIQCETLSEELTYLKKSHEEEMEVLQYTAGGNVNVEMNATPGVDLTVLLNNMRA
+EYEDLAEQNRKDAEAWFNERSATLQQQISDHEGAATAARNELTELKRNLQTLEIELQSLM
+AVKHSYECSLAETEGNYCNQLQQIQDQIGVMEEQLQQIRTETEGQKLEYEQLLDVKIFLE
+KEIDIYCNLLDGEER
+>Q7Z3Y8_PF00038_83 <unknown description>
+NEKVTMQNLNDRLASYLENVRALEEANADLEQKIKGWYEKFGPGSCRGLDHDYSRYFPII
+DELKNQIISATTSNAHVVLQNDNARLTADDFRLKFENELALHQSVEADINGLRRVLDELT
+LCRTDLEIQLETLSEELAYLKKNHEEEMKALQCAAGGNVNVEMNAAPGVDLTVLLNNMRA
+EYEALAEQNRRDAEAWFNEKSASLQQQISDDAGATTSARNELIEMKRTLQTLEIELQSLL
+ATKHSLECSLTETESNYCAQLAQIQAQIGALEEQLHQVRTETEGQKLEYEQLLDIKVHLE
+KEIETYCLLIDGED
+>Q7Z3Y7_PF00038_85 <unknown description>
+NEKVTMQNLNDRLASYLDNVRALEEANAELERKIKGWYEKYGPGSCRGLDHDYSRYHLTI
+EDLKNKIISSTTTNANVILQIDNARLAADDFRLKYENELTLHQNVEADINGLRRVLDELT
+LCRTDQELQYESLSEEMTYLKKNHEEEMKALQCAAGGNVNVEMNAAPGVDLAVLLNNMRA
+EYEALAEQNRKDAEAWFNEKSASLQQQISHDSGAATFARSQLTEMRRTLQTLEIQLQSLM
+ATKHSLECSLTETESNYCTQLAQIQAQIGALEEQLHQVRTETEGQKLEYEHLLDVKVHLE
+KEIETYCRLIDGDG
+>Q6A163_PF00038_95 <unknown description>
+NEKETMQILNERLANYLQKVRMLERENAELESKIQEESNKELPVLCPDYLSYYTTIEELQ
+QKILCTKAENSRLVSQIDNTKLTADDLRAKYEAEVSLRQLVESDANGLKQILNVLTLGKA
+DLEAQVQSLKEELLCLKNNHKEEINSLQCQLGERLDIEVTAAPSADLNQVLQEMRCQYEP
+IMETNRKDVEQWFNTQIEELNQQVVTSSQQQQCCQKEIIELRRSVNTLEVELQAQHRMRD
+SQECILTETEARYTALLTQIQSLIDNLEAQLAEIRCALERQNQEYEILLDVKSRLECEIT
+TYRSLLESSD
+>Q6A162_PF00038_88 <unknown description>
+NEKETMQFLNDRLASYLEKVRSLEETNAELESRIQEQCEQDIPMVCPDYQRYFNTIEDLQ
+QKILCTKAENSRLAVQLDNCKLATDDFKSKYESELSLRQLLEADISSLHGILEELTLCKS
+DLEAHVESLKEDLLCLKKNHEEEVNLLREQLGDRLSVELDTAPTLDLNRVLDEMRCQCET
+VLANNRREAEEWLAVQTEELNQQQLSSAEQLQGCQMEILELKRTASALEIELQAQQSLTE
+SLECTVAETEAQYSSQLAQIQCLIDNLENQLAEIRCDLERQNQEYQVLLDVKARLEGEIN
+TYWGLLDSEDSR
+>P35527_PF00038_152 <unknown description>
+NEKSTMQELNSRLASYLDKVQALEEANNDLENKIQDWYDKKGPAAIQKNYSPYYNTIDDL
+KDQIVDLTVGNNKTLLDIDNTRMTLDDFRIKFEMEQNLRQGVDADINGLRQVLDNLTMEK
+SDLEMQYETLQEELMALKKNHKEEMSQLTGQNSGDVNVEINVAPGKDLTKTLNDMRQEYE
+QLIAKNRKDIENQYETQITQIEHEVSSSGQEVQSSAKEVTQLRHGVQELEIELQSQLSKK
+AALEKSLEDTKNRYCGQLQMIQEQISNLEAQITDVRQEIECQNQEYSLLLSIKMRLEKEI
+ETYHNLLEGGQE
+>Q15323_PF00038_55 <unknown description>
+SEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLLCPSYQSYFKTIEELQ
+QKILCTKSENARLVVQIDNAKLAADDFRTKYQTELSLRQLVESDINGLRRILDELTLCKS
+DLEAQVESLKEELLCLKSNHEQEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRSQYEA
+LVETNRREVEQWFTTQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRD
+SLENTLTESEARYSSQLSQVQSLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEIN
+TYRSLLESEDC
+>Q14532_PF00038_95 <unknown description>
+NEKETMQFLNDRLASYLTRVRQLEQENAELESRIQEASHSQVLTMTPDYQSHFRTIEELQ
+QKILCTKAENARMVVNIDNAKLAADDFRAKYEAELAMRQLVEADINGLRRILDDLTLCKA
+DLEAQVESLKEELMCLKKNHEEEVGSLRCQLGDRLNIEVDAAPPVDLTRVLEEMRCQYEA
+MVEANRRDVEEWFNMQMEELNQQVATSSEQLQNYQSDIIDLRRTVNTLEIELQAQHSLRD
+SLENTLTESEARYSSQLAQMQCMITNVEAQLAEIRADLERQNQEYQVLLDVRARLEGEIN
+TYRSLLENEDCK
+>Q8IYS2_PF10222_6 <unknown description>
+RLKGLPGLLSSSWARRLLCLLGLLLLLLWFGGSGARRAAGGLHLLPWSRGEPGAAEPSAC
+LEAATRAWRGLRERGEVVPLGPGVPALVANGFLALDVAANRLWVTPGEREPAVAPDFVPF
+VQLRPLSALAEAGEAVLLLREGLLRRVRCLQLGSPGPGPVAAGPGPASVSGLAAGSGRDC
+VLLQEDFLAHRGRPHVYLQRIQLNNPTERVAALQTVGPTAGPAPKAFTSTLEKVGDHQFL
+LYSGRSPPTPTGLVHLVVVAAKKLVNRLQVAPKTQLDETVLWVVHVSGPINPQVLKSKAA
+KELKALQDLARKEMLELLDMPAAELLQDHQLLWAQLFSPGVEMKKITDTHTPSGLTVNLT
+LYYMLSCSPAPLLSPSLSHRERDQMESTLNYEDHCFSGHATMHAENLWPGRLSSVQQILQ
+LSDLWRLTLQKRGCKGLVKVGAPGILQGMVLSFGGLQFTENHLQFQADPDVLHNSYALHG
+IRYKNDHINLAVLADAEGKPYLHVSVESRGQPVKIYACKAGCLDEPVELTSAPTGHTFSV
+MVTQPITPLLYISTDLTHLQDLRHTLHLKAILAHDEHMAQQDPGLPFLFWFSVASLITLF
+HLFLFKLIYNEYCGPGAKPLFRSK
+>P35908_PF16208_21 <unknown description>
+GFSSGSAVVSGGSRRSTSSFSCLSRHGGGGGGFGGGGFGSRSLVGLGGTKSISISVAGGG
+GGFGAAGGFGGRGGGFGGGSSFGGGSGFSGGGFGGGGFGGGRFGGFGGPGGVGGLGGPGG
+FGPGGYPGGIHEVSVNQSLLQPLNVKVDPEIQNV
+>P35908_PF00038_177 <unknown description>
+QEREQIKTLNNKFASFIDKVRFLEQQNQVLQTKWELLQQMNVGTRPINLEPIFQGYIDSL
+KRYLDGLTAERTSQNSELNNMQDLVEDYKKKYEDEINKRTAAENDFVTLKKDVDNAYMIK
+VELQSKVDLLNQEIEFLKVLYDAEISQIHQSVTDTNVILSMDNSRNLDLDSIIAEVKAQY
+EEIAQRSKEEAEALYHSKYEELQVTVGRHGDSLKEIKIEISELNRVIQRLQGEIAHVKKQ
+CKNVQDAIADAEQRGEHALKDARNKLNDLEEALQQAKEDLARLLRDYQELMNVKLALDVE
+IATYRKLLEGEECR
+>Q01546_PF16208_16 <unknown description>
+GFSGRSAVVSGSSRMSCVARSGGAGGGACGFRSGAGSFGSRSLYNLGSNKSISISVAAGS
+SRAGGFGGGRSSCGFAGGYGGGFGGSYGGGFGGGRGVGSGFGGAGGFGGAGGFGGPGVFG
+GPGSFGGPGGFGPGGFPGGIQEVIVNQSLLQPLNVEIDPQIGQV
+>Q01546_PF00038_182 <unknown description>
+QEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWELLQQQTTGSGPSSLEPCFESYISFL
+CKQLDSLLGERGNLEGELKSMQDLVEDFKKKYEDEINKRTAAENEFVGLKKDVDAAFMNK
+VELQAKVDSLTDEVSFLRTLYEMELSQMQSHASDTSVVLSMDNNRCLDLGSIIAEVRAQY
+EEIAQRSKSEAEALYQTKLGELQTTAGRHGDDLRNTKSEIMELNRMIQRLRAEIENVKKQ
+NANLQTAIAEAEQRGEMALKDANAKLQDLQTALQKAKDDLARLLRDYQELMNVKLALDVE
+IATYRKLLEGEECR
+>Q7Z794_PF16208_4 <unknown description>
+QFSSQSAFSSMSRRVYSTSSSAGSGGGSPAVGSVCYARGRCGGGGYGIHGRGFGSRSLYN
+LGGSRSISINLMGRSTSGFCQGGGVGGFGGGRGFGVGSTGAGGFGGGGFGGAGFGTSNFG
+LGGFGPYCPPGGIQEVTINQSLLEPLHLEVDPEIQRI
+>Q7Z794_PF00038_163 <unknown description>
+QEREQIMVLNNKFASFIDKVRFLEQQNQVLQTKWELLQQVNTSTGTNNLEPLLENYIGDL
+RRQVDLLSAEQMRQNAEVRSMQDVVEDYKSKYEDEINKRTGSENDFVVLKKDVDAAYVSK
+VDLESRVDTLTGEVNFLKYLFLTELSQVQTHISDTNVILSMDNNRSLDLDSIIDAVRTQY
+ELIAQRSKDEAEALYQTKYQELQITAGRHGDDLKNSKMEIAELNRTVQRLQAEISNVKKQ
+IEQMQSLISDAEERGEQALQDAWQKLQDLEEALQQSKEELARLLRDYQAMLGVKLSLDVE
+IATYRQLLEGEESR
+>P04264_PF16208_16 <unknown description>
+GFSSGSAGIINYQRRTTSSSTRRSGGGGGRFSSCGGGGGSFGAGGGFGSRSLVNLGGSKS
+ISISVARGGGRGSGFGGGYGGGGFGGGGFGGGGFGGGGIGGGGFGGFGSGGGGFGGGGFG
+GGGYGGGYGPVCPPGGIQEVTINQSLLQPLNVEIDPEIQKV
+>P04264_PF00038_180 <unknown description>
+EREQIKSLNNQFASFIDKVRFLEQQNQVLQTKWELLQQVDTSTRTHNLEPYFESFINNLR
+RRVDQLKSDQSRLDSELKNMQDMVEDYRNKYEDEINKRTNAENEFVTIKKDVDGAYMTKV
+DLQAKLDNLQQEIDFLTALYQAELSQMQTQISETNVILSMDNNRSLDLDSIIAEVKAQYE
+DIAQKSKAEAESLYQSKYEELQITAGRHGDSVRNSKIEISELNRVIQRLRSEIDNVKKQI
+SNLQQSISDAEQRGENALKDAKNKLNDLEDALQQAKEDLARLLRDYQELMNTKLALDLEI
+ATYRTLLEGEESR
+>P04264_PF16210_493 <unknown description>
+MSGECAPNVSVSVSTSHTTISGGGSRGGGGGGYGSGGSSYGSGGGSYGSGGGGGGGRGSY
+GSGGSSYGSGGGSYGSGGGGGGHGSYGSGSSSGGYRGGSGGGGGGSSGGRGSGGGSSGGS
+IGGRGSSSGGVKSSGGSSSVKFVSTTYS
+>P12035_PF16208_15 <unknown description>
+GFSGRSAVVSGSSRMSCVAHSGGAGGGAYGFRSGAGGFGSRSLYNLGGNKSISISVAAGG
+SRAGGFGGGRSSCAFAGGYGGGFGSGYGGGFGGGFGGGRGMGGGFGGAGGFGGAGGFGGA
+GGFGGPGGFGG
+>P12035_PF16208_130 <unknown description>
+GFGGAGGFGGPGGFGGSGGFGGPGSLGSPGGFGPGGFPGGIQEVTINQSLLQPLNVEIDP
+QIGQV
+>P12035_PF00038_197 <unknown description>
+QEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWNLLQQQGTSSISGTNNLEPLFENHIN
+YLRSYLDNILGERGRLDSELKNMEDLVEDFKKKYEDEINKRTAAENEFVTLKKDVDSAYM
+NKVELQAKVDALIDEIDFLRTLYDAELSQMQSHISDTSVVLSMDNNRSLDLDSIIAEVRA
+QYEDIAQRSKAEAEALYQTKLGELQTTAGRHGDDLRNTKSEIIELNRMIQRLRAEIEGVK
+KQNANLQTAIAEAEQHGEMALKDANAKLQELQAALQQAKDDLARLLRDYQELMNVKLALD
+VEIATYRKLLEGEEYR
+>P19013_PF16208_14 <unknown description>
+GFSCGSAIVGGGKRGAFSSVSMSGGAGRCSSGGFGSRSLYNLRGNKSISMSVAGSRQ
+>P19013_PF16208_67 <unknown description>
+GSRQGACFGGAGGFGTGGFGGGFGGSFSGKGGPGFPVCPAGGIQEVTINQSLLTPLHVEI
+DPEIQKV
+>P19013_PF00038_136 <unknown description>
+EEREQIKLLNNKFASFIDKVQFLEQQNKVLETKWNLLQQQTTTTSSKNLEPLFETYLSVL
+RKQLDTLGNDKGRLQSELKTMQDSVEDFKTKYEEEINKRTAAENDFVVLKKDVDAAYLNK
+VELEAKVDSLNDEINFLKVLYDAELSQMQTHVSDTSVVLSMDNNRNLDLDSIIAEVRAQY
+EEIAQRSKAEAEALYQTKVQQLQISVDQHGDNLKNTKSEIAELNRMIQRLRAEIENIKKQ
+CQTLQVSVADAEQRGENALKDAHSKRVELEAALQQAKEELARMLREYQELMSVKLALDIE
+IATYRKLLEGEEYR
+>P13647_PF16208_17 <unknown description>
+FSTASAITPSVSRTSFTSVSRSGGGGGGGFGRVSLAGACGVGGYGSRSLYNLGGSKRISI
+STSGGSFRNRFGAGAGGGYGFGGGAGSGFGFGGGAGGGFGLGGGAGFGGGFGGPGFPVCP
+PGGIQEVTVNQSLLTPLNLQIDPSIQRV
+>P13647_PF00038_167 <unknown description>
+EEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNL
+RRQLDSIVGERGRLDSELRNMQDLVEDFKNKYEDEINKRTTAENEFVMLKKDVDAAYMNK
+VELEAKVDALMDEINFMKMFFDAELSQMQTHVSDTSVVLSMDNNRNLDLDSIIAEVKAQY
+EEIANRSRTEAESWYQTKYEELQQTAGRHGDDLRNTKHEISEMNRMIQRLRAEIDNVKKQ
+CANLQNAIADAEQRGELALKDARNKLAELEEALQKAKQDMARLLREYQELMNTKLALDVE
+IATYRKLLEGEECR
+>P02538_PF16208_17 <unknown description>
+GFSANSARLPGVSRSGFSSVSVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGS
+CAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQ
+EVTVNQSLLTPLNLQIDPTIQRV
+>P02538_PF00038_162 <unknown description>
+EEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWTLLQEQGTKTVRQNLEPLFEQYINNL
+RRQLDSIVGERGRLDSELRGMQDLVEDFKNKYEDEINKRTAAENEFVTLKKDVDAAYMNK
+VELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQY
+EEIAQRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQ
+CANLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVE
+IATYRKLLEGEECR
+>P04259_PF16208_17 <unknown description>
+GFSANSARLPGVSRSGFSSISVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGS
+CAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQ
+EVTVNQSLLTPLNLQIDPAIQRV
+>P04259_PF00038_162 <unknown description>
+EEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNL
+RRQLDNIVGERGRLDSELRNMQDLVEDLKNKYEDEINKRTAAENEFVTLKKDVDAAYMNK
+VELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQY
+EEIAQRSRAEAESWYQTKYEELQITAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQ
+CANLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVE
+IATYRKLLEGEECR
+>P48668_PF16208_17 <unknown description>
+GFSANSARLPGVSRSGFSSISVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGS
+CAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQ
+EVTVNQSLLTPLNLQIDPAIQRV
+>P48668_PF00038_162 <unknown description>
+EEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNL
+RRQLDSIVGERGRLDSELRNMQDLVEDLKNKYEDEINKRTAAENEFVTLKKDVDAAYMNK
+VELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQY
+EEIAQRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQ
+CASLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVE
+IATYRKLLEGEECR
+>Q3SY84_PF16208_62 <unknown description>
+GSGKSGGYGFGRGRASGFAGSMFGSVALGPVCPTVCPPGGIHQVTVNESLLAPLNVELDP
+EIQKV
+>Q3SY84_PF00038_129 <unknown description>
+QEREQIKALNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLEPILEGYISNL
+RKQLETLSGDRVRLDSELRNVRDVVEDYKKRYEEEINKRTAAENEFVLLKKDVDAAYANK
+VELQAKVESMDQEIKFFRCLFEAEITQIQSHISDMSVILSMDNNRNLDLDSIIDEVRTQY
+EEIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEISELTRLIQRIRSEIENVKKQ
+ASNLETAIADAEQRGDNALKDARAKLDELEGALHQAKEELARMLREYQELMSLKLALDME
+IATYRKLLESEECR
+>Q14CN4_PF16208_67 <unknown description>
+GGGRLGGFVGTAFGSAGLGPKCPSVCPPGGIPQVTVNKSLLAPLNVEMDPEIQRV
+>Q14CN4_PF00038_124 <unknown description>
+QEREQIKALNNKFASFIDKVRFLEQQNQVLETKWNLLQQLDLNNCRKNLEPIYEGYISNL
+QKQLEMLSGDGVRLDSELRNMQDLVEDYKKRYEVEINRRTAAENEFVVLKKDVDAAYMNK
+VELQAKVDSLTDEIKFFKCLYEGEITQIQSHISDTSIVLSMDNNRDLDLDSIIAEVRAQY
+EEIALKSKAEAETLYQTKIQELQVTAGQHGDDLKLTKAEISELNRLIQRIRSEIGNVKKQ
+CADLETAIADAEQRGDCALKDARAKLDELEGALHQAKEELARMLREYQELVSLKLALDME
+IATYRKLLESEECR
+>Q86Y46_PF16208_64 <unknown description>
+GSGWAGGYGFGRGRASGFAGSMFGSVALGSVCPSLCPPGGIHQVTINKSLLAPLNVELDP
+EIQKV
+>Q86Y46_PF00038_131 <unknown description>
+QEREQIKVLNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLEPILEGYISNL
+RKQLETLSGDRVRLDSELRSVREVVEDYKKRYEEEINKRTTAENEFVVLKKDVDAAYTSK
+VELQAKVDALDGEIKFFKCLYEGETAQIQSHISDTSIILSMDNNRNLDLDSIIAEVRAQY
+EEIARKSKAEAEALYQTKFQELQLAAGRHGDDLKHTKNEISELTRLIQRLRSEIESVKKQ
+CANLETAIADAEQRGDCALKDARAKLDELEGALQQAKEELARMLREYQELLSVKLSLDIE
+IATYRKLLEGEECR
+>Q7RTS7_PF16208_13 <unknown description>
+KGNFSVHSAVVPRKAVGSLASYCAAGRGAGAGFGSRSLYSLGGNRRISFNVAGGGVRAGG
+YGFRPGSGYGGGRASGFAGSMFGSVALGPACLSVCPPGGIHQVTVNKSLLAPLNVELDPE
+IQKV
+>Q7RTS7_PF00038_139 <unknown description>
+QEREQIKVLNDKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKKNLEPILEGYISNL
+RKQLETLSGDRVRLDSELRSMRDLVEDYKKRYEVEINRRTTAENEFVVLKKDADAAYAVK
+VELQAKVDSLDKEIKFLKCLYDAEIAQIQTHASETSVILSMDNNRDLDLDSIIAEVRMHY
+EEIALKSKAEAEALYQTKIQELQLAASRHGDDLKHTRSEMVELNRLIQRIRCEIGNVKKQ
+RASLETAIADAEQRGDNALKDAQAKLDELEGALHQAKEELARMLREYQELMSLKLALDME
+IATYRKLLEGEECR
+>O95678_PF16208_16 <unknown description>
+GFSTTSAITPAAGRSRFSSVSVARSAAGSGGLGRISSAGASFGSRSLYNLGGAKRVSING
+CGSSCRSGFGGRASNRFGVNSGFGYGGGVGGGFSGPSFPVCPPGGIQEVTVNQSLLTPLH
+LQIDPTIQRV
+>O95678_PF00038_148 <unknown description>
+EEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQGSRTVRQNLEPLFDSYTSEL
+RRQLESITTERGRLEAELRNMQDVVEDFKVRYEDEINKRTAAENEFVALKKDVDAAYMNK
+VELEAKVKSLPEEINFIHSVFDAELSQLQTQVGDTSVVLSMDNNRNLDLDSIIAEVKAQY
+EDIANRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEISEMNRMIQRLRAEIDSVKKQ
+CSSLQTAIADAEQRGELALKDARAKLVDLEEALQKAKQDMARLLREYQELMNIKLALDVE
+IATYRKLLEGEECR
+>Q8N1N4_PF16208_53 <unknown description>
+GSTWGSGGRLGVRFGEWSGGPGLSLCPPGGIQEVTINQNLLTPLKIEIDPQFQVV
+>Q8N1N4_PF00038_110 <unknown description>
+QETQEIRTLNNQFASFIDKVRFLEQQNKVLETKWHLLQQQGLSGSQQGLEPVFEACLDQL
+RKQLEQLQGERGALDAELKACRDQEEEYKSKYEEEAHRRATLENDFVVLKKDVDGVFLSK
+MELEGKLEALREYLYFLKHLNEEELGQLQTQASDTSVVLSMDNNRYLDFSSIITEVRARY
+EEIARSSKAEAEALYQTKYQELQVSAQLHGDRMQETKVQISQLHQEIQRLQSQTENLKKQ
+NASLQAAITDAEQRGELALKDAQAKVDELEAALRMAKQNLARLLCEYQELTSTKLSLDVE
+IATYRRLLEGEECR
+>Q5XKE5_PF16208_15 <unknown description>
+GFSSNSASGGSGSQARTSFSSVTVSRSSGSGGGAHCGPGTGGFGSRSLYNLGGHKSISVS
+VAGGALLGRALGGFGFGSRAFMGQGAGRQTFGPACPPGGIQEVTVNQSLLTPLHVEIDPE
+IQRV
+>Q5XKE5_PF00038_141 <unknown description>
+QEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQGQNLGVTRNNLEPLFEAYLG
+SMRSTLDRLQSERGRLDSELRNVQDLVEDFKNKYEDEINKHTAAENEFVVLKKDVDAAYM
+GRMDLHGKVGTLTQEIDFLQQLYEMELSQVQTHVSNTNVVLSMDNNRNLDLDSIIAEVKA
+QYELIAQRSRAEAEAWYQTKYEELQVTAGKHGDNLRDTKNEIAELTRTIQRLQGEADAAK
+KQCQQLQTAIAEAEQRGELALKDAQKKLGDLDVALHQAKEDLTRLLRDYQELMNVKLALD
+VEIATYRKLLESEESR
+>P08729_PF16208_55 <unknown description>
+YGGPVGAGIREVTINQSLLAPLRLDADPSLQRV
+>P08729_PF00038_90 <unknown description>
+EESEQIKTLNNKFASFIDKVRFLEQQNKLLETKWTLLQEQKSAKSSRLPDIFEAQIAGLR
+GQLEALQVDGGRLEAELRSMQDVVEDFKNKYEDEINHRTAAENEFVVLKKDVDAAYMSKV
+ELEAKVDALNDEINFLRTLNETELTELQSQISDTSVVLSMDNSRSLDLDGIIAEVKAQYE
+EMAKCSRAEAEAWYQTKFETLQAQAGKHGDDLRNTRNEISEMNRAIQRLQAEIDNIKNQR
+AKLEAAIAEAEERGELALKDARAKQEELEAALQRGKQDMARQLREYQELMSVKLALDIEI
+ATYRKLLEGEESR
+>Q6KB66_PF00038_82 <unknown description>
+QEKEEMKALNDKFASLIGKVQALEQRNQLLETRWSFLQGQDSAIFDLGHLYEEYQGRLQE
+ELRKVSQERGQLEANLLQVLEKVEEFRIRYEDEISKRTDMEFTFVQLKKDLDAECLHRTE
+LETKLKSLESFVELMKTIYEQELKDLAAQVKDVSVTVGMDSRCHIDLSGIVEEVKAQYDA
+VAARSLEEAEAYSRSQLEEQAARSAEYGSSLQSSRSEIADLNVRIQKLRSQILSVKSHCL
+KLEENIKTAEEQGELAFQDAKTKLAQLEAALQQAKQDMARQLRKYQELMNVKLALDIEIA
+TYRKLVEGEEGR
+>P05787_PF16208_26 <unknown description>
+TSGPGSRISSSSFSRVGSSNFRGGLGGGYGGASGMGGITAVTVNQSLLSPLVLEVDPNIQ
+AV
+>P05787_PF00038_90 <unknown description>
+QEKEQIKTLNNKFASFIDKVRFLEQQNKMLETKWSLLQQQKTARSNMDNMFESYINNLRR
+QLETLGQEKLKLEAELGNMQGLVEDFKNKYEDEINKRTEMENEFVLIKKDVDEAYMNKVE
+LESRLEGLTDEINFLRQLYEEEIRELQSQISDTSVVLSMDNSRSLDMDSIIAEVKAQYED
+IANRSRAEAESMYQIKYEELQSLAGKHGDDLRRTKTEISEMNRNISRLQAEIEGLKGQRA
+SLEAAIADAEQRGELAIKDANAKLSELEAALQRAKQDMARQLREYQELMNVKLALDIEIA
+TYRKLLEGEESR
+>Q8IZA0_PF18911_690 <unknown description>
+NKPPIAKITGNVVITLPTSTAELDGSKSSDDKGIVSYLWTRDEGSPAAGEVLNHSDHHPI
+LFLSNLVEGTYTFHLKVTDAKGESDTDRTTVEV
+>P00568_PF00406_13 <unknown description>
+VVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRSEVSSGSARGKKLSEIMEKGQLVPLETV
+LDMLRDAMVAKVNTSKGFLIDGYPREVQQGEEFERRIGQPTLLLYVDAGPETMTQRLLKR
+GETSGRVDDNEETIKKRLETYYKATEPVIAFYEKRG
+>P54819_PF00406_20 <unknown description>
+LLGPPGAGKGTQAPRLAENFCVCHLATGDMLRAMVASGSELGKKLKATMDAGKLVSDEMV
+VELIEKNLETPLCKNGFLLDGFPRTVRQAEMLDDLMEKRKEKLDSVIEFSIPDSLLIRRI
+TGRLIHPKSGRSYHEEFNPPKEPMKDDITGEPLIRRSDDNEKALKIRLQAYHTQTTPLIE
+YYRKRG
+>P54819_PF05191_142 <unknown description>
+RLIHPKSGRSYHEEFNPPKEPMKDDITGEPLIRRSD
+>Q9UIJ7_PF00406_12 <unknown description>
+IMGAPGSGKGTVSSRITTHFELKHLSSGDLLRDNMLRGTEIGVLAKAFIDQGKLIPDDVM
+TRLALHELKNLTQYSWLLDGFPRTLPQAEALDRAYQIDTVINLNVPFEVIKQRLTARWIH
+PASGRVYNIEFNPPKTVGIDDLTGEPLIQREDDKPETVIKRLKAYEDQTKPVLEYYQKKG
+>Q9UIJ7_PF05191_128 <unknown description>
+RWIHPASGRVYNIEFNPPKTVGIDDLTGEPLIQRED
+>P27144_PF00406_10 <unknown description>
+ILGPPGSGKGTVCQRIAQNFGLQHLSSGHFLRENIKASTEVGEMAKQYIEKSLLVPDHVI
+TRLMMSELENRRGQHWLLDGFPRTLGQAEALDKICEVDLVISLNIPFETLKDRLSRRWIH
+PPSGRVYNLDFNPPHVHGIDDVTGEPLVQQEDDKPEAVAARLRQYKDVAKPVIELYKSRG
+>P27144_PF05191_126 <unknown description>
+RWIHPPSGRVYNLDFNPPHVHGIDDVTGEPLVQQED
+>Q9Y6K8_PF00406_137 <unknown description>
+VIGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSTSSNRKWSLIAKIITTGELAPQE
+TTITEIKQKLMQIPDEEGIVIDGFPRDVAQALSFEDQICTPDLVVFLACANQRLKERLLK
+RAEQQGRPDDNVKATQRRLMNFKQNAAPLVKYFQEKG
+>Q9Y6K8_PF00406_381 <unknown description>
+IIGGPGSGKGTQCEKLVEKYGFTHLSTGELLREELASESERSKLIRDIMERGDLVPSGIV
+LELLKEAMVASLGDTRGFLIDGYPREVKQGEEFGRRIGDPQLVICMDCSADTMTNRLLQR
+SRSSLPVDDTTKTIAKRLEAYYRASIPVIAYYETKT
+>Q9Y3D8_PF13238_6 <unknown description>
+ILLTGTPGVGKTTLGKELASKSGLKYINVGDLAREEQLYDGYDEEYDCPILDEDRVVDEL
+DNQMREGGVIVDYHGCDFFPERWFHIVFVLRTDTNVLYERLETRGYNEKKLTDNIQCEIF
+>Q96M32_PF00406_442 <unknown description>
+DGIKESMEQNAGQLDDQYIIRFMKEKLKSMPCRNQGYILDGFPKTYDQAKDLFNQEDEE
+>Q96M32_PF05186_679 <unknown description>
+PLRNYLMTYVMPTLIQGLNECCNVRPEDPVDFLAEYLFKNNP
+>Q96MA6_PF00406_62 <unknown description>
+ILGPPASGKTTIAMWLCKHLNSSLLTLENLILNEFSYTATEARRLYLQRKTVPSALLVQL
+IQERLAEEDCIKQGWILDGIPETREQALRIQTLGITPRHVIVLSAPDTVLIERNLGKRID
+PQTGEIYHTTFDWPPESEIQNRLMVPEDISELETAQKLLEYHRNIVRVIPSY
+>Q96MA6_PF00406_273 <unknown description>
+LLGPVGSGKSLQAALLAQKYRLVNVCCGQLLKEAVADRTTFGELIQPFFEKEMAVPDSLL
+MKVLSQRLDQQDCIQKGWVLHGVPRDLDQAHLLNRLGYNPNRVFFLNVPFDSIMERLTLR
+RIDPVTGERYHLMYKPPPTMEIQARLLQNPKDAEEQVKLKMDLFYRNSADLEQLY
+>Q5TCS8_PF00406_35 <unknown description>
+VFGKPGVGKTTLARYITQAWKCIRVEALPILEEQIAAETESGVMLQSMLISGQSIPDELV
+IKLMLEKLNSPEVCHFGYIITEIPSLSQDAMTTLQQIELIKNLNLKPDVIINIKCPDYDL
+CQRISGQRQHNNTGYIYSRDQWDPEVIENHRKKKKEAQKDGKGEEEEEEEEQEEEEAFIA
+EMQMVAEILHHLVQRPEDYLENVENIVKLYKET
+>Q5TCS8_PF00406_1416 <unknown description>
+IVGPPKSGKTTVAKKITSEYGLKHLSIGGALRYVLNNHPETELALMLNWHLHKGMTAPDE
+LAIQALELSLMESVCNTAGVVIDGYPVTKHQMNLLEARSIIPMVIFELSVPSKEIFKRLL
+LEKENEQRLPYPLHNSAQIVAVNNVKYRKNIGEIRQYYQEQ
+>P29622_PF00079_54 <unknown description>
+ANADFAFRFYYLIASETPGKNIFFSPLSISAAYAMLSLGACSHSRSQILEGLGFNLTELS
+ESDVHRGFQHLLHTLNLPGHGLETRVGSALFLSHNLKFLAKFLNDTMAVYEAKLFHTNFY
+DTVGTIQLINDHVKKETRGKIVDLVSELKKDVLMVLVNYIYFKALWEKPFISSRTTPKDF
+YVDENTTVRVPMMLQDQEHHWYLHDRYLPCSVLRMDYKGDATVFFILPNQGKMREIEEVL
+TPEMLMRWNNLLRKRNFYKKLELHLPKFSISGSYVLDQILPRLGFTDLFSKWADLSGITK
+QQKLEASKSFHKATLDVDEAGTEAAAATSFAIKFFSAQTNRHILRFNRPFLVVIFSTSTQ
+SVLFLGKVVDP
+>Q86T24_PF00651_22 <unknown description>
+LNEQRGHGLFCDVTVIVEDRKFRAHKNILSASSTYFHQLFSVAGQVVELSFIRAEIFAEI
+LNYIYSSKIVRVRSDLLDELIKSGQLLGVKFIA
+>A0AUZ9_PF15275_795 <unknown description>
+ILTPSWRMVVLQPLDEYNLGKEEIEDLSDEVFSLRHKKYEEREQARWSLWEQSKWHRRNS
+RAYSKNVEGQDLLLKEYPNNFSSSQQCAAASPPGLPSENQDLCAYGLPSLNQSQETKSLW
+W
+>P23352_PF17869_44 <unknown description>
+VQRARCASRCLSLQITRISAFFQHFQNNGSLVWCQNHKQCSKCLEPCKESGDLRKHQCQS
+FCEPLFPKKSYECLTSCEFLKY
+>P23352_PF00095_130 <unknown description>
+KQGDCPAPEKASGFAAACVESCEVDNECSGVKKCCSNGCGHTCQVP
+>P23352_PF00041_188 <unknown description>
+KELRFTELQSGQLEVKWSSKFNISIEPVIYVVQRRWNYGIHPSEDDATHWQTVAQTTDER
+VQLTDIRPSRWYQFRVAAVNVHGTR
+>P23352_PF00041_300 <unknown description>
+NSTVNSDGSVTVTIVWDLPEEPDIPVHHYKVFWSWMVSSKSLVPTKKKRRKTTDGFQNSV
+ILEKLQPDCDYVVELQAIT
+>P23352_PF00041_550 <unknown description>
+KPENLSASFIVQDVNITGHFSWKMAKANLYQPMTGFQVTWAEVTTESRQNSLPNSIISQS
+QILPSDHYVLTVPNLRPSTLYRLEVQVLTPGGEGPA
+>Q14678_PF12075_30 <unknown description>
+PYFVETPYGYQLDLDFLKYVDDIQKGNTIKRLNIQKRRK
+>Q14678_PF12796_1147 <unknown description>
+SPDVLRYVINLADGNGNTALHYSVSHSNFEIVKLLLDADVCNVDHQNKAGYTPIMLAALA
+AVEAEKDMRIVEELFGCGDVNAK
+>Q14678_PF12796_1238 <unknown description>
+LMLAVSHGRIDMVKGLLACGADVNIQDDEGSTALMCASEHGHVEIVKLLLAQPGCNGHLE
+DNDGSTALSIALEAGHKDIAVLLYAHV
+>Q63ZY3_PF12075_31 <unknown description>
+PYSVETPYGYRLDLDFLKYVDDIEKGHTLRRVAVQRRPR
+>Q63ZY3_PF12796_680 <unknown description>
+FPVVQQLLDSGVCKVDKQNRAGYSPIMLTALATLKTQDDIETVLQLFRLGNINAKASQAG
+QTALMLAVSHGRVDVVKALLACEADVNVQD
+>Q63ZY3_PF12796_771 <unknown description>
+DGSTALMCACEHGHKEIAGLLLAVPSCDISLTDRDGSTALMVALDAGQSEIASMLYS
+>Q6NY19_PF12075_33 <unknown description>
+PYSVETPYGFHLDLDFLKYIEELERGPAARRAPGPPTSRRPR
+>Q6NY19_PF12796_617 <unknown description>
+NLADGNGNTALHYSVSHGNLAIASLLLDTGACEVNRQNRAGYSALMLAALT
+>Q6NY19_PF13857_715 <unknown description>
+LLACGADVNAQDADGATALMCASEYGRLDTVRLLLTQPGCDPAILDNEGTSALAIA
+>Q5T7N3_PF12075_24 <unknown description>
+PYSVETPYGFHLDLDFLKYVDDIEKGNTIKRIPIHRRAK
+>Q5T7N3_PF00023_823 <unknown description>
+NGNTALHYSVSHSNFSIVKLLLETGVCNVDHQNK
+>Q5T7N3_PF13857_915 <unknown description>
+LLSCQADVNLQDHDGSSALMVACHHGNVDLVRLLLAHPACDSSLTDKAGRTALSIA
+>Q7Z3B3_PF15275_885 <unknown description>
+ILTPSWREVDLQSLKGSPDEENEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRG
+SRSYRSSDGRTTPQLGSANPSTPQPASPDVSSSHSLSEYSHGQSPRSPISPELHSAPLTP
+VARDTPRHLASEDTRCSTPELGLDEQSVQPW
+>Q9H9L4_PF13891_28 <unknown description>
+CAFTHRPCSHPRLEGQEFCIKHILEDKNAPFKQCSYISTKNGKRCPNAAPKPEKKDGVSF
+CAEH
+>Q9H9L4_PF13891_309 <unknown description>
+VRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCCPLHF
+>P10644_PF02197_25 <unknown description>
+HNIQALLKDSIVQLCTARPERPMAFLREYFERLEKEEA
+>P10644_PF00027_156 <unknown description>
+VSFIAGETVIQQGDEGDNFYVIDQGETDVYVNNEWATSVGEGGSFGELALIYGTPRAATV
+KAKTNVKLWGIDRDSYRRILM
+>P10644_PF00027_274 <unknown description>
+VQFEDGQKIVVQGEPGDEFFIILEGSAAVLQRRSENEEFVEVGRLGPSDYFGEIALLMNR
+PRAATVVARGPLKCVKLDRPRFERVL
+>P31321_PF02197_25 <unknown description>
+HGIQQVLKDCIVHLCISKPERPMKFLREHFEKLEKEE
+>P31321_PF00027_160 <unknown description>
+AGETVIQQGNEGDNFYVVDQGEVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKT
+DLKLWGIDRDSYRRILM
+>P31321_PF00027_274 <unknown description>
+VQFEDGEKIVVQGEPGDDFYIITEGTASVLQRRSPNEEYVEVGRLGPSDYFGEIALLLNR
+PRAATVVARGPLKCVKLDRPRFERVL
+>P13861_PF02197_9 <unknown description>
+GLTELLQGYTVEVLRQQPPDLVEFAVEYFTRLREARA
+>P13861_PF00027_158 <unknown description>
+RIVKADEHVIDQGDDGDNFYVIERGTYDILVTKDNQTRSVGQYDNRGSFGELALMYNTPR
+AATIVATSEGSLWGLDRVTFRRIIVK
+>P13861_PF00027_281 <unknown description>
+IYKDGERIITQGEKADSFYIIESGEVSILIRSRTKSNKDGGNQEVEIARCHKGQYFGELA
+LVTNKPRAASAYAVGDVKCLVMDVQAFERLL
+>P31323_PF02197_8 <unknown description>
+GLTELLQGFTVEVLRHQPADLLEFALQHFTRLQQENE
+>P31323_PF00027_175 <unknown description>
+VKDGEHVIDQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFGELALMYNTPRAA
+TITATSPGALWGLDRVTFRRIIVK
+>P31323_PF00027_295 <unknown description>
+KVYNDGEQIIAQGDSADSFFIVESGEVKITMKRKGKSEVEENGAVEIARCSRGQYFGELA
+LVTNKPRAASAHAIGTVKCLAMDVQAFERLL
+>P17612_PF00069_44 <unknown description>
+FERIKTLGTGSFGRVMLVKHKETGNHYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPF
+LVKLEFSFKDNSNLYMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDL
+IYRDLKPENLLIDQQGYIQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWW
+ALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFG
+NLKNGVNDIKNHKWF
+>P22694_PF00069_91 <unknown description>
+FERKKTLGTGSFGRVMLVKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPF
+LVRLEYAFKDNSNLYMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDL
+IYRDLKPENLLIDHQGYIQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWW
+ALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFG
+NLKNGVSDIKTHKWF
+>P22612_PF00069_44 <unknown description>
+FERLRTLGMGSFGRVMLVRHQETGGHYAMKILNKQKVVKMKQVEHILNEKRILQAIDFPF
+LVKLQFSFKDNSYLYLVMEYVPGGEMFSRLQRVGRFSEPHACFYAAQVVLAVQYLHSLDL
+IHRDLKPENLLIDQQGYLQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWW
+ALGVLIYEMAVGFPPFYADQPIQIYEKIVSGRVRFPSKLSSDLKHLLRSLLQVDLTKRFG
+NLRNGVGDIKNHKWF
+>Q8N6L0_PF14658_38 <unknown description>
+NSTFEACDPQRTGTVAVAQVLAYLEAVTGQGPQDARLQTLANSLDPNGEGPKATVDLDTF
+LVVMRDWI
+>Q8N6L0_PF14662_158 <unknown description>
+ATADLLSSLEDLELSNRRLVGENAKLQRSMETAEEGSARLGEEILALRKQLHSTQQALQF
+AKAMDEELEDLKTLARSLEEQNRSLLAQARQAEKEQQHLVAEMETLQEENGKLLAERDGV
+KKRSQELAMEKDTLKRQLFECEHLICQRDTILSERTRDVESLAQTLEEYRVTTQELRLEI
+SRLEEQLSQT
+>Q16773_PF00155_29 <unknown description>
+DVVNLGQGFPDFPPPDFAVEAFQHAVSGDFMLNQYTKTFGYPPLTKILASFFGELLGQEI
+DPLRNVLVTVGGYGALFTAFQALVDEGDEVIIIEPFFDCYEPMTMMAGGRPVFVSLKPGP
+IQNGELGSSSNWQLDPMELAGKFTSRTKALVLNTPNNPLGKVFSREELELVASLCQQHDV
+VCITDEVYQWMVYDGHQHISIASLPGMWERTLTIGSAGKTFSATGWKVGWVLGPDHIMKH
+LRTVHQNSVFHCPTQSQAAVAESFEREQLLFRQPSSYFVQFPQAMQRCRDHMIRSLQSVG
+LKPIIPQGSYFLITDISDFKRKMPDLPGAVDEPYDRRFVKWMIKNKGLVAIPVSIFYSVP
+HQKHFDHYIRFCFVKDEATLQ
+>Q92830_PF06466_86 <unknown description>
+RASQRKAQVRGLPRAKKLEKLGVFSACKANETCKCNGWKNPKPPTAPRMDLQQPAANLSE
+LCRSCEHPLADHVSHLENVSEDEINRLLGMVVDVENLFMSVHKEEDTDTKQVYFYLFKLL
+RKCILQMTRPVVEGSLGSPPFEKPNIEQGVLNFVQYKFSHLAPRERQTMFELSKMFLLCL
+NYWKLETPAQFRQRSQAEDVATYKVNYTRWLCYCHVPQSCDSLPRYETTHVFGRSLLRSI
+FTVTRRQLLEK
+>Q92830_PF00583_543 <unknown description>
+VFDPKHKTLALIKDGRVIGGICFRMFPTQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYH
+IKHNILYFLTYADEYAIGYFKKQGF
+>Q92830_PF00439_737 <unknown description>
+LKNLLAQIKSHPSAWPFMEPVKKSEAPDYYEVIRFPIDLKTMTERLRSRYYVTRKLFVAD
+LQRVIANCREYNPPDSEYCRCA
+>Q92831_PF06466_74 <unknown description>
+RIAVKKAQLRSAPRAKKLEKLGVYSACKAEESCKCNGWKNPNPSPTPPRADLQQIIVSLT
+ESCRSCSHALAAHVSHLENVSEEEMNRLLGIVLDVEYLFTCVHKEEDADTKQVYFYLFKL
+LRKSILQRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPAKERQTIVELAKMFLN
+RINYWHLEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETTQVFGRTLLR
+SVFTVMRRQLLEQ
+>Q92831_PF00583_539 <unknown description>
+FDPKHKTLALIKDGRVIGGICFRMFPSQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHI
+KHDILNFLTYADEYAIGYFKKQGF
+>Q92831_PF00439_733 <unknown description>
+KSILQQVKSHQSAWPFMEPVKRTEAPGYYEVIRFPMDLKTMSERLKNRYYVSKKLFMADL
+QRVFTNCKEYNPPESEYYKCAN
+>Q6YP21_PF00155_64 <unknown description>
+SVVNLGQGFPDISPPTYVKEELSKIAAIDSLNQYTRGFGHPSLVKALSYLYEKLYQKQID
+SNKEILVTVGAYGSLFNTIQALIDEGDEVILIVPFYDCYEPMVRMAGATPVFIPLRSKPV
+YGKRWSSSDWTLDPQELESKFNSKTKAIILNTPHNPLGKVYNREELQVIADLCIKYDTLC
+ISDEVYEWLVYSGNKHLKIATFPGMWERTITIGSAGKTFSVTGWKLGWSIGPNHLIKHLQ
+TVQQNTIYTCATPLQEALAQAFWIDIKRMDDPECYFNSLPKELEVKRDRMVRLLESVGLK
+PIVPDGGYFIIADVSLLDPDLSDMKNNEPYDYKFVKWMTKHKKLSAIPVSAFCNSETKSQ
+FEKFVRFCFIKKDSTLDAA
+>Q92993_PF11717_41 <unknown description>
+IEGCRLPVLRRNQDNEDEWPLAEILSVKDISGRKLFYVHYIDFNKRLDEWVTHERLDL
+>Q92993_PF17772_262 <unknown description>
+MKNIECIELGRHRLKPWYFSPYPQELTTLPVLYLCEFCLKYGRSLKCLQRHLTKC
+>Q92993_PF01853_321 <unknown description>
+PPGNEIYRKGTISFFEIDGRKNKSYSQNLCLLAKCFLDHKTLYYDTDPFLFYVMTEYDCK
+GFHIVGYFSKEKESTEDYNVACILTLPPYQRRGYGKLLIEFSYELSKVEGKTGTPEKPLS
+DLGLLSYRSYWSQTILEILMGLKSESGERPQITINEISEITSIKKEDVISTLQYLNLINY
+YKGQ
+>Q92794_PF00628_265 <unknown description>
+CSSCRDQGKNADNMLFCDSCDRGFHMECCDPPLTRMPKGMWICQICRP
+>Q92794_PF17772_506 <unknown description>
+VRCPSVIEFGKYEIHTWYSSPYPQEYSRLPKLYLCEFCLKYMKSRTILQQHMKKC
+>Q92794_PF01853_565 <unknown description>
+PPANEIYRKNNISVFEVDGNVSTIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTQNDVK
+GCHLVGYFSKEKHCQQKYNVSCIMILPQYQRKGYGRFLIDFSYLLSKREGQAGSPEKPLS
+DLGRLSYMAYWKSVILECLYHQNDKQISIKKLSKLTGICPQDITSTLHHLRMLDFRS
+>Q8WYB5_PF00628_272 <unknown description>
+CSACRVQGRNADNMLFCDSCDRGFHMECCDPPLSRMPKGMWICQVCRP
+>Q8WYB5_PF17772_719 <unknown description>
+YPSVIEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKC
+>Q8WYB5_PF01853_776 <unknown description>
+PPANEIYRRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTKNDEK
+GCHLVGYFSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPEKPLS
+DLGRLSYLAYWKSVILEYLYHHHERHISIKAISRATGMCPHDIATTLQHLHMIDKRD
+>O95251_PF01530_184 <unknown description>
+KCPTPGCNSLGHLTGKHERHFSISGCPLY
+>O95251_PF17772_337 <unknown description>
+IKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKC
+>O95251_PF01853_393 <unknown description>
+PPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEADNT
+GCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPERPLS
+DLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYWKG
+>Q9H7Z6_PF11717_55 <unknown description>
+VEIGETYLCRRPDSTWHSAEVIQSRVNDQEGREEFYVHYVGFNRRLDEWVDKNRLA
+>Q9H7Z6_PF17772_176 <unknown description>
+VKYVDKIHIGNYEIDAWYFSPFPEDYGKQPKLWLCEYCLKYMKYEKSYRFHLGQC
+>Q9H7Z6_PF01853_235 <unknown description>
+PPGKEIYRKSNISVYEVDGKDHKIYCQNLCLLAKLFLDHKTLYFDVEPFVFYILTEVDRQ
+GAHIVGYFSKEKESPDGNNVACILTLPPYQRRGYGKFLIAFSYELSKLESTVGSPEKPLS
+DLGKLSYRSYWSWVLLEILRDFRGTLSIKDLSQMTSITQNDIISTLQSLNMVKYWKGQ
+>O60303_PF14652_980 <unknown description>
+DIKSTWGDRHYVGLNGIEIFSSKGEPVQISNIKADPPDINILPAYGKDPRVVTNLIDGVN
+RTQDDMHVWLAPFTRGRSHSITIDFTHPCHVALIRIWNYNKSRIHSFRGVKDITMLLDTQ
+CIFEGEIAKASGTLAGAPEHFGDTILFTTDDD
+>O60303_PF14652_1214 <unknown description>
+LNFTASWGDLHYLGLTGLEVVGKEGQALPIHLHQISASPRDLNELPEYSDDSRALDKLID
+GTNITMEDEHMWLIPFSPGLDHVVTIRLDRAESIAGLRFWNYNKSPEDTYRGAKIVHVSL
+DGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYLRAQLLPQPARRLDMRSLECASMDYEA
+PLMPCGFIFQFQLLTSWGDPYYIGLTGLELYDERGEKIPLSENNIAAFPDSVNSLEGVGG
+DVRTPDKLIDQVNDTSDGRHMWLAPILPGLVNRVYVIFDLPTTVSMIKLWNYAKTPHRGV
+KEFGLLVDDLLVYNGILAM
+>Q9BW62_PF00004_244 <unknown description>
+VLMVGPPGTGKTMLAKAVATECGTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYAPTT
+IFIDEIDSICSRRGTSDEHEASRRVKSELLIQMDGVGGALENDDPSKMVMVLAATNFPWD
+IDEALRRRLEKRIYIPL
+>Q9BW62_PF17862_402 <unknown description>
+DIQLEDIAEKIEGYSGADITNVCRDASLMAMRRRINGL
+>Q9BW62_PF09336_449 <unknown description>
+KEELQMPVTKGDFELALKKIAKSVSAADLEKYEKWMVEFG
+>Q8IYT4_PF08513_27 <unknown description>
+KNLLILISHYLTQEGYIDTANALEQET
+>Q8IYT4_PF00004_290 <unknown description>
+LLLYGPPGTGKTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELARYHAPST
+IFLDELESVMSQRGTASGGEHEGSLRMKTELLVQMDGLARSEDLVFVLAASNLPWELDCA
+MLRRLEKRILVD
+>Q8IYT4_PF17862_456 <unknown description>
+LSQETEGYSGSDIKLVCREAAMRPVRKIFDALE
+>Q96I82_PF00219_53 <unknown description>
+CAPCRPEECAAPRGCLAGRVRDACGCCWECANLEGQLCDLDPSAHFYGHCGEQLEC
+>Q96I82_PF07648_126 <unknown description>
+CACRSQSPLCGSDGHTYSQICRLQEAARARPDANLTVAHPGPC
+>Q96I82_PF13927_172 <unknown description>
+PQIVSHPYDTWNVTGQDVIFGCEVFAYPMASIEWRKDGLDIQLPGDDPHISVQFRGGPQR
+FEVTGWLQIQAVRPSDEGTYRCLGRN
+>Q674X7_PF00536_444 <unknown description>
+SHWKAGTVQAWLEVVMAMPMYVKACTENVKSGKVLLSLSDEDLQLGLGVCSSLHRRKLRL
+AIEDY
+>Q674X7_PF00536_530 <unknown description>
+KAWLNDIGLSQYSQAFQNHLVDGRMLNSLMKRDLEKHLNVSKKFHQVSILLGIE
+>Q674X7_PF07647_609 <unknown description>
+PVVWTNQRVLKWVRDIDLKEYADNLTNSGVHGAVLVLEPTFNAEAMATALGIPSGKHI
+>O75600_PF00155_67 <unknown description>
+ILNFCANNYLGLSSHPEVIQAGLQALEEFGAGLSSVRFICGTQSIHKNLEAKIARFHQRE
+DAILYPSCYDANAGLFEALLTPEDAVLSDELNHASIIDGIRLCKAHKYRYRHLDMADLEA
+KLQEAQKHRLRLVATDGAFSMDGDIAPLQEICCLASRYGALVFMDECHATGFLGPTGRGT
+DELLGVMDQVTIINSTLGKALGGASGGYTTGPGPLVSLLRQRARPYLFSNSLPPAVVGCA
+SKALDLLMGSNTIVQSMAAKTQRFRSKMEAAGFTISGASHPICPVMLGDARLASRMADDM
+LKRGIFVIGFSYPVVPKGKARIRVQISAVHSEEDIDRCVEAF
+>Q96EK5_PF12309_247 <unknown description>
+IEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKISATEDTPEAEGEVPELYHQR
+KGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESIRKKAVQFG
+TGELCDAISAVEEKVSYLRPLDFEEARELFLLGQHYVFEAKEFFQIDGYVTDHIEVVQDH
+SALFKVLAFFETDMERRCKMHKRRIAMLEPLTVDLNPQYYLLVNRQIQFEIAHAYYDMMD
+LKVAIADRLRDPDSHIVKKINNLNKSALKYYQLFLDSLRDPNKVFPEHIGEDVLRPAMLA
+KFRVARLYGKIITADPKKELENLATSLEHYKFIVDYCEKHPEAAQEIEVELELSKEMVSL
+LPTKMER
+>Q9NYS0_PF00071_6 <unknown description>
+KVVVCGLLSVGKTAILEQLLYGNHTIGMEDCETMEDVYMASVETDRGVKEQLHLYDTRGL
+QEGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGNKIDLS
+EQRQVDAEVAQQWAKSEKVRLWEVTVTDRKTLIEPFTLLASKL
+>Q9NYR9_PF00071_6 <unknown description>
+KVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFYDTRGL
+RDGAELPRHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGNKCDLQ
+EQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFVYLASKMT
+>Q8IY47_PF00651_24 <unknown description>
+FYEQQLFTDIVLIVEGTEFPCHKMVLATCSSYFRAMFMSGLSESKQTHVHLRNVDAATLQ
+IIITYAYTGNLAMNDSTVEQLYETACFLQVEDVLQRCREYLIKK
+>Q8IY47_PF07707_133 <unknown description>
+CVRLLSFADLFSCEELKQSAKRMVEHKFTAVYHQDAFMQLSHDLLIDILSSDNLNVEKEE
+TVREAAMLWLEYNTESRSQYLSSVLSQIRIDALSEVT
+>Q8IY47_PF01344_373 <unknown description>
+PSLVCCEGYIYAIGGDSVGGELNRRTVERYDTEKDEWTMVSPLP
+>Q8NAB2_PF00651_42 <unknown description>
+LQNFREQNVFYDFKIIMKDEIIPCHRCVLAACSDFFRAMFEVNMKERDDGSVTITNLSSK
+AVKAFLDYAYTGKTKITDDNVEMFFQLSSFLQVSFLSKACSDFLIKS
+>Q8NAB2_PF07707_154 <unknown description>
+CLQLLSISDSYGSTSLFDHALHFVQHHFSLLFKSSDFLEMNFGVLQKCLESDELNVPEEE
+MVLKVVLSWTKHNLESRQKYLPHLIEKVRLHQLSEETLQDC
+>Q8NAB2_PF01344_392 <unknown description>
+PRTMHTSVMALDRLFVIGGKTRGSRDIKSLLDVESYNPLSKEWISVSPLP
+>Q8NAB2_PF01344_543 <unknown description>
+NAGAIGIEDKIYILGGDYAPDEITDEVQVYHSNRSEWEEVSPMP
+>Q9NVX7_PF00651_54 <unknown description>
+CLEEELFADVTISVEGREFQLHRLVLSAQSCFFRSMFTSNLKEAHNRVIVLQDVSESVFQ
+LLVDYIYHGTVKLRAEELQEIYEVSDMYQLTSLFEECSRFLA
+>Q9NVX7_PF07707_163 <unknown description>
+CLQVMWLADRHSDPELYTAAKHCAKTHLAQLQNTEEFLHLPHRLLTDIISDGVPCSQNPT
+EAIEAWINFNKEEREAFAESL
+>Q9NVX7_PF13964_334 <unknown description>
+RLQHTLVSVPGKDAIYSLGGKTLQDTLSNAVIYYRVGDNVWTETTQLEV
+>Q86V97_PF00651_54 <unknown description>
+KSFYDARLLCDVTIEVVTPGSGPGTGRLFPCNRNVLAAACPYFKSMFTGGMYESQQASVT
+MHDVDAESFEVLVDYCYTGRVSLSEANVERLYAASDMLQLEYVREACASFLAR
+>Q86V97_PF07707_173 <unknown description>
+CTAILKFADAFGHRKLRSQAQSYIAQNFKQLSHMGSIREETLADLTLAQLLAVLRLDSLD
+VESEQTVCHVAVQWLEAAPKERGPSAAEVFKCVRWMHFTEE
+>Q86V97_PF01344_429 <unknown description>
+DVAYLNGYIYILGGRDPITGVKLKEVECYNVKRNQWALVAPLP
+>Q8WVZ9_PF00651_54 <unknown description>
+KSFYDARLLCDVTIEVVTPGSGPGTGRLFSCNRNVLAAACPYFKSMFTGGMYESQQASVT
+MHDVDAESFEVLVDYCYTGRVSLSEANVQRLYAASDMLQLEYVREACASFLAR
+>Q8WVZ9_PF07707_173 <unknown description>
+CTAILKFADAFDHHKLRSQAQSYIAHNFKQLSRMGSIREETLADLTLAQLLAVLRLDSLD
+IESERTVCHVAVQWLEAAAKERGPSAAEVFKCVRWMHFTEE
+>Q8WVZ9_PF01344_429 <unknown description>
+DVAYLNGYIYILGGRDPITGVKLKEVECYSVQRNQWALVAP
+>Q8NFY9_PF00651_40 <unknown description>
+KTMYDEGQLTDIVVEVDHGKTFSCHRNVLAAISPYFRSMFTSGLTESTQKEVRIVGVEAE
+SMDLVLNYAYTSRVILTEANVQALFTAASIFQIPSIQDQCAKYMISH
+>Q8NFY9_PF07707_153 <unknown description>
+IGVFIFADHYGHQELGDRSKEYIRKKFLCVTKEQEFLQLTKDQLISILDSDDLNVDREEH
+VYESIIRWFEHEQNEREVHLPEIFAKCIRFPLMEDTFIEK
+>Q8NFY9_PF01344_331 <unknown description>
+VSPDNDIYIAGGYRPSSSEVSIDHKAENDFWMYDHSTNRWLSKPSL
+>Q8NFY9_PF01344_379 <unknown description>
+ARIGCKLVYCCGKMYAIGGRVYEGDGRNSLKSVECYDSRENCWTTV
+>Q8NFY9_PF01344_476 <unknown description>
+AAVYKDSIYYIAGTCGNHQRMFTVEAYDIELNKWTRKKD
+>O94819_PF00651_140 <unknown description>
+PDLVLEVSGRRLRAHKAVLAARSDYFRARASRDVLRVQGVSLTALRLLLADAYSGRMAGV
+RPDNVAEVVAGARRLQLPGAAQRATD
+>O94819_PF01344_348 <unknown description>
+PARGCGLCVLYNYLFVAGGVAPAGPDGRARPSDQVFCYNPATDSWSAVRPLR
+>O94819_PF01344_402 <unknown description>
+RSQLRLLALDGHLYAVGGECLLSVERYDPRADRWAPVAPLP
+>Q3ZCT8_PF00651_24 <unknown description>
+MKELAEMIDVVLTAEGEKFPCHRLVLAAFSPYFKAMFTCGLLECNQREVILYDITAESVS
+VLLNYMYNAALEINNANVQTVAMAAYFMQMEEVFSVCQKYM
+>Q3ZCT8_PF07707_133 <unknown description>
+CLGIYYFAKQIGAEDLSDRSKKYLYQHFAEVSLHEEILEIEVHQFLTLIKSDDLNISREE
+SILDLVLRWVNHNKELRTVHLVELLKQVRLELVNPSFLRQAL
+>Q3ZCT8_PF01344_378 <unknown description>
+YALGSIHNDLYVIGGQMKIKNQYLITNCVDKYSVERDNWKRVSPLP
+>Q3ZCT8_PF01344_427 <unknown description>
+ACHAVVTVNNKLYVIGGWTPQMDLPDEEPDRLSNKLLQYDPSQDQWSVRAPM
+>Q3ZCT8_PF01344_483 <unknown description>
+YRFSTAVVNSEIYVLGGIGCVGQDKGQVRKCLDVVEIYNPDGDFWREGPPMP
+>Q3ZCT8_PF01344_546 <unknown description>
+NAGAVDGKLYVCGGFHGADRHEVISKEILELDPWENQWNVVA
+>C9JR72_PF00651_9 <unknown description>
+VQVWVGGQLFQADRALLVEHCGFFRGLFRSGMRETRAAEVRLGVLSAGGFRATLQVLRGD
+RPALAAEDELLQAVECAAFLQAPALARFLEHNLTS
+>C9JR72_PF01344_201 <unknown description>
+LAGVATLGNKLYIVGGVRGASKEVVELGFCYDPDGGTWHEFPSP
+>C9JR72_PF01344_247 <unknown description>
+PRYDTALAGFDGRLYAIGGEFQRTPISSVERYDPAAGCWSFVADLP
+>Q8N752_PF00069_17 <unknown description>
+YKLVRKIGSGSFGDVYLGITTTNGEDVAVKLESQKVKHPQLLYESKLYTILQGGVGIPHM
+HWYGQEKDNNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQMISRIEYVHTKNFLHRD
+IKPDNFLMGTGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKHLIGTVRYASINAHLGI
+EQSRRDDMESLGYVFMYFNRTSLPWQGLRAMTKKQKY
+>P48729_PF00069_17 <unknown description>
+YKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYESKLYKILQGGVGIPHI
+RWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQMISRIEYVHTKNFIHRD
+IKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKNLTGTARYASINAHLGI
+EQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEK
+>P48730_PF00069_9 <unknown description>
+YRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQGGVGIPTI
+RWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIHSKNFIHRD
+VKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYASINTHLGI
+EQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQK
+>P49674_PF00069_9 <unknown description>
+YRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQGGVGIPSI
+KWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIHSKNFIHRD
+VKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYASINTHLGI
+EQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQK
+>Q9HCP0_PF00069_45 <unknown description>
+RVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQV
+YYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRD
+VKPENFLIGRQGNKKEHVIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHL
+GKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKE
+>Q9HCP0_PF12605_332 <unknown description>
+PIPTPVGSVHVDSGASAITRESHTHRDRPSQQQPLRN
+>Q9HCP0_PF12605_369 <unknown description>
+QVVSSTNGELNVDDPTGAHSNAPI
+>P78368_PF00069_47 <unknown description>
+RVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSATEGVPQVY
+YFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLITRMEYVHTKSLIYRDV
+KPENFLVGRPGTKRQHAIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLG
+KEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLK
+>P78368_PF12605_359 <unknown description>
+SKNQALNSTNGELNADDPTAGHSNAPI
+>Q16322_PF02214_88 <unknown description>
+VIINIAGLRFETQLRTLSQFPETLLGDREKRMQFFDSMRNEYFFDRNRPSFDGILYYYQS
+GGKIRRPANVPIDIFADEISFYELGSEAMDQF
+>Q16322_PF00520_218 <unknown description>
+VAVVSVLVVVISITIFCLETLPEFREDRELKVVRDPNLNMSKTVLSQTMFTDPFFMVEST
+CIVWFTFELVLRFVVCPSKTDFFRNIMNIIDIISIIPYFATLITELVQETEPSAQQNMSL
+AILRIIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAE
+VDEPESHFSSIPDGFWWAVVTMTTVGYGDMCPTTPGGKIVGTLCAIAGVLTIALPVPVIV
+SNFNYFYHRE
+>Q14722_PF00248_104 <unknown description>
+LGLGTWVTFGGQISDEVAERLMTIAYESGVNLFDTAEVYAAGKAEVILGSIIKKKGWRRS
+SLVITTKLYWGGKAETERGLSRKHIIEGLKGSLQRLQLEYVDVVFANRPDSNTPMEEIVR
+AMTHVINQGMAMYWGTSRWSAMEIMEAYSVARQFNMIPPVCEQAEYHLFQREKVEVQLPE
+LYHKIGVGAMTWSPLACGIISGKYGNGVPESSRASLKCYQWLKERIVSEEGRKQQNKLKD
+LSPIAERLGCTLPQLAVAWCLRNEGVSSVLLGSSTPEQLIENLGAIQVLPKMTSHVVNEI
+DNI
+>Q13303_PF00248_85 <unknown description>
+LGLGTWVTFGGQITDEMAEQLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRS
+SLVITTKIFWGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEGDPF
+SSSKSRTFIIEETVRAMTHVINQGMAMYWGTSRWSSMEIMEAYSVARQFNLTPPICEQAE
+YHMFQREKVEVQLPELFHKIGVGAMTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDK
+ILSEEGRRQQAKLKELQAIAERLGCTLPQLAIAWCLRNEGVSSVLLGASNADQLMENIGA
+IQVLPKLSSSIIHEIDSI
+>O43448_PF00248_93 <unknown description>
+LGLGTWVTFGSQISDETAEDVLTVAYEHGVNLFDTAEVYAAGKAERTLGNILKSKGWRRS
+SYVITTKIFWGGQAETERGLSRKHIIEGLRGSLERLQLGYVDIVFANRSDPNCPMEEIVR
+AMTYVINQGLALYWGTSRWGAAEIMEAYSMARQFNLIPPVCEQAEHHLFQREKVEMQLPE
+LYHKIGVGSVTWYPLACGLITSKYDGRVPDTCRASIKGYQWLKDKVQSEDGKKQQAKVMD
+LLPVAHQLGCTVAQLAIAWCLRSEGVSSVLLGVSSAEQLIEHLGALQVLSQLTPQTVMEI
+DG
+>Q14012_PF00069_22 <unknown description>
+FRDVLGTGAFSEVILAEDKRTQKLVAIKCIAKEALEGKEGSMENEIAVLHKIKHPNIVAL
+DDIYESGGHLYLIMQLVSGGELFDRIVEKGFYTERDASRLIFQVLDAVKYLHDLGIVHRD
+LKPENLLYYSLDEDSKIMISDFGLSKMEDPGSVLSTACGTPGYVAPEVLAQKPYSKAVDC
+WSIGVIAYILLCGYPPFYDENDAKLFEQILKAEYEFDSPYWDDISDSAKDFIRHLMEKDP
+EKRFTCEQALQHPWI
+>Q6P2M8_PF00069_15 <unknown description>
+YEIRERLGSGAFSEVVLAQERGSAHLVALKCIPKKALRGKEALVENEIAVLRRISHPNIV
+ALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQVLGAVSYLHSLGIVH
+RDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVAPELLEQKPYGKAVD
+VWALGVISYILLCGYPPFYDESDPELFSQILRASYEFDSPFWDDISESAKDFIRHLLERD
+PQKRFTCQQALRHLWI
+>Q8IU85_PF00069_23 <unknown description>
+FEFKETLGTGAFSEVVLAEEKATGKLFAVKCIPKKALKGKESSIENEIAVLRKIKHENIV
+ALEDIYESPNHLYLVMQLVSGGELFDRIVEKGFYTEKDASTLIRQVLDAVYYLHRMGIVH
+RDLKPENLLYYSQDEESKIMISDFGLSKMEGKGDVMSTACGTPGYVAPEVLAQKPYSKAV
+DCWSIGVIAYILLCGYPPFYDENDSKLFEQILKAEYEFDSPYWDDISDSAKDFIRNLMEK
+DPNKRYTCEQAARHPWI
+>Q96NX5_PF00069_25 <unknown description>
+FMEVLGSGAFSEVFLVKQRLTGKLFALKCIKKSPAFRDSSLENEIAVLKKIKHENIVTLE
+DIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDASLVIQQVLSAVKYLHENGIVHRDL
+KPENLLYLTPEENSKIMITDFGLSKMEQNGIMSTACGTPGYVAPEVLAQKPYSKAVDCWS
+IGVITYILLCGYPPFYEETESKLFEKIKEGYYEFESPFWDDISESAKDFICHLLEKDPNE
+RYTCEKALSHPWI
+>Q9UQM7_PF00069_13 <unknown description>
+YQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLEREARICRLLKHPNI
+VRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQILEAVLHCHQMGVV
+HRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYLSPEVLRKDPYGK
+PVDLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTVTPEAKDLINKML
+TINPSKRITAAEALKHPWI
+>Q9UQM7_PF08332_357 <unknown description>
+RKQEIIKVTEQLIEAISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSR
+NSKPVHTTILNPHIHLMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIV
+HFHRSGAP
+>Q13554_PF00069_14 <unknown description>
+YQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLEREARICRLLKHSNI
+VRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQILEAVLHCHQMGVV
+HRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGYLSPEVLRKEAYGK
+PVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDTVTPEAKNLINQML
+TINPAKRITAHEALKHPWV
+>Q13554_PF08332_534 <unknown description>
+RKQEIIKTTEQLIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAK
+NSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNV
+HFHCSGAP
+>Q16566_PF00069_46 <unknown description>
+FEVESELGRGATSIVYRCKQKGTQKPYALKVLKKTVDKKIVRTEIGVLLRLSHPNIIKLK
+EIFETPTEISLVLELVTGGELFDRIVEKGYYSERDAADAVKQILEAVAYLHENGIVHRDL
+KPENLLYATPAPDAPLKIADFGLSKIVEHQVLMKTVCGTPGYCAPEILRGCAYGPEVDMW
+SVGIITYILLCGFEPFYDERGDQFMFRRILNCEYYFISPWWDEVSLNAKDLVRKLIVLDP
+KKRLTTFQALQHPWV
+>Q693B1_PF02214_17 <unknown description>
+VTLNVGGTLYSTTLETLTRFPDSMLGAMFRAGTPMPPNLNSQGGGHYFIDRDGKAFRHIL
+NFLRLGRLDLPRGYGETALLRAEADFYQIRPL
+>Q693B1_PF19329_127 <unknown description>
+ALLHADVDVSPRLVHFSARRGPHHYELSSVQVDTFRANLFCTDSECLGALRARFGVASGD
+RAEGSPHFHLEWAPRPVELPEVEYGRLGLQPLWTGGPGERREVVGTPSFLEEVLRVALEH
+GFRLDSVFPDPEDLLNSRSLRFVR
+>Q96CX2_PF02214_36 <unknown description>
+VELNVGGQVYVTRRCTVVSVPDSLLWRMFTQQQPQELARDSKGRFFLDRDGFLFRYILDY
+LRDLQLVLPDYFPERSRLQREAEYFELPEL
+>Q9BQ13_PF02214_35 <unknown description>
+VELNVGGEFHTTTLGTLRKFPGSKLAEMFSSLAKASTDAEGRFFIDRPSTYFRPILDYLR
+TGQVPTQHIPEVYREAQFYEIKPL
+>Q96SI1_PF02214_58 <unknown description>
+VHIDVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYVLSFL
+RTSKLLLPDDFKDFSLLYEEARYYQLQPM
+>Q68DU8_PF02214_27 <unknown description>
+VELNVGGQVYFTRHSTLISIPHSLLWKMFSPKRDTANDLAKDSKGRFFIDRDGFLFRYIL
+DYLRDRQVVLPDHFPEKGRLKREAEYFQLPDL
+>Q8N5Z5_PF02214_26 <unknown description>
+VRLNVGGTVFLTTRQTLCREQKSFLSRLCQGEELQSDRDETGAYLIDRDPTYFGPILNFL
+RHGKLVLDKDMAEEGVLEEAEFYNIGPL
+>Q6PI47_PF02214_14 <unknown description>
+LRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLKTDESGACVIDRDGRLFKYLLDYLH
+GEVQIPTDEQTRIALQEEADYFGIP
+>Q6PI47_PF19321_114 <unknown description>
+YSLRSNIELKKALTDFCDSYGLVCNKPTVWVLHYLNTSGASCESRIIGVYATKTDGTDAI
+EKQLGGRIHSKGIFKREAGNNVQYIWSYYSVAELKKMMDAFDAWEGKGVSYWRVPHELIE
+CWTLEERPLLGSLRHMAPIRKRRLITFNEADESVNYKTGPKPVRFLGPSTSTQIKVKNSA
+SVTVSPASAIQTSAGATANRFQSGSRRKAAQRSAPSRATALVGTGAPGHPQASPGAASAE
+NGGTHLPPAKVLLSDKKPTPQRVIKLKRTPLCATAPCLPSPTATRQANSLKPLPGEAARA
+LGVRTENGKNKG
+>Q17RG1_PF02214_17 <unknown description>
+FNVGGWHFSVPRSKLSQFPDSLLWKEASALTSSESQRLFIDRDGSTFRHVHYYLYTSKLS
+FSSCA
+>Q7Z5Y7_PF16017_118 <unknown description>
+KVTLLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYEIAEGISATVFRT
+VLDYYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALL
+>Q4G0X4_PF02214_5 <unknown description>
+ITLNVGGKLYTTSLATLTSFPDSMLGAMFSGKMPTKRDSQGNCFIDRDGKVFRYILNFLR
+TSHLDLPEDFQEMGLLRREADFYQVQPLI
+>Q4G0X4_PF19329_107 <unknown description>
+EKNAMLNITLNQRVQTVHFTVREAPQIYSLSSSSMEVFNANIFSTSCLFLKLLGSKLFYC
+SNGNLSSITSHLQDPNHLTLDWVANVEGLPEEEYTKQNLKRLWVVPANKQINSFQVFVEE
+VLKIALSDGFCIDSSHPHALDFMNNKIIRLIRYR
+>Q9NZI2_PF13833_66 <unknown description>
+SGVVNEDTFKQIYAQFFPHGDASTYAHYLFNAFDTTQTGSVKFEDFVTAL
+>Q9NZI2_PF13499_125 <unknown description>
+EKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKEDTPRQHVDVFFQKMDK
+NKDGIVTLDEFLES
+>Q9NS61_PF13833_120 <unknown description>
+SGIVNEENFKQIYSQFFPQGDSSTYATFLFNAFDTNHDGSVSFEDFVA
+>Q9NS61_PF13499_179 <unknown description>
+DRLNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDR
+NKDGVVTIEEFIES
+>Q6PIL6_PF13833_100 <unknown description>
+SGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHNGAVSFEDFIK
+>Q6PIL6_PF13499_159 <unknown description>
+EKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQKMDK
+NKDGVVTIDEFIES
+>P78508_PF01007_32 <unknown description>
+TKDGRSNVRMEHIADKRFLYLKDLWTTFIDMQWRYKLLLFSATFAGTWFLFGVVWYLVAV
+AHGDLLELDPPANHTPCVVQVHTLTGAFLFSLESQTTIGYGFRYISEECPLAIVLLIAQL
+VLTTILEIFITGTFLAKIARP
+>P78508_PF17655_179 <unknown description>
+IRFSQHAVVASHNGKPCLMIRVANMRKSLLIGCQVTGKLLQTHQTKEGENIRLNQVNVTF
+QVDTASDSPFLILPLTFYHVVDETSPLKDLPLRSGEGDFELVLILSGTVESTSATCQVRT
+SYLPEEILWGYEFTPAISLSASGKYIADFSLFDQVVKVASPS
+>Q14654_PF01007_36 <unknown description>
+VSKKGNCNVAHKNIREQGRFLQDVFTTLVDLKWPHTLLIFTMSFLCSWLLFAMAWWLIAF
+AHGDLAPSEGTAEPCVTSIHSFSSAFLFSIEVQVTIGFGGRMVTEECPLAILILIVQNIV
+GLMINAIMLGCIFMKTAQ
+>Q14654_PF17655_181 <unknown description>
+LIFSKHAVIALRHGRLCFMLRVGDLRKSMIISATIHMQVVRKTTSPEGEVVPLHQVDIPM
+ENGVGGNSIFLVAPLIIYHVIDANSPLYDLAPSDLHHHQDLEIIVILEGVVETTGITTQA
+RTSYLADEILWGQRFVPIVAEEDGRYSVDYSKFGNTVKVPTPLCTARQLDED
+>Q14500_PF08466_3 <unknown description>
+AASRANPYSIVSSEEDGLHLVTMSGANGFGNGKVHTRRRCRNRF
+>Q14500_PF01007_47 <unknown description>
+VKKNGQCNIEFANMDEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGIIFWVIA
+VAHGDLEPAEGRGRTPCVMQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQS
+IVGCIIDSFMIGAIMAKMARP
+>Q14500_PF17655_194 <unknown description>
+LLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEEGEYIPLDQIDIDV
+GFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILEGMVEATAMTTQAR
+SSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAKDLVEN
+>O60928_PF01007_21 <unknown description>
+VTKDGHSTLQMDGAQRGLAYLRDAWGILMDMRWRWMMLVFSASFVVHWLVFAVLWYVLAE
+MNGDLELDHDAPPENHTICVKYITSFTAAFSFSLETQLTIGYGTMFPSGDCPSAIALLAI
+QMLLGLMLEAFITGAFVAKIARP
+>O60928_PF17655_170 <unknown description>
+IRFTDTAVVAHMDGKPNLIFQVANTRPSPLTSVRVSAVLYQERENGKLYQTSVDFHLDGI
+SSDECPFFIFPLTYYHSITPSSPLATLLQHENPSHFELVVFLSAMQEGTGEICQRRTSYL
+PSEIMLHHCFASLLTRGSKGEYQIKMENFDKTVPEFPTPLVS
+>Q9UNX9_PF01007_53 <unknown description>
+VKKDGHCNVRFVNLGGQGARYLSDLFTTCVDVRWRWMCLLFSCSFLASWLLFGLAFWLIA
+SLHGDLAAPPPPAPCFSHVASFLAAFLFALETQTSIGYGVRSVTEECPAAVAAVVLQCIA
+GCVLDAFVVGAVMAKMAKP
+>Q9UNX9_PF17655_198 <unknown description>
+LVFSENAVVALRDHRLCLMWRVGNLRRSHLVEAHVRAQLLQPRVTPEGEYIPLDHQDVDV
+GFDGGTDRIFLVSPITIVHEIDSASPLYELGRAELARADFELVVILEGMVEATAMTTQCR
+SSYLPGELLWGHRFEPVLFQRGSQYEVDYRHFHRTYEVPGTPVCSAKELDER
+>Q99712_PF01007_30 <unknown description>
+MSKSGHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVIYYAIA
+FIHGDLEPGEPISNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQ
+LVITTLIEIFITGTFLAKIARP
+>Q99712_PF17655_178 <unknown description>
+IKFSHCAVITKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGERILLNQATVKF
+HVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSR
+TSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQIRKSPDCTFYCADSEK
+>Q9NPI9_PF01007_38 <unknown description>
+HKDGSCNVYFKHIFGEWGSYVVDIFTTLVDTKWRHMFVIFSLSYILSWLIFGSVFWLIAF
+HHGDLLNDPDITPCVDNVHSFTGAFLFSLETQTTIGYGYRCVTEECSVAVLMVILQSILS
+CIINTFIIGAALAKMAT
+>Q9NPI9_PF17655_182 <unknown description>
+IRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYTEDSEGRMTMAFKDLKLV
+NDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYVP
+REILWGHRFNDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKD
+>B7U540_PF08466_3 <unknown description>
+AASRANPYSIVSLEEDGLHLVTMSGANGFGNGKVHTRRRCRNRF
+>B7U540_PF01007_47 <unknown description>
+VKKNGQCNIAFANMDEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGVIFWVIA
+VAHGDLEPAEGHGRTPCVMQVHGFMAAFLFSIETQTTIGYGLRCVTEECLVAVFMVVAQS
+IVGCIIDSFMIGAIMAKMARP
+>B7U540_PF17655_194 <unknown description>
+LLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEEGEYIPLDQIDIDV
+GFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILEGMVEATAMTTQAR
+SSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAKDLVEN
+>Q12791_PF00520_182 <unknown description>
+VLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLW
+FWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLV
+NLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAK
+TTLGRLFMVFFILGGLAMFASYVPEIIELI
+>Q12791_PF03493_546 <unknown description>
+DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY
+LSSAFVGLSFPTVCELCFVKLKLLMIAI
+>Q16558_PF03185_8 <unknown description>
+AQKRGETRALCLGVTMVVCAVITYYILVTTVLPLYQKSVWTQESKCHLIETNIRDQEELK
+GKKVPQYPCLWVNVSAAGRWAVLYHTEDTRDQNQQCSYIPGSVDNYQTARADVEKVRAKF
+QEQQVFYCFSAPRGNETSVLFQRLYGPQALLFSLFWPTFLLTGGLLIIAMVKSNQYLSIL
+AA
+>Q9Y691_PF09303_1 <unknown description>
+MFIWTSGRTSSSYRHDEKRNIYQKIRDHDLLD
+>Q9Y691_PF03185_39 <unknown description>
+ALKAGEDRAILLGLAMMVCSIMMYFLLGITLLRSYMQSVWTEESQCTLLNASITETFNCS
+FSCGPDCWKLSQYPCLQVYVNLTSSGEKLLLYHTEETIKINQKCSYIPKCGKNFEESMSL
+VNVVMENFRKYQHFSCYSDPEGNQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVK
+LTQYLSLLCER
+>Q9NPA1_PF03185_47 <unknown description>
+SSAGEDRAVMLGFAMMGFSVLMFFLLGTTILKPFMLSIQREESTCTAIHTDIMDDWLDCA
+FTCGVHCHGQGKYPCLQVFVNLSHPGQKALLHYNEEAVQINPKCFYTPKCHQDRNDLLNS
+ALDIKEFFDHKNGTPFSCFYSPASQSEDVILIKKYDQMAIFHCLFWPSLTLLGGALIVGM
+VRLTQHLSLLCEK
+>Q86W47_PF03185_9 <unknown description>
+EYTEAEDKSIRLGLFLIISGVVSLFIFGFCWLSPALQDLQATEANCTVLSVQQIGEVFEC
+TFTCGADCRGTSQYPCVQVYVNNSESNSRALLHSDEHQLLTNPKCSYIPPCKRENQKNLE
+SVMNWQQYWKDEIGSQPFTCYFNQHQRPDDVLLHRTHDEIVLLHCFLWPLVTFVVGVLIV
+VLTICAKSLAVKAE
+>Q9P0J7_PF00569_4 <unknown description>
+HEGVSCDACLKGNFRGRRYKCLICYDYDLCASCYESGATTTRHT
+>Q9P0J7_PF05605_76 <unknown description>
+QSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVICPICAALPGGDPNHVTDDFAAHLTLE
+HR
+>Q09470_PF02214_39 <unknown description>
+VVINISGLRFETQLKTLAQFPNTLLGNPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQS
+GGRLRRPVNVPLDMFSEEIKFYELGEEAMEKF
+>Q09470_PF00520_167 <unknown description>
+RVIAIVSVMVILISIVIFCLETLPELKDDKDFTGTVHRIDNTTVIYNSNIFTDPFFIVET
+LCIIWFSFELVVRFFACPSKTDFFKNIMNFIDIVAIIPYFITLGTEIAEQEGNQKGEQAT
+SLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYF
+AEAEEAESHFSSIPDAFWWAVVSMTTVGYGDMYPVTIGGKIVGSLCAIAGVLTIALPVPV
+IVSNFNYFYHRE
+>P16389_PF02214_35 <unknown description>
+VVINISGLRFETQLKTLAQFPETLLGDPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQS
+GGRLRRPVNVPLDIFSEEIRFYELGEEAMEM
+>P16389_PF00520_163 <unknown description>
+RIIAIVSVMVILISIVSFCLETLPIFRDENEDMHGSGVTFHTYSNSTIGYQQSTSFTDPF
+FIVETLCIIWFSFEFLVRFFACPSKAGFFTNIMNIIDIVAIIPYFITLGTELAEKPEDAQ
+QGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILF
+SSAVYFAEADERESQFPSIPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTI
+ALPVPVIVSNFNYFYHRE
+>P22001_PF02214_106 <unknown description>
+VVINISGLRFETQLKTLCQFPETLLGDPKRRMRYFDPLRNEYFFDRNRPSFDAILYYYQS
+GGRIRRPVNVPIDIFSEEIRFYQLGEEAMEKF
+>P22001_PF00520_237 <unknown description>
+AIVSVLVILISIVIFCLETLPEFRDEKDYPASTSQDSFEAAGNSTSGSRAGASSFSDPFF
+VVETLCIIWFSFELLVRFFACPSKATFSRNIMNLIDIVAIIPYFITLGTELAERQGNGQQ
+AMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAV
+YFAEADDPTSGFSSIPDAFWWAVVTMTTVGYGDMHPVTIGGKIVGSLCAIAGVLTIALPV
+PVIVSNFNYFYHRE
+>P22459_PF07941_1 <unknown description>
+MEVAMVSAESSGCNSHMPYGYAAQARARERERLAHSRAAAAAAVAAATAAVEGSGGSGGG
+SHHHHQSRGACTS
+>P22459_PF02214_178 <unknown description>
+VVINVSGLRFETQMKTLAQFPETLLGDPEKRTQYFDPLRNEYFFDRNRPSFDAILYYYQS
+GGRLKRPVNVPFDIFTEEVKFYQLGEEAL
+>P22459_PF00520_310 <unknown description>
+AIVSVLVILISIVIFCLETLPEFRDDRDLVMALSAGGHGGLLNDTSAPHLENSGHTIFND
+PFFIVETVCIVWFSFEFVVRCFACPSQALFFKNIMNIIDIVSILPYFITLGTDLAQQQGG
+GNGQQQQAMSFAILRIIRLVRVFRIFKLSRHSKGLQILGHTLRASMRELGLLIFFLFIGV
+ILFSSAVYFAEADEPTTHFQSIPDAFWWAVVTMTTVGYGDMKPITVGGKIVGSLCAIAGV
+LTIALPVPVIVSNFNYFYHRE
+>P22460_PF02214_122 <unknown description>
+VHINISGLRFETQLGTLAQFPNTLLGDPAKRLRYFDPLRNEYFFDRNRPSFDGILYYYQS
+GGRLRRPVNVSLDVFADEIRFYQLGDEAMER
+>P22460_PF00520_251 <unknown description>
+AIAIVSVLVILISIITFCLETLPEFRDERELLRHPPAPHQPPAPAPGANGSGVMAPPSGP
+TVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAGFSRNIMNIIDVVAIFPYFI
+TLGTELAEQQPGGGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQAS
+MRELGLLIFFLFIGVILFSSAVYFAEADNQGTHFSSIPDAFWWAVVTMTTVGYGDMRPIT
+VGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRE
+>P17658_PF02214_44 <unknown description>
+VINISGLRFETQLRTLSLFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSG
+GRLRRPVNVPLDIFLEEIRFYQLGDEALA
+>P17658_PF00520_177 <unknown description>
+AIVSVLVILISIVIFCLETLPQFRVDGRGGNNGGVSRVSPVSRGSQEEEEDEDDSYTFHH
+GITPGEMGTGGSSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKPAFFRNIM
+NIIDLVAIFPYFITLGTELVQQQEQQPASGGGGQNGQQAMSLAILRVIRLVRVFRIFKLS
+RHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDDDSLFPSIPDAFWWA
+VVTMTTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRE
+>Q96RP8_PF02214_16 <unknown description>
+VLNVAGLRFETRARTLGRFPDTLLGDPARRGRFYDDARREYFFDRHRPSFDAVLYYYQSG
+GRLRRPAHVPLDVFLEEVAFYGLGAAAL
+>Q96RP8_PF00520_143 <unknown description>
+RVLAVVSVLVILVSIVVFCLETLPDFRDDRDGTGLAAAAAAGPFPAPLNGSSQMPGNPPR
+LPFNDPFFVVETLCICWFSFELLVRLLVCPSKAIFFKNVMNLIDFVAILPYFVALGTELA
+RQRGVGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLRASMRELGLLIFFLFIG
+VVLFSSAVYFAEVDRVDSHFTSIPESFWWAVVTMTTVGYGDMAPVTVGGKIVGSLCAIAG
+VLTISLPVPVIVSNFSYFYHRE
+>Q14721_PF02214_33 <unknown description>
+VRLNVGGLAHEVLWRTLDRLPRTRLGKLRDCNTHDSLLEVCDDYSLDDNEYFFDRHPGAF
+TSILNFYRTGRLHMMEEMCALSFSQELDYWGIDEIYLESC
+>Q14721_PF00520_189 <unknown description>
+KILAIISIMFIVLSTIALSLNTLPELQSLDEFGQSTDNPQLAHVEAVCIAWFTMEYLLRF
+LSSPKKWKFFKGPLNAIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFRIMRILRIL
+KLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDDTKFKSIPASF
+WWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFYKEQ
+>Q14721_PF03521_467 <unknown description>
+KNGENMGKKDKVQDNHLSPNKWKWTKRTLSETSSSKSFETKEQGSPEKARSSSSPQHLNV
+QQLEDMYNKMAKTQSQPILNTKESAAQSKPKEELEMESIPSPVAPLPTRTEGVIDMRSMS
+SIDSFISCATDFPEATRFSHSPLTSLP
+>Q14721_PF03521_649 <unknown description>
+HSSFFIESPKSSMKTNNPLKLRALKVNFMEGD
+>Q92953_PF02214_37 <unknown description>
+VKINVGGLNHEVLWRTLDRLPRTRLGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAF
+TSILNFYRTGKLHMMEEMCALSFGQELDYWGIDEIYLESC
+>Q92953_PF00520_193 <unknown description>
+KILAIVSILFIVLSTIALSLNTLPELQETDEFGQLNDNRQLAHVEAVCIAWFTMEYLLRF
+LSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFRIMRILRIL
+KLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDATKFTSIPASF
+WWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFYKEQ
+>Q92953_PF03521_471 <unknown description>
+KAGESANTKDSADDNHLSPSRWKWARKALSETSSNKSFENKYQEVSQKDSHEQLNNTSSS
+SPQHLSAQKLEMLYNEITKTQPHSHPNPDCQEKPERPSAYEEEIEMEEVVCPQEQLAVAQ
+TEVIVDMKSTSSIDSFTSCATDFTETERSPLPPPSASHLQMKFPTDLPGTEEHQRARGPP
+FLTLSREKGPAARDGTLEYAPVDITVNLDASGSQCGLHSPLQSDNATDSPKSSLKGSNPL
+KSRSLKVNFKENRGSAPQTPPSTARPLPVTTADFSLTTPQHISTILLEE
+>P48547_PF02214_10 <unknown description>
+IVINVGGTRHQTYRSTLRTLPGTRLAWLAEPDAHSHFDYDPRADEFFFDRHPGVFAHILN
+YYRTGKLHCPADVCGPLYEEELAFWGIDETDVEPC
+>P48547_PF00520_190 <unknown description>
+RYVAFASLFFILVSITTFCLETHERFNPIVNKTEIENVRNGTQVRYYREAETEAFLTYIE
+GVCVVWFTFEFLMRVIFCPNKVEFIKNSLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGF
+LRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERI
+GAQPNDPSASEHTHFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIA
+MPVPVIVNNFGMYYS
+>Q96PR1_PF02214_10 <unknown description>
+VILNVGGTRHETYRSTLKTLPGTRLALLASSEPPGDCLTTAGDKLQPSPPPLSPPPRAPP
+LSPGPGGCFEGGAGNCSSRGGRASDHPGGGREFFFDRHPGVFAYVLNYYRTGKLHCPADV
+CGPLFEEELAFWGIDETDVEPC
+>Q96PR1_PF00520_229 <unknown description>
+RFIAFASLFFILVSITTFCLETHEAFNIVKNKTEPVINGTSVVLQYEIETDPALTYVEGV
+CVVWFTFEFLVRIVFSPNKLEFIKNLLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLR
+VVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERVGA
+QPNDPSASEHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMP
+VPVIVNNFGMYYS
+>Q14003_PF11404_1 <unknown description>
+MLSSVCVSSFRGRQGASK
+>Q14003_PF02214_90 <unknown description>
+IVINVGGVRHETYRSTLRTLPGTRLAGLTEPEAAARFDYDPGADEFFFDRHPGVFAYVLN
+YYRTGKLHCPADVCGPLFEEELGFWGIDETDVEAC
+>Q14003_PF00520_290 <unknown description>
+RYVAFASLFFILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLT
+YVEGVCVVWFTFEFLMRITFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDV
+LGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYA
+ERIGADPDDILGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVL
+TIAMPVPVIVNNFGMYYS
+>Q03721_PF11404_1 <unknown description>
+MISSVCVSSYRGRKSGNKPPSKTCLKEEM
+>Q03721_PF02214_38 <unknown description>
+IIINVGGTRHETYRSTLRTLPGTRLAWLADPDGGGRPETDGGGVGSSGSSGGGGCEFFFD
+RHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELTFWGIDETDVEPC
+>Q03721_PF00520_226 <unknown description>
+RVVAFASLFFILVSITTFCLETHEAFNIDRNVTEILRVGNITSVHFRREVETEPILTYIE
+GVCVLWFTLEFLVRIVCCPDTLDFVKNLLNIIDFVAILPFYLEVGLSGLSSKAARDVLGF
+LRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERI
+GARPSDPRGNDHTDFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIA
+MPVPVIVNNFGMYYS
+>Q9NSA2_PF11601_3 <unknown description>
+AGLATWLPFARAAAVGWLPLAQQPLPPAP
+>Q9NSA2_PF02214_43 <unknown description>
+VVNVSGRRFETWKNTLDRYPDTLLGSSEKEFFYDADSGEYFFDRDPDMFRHVLNFYRTGR
+LHCPRQECIQAFDEELAFYGLVPELVGDC
+>Q9NSA2_PF00520_187 <unknown description>
+VFYYVTGFFIAVSVIANVVETIPCRGSARRSSREQPCGERFPQAFFCMDTACVLIFTGEY
+LLRLFAAPSRCRFLRSVMSLIDVVAILPYYIGLLVPKNDDVSGAFVTLRVFRVFRIFKFS
+RHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGTNKTNFTSIPAAFWYT
+IVTMTTLGYGDMVPSTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQN
+>Q9NSA2_PF11879_471 <unknown description>
+RSAFEQQHHHLLHCLEKTTCHEFTDELTFSEALGAVSPGGRTSRSTSVSSQPVGPGSLLS
+SCCPRRAKRRAIRLANSTA
+>Q9NZV8_PF11601_3 <unknown description>
+AGVAAWLPFARAAAIGWMPVASGPMPAP
+>Q9NZV8_PF02214_43 <unknown description>
+IVLNVSGTRFQTWQDTLERYPDTLLGSSERDFFYHPETQQYFFDRDPDIFRHILNFYRTG
+KLHYPRHECISAYDEELAFFGLIPEIIGDC
+>Q9NZV8_PF00520_186 <unknown description>
+VFYYVTGFFIAVSVIANVVETVPCGSSPGHIKELPCGERYAVAFFCLDTACVMIFTVEYL
+LRLAAAPSRYRFVRSVMSIIDVVAILPYYIGLVMTDNEDVSGAFVTLRVFRVFRIFKFSR
+HSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPAAFWYTI
+VTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQN
+>Q9NZV8_PF11879_472 <unknown description>
+SSFETQHHHLLHCLEKTTNHEFVDEQVFEESCMEVATVNRPSSHSPSLSSQQGVTSTCCS
+RRHKKTFRIPNANV
+>Q9UK17_PF11601_3 <unknown description>
+AGVAAWLPFARAAAIGWMPVANCPMPLAP
+>Q9UK17_PF02214_42 <unknown description>
+IVLNVSGRRFQTWRTTLERYPDTLLGSTEKEFFFNEDTKEYFFDRDPEVFRCVLNFYRTG
+KLHYPRYECISAYDDELAFYGILPEIIGDC
+>Q9UK17_PF00520_184 <unknown description>
+VFYYVTGFFIAVSVITNVVETVPCGTVPGSKELPCGERYSVAFFCLDTACVMIFTVEYLL
+RLFAAPSRYRFIRSVMSIIDVVAIMPYYIGLVMTNNEDVSGAFVTLRVFRVFRIFKFSRH
+SQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPASFWYTIV
+TMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQN
+>Q9UK17_PF11879_469 <unknown description>
+TSLIESQHHHLLHCLEKTTGLSYLVDDPLLSVRTSTIKNHEFIDEQMFEQNCMESSMQNY
+PSTRSPSLSSHPGLTTTCCSRRSKKTTHLPNSNL
+>P15382_PF02060_1 <unknown description>
+MILSNTTAVTPFLTKLWQETVQQGGNMSGLARRSPRSSDGKLEALYVLMVLGFFGFFTLG
+IMLSYIRSKKLEHSNDPFNVYIESDAWQEKDKAYVQARVLESYRSCYVVENHLAIEQPNT
+HLPETK
+>Q9Y6J6_PF02060_30 <unknown description>
+TTAEQEALQAKVDAENFYYVILYLMVMIGMFSFIIVAILVSTVKSKRREHSNDPYHQYIV
+EDWQEKYKSQI
+>Q9Y6H6_PF02060_43 <unknown description>
+TEERRASLPGRDDNSYMYILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKN
+>Q8WWG9_PF02060_19 <unknown description>
+SPLESRAAGGGSGNGNEYFYILVVMSFYGIFLIGIMLGYMKSKRREKKS
+>Q9H3M0_PF02214_25 <unknown description>
+IVVNVGGVRQVLYGDLLSQYPETRLAELINCLAGGYDTIFSLCDDYDPGKREFYFDRDPD
+AFKCVIEVYYFGEVHMKKGICPICFKNEMDFWKVDLKFLDDC
+>Q9H3M0_PF00520_182 <unknown description>
+RVVAVLSFLLILVSSVVMCMGTIPELQVLDAEGNRVEHPTLENVETACIGWFTLEYLLRL
+FSSPNKLHFALSFMNIVDVLAILPFYVSLTLTHLGARMMELTNVQQAVQALRIMRIARIF
+KLARHSSGLQTLTYALKRSFKELGLLLMYLAVGIFVFSALGYTMEQSHPETLFKSIPQSF
+WWAIITMTTVGYGDIYPKTTLGKLNAAISFLCGVIAIALPIHPIINNFVRYYNK
+>Q9UIX4_PF02214_65 <unknown description>
+IIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILNVCDDYDVTCNEFFFDRNPGAF
+GTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGC
+>Q9UIX4_PF00520_227 <unknown description>
+KVFACLSVLFVTVTAVNLSVSTLPSLREEEEQGHCSQMCHNVFIVESVCVGWFSLEFLLR
+LIQAPSKFAFLRSPLTLIDLVAILPYYITLLVDGAAAGRRKPGAGNSYLDKVGLVLRVLR
+ALRILYVMRLARHSLGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSP
+EFTSIPACYWWAVITMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRS
+Y
+>Q9UJ96_PF02214_19 <unknown description>
+VIINVGGCRVRLAWAALARCPLARLERLRACRGHDDLLRVCDDYDVSRDEFFFDRSPCAF
+RAIVALLRAGKLRLLRGPCALAFRDELAYWGIDEARLERC
+>Q9UJ96_PF00520_177 <unknown description>
+KLFACVSVSFVAVTAVGLCLSTMPDIRAEEERGECSPKCRSLFVLETVCVAWFSFEFLLR
+SLQAESKCAFLRAPLNIIDILALLPFYVSLLLGLAAGPGGTKLLERAGLVLRLLRALRVL
+YVMRLARHSLGLRSLGLTMRRCAREFGLLLLFLCVAMALFAPLVHLAERELGARRDFSSV
+PASYWWAVISMTTVGYGDMVPRSLPGQVVALSSILSGILLMAFPVTSIFHTFSRSYSE
+>Q8TAE7_PF02214_11 <unknown description>
+VVLNVGGARYSLSRELLKDFPLRRVSRLHGCRSERDVLEVCDDYDRERNEYFFDRHSEAF
+GFILLYVRGHGKLRFAPRMCELSFYNEMIYWGLEGAHLEYC
+>Q8TAE7_PF00520_171 <unknown description>
+QILASVSVVFVIVSMVVLCASTLPDWRNAAADNRSLDDRSRYSAGPGREPSGIIEAICIG
+WFTAECIVRFIVSKNKCEFVKRPLNIIDLLAITPYYISVLMTVFTGENSQLQRAGVTLRV
+LRMMRIFWVIKLARHFIGLQTLGLTLKRCYREMVMLLVFICVAMAIFSALSQLLEHGLDL
+ETSNKDFTSIPAACWWVIISMTTVGYGDMYPITVPGRILGGVCVVSGIVLLALPITFIYH
+SFVQCYHE
+>Q8TDN1_PF02214_61 <unknown description>
+ILINVGGRRYLLPWSTLDRFPLSRLSKLRLCRSYEEIVQLCDDYDEDSQEFFFDRSPSAF
+GVIVSFLAAGKLVLLQEMCALSFQEELAYWGIEEAHLERC
+>Q8TDN1_PF00520_221 <unknown description>
+KVFACLSILFVATTAVSLCVSTMPDLRAEEDQGECSRKCYYIFIVETICVAWFSLEFCLR
+FVQAQDKCQFFQGPLNIIDILAISPYYVSLAVSEEPPEDGERPSGSSYLEKVGLVLRVLR
+ALRILYVMRLARHSLGLQTLGLTVRRCTREFGLLLLFLAVAITLFSPLVYVAEKESGRVL
+EFTSIPASYWWAIISMTTVGYGDMVPRSVPGQMVALSSILSGILIMAFPATSIFHTFSHS
+>O95259_PF13426_41 <unknown description>
+PIVYSNDGFCKLSGYHRAEVMQKSSTCSFMYGELTDKDTIEKVRQTFENYEMNSFEILMY
+KKNRTPVWFFVKIAPIRNEQDKVVLFLCTFSDITA
+>O95259_PF00520_218 <unknown description>
+TTWDWIILILTFYTAILVPYNVSFKTRQNNVAWLVVDSIVDVIFLVDIVLNFHTTFVGPA
+GEVISDPKLIRMNYLKTWFVIDLLSCLPYDVINAFENVDEVSAFMGDPGKIGFADQIPPP
+LEGRESQGISSLFSSLKVVRLLRLGRVARKLDHYIEYGAAVLVLLVCVFGLAAHWMACIW
+YSIGDYEIFDEDTKTIRNNSWLYQLAMDIGTPYQFNGSGSGKWEGGPSKNSVYISSLYFT
+MTSLTSVGFGNIAPSTDIEKIFAVAIMMIGSLLYATIFGNVTTIFQQMYANT
+>O95259_PF00027_600 <unknown description>
+VHCAPGDLIYHAGESVDSLCFVVSGSLEVIQDDEVVAILGKGDVFGDVFWKEATLAQSCA
+NVRALTYCDLHVIKRDALQKVLE
+>Q12809_PF13426_37 <unknown description>
+ENCAVIYCNDGFCELCGYSRAEVMQRPCTCDFLHGPRTQRRAAAQIAQALLGAEERKVEI
+AFYRKDGSCFLCLVDVVPVKNEDGAVIMFILN
+>Q12809_PF00520_408 <unknown description>
+AVWDWLILLLVIYTAVFTPYSAAFLLKETEEGPPATECGYACQPLAVVDLIVDIMFIVDI
+LINFRTTYVNANEEVVSHPGRIAVHYFKGWFLIDMVAAIPFDLLIFGSGSEELIGLLKTA
+RLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNMEQPHMDSRIGWLH
+NLGDQIGKPYNSSGLGGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLI
+GSLMYASIFGNVSAIIQRLYS
+>Q12809_PF00027_762 <unknown description>
+HAPPGDTLVHAGDLLTALYFISRGSIEILRGDVVVAILGKNDIFGEPLNLYARPGKSNGD
+VRALTYCDLHKIHRDDLLEVLD
+>Q9ULD8_PF13426_40 <unknown description>
+FPVVYCSDGFCDLTGFSRAEVMQRGCACSFLYGPDTSELVRQQIRKALDEHKEFKAELIL
+YRKSGLPFWCLLDVIPIKNEKGEVALFLVSHKDISE
+>Q9ULD8_PF00520_226 <unknown description>
+TWDGFILLATLYVAVTVPYSVCVSTAREPSAARGPPSVCDLAVEVLFILDIVLNFRTTFV
+SKSGQVVFAPKSICLHYVTTWFLLDVIAALPFDLLHAFKVNVYFGAHLLKTVRLLRLLRL
+LPRLDRYSQYSAVVLTLLMAVFALLAHWVACVWFYIGQREIESSESELPEIGWLQELARR
+LETPYYLVGRRPAGGNSSGQSDNCSSSSEANGTGLELLGGPSLRSAYITSLYFALSSLTS
+VGFGNVSANTDTEKIFSICTMLIGALMHAVVFGNVTAIIQRMYARR
+>Q9ULD8_PF00027_604 <unknown description>
+TPGEYLIHQGDALQALYFVCSGSMEVLKGGTVLAILGKGDLIGC
+>Q9UQ05_PF13426_36 <unknown description>
+GTRGFPIVYCSDGFCELTGYGRTEVMQKTCSCRFLYGPETSEPALQRLHKALEGHQEHRA
+EICFYRKDGSAFWCLLDMMPIKNEMGEVVLFLFSFKDITQ
+>Q9UQ05_PF00520_227 <unknown description>
+AIWDGLILLATFYVAVTVPYNVCFSGDDDTPITSRHTLVSDIAVEMLFILDIILNFRTTY
+VSQSGQVISAPRSIGLHYLATWFFIDLIAALPFDLLYIFNITVTSLVHLLKTVRLLRLLR
+LLQKLERYSQCSAVVLTLLMSVFALLAHWMACIWYVIGRREMEANDPLLWDIGWLHELGK
+RLEVPYVNGSVGGPSRRSAYIAALYFTLSSLTSVGFGNVCANTDAEKIFSICTMLIGALM
+HAVVFGNVTAIIQRMYSRR
+>Q8NCM2_PF13426_39 <unknown description>
+PVVYSNDGFCKLSGYHRADVMQKSSTCSFMYGELTDKKTIEKVRQTFDNYESNCFEVLLY
+KKNRTPVWFYMQIAPIRNEHEKVVLFLCTFKDIT
+>Q8NCM2_PF00520_215 <unknown description>
+TTWDWVILILTFYTAIMVPYNVSFKTKQNNIAWLVLDSVVDVIFLVDIVLNFHTTFVGPG
+GEVISDPKLIRMNYLKTWFVIDLLSCLPYDIINAFENVDEGISSLFSSLKVVRLLRLGRV
+ARKLDHYLEYGAAVLVLLVCVFGLVAHWLACIWYSIGDYEVIDEVTNTIQIDSWLYQLAL
+SIGTPYRYNTSAGIWEGGPSKDSLYVSSLYFTMTSLTTIGFGNIAPTTDVEKMFSVAMMM
+VGSLLYATIFGNVTTIFQQMYANT
+>Q8NCM2_PF00027_570 <unknown description>
+HCAPGDLIYHAGESVDALCFVVSGSLEVIQDDEVVAILGKGDVFGDIFWKETTLAHACAN
+VRALTYCDLHIIKREALLKVLD
+>Q9NS40_PF13426_37 <unknown description>
+QNCAIIYCNDGFCEMTGFSRPDVMQKPCTCDFLHGPETKRHDIAQIAQALLGSEERKVEV
+TYYHKNGSTFICNTHIIPVKNQEGVAMMFIINFEYVTD
+>Q9NS40_PF00520_408 <unknown description>
+AVWDWLILLLVIYTAIFTPYSAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDIL
+INFRTTYVNQNEEVVSDPAKIAIHYFKGWFLIDMVAAIPFDLLIFGSGSDETTTLIGLLK
+TARLLRLVRVARKLDRYSEYGAAVLMLLMCIFALIAHWLACIWYAIGNVERPYLTDKIGW
+LDSLGQQIGKRYNDSDSSSGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICV
+MLIGSLMYASIFGNVSAIIQRLYS
+>Q9NS40_PF00027_765 <unknown description>
+HAPPGDTLVHCGDVLTALYFLSRGSIEILKDDIVVAILGKNDIFGEMVHLYAKPGKSNAD
+VRALTYCDLHKIQREDLLEVLD
+>Q96L42_PF13426_39 <unknown description>
+GFPIVYCSDGFCELAGFARTEVMQKSCSCKFLFGVETNEQLMLQIEKSLEEKTEFKGEIM
+FYKKNGSPFWCLLDIVPIKNEKGDVVLFLASFKDITD
+>Q96L42_PF00520_224 <unknown description>
+WDWLILLATFYVAVTVPYNVCFIGNDDLSTTRSTTVSDIAVEILFIIDIILNFRTTYVSK
+SGQVIFEARSICIHYVTTWFIIDLIAALPFDLLYAFNVTVVSLVHLLKTVRLLRLLRLLQ
+KLDRYSQHSTIVLTLLMSMFALLAHWMACIWYVIGKMEREDNSLLKWEVGWLHELGKRLE
+SPYYGNNTLGGPSIRSAYIAALYFTLSSLTSVGFGNVSANTDAEKIFSICTMLIGALMHA
+LVFGNVTAIIQRMYSRW
+>Q96L42_PF00027_573 <unknown description>
+APGEYLLRQGDALQAIYFVCSGSMEVLKDSMVLAILGKGDLIGANLSIKDQVIKTNADVK
+ALTYCDLQCIILKGLFEVL
+>P48048_PF01007_24 <unknown description>
+VSKDGRCNIEFGNVEAQSRFIFFVDIWTTVLDLKWRYKMTIFITAFLGSWFFFGLLWYAV
+AYIHKDLPEFHPSANHTPCVENINGLTSAFLFSLETQVTIGYGFRCVTEQCATAIFLLIF
+QSILGVIINSFMCGAILAKISRP
+>P48048_PF17655_173 <unknown description>
+ITFSKNAVISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLKTTVTPEGETIILDQININF
+VVDAGNENLFFISPLTIYHVIDHNSPFFHMAAETLLQQDFELVVFLDGTVESTSATCQVR
+TSYVPEEVLWGYRFAPIVSKTKEGKYRVDFHNFSKTVEVETPHCAMCLYNEK
+>P63252_PF08466_1 <unknown description>
+MGSVRTNRYSIVSSEEDGMKLATMAVANGFGNGKSKVHTRQQCRSRF
+>P63252_PF01007_48 <unknown description>
+VKKDGHCNVQFINVGEKGQRYLADIFTTCVDIRWRWMLVIFCLAFVLSWLFFGCVFWLIA
+LLHGDLDASKEGKACVSEVNSFTAAFLFSIETQTTIGYGFRCVTDECPIAVFMVVFQSIV
+GCIIDAFIIGAVMAKMAKP
+>P63252_PF17655_193 <unknown description>
+LVFSHNAVIAMRDGKLCLMWRVGNLRKSHLVEAHVRAQLLKSRITSEGEYIPLDQIDINV
+GFDSGIDRIFLVSPITIVHEIDEDSPLYDLSKQDIDNADFEIVVILEGMVEATAMTTQCR
+SSYLANEILWGHRYEPVLFEEKHYYKVDYSRFHKTYEVPNTPLCSARDLAEKK
+>P48549_PF01007_47 <unknown description>
+VDKNGRCNVQHGNLGSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIA
+YTRGDLNKAHVGNYTPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQS
+ILGSIVDAFLIGCMFIKMSQP
+>P48549_PF17655_194 <unknown description>
+LMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKSRQTPEGEFLPLDQLELDV
+GFSTGADQLFLVSPLTICHVIDAKSPFYDLSQRSMQTEQFEIVVILEGIVETTGMTCQAR
+TSYTEDEVLWGHRFFPVISLEEGFFKVDYSQFHATFEVPTPPYSVKEQEE
+>P48050_PF01007_22 <unknown description>
+VKKNGQCNVYFANLSNKSQRYMADIFTTCVDTRWRYMLMIFSAAFLVSWLFFGLLFWCIA
+FFHGDLEASPGVPAAGGPAAGGGGAAPVAPKPCIMHVNGFLGAFLFSVETQTTIGYGFRC
+VTEECPLAVIAVVVQSIVGCVIDSFMIGTIMAKMARP
+>P48050_PF17655_185 <unknown description>
+LLFSHHAVISVRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPYMTQEGEYLPLDQRDLNV
+GYDIGLDRIFLVSPIIIVHEIDEDSPLYGMGKEELESEDFEIVVILEGMVEATAMTTQAR
+SSYLASEILWGHRFEPVVFEEKSHYKVDYSRFHKTYEVAGTPCCSARELQESK
+>P48544_PF01007_54 <unknown description>
+MEKSGKCNVHHGNVQETYRYLSDLFTTLVDLKWRFNLLVFTMVYTVTWLFFGFIWWLIAY
+IRGDLDHVGDQEWIPCVENLSGFVSAFLFSIETETTIGYGFRVITEKCPEGIILLLVQAI
+LGSIVNAFMVGCMFVKISQP
+>P48544_PF17655_200 <unknown description>
+LMFSNNAVISMRDEKLCLMFRVGDLRNSHIVEASIRAKLIKSRQTKEGEFIPLNQTDINV
+GFDTGDDRLFLVSPLIISHEINQKSPFWEMSQAQLHQEEFEVVVILEGMVEATGMTCQAR
+SSYMDTEVLWGHRFTPVLTLEKGFYEVDYNTFHDTYETNTPSCCAKELAEMK
+>P48051_PF01007_57 <unknown description>
+VRKDGKCNVHHGNVRETYRYLTDIFTTLVDLKWRFNLLIFVMVYTVTWLFFGMIWWLIAY
+IRGDMDHIEDPSWTPCVTNLNGFVSAFLFSIETETTIGYGYRVITDKCPEGIILLLIQSV
+LGSIVNAFMVGCMFVKISQP
+>P48051_PF17655_203 <unknown description>
+LVFSTHAVISMRDGKLCLMFRVGDLRNSHIVEASIRAKLIKSKQTSEGEFIPLNQTDINV
+GYYTGDDRLFLVSPLIISHEINQQSPFWEISKAQLPKEELEIVVILEGMVEATGMTCQAR
+SSYITSEILWGYRFTPVLTLEDGFYEVDYNSFHETYETSTPSLSAKELAE
+>Q15842_PF01007_37 <unknown description>
+IAKSGACNLAHKNIREQGRFLQDIFTTLVDLKWRHTLVIFTMSFLCSWLLFAIMWWLVAF
+AHGDIYAYMEKSGMEKSGLESTVCVTNVRSFTSAFLFSIEVQVTIGFGGRMMTEECPLAI
+TVLILQNIVGLIINAVMLGCIFMKTAQ
+>Q15842_PF17655_191 <unknown description>
+LIFSRHAVIAVRNGKLCFMFRVGDLRKSMIISASVRIQVVKKTTTPEGEVVPIHQLDIPV
+DNPIESNNIFLVAPLIICHVIDKRSPLYDISATDLANQDLEVIVILEGVVETTGITTQAR
+TSYIAEEIQWGHRFVSIVTEEEGVYSVDYSKFGNTVKVAAPRCSARELDEK
+>Q92806_PF01007_25 <unknown description>
+VEKDGRCNVQQGNVRETYRYLTDLFTTLVDLQWRLSLLFFVLAYALTWLFFGAIWWLIAY
+GRGDLEHLEDTAWTPCVNNLNGFVAAFLFSIETETTIGYGHRVITDQCPEGIVLLLLQAI
+LGSMVNAFMVGCMFVKISQP
+>Q92806_PF17655_171 <unknown description>
+LVFSSHAVVSLRDGRLCLMFRVGDLRSSHIVEASIRAKLIRSRQTLEGEFIPLHQTDLSV
+GFDTGDDRLFLVSPLVISHEIDAASPFWEASRRALERDDFEIVVILEGMVEATGMTCQAR
+SSYLVDEVLWGHRFTSVLTLEDGFYEVDYASFHETFEVPTPSCSARELAEA
+>O00180_PF07885_92 <unknown description>
+VLSNASGNWNWDFTSALFFASTVLSTTGYGHTVPLSDGGKAFCIIYSVIGIPFTLLFLTA
+VVQRIT
+>O00180_PF07885_193 <unknown description>
+SCFFFIPAAVFSVLEDDWNFLESFYFCFISLSTIGLGDYVPGEGYNQKFRELYKIGITCY
+LLLGLIAMLVVLETF
+>O95069_PF07885_137 <unknown description>
+NQISHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQ
+>O95069_PF07885_234 <unknown description>
+CVLFVALPAIIFKHIEGWSALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWI
+LVGLAYFAAVLSMIGDWLR
+>O14649_PF07885_77 <unknown description>
+QWRFAGSFYFAITVITTIGYGHAAPSTDGGKVFCMFYALLGIPLTLVMFQSLGERI
+>O14649_PF07885_166 <unknown description>
+SCISTLCIGAAAFSHYEHWTFFQAYYYCFITLTTIGFGDYVALQKDQALQTQPQYVAFSF
+VYILTGLTVIGAFLNLVVLRFM
+>Q9NYG8_PF07885_86 <unknown description>
+SAWDLGSAFFFSGTIITTIGYGNVALRTDAGRLFCIFYALVGIPLFGILLAGVGDRL
+>Q9NYG8_PF07885_180 <unknown description>
+CLLFVLTPTFVFCYMEDWSKLEAIYFVIVTLTTVGFGDYVAGADPRQDSPAYQPLVWFWI
+LLGLAYFASVLTTIGNWLR
+>O95279_PF07885_78 <unknown description>
+QTFNNWNWPNAMIFAATVITTIGYGNVAPKTPAGRLFCVFYGLFGVPLCLTWISALGKFF
+>O95279_PF07885_168 <unknown description>
+VWGVLVHLVIPPFVFMVTEGWNYIEGLYYSFITISTIGFGDFVAGVNPSANYHALYRYFV
+ELWIYLGLAWLSLFVNWKVS
+>Q9Y257_PF07885_90 <unknown description>
+AWDFASALFFASTLITTVGYGYTTPLTDAGKAFSIAFALLGVPTTMLLLTASAQRL
+>Q9Y257_PF07885_181 <unknown description>
+VTVCFLVPAVIFAHLEEAWSFLDAFYFCFISLSTIGLGDYVPGEAPGQPYRALYKVLVTV
+YLFLGLVAMVLVLQTFRH
+>Q9Y2U2_PF07885_86 <unknown description>
+EGRTWDLPSALLFAASILTTTGYGHMAPLSPGGKAFCMVYAALGLPASLALVATLRH
+>Q9Y2U2_PF07885_182 <unknown description>
+FVLLPALVLWGLQGDCSLLGAVYFCFSSLSTIGLEDLLPGRGRSLHPVIYHLGQLALLGY
+LLLGLLAMLLAVETFSE
+>Q9NPC2_PF07885_77 <unknown description>
+QWKFAGSFYFAITVITTIGYGHAAPGTDAGKAFCMFYAVLGIPLTLVMFQSLGERM
+>Q9NPC2_PF07885_167 <unknown description>
+CMGTLCIGAAAFSQCEEWSFFHAYYYCFITLTTIGFGDYVALQTKGALQKKPLYVAFSFM
+YILVGLTVIGAFLNLVVLR
+>P57789_PF07885_152 <unknown description>
+NNSSHWDLGSAFFFAGTVITTIGYGNIAPSTEGGKIFCILYAIFGIPLFGFLLAGIGDQ
+>P57789_PF07885_249 <unknown description>
+CIVFVTIPAVIFKYIEGWTALESIYFVVVTLTTVGFGDFVAGGNAGINYREWYKPLVWFW
+ILVGLAYFAAVLSMIGDWLR
+>Q9HB15_PF07885_111 <unknown description>
+RPRWDFPGAFYFVGTVVSTIGFGMTTPATVGGKAFLIAYGLFGCAGTILFFNLFLERI
+>Q9HB15_PF07885_224 <unknown description>
+AVLLSCCASAMYTSVEGWDYVDSLYFCFVTFSTIGFGDLVSSQHAAYRNQGLYRLGNFLF
+ILLGVCCIYSLFNVISILI
+>Q9HB14_PF07885_92 <unknown description>
+RPRWDFTGAFYFVGTVVSTIGFGMTTPATVGGKIFLIFYGLVGCSSTILFFNLFLERL
+>Q9HB14_PF07885_198 <unknown description>
+MLILCTASILISCCASAMYTPIEGWSYFDSLYFCFVAFSTIGFGDLVSSQNAHYESQGLY
+RFANFVFILMGVCCIYSLFNVISILI
+>Q9H427_PF07885_76 <unknown description>
+RQWKFPGSFYFAITVITTIEYGHAAPGTDSGKVFCMFYALLGIPLTLVTFQSLGERL
+>Q9H427_PF07885_167 <unknown description>
+CAATLALGAVAFSHFEGWTFFHAYYYCFITLTTIGFGDFVALQSGEALQRKLPYVAFSFL
+YILLGLTVIGAFLNLVVLR
+>Q96T55_PF07885_87 <unknown description>
+STNPSNWDFGSSFFFAGTVVTTIGYGNLAPSTEAGQVFCVFYALLGIPLNVIFLNHLGT
+>Q96T55_PF07885_181 <unknown description>
+LVILIFPPMVFSHVEGWSFSEGFYFAFITLSTIGFGDYVVGTDPSKHYISVYRSLAAIWI
+LLGLAWLALIL
+>Q96T54_PF07885_95 <unknown description>
+TTSMGRWELVGSFFFSVSTITTIGYGNLSPNTMAARLFCIFFALVGIPLNLVVLNRLGHL
+MQ
+>Q96T54_PF07885_190 <unknown description>
+LLFLLLPPLLFSHMEGWSYTEGFYFAFITLSTVGFGDYVIGMNPSQRYPLWYKNMVSLWI
+LFGMAWLALIIKLILSQL
+>Q7Z418_PF07885_100 <unknown description>
+HWSFLSSLFFCCTVFSTVGYGYIYPVTRLGKYLCMLYALFGIPLMFLVLTDTGDIL
+>Q7Z418_PF07885_290 <unknown description>
+VFAYISCAAAILPFWETQLDFENAFYFCFVTLTTIGFGDTVLEHPNFFLFFSIYIIVGME
+IVFIAFKLVQNRL
+>Q92952_PF03530_92 <unknown description>
+RLGHRRALFEKRKRLSDYALIFGMFGIVVMVTETELSWGVYTKESLYSFALKCLISLSTA
+ILLGLVVLYHAREIQLFMVDNGADDWRIAMTCERVFLISLELAVCAIHPVPGHYR
+>Q92952_PF07885_291 <unknown description>
+ISSWIIAAWTVRVCERYHDKQEVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLT
+GIMGAGCTALVVAVVARKL
+>Q92952_PF02888_384 <unknown description>
+DTQLTKRVKNAAANVLRETWLIYKHTRLVKKPDQARVRKHQRKFLQAIHQAQKLRSVKIE
+QGKLNDQANTLTDLAKTQ
+>Q9UGI6_PF03530_270 <unknown description>
+LGHRRALFEKRKRLSDYALIFGMFGIVVMVIETELSWGLYSKDSMFSLALKCLISLSTII
+LLGLIIAYHTREVQLFVIDNGADDWRIAMTYERILYISLEMLVCAIHPIPGEYKF
+>Q9UGI6_PF07885_468 <unknown description>
+ISLWIIAAWTVRVCERYHDQQDVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLT
+GIMGAGCTALVVAVVARKL
+>Q9UGI6_PF02888_561 <unknown description>
+DTQLTKRIKNAAANVLRETWLIYKHTKLLKKIDHAKVRKHQRKFLQAIHQLRSVKMEQRK
+LSDQANTLVDLSKMQ
+>O15554_PF03530_12 <unknown description>
+LRRRKRLLEQEKSLAGWALVLAGTGIGLMVLHAEMLWFGGCSWALYLFLVKCTISISTFL
+LLCLIVAFHAKEVQLFMTDNGLRDWRVALTGRQAAQIVLELVVCGLHPAPV
+>O15554_PF07885_213 <unknown description>
+LGLWLTTAWVLSVAERQAVNATGHLSDTLWLIPITFLTIGYGDVVPGTMWGKIVCLCTGV
+MGVCCTALLVAVVARKL
+>O15554_PF02888_304 <unknown description>
+DIQYTKEMKESAARVLQEAWMFYKHTRRKESHAARRHQRKLLAAINAFRQVRLKHRKLRE
+QVNSMVDISKMH
+>P51787_PF00520_125 <unknown description>
+YHFAVFLIVLVCLIFSVLSTIEQYAALATGTLFWMEIVLVVFFGTEYVVRLWSAGCRSKY
+VGLWGRLRFARKPISIIDLIVVVASMVVLCVGSKGQVFATSAIRGIRFLQILRMLHVDRQ
+GGTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDAVNESGRVEFGSYADALW
+WGVVTVTTIGYGDKVPQTWVGKTIASCFSVFAISFFALPAGILGSGFALK
+>P51787_PF03520_505 <unknown description>
+QLREHHRATIKVIRRMQYFVAKKKFQQARKPYDVRDVIEQYSQGHLNLMVRIKELQRRLD
+QSIGKPSLFISVSEKSKDRGSNTIGARLNRVEDKVTQLDQRLALITDMLHQLL
+>O43526_PF00520_96 <unknown description>
+HAYVFLLVFSCLVLSVFSTIKEYEKSSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYR
+GWRGRLKFARKPFCVIDIMVLIASIAVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRG
+GTWKLLGSVVYAHSKELVTAWYIGFLCLILASFLVYLAEKGENDHFDTYADALWWGLITL
+TTIGYGDKYPQTWNGRLLAATFTLIGVSFFALPAGILGSGFAL
+>O43526_PF03520_466 <unknown description>
+SPSADQSLEDSPSKVPKSWSFGDRSRARQAFRIKGAASRQNSEEASLPGEDIVDDKSCPC
+EFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDVIEQYSAGHLDMLSRIKSL
+QSRVDQIVGRGPAITDKDRTKGPAEAELPEDPSMMGRLGKVEKQVLSMEKKLDFLVNIYM
+QRMGI
+>O43526_PF16642_665 <unknown description>
+EPAPPYHSPEDSREHVDRHGCIVKIVRSSSSTGQKNFSAPPAAPPVQCPPSTSWQPQSHP
+RQGHGTSPVGDHGSLVRIPPPPAHERSLSAY
+>O43526_PF11956_769 <unknown description>
+EDTPGCRPPEGNLRDSDTSISIPSVDHEELERSFSGFSISQSKENLDALNSCYAAVAPCA
+KVRPYIAEGESDTDSDLCTPCGPPPRSATGEGPFGDVGWA
+>O43525_PF00520_126 <unknown description>
+HALVFLIVLGCLILAVLTTFKEYETVSGDWLLLLETFAIFIFGAEFALRIWAAGCCCRYK
+GWRGRLKFARKPLCMLDIFVLIASVPVVAVGNQGNVLATSLRSLRFLQILRMLRMDRRGG
+TWKLLGSAICAHSKELITAWYIGFLTLILSSFLVYLVEKDVPEVDAQGEEMKEEFETYAD
+ALWWGLITLATIGYGDKTPKTWEGRLIAATFSLIGVSFFALPAGILGS
+>O43525_PF03520_447 <unknown description>
+PLNVDAIEESPSKEPKPVGLNNKERFRTAFRMKAYAFWQSSEDAGTGDPMAEDRGYGNDF
+PIEDMIPTLKAAIRAVRILQFRLYKKKFKETLRPYDVKDVIEQYSAGHLDMLSRIKYLQT
+RIDMIFTPGPPSTPKHKKSQKGSAFTFPSQQSPRNEPYVARPSTSEIEDQSMMGKFVKVE
+RQVQDMGKKLDFLVDMHMQHMER
+>O43525_PF11956_771 <unknown description>
+SDRISPRQRRSITRDSDTPLSLMSVNHEELERSPSGFSISQDRDDYVFGPNGGSSWMREK
+RYLAEGETDTDTDPFTPSGSMPLSSTGDGISDSVWT
+>P56696_PF00520_100 <unknown description>
+VYHVFIFLLVFSCLVLSVLSTIQEHQELANECLLILEFVMIVVFGLEYIVRVWSAGCCCR
+YRGWQGRFRFARKPFCVIDFIVFVASVAVIAAGTQGNIFATSALRSMRFLQILRMVRMDR
+RGGTWKLLGSVVYAHSKELITAWYIGFLVLIFASFLVYLAEKDANSDFSSYADSLWWGTI
+TLTTIGYGDKTPHTWLGRVLAAGFALLGISFFALPAGILGSGFAL
+>P56696_PF03520_464 <unknown description>
+SPSSEQVGEATSPTKVQKSWSFNDRTRFRASLRLKPRTSAEDAPSEEVAEEKSYQCELTV
+DDIMPAVKTVIRSIRILKFLVAKRKFKETLRPYDVKDVIEQYSAGHLDMLGRIKSLQTRV
+DQIVGRGPGDRKAREKGDKGPSDAEVVDEISMMGRVVKVEKQVQSIEHKLDLLLGFYSRC
+LRS
+>Q9NR82_PF00520_129 <unknown description>
+YHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRIWSAGCCCRY
+RGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQILRMVRMDRR
+GGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYADALWWGTIT
+LTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFAL
+>Q9NR82_PF03520_447 <unknown description>
+SPSTDITAEGSPTKVQKSWSFNDRTRFRPSLRLKSSQPKPVIDADTALGTDDVYDEKGCQ
+CDVSVEDLTPPLKTVIRAIRIMKFHVAKRKFKETLRPYDVKDVIEQYSAGHLDMLCRIKS
+LQTRVDQILGKGQITSDKKSREKITAEHETTDDLSMLGRVVKVEKQVQSIESKLDCLLDI
+YQQVLRKG
+>Q8N5I3_PF02214_7 <unknown description>
+VTLNVGGKIFTTRFSTIKQFPASRLARMLDGRDQEFKMVGGQIFVDRDGDLFSFILDFLR
+THQLLLPTEFSDYLRLQREALFYELRSL
+>Q96KK3_PF02214_52 <unknown description>
+LRVNVGGVRRQLSARALARFPGTRLGRLQAAASEEQARRLCDDYDEAAREFYFDRHPGFF
+LSLLHFYRTGHLHVLDELCVFAFGQEADYWGLGENALAAC
+>Q96KK3_PF00520_220 <unknown description>
+KLFSCVSISVVLASIAAMCIHSLPEYQAREAAAAVAAVAAGRSPEGVRDDPVLRRLEYFC
+IAWFSFEVSSRLLLAPSTRNFFCHPLNLIDIVSVLPFYLTLLAGVALGDQGGKEFGHLGK
+VVQVFRLMRIFRVLKLARHSTGLRSLGATLKHSYREVGILLLYLAVGVSVFSGVAYTAEK
+EEDVGFNTIPACWWWGTVSMTTVGYGDVVPVTVAGKLAASGCILGGILVVALPITIIFNK
+FSHFYRRQ
+>Q9ULS6_PF02214_19 <unknown description>
+IRINVGGFKRRLRSHTLLRFPETRLGRLLLCHSREAILELCDDYDDVQREFYFDRNPELF
+PYVLHFYHTGKLHVMAELCVFSFSQEIEYWGINEFFIDSC
+>Q9ULS6_PF00520_187 <unknown description>
+RVFSILSILVVMGSIITMCLNSLPDFQIPDSQGNPGEDPRFEIVEHFGIAWFTFELVARF
+AVAPDFLKFFKNALNLIDLMSIVPFYITLVVNLVVESTPTLANLGRVAQVLRLMRIFRIL
+KLARHSTGLRSLGATLKYSYKEVGLLLLYLSVGISIFSVVAYTIEKEENEGLATIPACWW
+WATVSMTTVGYGDVVPGTTAGKLTASACILAGILVVVLPITLIFNKFSHFYRRQ
+>Q9BQ31_PF02214_17 <unknown description>
+VNLNVGGFKQSVDQSTLLRFPHTRLGKLLTCHSEEAILELCDDYSVADKEYYFDRNPSLF
+RYVLNFYYTGKLHVMEELCVFSFCQEIEYWGINELFIDSC
+>Q9BQ31_PF00520_187 <unknown description>
+IAISSLSVVLASIVAMCVHSMSEFQNEDGEVDDPVLEGVEIACIAWFTGELAVRLAAAPC
+QKKFWKNPLNIIDFVSIIPFYATLAVDTKEEESEDIENMGKVVQILRLMRIFRILKLARH
+SVGLRSLGATLRHSYHEVGLLLLFLSVGISIFSVLIYSVEKDDHTSSLTSIPICWWWATI
+SMTTVGYGDTHPVTLAGKLIASTCIICGILVVALPITIIFNKFSKYYQKQ
+>Q5JUK3_PF07885_279 <unknown description>
+LCLVFTGTCGIQHLERAGENLSLLTSFYFCIVTFSTVGYGDVTPKIWPSQLLVVIMICVA
+LVVLPLQF
+>Q5JUK3_PF03493_504 <unknown description>
+DHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRMYGRCSGNEVYH
+IRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGL
+>Q6UVM3_PF07885_209 <unknown description>
+LIFTCICGIQHLERIGKKLNLFDSLYFCIVTFSTVGFGDVTPETWSSKLFVVAMICVALV
+VLPIQFE
+>Q6UVM3_PF03493_432 <unknown description>
+DHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQEGQQSPEQWQKMYGRCSGNEVYH
+IVLEESTFFAEYEGKSFTYASFHAHKKFGVCLIGV
+>A8MYU2_PF00520_106 <unknown description>
+VILVFVLSIGSLIIYFINSADPVGSCSSYEDKTIPIDLVFNAFFSFYFGLRFMAADDKIK
+FWLEMNSIVDIFTIPPTFISYYLKSNWLGLRFLRALRLLELPQILQILRAIKTSNSVKFS
+KLLSIILSTWFTAAGFIHLVENSGDPWLKGRNSQNISYFESIYLVMATTSTVGFGDVVAK
+TSLGRTFIMFFTLGSLILFANYIPEMVELFANKRK
+>A8MYU2_PF03493_470 <unknown description>
+DNIICFAELKLGFIAQGCLVPGLCTFLTSLFVEQNKKVMPKQTWKKHFLNSMKNKILTQR
+LSDDFAGMSFPEVARLCFLKMHLLLIAI
+>Q6PIU1_PF02214_42 <unknown description>
+TVNVGGSRFVLSQQALSCFPHTRLGKLAVVVASYRRPGALAAVPSPLELCDDANPVDNEY
+FFDRSSQAFRYVLHYYRTGRLHVMEQLCALSFLQEIQYWGIDELSIDSC
+>Q6PIU1_PF00520_210 <unknown description>
+RIFGVISIIFVVVSIINMALMSAELSWLDLQLLEILEYVCISWFTGEFVLRFLCVRDRCR
+FLRKVPNIIDLLAILPFYITLLVESLSGSQTTQELENVGRIVQVLRLLRALRMLKLGRHS
+TGLRSLGMTITQCYEEVGLLLLFLSVGISIFSTVEYFAEQSIPDTTFTSVPCAWWWATTS
+MTTVGYGDIRPDTTTGKIVAFMCILSGILVLALPIAIINDRFSA
+>Q8TDN2_PF02214_99 <unknown description>
+LNVNVGGHSYQLDYCELAGFPKTRLGRLATSTSRSRQLSLCDDYEEQTDEYFFDRDPAVF
+QLVYNFYLSGVLLVLDGLCPRRFLEELGYWGVRLK
+>Q8TDN2_PF00520_268 <unknown description>
+TFVLVSVVALALNTVEEMQQHSGQGEGGPDLRPILEHVEMLCMGFFTLEYLLRLASTPDL
+RRFARSALNLVDLVAILPLYLQLLLECFTGEGHQRGQTVGSVGKVGQVLRVMRLMRIFRI
+LKLARHSTGLRAFGFTLRQCYQQVGCLLLFIAMGIFTFSAAVYSVEHDVPSTNFTTIPHS
+WWWAAVSISTVGYGDMYPETHLGRFFAFLCIAFGIILNGMPISILYNKFSDYYSK
+>Q53RY4_PF12304_19 <unknown description>
+MRVGLALILVGHVNLLLGAVLHGTVLRHVANPRGAVTPEYTVANVISVGSGLLSVSVGLV
+ALLASRNLLRPPLHWVLLALALVNLLLSVACSLGLLLAVSLTVANGGRRLIADCHPGLLD
+PLVPLDEGPGHTDCPFDPTRIYDTALALWIPSLLMSAGEAALSGYCCVAALTLRGVGPCR
+KDGLQG
+>P12277_PF02807_24 <unknown description>
+SAHNNHMAKVLTPELYAELRAKSTPSGFTLDDVIQTGVDNPGHPYIMTVGCVAGDEESYE
+VFKDLFDPIIE
+>P12277_PF00217_154 <unknown description>
+IEKLAVEALSSLDGDLAGRYYALKSMTEAEQQQLIDDHFLFDKPVSPLLLASGMARDWPD
+ARGIWHNDNKTFLVWVNEEDHLRVISMQKGGNMKEVFTRFCTGLTQIETLFKSKDYEFMW
+NPHLGYILTCPSNLGTGLRAGVHIKLPNLGKHEKFSEVLKRLRLQKRGTGGVDTAAVGGV
+FDVSNADRLGFSEVELVQMVVDGVKLLIEMEQR
+>P06732_PF02807_24 <unknown description>
+SKHNNHMAKVLTLELYKKLRDKETPSGFTVDDVIQTGVDNPGHPFIMTVGCVAGDEESYE
+VFKELFDPII
+>P06732_PF00217_154 <unknown description>
+VEKLSVEALNSLTGEFKGKYYPLKSMTEKEQQQLIDDHFLFDKPVSPLLLASGMARDWPD
+ARGIWHNDNKSFLVWVNEEDHLRVISMEKGGNMKEVFRRFCVGLQKIEEIFKKAGHPFMW
+NQHLGYVLTCPSNLGTGLRGGVHVKLAHLSKHPKFEEILTRLRLQKRGTGGVDTAAVGSV
+FDVSNADRLGSSEVEQVQLVVDGVKLMVEMEKKL
+>P17540_PF02807_59 <unknown description>
+KHNNCMAECLTPAIYAKLRNKVTPNGYTLDQCIQTGVDNPGHPFIKTVGMVAGDEESYEV
+FADLFDPVIK
+>P17540_PF00217_187 <unknown description>
+EVENVAITALEGLKGDLAGRYYKLSEMTEQDQQRLIDDHFLFDKPVSPLLTCAGMARDWP
+DARGIWHNYDKTFLIWINEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQERGWEFM
+WNERLGYILTCPSNLGTGLRAGVHVRIPKLSKDPRFSKILENLRLQKRGTGGVDTAAVAD
+VYDISNIDRIGRSEVELVQIVIDGVNYLVDCEKKL
+>P12532_PF02807_58 <unknown description>
+KHNNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEV
+FADLFDPVIQ
+>P12532_PF00217_186 <unknown description>
+EVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAGMARDWP
+DARGIWHNNEKSFLIWVNEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQERGWEFM
+WNERLGYILTCPSNLGTGLRAGVHIKLPLLSKDSRFPKILENLRLQKRGTGGVDTAATGG
+VFDISNLDRLGKSEVELVQLVIDGVNYLIDCERR
+>Q8NC54_PF17818_79 <unknown description>
+PSISQISTTLPPTTSTKKSGGASVVPHPSPTPLSQEEADNNEDPSIEEEDLLMLNSSPST
+AKDTLDNGDYGEPDYDWTTGPRDDDESDDTLEENRGYMEIEQSVKSFKMPSSNIEEEDSH
+FFFHLIIFAFCIAVVYITYHNKRKIFLLVQSRKWRDGLCSKTVEYHRLDQNVNEAMPSLK
+ITNDYIF
+>Q14681_PF02214_74 <unknown description>
+VRLNVGGTYFVTTRQTLGREPKSFLCRLCCQEDPELDSDKDETGAYLIDRDPTYFGPILN
+YLRHGKLIITKELAEEGVLEEAEFYNIASL
+>Q9Y597_PF02214_20 <unknown description>
+VQLNVGGTRFSTSRQTLMWIPDSFFSSLLSGRISTLRDETGAIFIDRDPAAFAPILNFLR
+TKELDLRGVSINVLRHEAEFYGITPL
+>Q8WVF5_PF02214_36 <unknown description>
+TLNVGGYLYITQKQTLTKYPDTFLEGIVNGKILCPFDADGHYFIDRDGLLFRHVLNFLRN
+GELLLPEGFRENQLLAQEAEFFQLKGL
+>Q8WVF5_PF19323_138 <unknown description>
+RETTFLEITDNHDRSQGLRIFCNAPDFISKIKSRIVLVSKSRLDGFPEEFSISSNIIQFK
+YFIKSENGTRLVLKEDNTFVCTLETLKFEAIMMALKCGFRLLTSLDCSKGSIVHSDALHF
+IK
+>Q9NXV2_PF02214_46 <unknown description>
+VRLNVGGTYFLTTRQTLCRDPKSFLYRLCQADPDLDSDKDETGAYLIDRDPTYFGPVLNY
+LRHGKLVINKDLAEEGVLEEAEFYNITSL
+>Q8NC69_PF02214_14 <unknown description>
+VTLNVGGHLYTTSLTTLTRYPDSMLGAMFGGDFPTARDPQGNYFIDRDGPLFRYVLNFLR
+TSELTLPLDFKEFDLLRKEADFYQIEPL
+>Q96MP8_PF02214_53 <unknown description>
+VPLNIGGAHFTTRLSTLRCYEDTMLAAMFSGRHYIPTDSEGRYFIDRDGTHFGDVLNFLR
+SGDLPPRERVRAVYKEAQYYAIGPLL
+>Q6ZWB6_PF02214_46 <unknown description>
+VELNVGGQVYVTKHSTLLSVPDSTLASMFSPSSPRGGARRRGELPRDSRARFFIDRDGFL
+FRYVLDYLRDKQLALPEHFPEKERLLREAEYFQLTDL
+>Q7L273_PF11834_2 <unknown description>
+RRVTLFLNGSPKNGKVVAVYGTLSDLLSVASSKLGIKATSVYNGKGGLIDDIALIRDDDV
+LFV
+>Q7L273_PF02214_91 <unknown description>
+LTLNVGGRYFTTTRSTLVNKEPDSMLAHMFKDKGVWGNKQDHRGAFLIDRSPEYFEPILN
+YLRHGQLIVNDGINLLGVLEEARFFGIDSLI
+>Q7L273_PF00805_224 <unknown description>
+NFSGADLSRLDLRYINFKMANLSRCNLAHANL
+>Q7L273_PF00805_258 <unknown description>
+ANLERADLSGSVLDCANLQGVKMLCSNAEGASLKLCNFED
+>Q7L273_PF00805_338 <unknown description>
+CNLRGATLAGTDLENCDLSGCDLQEANLRGSNVKGAIFE
+>P30085_PF00406_40 <unknown description>
+VLGGPGAGKGTQCARIVEKYGYTHLSAGELLRDERKNPDSQYGELIEKYIKEGKIVPVEI
+TISLLKREMDQTMAANAQKNKFLIDGFPRNQDNLQGWNKTMDGKADVSFVLFFDCNNEIC
+IERCLERGKSSGRSDDNRESLEKRIQTYLQSTKPIIDLYEEMG
+>Q8NAX2_PF15551_35 <unknown description>
+QPPPSRRTRRPDPKDPGHHGPESITFISGSAEPALESPTCCLLWRPWVWEWCRAAFCFRR
+CRDCLQRCGACVRGCSPCLSTEDSTEGTAEANWAKEHNGVPPSPDRAPPSRRDGQRLKST
+MGSSFSYPDVKLKGIPVYPYPRATSPAPDADSCCKEPLADPPPMRHSLPSTFASSPRGSE
+EYYSFHESDLDLPEMGSGSMSSREIDVLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSK
+ISDLISSITQDYHLDEQDAEGRLVRGIIRISTRKSRARPQTSEGRSTRAAAPTAAAPDSG
+HETMVGSGLSQDELTVQISQETTADAIARKLRPYGAPGYPASHDSSFQGTDTDSSGAPLL
+QVYC
+>Q9ULH0_PF13637_13 <unknown description>
+VEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNLEIVKELI
+>Q9ULH0_PF12796_68 <unknown description>
+DLDNWTALISASKEGHVHIVEELLKCGVNLEHRDMGGWTALMWACYKGRTDVVELLLSHG
+ANPSV
+>Q9ULH0_PF13637_140 <unknown description>
+PIIWAAGRGHADIVHLLLQNGAKVNCSDKYGTTPLVWAARKGHLECVKHLL
+>Q9ULH0_PF12796_192 <unknown description>
+MGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTALMIASKEGHTEI
+VQDLLDAGTYVNIP
+>Q9ULH0_PF12796_306 <unknown description>
+LYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAKVSAVD
+KKGDTPLHIAIRGRSRKLAELLLRN
+>Q9ULH0_PF07693_440 <unknown description>
+YDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLEDEMKTFAGQQIEPLFQFSW
+LIVFLTLLLCGGLGLLFAFTVHPNLGIAVSLSFLALLYIFFIVIYFGGRREGESWNWAWV
+LSTRLARHIGYLELLLKLMFVNPPELPEQTTKALPVRFLFTDYNRLSSVGGETSLAEMIA
+TLSDACEREFGFLATRLFRVFKTEDTQGKKKWKKTCCLPSFVIFLFIIGCIISGITLLAI
+FRVDPKHLTVNAVLISIASVVGLAFVLNCRTWWQVLDSLLNSQRKRLHNAASKLHKLKSE
+GFMKVLKCEVELMARMAKTIDSFTQNQTRLVVIIDGLDACEQDKVLQMLDTVRVLFSKGP
+FIAIFASDPHIIIKAINQNLNSVLRDSNINGHDYMRNIVHLPVFLNSRGLSNARKFLVTS
+ATNGDVPCSDTTGIQEDADRRVSQNSLGEMTKLGSKTALNRRDTYRRRQMQRTITRQMSF
+DLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLL
+>O60341_PF04433_206 <unknown description>
+DRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLEAPYNSD
+TVLVHRVHSYLERHGLINF
+>O60341_PF01593_308 <unknown description>
+VSGLAAARQLQSFGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSK
+QVNMELAKIKQKCPLYEANGQADTVKVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNN
+KPVSLGQALEVVIQLQEKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYK
+EASEVKPPRDITAEFLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSS
+RDRQILDWHFANLEFANATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLD
+IKLNTAVRQVRYTASGCEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPL
+PEWKTSAVQRMGFGNLNKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPIL
+LALVAGEAAGIMENISDDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSY
+VAAGSSGNDYDLMAQPITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAG
+RI
+>Q8NB78_PF07496_138 <unknown description>
+YWVQCTKPECRKWRQLTKEIQLTPQIAKTYRCGMKPNTAIKPETSDHCSLPED
+>Q8NB78_PF04433_292 <unknown description>
+DELYEFPEYSRDPTMYLALRNLILALWYTNCKEALTPQKCIPHIIVRGLVRIRCVQEVER
+ILYFMTRKGLIN
+>Q8NB78_PF01593_393 <unknown description>
+AGLAAARQLHNFGIKVTVLEAKDRIGGRVWDDKSFKGVTVGRGAQIVNGCINNPVALMCE
+QLGISMHKFGERCDLIQEGGRITDPTIDKRMDFHFNALLDVVSEWRKDKTQLQDVPLGEK
+IEEIYKAFIKESGIQFSELEGQVLQFHLSNLEYACGSNLHQVSARSWDHNEFFAQFAGDH
+TLLTPGYSVIIEKLAEGLDIQLKSPVQCIDYSGDEVQVTTTDGTGYSAQKVLVTVPLALL
+QKGAIQFNPPLSEKKMKAINSLGAGIIEKIALQFPYRFWDSKVQGADFFGHVPPSASKRG
+LFAVFYDMDPQKKHSVLMSVIAGEAVASVRTLDDKQVLQQCMATLRELFKEQEVPDPTKY
+FVTRWSTDPWIQMAYSFVKTGGSGEAYDIIAEDIQGTVFFAGEATNRHFPQTVTGAYLSG
+VREASKI
+>Q9Y2K7_PF17811_304 <unknown description>
+NIPMQLKIYNIEDRTRVPNKFRYPFYYEMCWYVLERYV
+>Q9Y2K7_PF02008_565 <unknown description>
+RRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRMKQSCVLRQC
+>Q9Y2K7_PF16866_614 <unknown description>
+LPHSVTCSLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEGLLNEELPNCWECP
+KCY
+>Q9Y2K7_PF00646_896 <unknown description>
+EVWMSVFRYLSRRELCECMRVCKTWYKWCCDKRLWTK
+>Q8NHM5_PF17811_334 <unknown description>
+NVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVY
+>Q8NHM5_PF02008_607 <unknown description>
+RRRRTRCRKCEACLRTECGECHFCKDMKKFGGPGRMKQSCIMRQC
+>Q8NHM5_PF16866_656 <unknown description>
+LPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICNEIIHPGCLKIKESEGVVNDELPN
+CWECPKC
+>Q8NHM5_PF00646_1054 <unknown description>
+SLPLDDGAAHVMHREVWMAVFSYLSHQDLCVCMRVCRTWNRWCCDKRLWT
+>Q9Y4C1_PF02373_1155 <unknown description>
+SDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQENPADHDPIHDQSWYL
+DRSLRKRLHQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCIKVAEDF
+>Q7LBC6_PF02373_1599 <unknown description>
+TKQRIHDGKEKPGALWHIYAAKDAEKIRELLRKVGEEQGQENPPDHDPIHDQSWYLDQTL
+RKRLYEEYGVQGWAIVQFLGDAVFIPAGAPHQVHNLYSCIKVAEDF
+>O75164_PF02375_15 <unknown description>
+MTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVP
+>O75164_PF02373_175 <unknown description>
+YLYFGMWKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSC
+EAFLRHKMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNF
+>O75164_PF13831_732 <unknown description>
+TSILVSCKKCSVRVHASCYGVPPAKASEDWMCSRC
+>O75164_PF13832_774 <unknown description>
+DCCLCSLRGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCIFC
+KKRRKRTAGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRH
+>O75164_PF18104_902 <unknown description>
+GQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGS
+>O75164_PF18104_960 <unknown description>
+GEVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGS
+>Q9H3R0_PF02375_17 <unknown description>
+MTFRPSMEEFREFNKYLAYMESKGAHRAGLAKVIP
+>Q9H3R0_PF02373_177 <unknown description>
+YLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAQGFFPSSSQGC
+DAFLRHKMTLISPSVLKKYGIPFDKITQEAGEFMITFPYGYHAGFNHGFNCAESTNF
+>Q9H3R0_PF13831_712 <unknown description>
+TSLLISCAKCCVRVHASCYGIPSHEICDGWLCARCK
+>Q9H3R0_PF13832_754 <unknown description>
+ECCLCNLRGGALKQTKNNKWAHVMCAVAVPEVRFTNVPERTQIDVGRIPLQRLKLKCIFC
+RHRVKRVSGACIQCSYGRCPASFHVTCAHAAGVLMEPDDWPYVVNITCFRH
+>Q9H3R0_PF18104_882 <unknown description>
+GQTVITKHRNTRYYSCRVMAVTSQTFYEVMFDDGS
+>Q9H3R0_PF18104_940 <unknown description>
+GEVVQVKWPDGKLYGAKYFGSNIAHMYQVEFEDGS
+>Q6B0I6_PF02375_19 <unknown description>
+MIFHPTKEEFNDFDKYIAYMESQGAHRAGLAKIIP
+>Q6B0I6_PF02373_179 <unknown description>
+YLYFGMWKTTFAWHTEDMDLYSINYLHLGEPKTWYVVPPEHGQRLERLARELFPGSSRGC
+GAFLRHKVALISPTVLKENGIPFNRITQEAGEFMVTFPYGYHAGFNHGFNCAEAINF
+>B2RXH2_PF02375_16 <unknown description>
+MTFYPTMEEFADFNTYVAYMESQGAHQAGLAKVIP
+>B2RXH2_PF02373_176 <unknown description>
+YLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKTWYVVPPEHGQHLERLARELFPDISRGC
+EAFLRHKVALISPTVLKENGIPFNCMTQEAGEFMVTFPYGYHAGFNHGFNCAEAINF
+>P29375_PF02375_20 <unknown description>
+PVFEPSWEEFTDPLSFIGRIRPLAEKTGICKIRP
+>P29375_PF01388_88 <unknown description>
+LDFLDQLAKFWELQGSTLKIPVVERKILDLYALSKIVASKGGFEMVTKEKKWSKVGSRLG
+YLPGKGTGSLLKSHYERILYPYE
+>P29375_PF00628_295 <unknown description>
+VCMFCGRGNNEDKLLLCDGCDDSYHTFCLIPPLPDVPKGDWRCPKCV
+>P29375_PF02373_470 <unknown description>
+WLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQ
+PDLLHQLVTIMNPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNF
+>P29375_PF02928_676 <unknown description>
+CSACRTTCFLSALTCSCNPERLVCLYHPTDLCPCPMQKKCLRYRYPLEDLPSL
+>P29375_PF08429_741 <unknown description>
+TWVSRVTEALSANFNHKKDLIELRVMLEDAEDRKYPENDLFRKLRDAVKEAETCASVAQL
+LLSKKQKHRQSPDSGRTRTKLTVEELKAFVQQLFSLPCVISQARQVKNLLDDVEEFHERA
+QEAMMDETPDSSKLQMLIDMGSSLYVELPELPRLKQELQQARWLDEVRLTLSDPQQVTLD
+VMKKLIDSGVGLAPHHAVEKAMAELQELLTVSERWEEKAKVCLQARPRHSVASLESIVNE
+AKNIPAFLPNVLSLKEALQKAREWTAKVEAIQSGSNYAYLEQLESLSAKGRPIPVRLEAL
+PQVESQVAAARAWRERTGRTFLKKNSSHTLLQ
+>P29375_PF00628_1163 <unknown description>
+FCICRKTASGFMLQCELCKDWFHNSCVPLPKSSSQKKGSSWQAKEVKFLCPLCMR
+>Q9UGL1_PF02375_33 <unknown description>
+PVFEPSWEEFADPFAFIHKIRPIAEQTGICKVRP
+>Q9UGL1_PF01388_100 <unknown description>
+KLNFLDQIAKYWELQGSTLKIPHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKM
+GFAPGKAVGSHIRGHYERILNPY
+>Q9UGL1_PF00628_312 <unknown description>
+CLLCGSGNDEDRLLLCDGCDDSYHTFCLIPPLHDVPKGDWRCPKCL
+>Q9UGL1_PF02373_486 <unknown description>
+WLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPELFVSQ
+PDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAVNF
+>Q9UGL1_PF02928_692 <unknown description>
+CVKCKTTCFMSAISCSCKPGLLVCLHHVKELCSCPPYKYKLRYRYTLDDLYPM
+>Q9UGL1_PF08429_757 <unknown description>
+EWALNVNEALEAKINKKKSLVSFKALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQ
+LLNGKRQTRYRSGGGKSQNQLTVNELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQHS
+QKLLSEETPSAAELQDLLDVSFEFDVELPQLAEMRIRLEQARWLEEVQQACLDPSSLTLD
+DMRRLIDLGVGLAPYSAVEKAMARLQELLTVSEHWDDKAKSLLKARPRHSLNSLATAVKE
+IEEIPAYLPNGAALKDSVQRARDWLQDVEGLQAGGRVPVLDTLIELVTRGRSIPVHLNSL
+PRLETLVAEVQAWKECAVNTFLTENSPYSLLE
+>Q9UGL1_PF00628_1178 <unknown description>
+ICLCQKAPAAPMIQCELCRDAFHTSCVAVPSISQGLRIWLCPHCRR
+>Q9UGL1_PF00628_1492 <unknown description>
+CLQPEGDEVDWVQCDGSCNQWFHQVCVGVSPEMAEKEDYICVRCTV
+>P41229_PF02375_15 <unknown description>
+PVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRP
+>P41229_PF01388_82 <unknown description>
+KLNYLDQIAKFWEIQGSSLKIPNVERRILDLYSLSKIVVEEGGYEAICKDRRWARVAQRL
+NYPPGKNIGSLLRSHYERIVYPYE
+>P41229_PF00628_326 <unknown description>
+VCRMCSRGDEDDKLLLCDGCDDNYHIFCLLPPLPEIPKGVWRCPKCV
+>P41229_PF02373_501 <unknown description>
+WLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLAAEHLEEVMKKLTPELFDSQ
+PDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNF
+>P41229_PF02928_707 <unknown description>
+CIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAM
+>P41229_PF08429_772 <unknown description>
+TWANKVRVALEVEDGRKRSLEELRALESEARERRFPNSELLQQLKNCLSEAEACVSRALG
+LVSGQEAGPHRVAGLQMTLTELRAFLDQMNNLPCAMHQIGDVKGVLEQVEAYQAEAREAL
+ASLPSSPGLLQSLLERGRQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPSARRGTLAVMR
+GLLVAGASVAPSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPATLEAIIREAEN
+IPVHLPNIQALKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQL
+ELQVLTAHSWREKASKTFLKKNSCYTLLE
+>Q9BY66_PF02375_15 <unknown description>
+PVFEPSWAEFQDPLGYIAKIRPIAEKSGICKIRP
+>Q9BY66_PF01388_82 <unknown description>
+KLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLSKIVIEEGGYEAICKDRRWARVAQRL
+HYPPGKNIGSLLRSHYERIIYPYE
+>Q9BY66_PF00628_316 <unknown description>
+ICQVCSRGDEDDKLLFCDGCDDNYHIFCLLPPLPEIPRGIWRCPKCI
+>Q9BY66_PF02373_491 <unknown description>
+WLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLAAEHLEEVMKMLTPELFDSQ
+PDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNF
+>Q9BY66_PF02928_697 <unknown description>
+CIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPTM
+>Q9BY66_PF08429_762 <unknown description>
+TWANKVRVALEVEDGRKRSFEELRALESEARERRFPNSELLQRLKNCLSEVEACIAQVLG
+LVSGQVARMDTPQLTLTELRVLLEQMGSLPCAMHQIGDVKDVLEQVEAYQAEAREALATL
+PSSPGLLRSLLERGQQLGVEVPEAHQLQQQVEQAQWLDEVKQALAPSAHRGSLVIMQGLL
+VMGAKIASSPSVDKARAELQELLTIAERWEEKAHFCLEARQKHPPATLEAIIRETENIPV
+HLPNIQALKEALTKAQAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQ
+VLTAHSWREKASKTFLKKNSCYTLLE
+>O15054_PF02373_1377 <unknown description>
+QLYMKVPGSRTPGHQENNNFCSVNINIGPGDCEWFAVHEHYWETISAFCDRHGVDYLTGS
+WWPILDDLYASNIPVYRFVQRPGDLVWINAGTVHWVQATGWCNNIAWNV
+>Q6ZMT4_PF00628_39 <unknown description>
+YCVCRQPYDVNRFMIECDICKDWFHGSCVGVEEHHAVDIDLYHCPNCA
+>Q6ZMT4_PF02373_269 <unknown description>
+YCLMGVQDSYTDFHIDFGGTSVWYHVLWGEKIFYLIKPTDENLARYESWSSSVTQSEVFF
+GDKVDKCYKCVVKQGHTLFVPTGWIHAVLTSQDCMAFGGNF
+>Q6ZMT4_PF17811_373 <unknown description>
+LNIGMQLRCYEMEKRLKTPDLFKFPFFEAICWFVAKNLLETLKELREDGFQPQTYLVQGV
+KALHTALKLWMKKELVSEHAFEIPDNVRPGHLIKELSKVIRAIE
+>Q8N371_PF13621_194 <unknown description>
+HFREQFLVPGRPVILKGVADHWPCMQKWSLEYIQEIAGCRTVPVEVGSRYTDEEWSQTLM
+TVNEFISKYIVNEPRDVGYLAQHQLFDQIPELKQDISIPDYCSLGDGEEEEITINAWFGP
+QGTISPLHQDPQQNFLVQVMGRKYIRLYSPQESGALYPHDTHLLHNTSQVDVENPDLEKF
+PKFAKAPFLSCILSPGEILFIPVKYWHYVRALDLSFSVSFWW
+>Q06136_PF00106_34 <unknown description>
+HVVVTGGSSGIGKCIAIECYKQGAFITLVARNEDKLLQAKKEIEMHSINDKQVVLCISVD
+VSQDYNQVENVIKQAQEKLGPVDMLVNCAGMAVSGKFEDLEVSTFERLMSINYLGSVYPS
+RAVITTMKERRVGRIVFVSSQAGQLGLFGFTAYSASKFAIRGLAEALQMEVKPYNVYITV
+AYPPDTDTPGFAEENRT
+>Q14145_PF00651_67 <unknown description>
+MNELRLSQQLCDVTLQVKYQDAPAAQFMAHKVVLASSSPVFKAMFTNGLREQGMEVVSIE
+GIHPKVMERLIEFAYTASISMGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQ
+>Q14145_PF07707_185 <unknown description>
+IGIANFAEQIGCVELHQRAREYIYMHFGEVAKQEEFFNLSHCQLVTLISRDDLNVRCESE
+VFHACINWVKYDCEQRRFYVQALLRAVRCHSLTPNFLQMQ
+>Q14145_PF01344_324 <unknown description>
+VGRLIYTAGGYFRQSLSYLEAYNPSDGTWLRLADL
+>Q14145_PF01344_361 <unknown description>
+PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCAPMS
+>Q14145_PF01344_412 <unknown description>
+PRNRIGVGVIDGHIYAVGGSHGCIHHNSVERYEPERDEWHLVAPM
+>Q14145_PF01344_459 <unknown description>
+RRIGVGVAVLNRLLYAVGGFDGTNRLNSAECYYPERNEWRMITAMN
+>Q14145_PF01344_507 <unknown description>
+RSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVETETWTFVAPM
+>Q14145_PF01344_553 <unknown description>
+RRSALGITVHQGRIYVLGGYDGHTFLDSVECYDPDTDTWSEVTRM
+>P23276_PF05649_99 <unknown description>
+PCTDFFSFACGRAKETNNSFQELATKNKNRLRRILEVQNSWHPGSGEEKAFQFYNSCMDT
+LAIEAAGTGPLRQVIEELGGWRISGKWTSLNFNRTLRLLMSQYGHFPFFRAYLGPHPASP
+HTPVIQIDQPEFDVPLKQDQEQKIYAQIFREYLTYLNQLGTLLGGDPSKVQEHSSLSISI
+TSRLFQFLRPLEQRRAQGKLFQMVTIDQLKEMAPAIDWLSCLQATFTPMSLSPSQSLVVH
+DVEYLKNMSQLVEEMLLKQRDFLQSHMILGLVVTLSPALDSQFQEARRKLSQKLRELTEQ
+PPMPARPRWMKCVEETGTFFEPTLAALFVREAFGPSTRSAAMKLFTAIRDALITRLRNLP
+WMNEETQNMAQDKVAQLQVEMG
+>P23276_PF01431_540 <unknown description>
+NAYYSVSDHVVVFPAGLLQPPFFHPGYPRAVNFGAAGSIMAHELLHIFYQLLLPGGCLAC
+DNHALQEAHLCLKRHYAAFPLPSRTSFNDSLTFLENAADVGGLAIALQAYSKRLLRHHGE
+TVLPSLDLSPQQIFFRSYAQVMCRKPSPQDSHDTHSPPHLRVHGPLSSTPAFARYFRCAR
+GALLNPSSRCQL
+>O60938_PF13855_75 <unknown description>
+YLYLQNNLIETIPEKPFENATQLRWINLNKNKITNYGIEKGALSQLKKLLFLFLEDNEL
+>O60938_PF13855_142 <unknown description>
+RSLEQLQLARNKVSRIPQGTFSNLENLTLLDLQNNKLVDNAFQRDTFKGLKNLMQLNMAK
+NAL
+>O60938_PF13516_282 <unknown description>
+AHLQHLHLDHNKIKS
+>Q63HM1_PF07859_92 <unknown description>
+LFFHGGYWQSGSKDESAFMVHPLTAQGVAVVIVAYGIAPKGTLDHMVDQVTRSVAFVQKR
+YPSNKGIYLCGHSAGAHLAAMMLLADWTKHGVTPNLRGFFLVSGVFDLEPIVYTSQNVAL
+QLTLEDAQRNSPQLKVAQAQPVDPTCRVLVVVGQFDSPEFHRQSWEFYQTLCQGEWKASF
+EELHDVDH
+>Q13976_PF16808_16 <unknown description>
+EELRQRDALIDELELELDQKDELIQKLQNELDKYR
+>Q13976_PF00027_137 <unknown description>
+VEYGKDSCIIKEGDVGSLVYVMEDGKVEVTKEGVKLCTMGPGKVFGELAILYNCTRTATV
+KTLVNVKLWAIDRQCFQTIMMR
+>Q13976_PF00027_255 <unknown description>
+THYENGEYIIRQGARGDTFFIISKGTVNVTREDSPSEDPVFLRTLGKGDWFGEKALQGED
+VRTANVIAAEAVTCLVIDRDSFKHLI
+>Q13976_PF00069_376 <unknown description>
+NIIDTLGVGGFGRVELVQLKSEESKTFAMKILKKRHIVDTRQQEHIRSEKQIMQGAHSDF
+IVRLYRTFKDSKYLYMLMEACLGGELWTILRDRGSFEDSTTRFYTACVVEAFAYLHSKGI
+IYRDLKPENLILDHRGYAKLVDFGFAKKIGFGKKTWTFCGTPEYVAPEIILNKGHDISAD
+YWSLGILMYELLTGSPPFSGPDPMKTYNIILRGIDMIEFPKKIAKNAANLIKKLCRDNPS
+ERLGNLKNGVKDIQKHKWF
+>Q13237_PF00027_187 <unknown description>
+RNYQQGSYIIKQGEPGNHIFVLAEGRLEVFQGEKLLSSIPMWTTFGELAILYNCTRTASV
+KAITNVKTWALDREVFQNIMRR
+>Q13237_PF00027_305 <unknown description>
+EYYDKGDYIIREGEEGSTFFILAKGKVKVTQSTEGHDQPQLIKTLQKGEYFGEKALISDD
+VRSANIIAEENDVACLVIDRETFNQT
+>Q13237_PF00069_455 <unknown description>
+IIATLGVGGFGRVELVKVKNENVAFAMKCIRKKHIVDTKQQEHVYSEKRILEELCSPFIV
+KLYRTFKDNKYVYMLLEACLGGELWSILRDRGSFDEPTSKFCVACVTEAFDYLHRLGIIY
+RDLKPENLILDAEGYLKLVDFGFAKKIGSGQKTWTFCGTPEYVAPEVILNKGHDFSVDFW
+SLGILVYELLTGNPPFSGVDQMMTYNLILKGIEKMDFPRKITRRPEDLIRRLCRQNPTER
+LGNLKNGINDIKKHRWL
+>Q16774_PF00625_5 <unknown description>
+RPVVLSGPSGAGKSTLLKRLLQEHSGIFGFSVSHTTRNPRPGEENGKDYYFVTREVMQRD
+IAAGDFIEHAEFSGNLYGTSKVAVQAVQAMNRICVLDVDLQGVRNIKATDLRPIYISVQP
+PSLHVLEQRLRQRNTETEESLVKRLAAAQADMESSKEPGLFDVVIINDSLDQAYAELKEA
+LSE
+>Q4VXA5_PF16005_10 <unknown description>
+KKPWWTLPQNFHAPMVFHMEEDQEELIFGHGDTYLRCIEVHSHTLIQLESWFTATGQTRV
+TVVGPHRARQWLLHMFCCVGSQ
+>Q587J8_PF16005_29 <unknown description>
+KRFSWFHSEFLKNPKVVRLEVWLVEKIFGRGGERIPHVQGMSQILIHVNRLDPNGEAEIL
+VFGRPSYQEDTIKMIMNLADYHRQL
+>Q5JSQ8_PF16005_10 <unknown description>
+KEPWWTLPENFHSPMVFHMEEDQEELIFGLDDTYLRCIELHSHTLIQLERCFTATGQTRV
+TVVGPPMAKQWLLLMFHCVGSQD
+>Q07666_PF16274_102 <unknown description>
+KYLPELMAEKDSLDPSFTHAMQLLTAEIEKIQKGDSKKDDEENYLDLFSHKN
+>Q07666_PF00013_158 <unknown description>
+ERVLIPVKQYPKFNFVGKILGPQGNTIKRLQEETGAKISVLGKGSMRDKAKEEELRK
+>Q07666_PF16568_366 <unknown description>
+YEEYGYDDTYAEQSYEGYEGYYSQSQGDSEYYDYGHGEVQDSYEAYGQDD
+>Q5VWX1_PF16274_5 <unknown description>
+KYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKN
+>Q5VWX1_PF00013_62 <unknown description>
+ERVLIPVKQYPKFNFVGKLLGPRGNSLKRLQEETGAKMSILGKGSMRDKAKEEELRKSGE
+>Q5VWX1_PF16568_267 <unknown description>
+YEEYGYDDGYGGEYDDQTYETYDNSYATQTQSVPEYYDYGHGVSEDAYDSYAPEE
+>O75525_PF16274_4 <unknown description>
+KYLPELMAEKDSLDPSFTHALRLVNQEIEKFQKGEGKDEEKYIDVVINKN
+>O75525_PF00013_59 <unknown description>
+KVLIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSG
+>O75525_PF16568_266 <unknown description>
+YGEYDYDDGYGTAYDEQSYDSYDNSYSTPAQSGADYYDYGHGLSEETYDSYGQEE
+>P50053_PF00294_4 <unknown description>
+KQILCVGLVVLDVISLVDKYPKEDSEIRCLSQRWQRGGNASNSCTVLSLLGAPCAFMGSM
+APGHVADFLVADFRRRGVDVSQVAWQSKGDTPSSCCIINNSNGNRTIVLHDTSLPDVSAT
+DFEKVDLTQFKWIHIEGRNASEQVKMLQRIDAHNTRQPPEQKIRVSVEVEKPREELFQLF
+GYGDVVFVSKDVAKHLGFQSAEEALRGLYGRVRKGAVLVCAWAEEGADALGPDGKLLHSD
+AFPPPRVVDTLGAGDTFNASVIFSLSQGRSVQEALRFGCQVAGKKC
+>O15037_PF11977_437 <unknown description>
+LRHIVIDGSNVAMVHGLQHYFSSRGIAIAVQYFWDRGHRDITVFVPQWRFSKDAKVRESH
+FLQKLYSLSLLSLTPSRVMDGKRISSYDDRFMVKLAEETDGIIVSNDQFRDLAEESEKWM
+AIIRERLLPFTFVGNLFMVPDDPLGRNGPTLDE
+>Q9H1H9_PF00225_11 <unknown description>
+RVRPMNRRELELNTKCVVEMEGNQTVLHPPPSNTKQGERKPPKVFAFDYCFWSMDESNTT
+KYAGQEVVFKCLGEGILEKAFQGYNACIFAYGQTGSGKSFSMMGHAEQLGLIPRLCCALF
+KRISLEQNESQTFKVEVSYMEIYNEKVRDLLDPKGSRQSLKVREHKVLGPYVDGLSQLAV
+TSFEDIESLMSEGNKSRTVAATNMNEESSRSHAVFNIIITQTLYDLQSGNSGEKVSKVSL
+VDLAGSERVSKTGAAGERLKEGSNINKSLTTLGLVISSLADQAAGKGKSKFVPYRDSVLT
+WLLKDNLGGNSQTSMIATISPAADNYEETLSTLRYADRAKRI
+>Q9H1H9_PF16183_391 <unknown description>
+ELKEKLEESEKLIKELTVTWEEKLRKTEEIAQERQRQLESMGISLEMSGIKVGDDKCYLV
+NLNADPALNELLVYYLKD
+>Q9H1H9_PF00498_471 <unknown description>
+RVGADTSQDIQLFGIGIQPQHCEIDIASDGDVTLTPKENARSCVNGTLVCSTTQLWHGDR
+ILWG
+>Q9H1H9_PF12423_748 <unknown description>
+LENKLIDMRDLYQEWKEKVPEAKRLYGKRGDPFYEAQENHNLIGV
+>Q9H1H9_PF12473_1003 <unknown description>
+EYAAVELHQAKDVNTGGIFQLRQGHSRRVQVTVKPVQHSGTLPLMVEAILSVSIGCVTAR
+STKLQRGLDSYQRDDEDGDDMDSYQEEDLNCVRERWSDALIKRREYLDEQIKKVSNKTEK
+TEDDVEREAQLVEQWVGLTEERNAVLVPAPGSGIPGAPADWIPPPGMETHIPVLFLDLNA
+DDLSANEQLVGPHASGVNSILPKEHGSQFFYLPIIKHSDDEVSATASWDSSVHDSVHLNR
+VTPQNERIYLIVKTTVQLSHPAAMELVLRKRIAANIYNK
+>Q9NQT8_PF00225_11 <unknown description>
+RIRPMNRRETDLHTKCVVDVDANKVILNPVNTNLSKGDARGQPKVFAYDHCFWSMDESVK
+EKYAGQDIVFKCLGENILQNAFDGYNACIFAYGQTGSGKSYTMMGTADQPGLIPRLCSGL
+FERTQKEENEEQSFKVEVSYMEIYNEKVRDLLDPKGSRQTLKVREHSVLGPYVDGLSKLA
+VTSYKDIESLMSEGNKSRTVAATNMNEESSRSHAVFKITLTHTLYDVKSGTSGEKVGKLS
+LVDLAGSERATKTGAAGDRLKEGSNINKSLTTLGLVISALADQSAGKNKNKFVPYRDSVL
+TWLLKDSLGGNSKTAMVATVSPAADNYDETLSTLRYADRAKHI
+>Q9NQT8_PF16183_390 <unknown description>
+SPELKDRLEESEKLIQEMTVTWEEKLRKTEEIAQERQKQLESLGISLQSSGIKVGDDKCF
+LVNLNADPALNELLVYYLKE
+>Q9NQT8_PF00498_472 <unknown description>
+LIGSANSQDIQLCGMGILPEHCIIDITSEGQVMLTPQKNTRTFVNGSSVSSPIQLHHGDR
+ILWG
+>Q9NQT8_PF12423_756 <unknown description>
+LDNRLLDMRDLYQEWKECEEDNPVIRSYFKRADPFYDEQENHSLIGV
+>Q9NQT8_PF12473_1003 <unknown description>
+EYCPVEVISAKDVPTGGIFQLRQGQSRRVQVEVKSVQESGTLPLMEECILSVGIGCVKVR
+PLRAPRTHETFHEEEEDMDSYQDRDLERLRRKWLNALTKRQEYLDQQLQKLVSKRDKTED
+DADREAQLLEMRLTLTEERNAVMVPSAGSGIPGAPAEWTPVPGMETHIPVIFLDLNADDF
+SSQDNLDDPEAGGWDATLTGEEEEEFFELQIVKQHDGEVKAEASWDSAVHGCPQLSRGTP
+VDERLFLIVRVTVQLSHPADMQLVLRKRICVNVHGRQ
+>Q9NQT8_PF01302_1707 <unknown description>
+VTVGAHKTGVVRYVGPADFQEGTWVGVELDLPSGKNDGSIGGKQYFRCNPGYGLLVRPSR
+V
+>Q96L93_PF00225_9 <unknown description>
+RVRPMNRREKDLEAKFIIQMEKSKTTITNLKIPEGGTGDSGRERTKTFTYDFSFYSADTK
+SPDYVSQEMVFKTLGTDVVKSAFEGYNACVFAYGQTGSGKSYTMMGNSGDSGLIPRICEG
+LFSRINETTRWDEASFRTEVSYLEIYNERVRDLLRRKSSKTFNLRVREHPKEGPYVEDLS
+KHLVQNYGDVEELMDAGNINRTTAATGMNDVSSRSHAIFTIKFTQAKFDSEMPCETVSKI
+HLVDLAGSERADATGATGVRLKEGGNINKSLVTLGNVISALADLSQDAANTLAKKKQVFV
+PYRDSVLTWLLKDSLGGNSKTIMIATISPADVNYGETLSTLRYANRAKNI
+>Q96L93_PF00498_480 <unknown description>
+VGRDDASTEQDIVLHGLDLESEHCIFENIGGTVTLIPLSGSQCSVNGVQIVEATHLNQGA
+VILLG
+>Q96L93_PF00787_1212 <unknown description>
+DETWTVFRRYSRFREMHKTLKLKYAELAALEFPPKKLFGNKDERVIAERRSHLEKYLRDF
+FS
+>Q8NI77_PF00225_17 <unknown description>
+RVRPENTKEKAAGFHKVVHVVDKHILVFDPKQEEVSFFHGKKTTNQNVIKKQNKDLKFVF
+DAVFDETSTQSEVFEHTTKPILRSFLNGYNCTVLAYGATGAGKTHTMLGSADEPGVMYLT
+MLHLYKCMDEIKEEKICSTAVSYLEVYNEQIRDLLVNSGPLAVREDTQKGVVVHGLTLHQ
+PKSSEEILHLLDNGNKNRTQHPTDMNATSSRSHAVFQIYLRQQDKTASINQNVRIAKMSL
+IDLAGSERASTSGAKGTRFVEGTNINRSLLALGNVINALADSKRKNQHIPYRNSKLTRLL
+KDSLGGNCQTIMIAAVSPSSVFYDDTYNTLKYANRAKDI
+>Q86Y91_PF00225_13 <unknown description>
+RVRPPTPRELDSQRRPVVQVVDERVLVFNPEEPDGGFPGLKWGGTHDGPKKKGKDLTFVF
+DRVFGEAATQQDVFQHTTHSVLDSFLQGYNCSVFAYGATGAGKTHTMLGREGDPGIMYLT
+TVELYRRLEARQQEKHFEVLISYQEVYNEQIHDLLEPKGPLAIREDPDKGVVVQGLSFHQ
+PASAEQLLEILTRGNRNRTQHPTDANATSSRSHAIFQIFVKQQDRVPGLTQAVQVAKMSL
+IDLAGSERASSTHAKGERLREGANINRSLLALINVLNALADAKGRKTHVPYRDSKLTRLL
+KDSLGGNCRTVMIAAISPSSLTYEDTYNTLKYADRAKEI
+>O95235_PF00225_70 <unknown description>
+RVRPLLPSELERQEDQGCVRIENVETLVLQAPKDSFALKSNERGIGQATHRFTFSQIFGP
+EVGQASFFNLTVKEMVKDVLKGQNWLIYTYGVTNSGKTHTIQGTIKDGGILPRSLALIFN
+SLQGQLHPTPDLKPLLSNEVIWLDSKQIRQEEMKKLSLLNGGLQEEELSTSLKRSVYIES
+RIGTSTSFDSGIAGLSSISQCTSSSQLDETSHRWAQPDTAPLPVPANIRFSIWISFFEIY
+NELLYDLLEPPSQQRKRQTLRLCEDQNGNPYVKDLNWIHVQDAEEAWKLLKVGRKNQSFA
+STHLNQNSSRSHSIFSIRILHLQGEGDIVPKISELSLCDLAGSERCKDQKSGERLKEAGN
+INTSLHTLGRCIAALRQNQQNRSKQNLVPFRDSKLTRVFQGFFTGRGRSCMIVNVNPCAS
+TYDETLHVAKFSAIASQ
+>Q96Q89_PF00225_64 <unknown description>
+RIRPFTQSEKELESEGCVHILDSQTVVLKEPQCILGRLSEKSSGQMAQKFSFSKVFGPAT
+TQKEFFQGCIMQPVKDLLKGQSRLIFTYGLTNSGKTYTFQGTEENIGILPRTLNVLFDSL
+QERLYTKMNLKPHRSREYLRLSSEQEKEEIASKSALLRQIKEVTVHNDSDDTLYGSLTNS
+LNISEFEESIKDYEQANLNMANSIKFSVWVSFFEIYNEYIYDLFVPVSSKFQKRKMLRLS
+QDVKGYSFIKDLQWIQVSDSKEAYRLLKLGIKHQSVAFTKLNNASSRSHSIFTVKILQIE
+DSEMSRVIRVSELSLCDLAGSERTMKTQNEGERLRETGNINTSLLTLGKCINVLKNSEKS
+KFQQHVPFRESKLTHYFQSFFNGKGKICMIVNISQCYLAYDETLNVLKFSAIAQKV
+>Q7Z4S6_PF00225_15 <unknown description>
+RIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQQEQIYIQCIEKLIE
+GCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIVEEELGIISRAVKHLFKSIEEKKHIAIK
+NGLPAPDFKVNAQFLELYNEEVLDLFDTTRDIDAKSKKSNIRIHEDSTGGIYTVGVTTRT
+VNTESEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVCPQIDADNATDNKII
+SESAQMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCGLLALGNVISALGDK
+SKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLNTLKYANRARNI
+>Q7Z4S6_PF00400_1338 <unknown description>
+QCIHIAEGHTKAVLCVDSTDDLLFTGSKDRTCKVWN
+>Q7Z4S6_PF00400_1483 <unknown description>
+QSTGKLTGHLGPVMCLTVDQISSGQDLIITGSKDHYIKMFD
+>Q7Z4S6_PF00400_1577 <unknown description>
+QQVPNAHKDWVCALGVVPDHPVLLSGCRGGILKVWN
+>Q7Z4S6_PF00400_1618 <unknown description>
+PVGEMKGHDSPINAICVNSTHIFTAADDRTVRIWK
+>O75037_PF00225_14 <unknown description>
+RIRPQLSKEKIEGCHICTSVTPGEPQVLLGKDKAFTYDFVFDLDTWQEQIYSTCVSKLIE
+GCFEGYNATVLAYGQTGAGKTYTMGTGFDMATSEEEQGIIPRAIAHLFGGIAERKRRAQE
+QGVAGPEFKVSAQFLELYNEEILDLFDSTRDPDTRHRRSNIKIHEDANGGIYTTGVTSRL
+IHSQEELIQCLKQGALSRTTASTQMNVQSSRSHAIFTIHLCQMRMCTQPDLVNEAVTGLP
+DGTPPSSEYETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCGLLALGNVISALGDQ
+SKKVVHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLNTLKYANRARNI
+>O75037_PF00400_1299 <unknown description>
+QCVSMAEGHTKPILCLDATDELLFTGSKDRSCKMWN
+>O75037_PF00400_1444 <unknown description>
+QPVGKLTGHIGPVMCLTVTQTASQHDLVVTGSKDHYVKMF
+>O75037_PF00400_1539 <unknown description>
+QIPNAHKDWVCALAFIPGRPMLLSACRAGVIKVWN
+>O75037_PF00400_1579 <unknown description>
+PIGEIKGHDSPINAICTNAKHIFTASSDLTVKFWS
+>Q9ULI4_PF00225_424 <unknown description>
+AAVPKMFAFDAVFPQDSEQAEVCSGTVADVLQSVVSGADGCIFSFGHMSLGKSYTMIGKD
+SSPQSLGIVPCAISWLFRLIEERRERTGTRFSVRVSAVEVCGRDQSLRDLLAEVAPGSLQ
+DTQSPGVYLREDPVCGAQLQNQSELRAPTAEKAAFYLDAALAARSTSRAGCGEDARRSSH
+MLFTLHVYQYRMEKCGRGGMSGGRSRLHLIDLGSCEAAAGRAGEAAGGPLCLSLSALGSV
+ILALVNGAKHVPYRDHRLTMLLRESLATAGCRTTMIAHVSDAPAQHAETLSTVQLAARIH
+>Q2KJY2_PF00225_496 <unknown description>
+QKRGNQVPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYT
+MIGKDDSMQNLGIIPCAISWLFKLINERKEKTGARFSVRVSAVEVWGKEENLRDLLSEVA
+TGSLQDGQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRSHQQDCDEDD
+HRNSHVFFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSA
+LGNVILALVNGSKHIPYKESKLAMLLRESLGNMNCRTTMIAHISAAVGSYAETLSTIQIA
+SRV
+>P43628_PF00047_33 <unknown description>
+AHPGPLVKSEETVILQCWSDVRFQHFLLHREGKFKDTLHLIGEHHDGVSKANFSIGPMMQ
+DLAGTYRCYGSVTHSPYQLSA
+>P43628_PF00047_133 <unknown description>
+AQPGPTVLAGESVTLSCSSRSSYDMYHLSREGEAHERRFSAGPKVNGTFQADFPLGPATH
+GGTYRCFGSFRDSPYEWS
+>Q99706_PF00047_35 <unknown description>
+AWPSAVVPQGGHVTLRCHYRRGFNIFTLYKKDGVPVPELYNRIFWNSFLISPVTPAHAGT
+YRCRGFHPHSPTEWSA
+>Q99706_PF00047_131 <unknown description>
+RPGPTVRAGENVTLSCSSQSSFDIYHLSREGEAHELRLPAVPSINGTFQADFPLGPATHG
+ETYRCFGSFHGSPYEWS
+>P43630_PF00047_33 <unknown description>
+ARPSTVVPRGGHVALQCHYRRGFNNFMLYKEDRSHVPIFHGRIFQESFIMGPVTPAHAGT
+YRCRGSRPHSLTGWSA
+>P43630_PF00047_128 <unknown description>
+AHPGPLLKSGETVILQCWSDVMFEHFFLHREGISEDPSRLVGQIHDGVSKANFSIGPLMP
+VLAGTYRCYGSVPHSPYQLSA
+>P43630_PF00047_228 <unknown description>
+AQPGPTVQAGENVTLSCSSWSSYDIYHLSREGEAHERRLRAVPKVNRTFQADFPLGPATH
+GGTYRCFGSFR
+>P46013_PF00498_27 <unknown description>
+CLFGRGIECDIRIQLPVVSKQHCKIEIHEQEAILHNFSSTNPTQVNGSVIDEPVRLKHGD
+VITI
+>P46013_PF15276_502 <unknown description>
+KRRRVSFGGHLRPELFDENLPPNTPLKRGEAPTKRKSLVMHTPPVLKKIIKE
+>P46013_PF08065_1001 <unknown description>
+KITKMPCQSLQPEPINTPTHTKQQLKASLGKVGVKEELLAVGKFTRTSGETTHTHREPAG
+DGKSIRTFKESPKQILDPAARVTGMKKWPRTPKEEAQSLEDLAGFKELFQTP
+>P46013_PF08065_1123 <unknown description>
+KTTKIACKSPPPESVDTPTSTKQWPKRSLRKADVEEEFLALRKLTPSAGKAMLTPKPAGG
+DEKDIKAFMGTPVQKLDLAGTLPGSKRQLQTPKEKAQALEDLAGFKELFQTP
+>P46013_PF08065_1245 <unknown description>
+KTTKIPCDSPQSDPVDTPTSTKQRPKRSIRKADVEGELLACRNLMPSAGKAMHTPKPSVG
+EEKDIIIFVGTPVQKLDLTENLTGSKRRPQTPKEEAQALEDLTGFKELFQTP
+>P46013_PF08065_1367 <unknown description>
+KTTKMPCESSPPESADTPTSTRRQPKTPLEKRDVQKELSALKKLTQTSGETTHTDKVPGG
+EDKSINAFRETAKQKLDPAASVTGSKRHPKTKEKAQPLEDLAGLKELFQTP
+>P46013_PF08065_1488 <unknown description>
+KTTKIACRSQPDPVDTPTSSKPQSKRSLRKVDVEEEFFALRKRTPSAGKAMHTPKPAVSG
+EKNIYAFMGTPVQKLDLTENLTGSKRRLQTPKEKAQALEDLAGFKELFQT
+>P46013_PF08065_1609 <unknown description>
+KTAKVACKSSQPDPDKNPASSKRRLKTSLGKVGVKEELLAVGKLTQTSGETTHTHTEPTG
+DGKSMKAFMESPKQILDSAASLTGSKRQLRTPKGKSEVPEDLAGFIELFQTP
+>P46013_PF08065_1731 <unknown description>
+KTTKVSYRASQPDLVDTPTSSKPQPKRSLRKADTEEEFLAFRKQTPSAGKAMHTPKPAVG
+EEKDINTFLGTPVQKLDQPGNLPGSNRRLQTRKEKAQALEELTGFRELFQTP
+>P46013_PF08065_1854 <unknown description>
+TKKILCKSPQSDPADTPTNTKQRPKRSLKKADVEEEFLAFRKLTPSAGKAMHTPKAAVGE
+EKDINTFVGTPVEKLDLLGNLPGSKRRPQTPKEKAKALEDLAGFKELFQTP
+>P46013_PF08065_1975 <unknown description>
+KITEVSCKSPQPDPVKTPTSSKQRLKISLGKVGVKEEVLPVGKLTQTSGKTTQTHRETAG
+DGKSIKAFKESAKQMLDPANYGTGMERWPRTPKEEAQSLEDLAGFKELFQTP
+>P46013_PF08065_2097 <unknown description>
+KTTKIACKSPPPESMDTPTSTRRRPKTPLGKRDIVEELSALKQLTQTTHTDKVPGDEDKG
+INVFRETAKQKLDPAASVTGSKRQPRTPKGKAQPLEDLAGLKELFQTP
+>P46013_PF08065_2215 <unknown description>
+KTTKIACRSPQPDPVGTPTIFKPQSKRSLRKADVEEESLALRKRTPSVGKAMDTPKPAGG
+DEKDMKAFMGTPVQKLDLPGNLPGSKRWPQTPKEKAQALEDLAGFKELFQTP
+>P46013_PF08065_2336 <unknown description>
+KTTKIACKSPQPDPVDTPASTKQRPKRNLRKADVEEEFLALRKRTPSAGKAMDTPKPAVS
+DEKNINTFVETPVQKLDLLGNLPGSKRQPQTPKEKAEALEDLVGFKELFQTP
+>P46013_PF08065_2458 <unknown description>
+KITEVSCKSPQPESFKTSRSSKQRLKIPLVKVDMKEEPLAVSKLTRTSGETTQTHTEPTG
+DSKSIKAFKESPKQILDPAASVTGSRRQLRTRKEKARALEDLVDFKELFSAP
+>P46013_PF08065_2580 <unknown description>
+KNTKIPCKSPPPELTDTATSTKRCPKTRPRKEVKEELSAVERLTQTSGQSTHTHKEPASG
+DEGIKVLKQRAKKKPNPVEEEPSRRRPRAPKEKAQPLEDLAGFTELSET
+>P46013_PF08065_2700 <unknown description>
+KATKIPCESPPLEVVDTTASTKRHLRTRVQKVQVKEEPSAVKFTQTSGETTDADKEPAGE
+DKGIKALKESAKQTPAPAASVTGSRRRPRAPRESAQAIEDLAGFK
+>P46013_PF08065_2819 <unknown description>
+KTTKIPCKSSPELEDTATSSKRRPRTRAQKVEVKEELLAVGKLTQTSGETTHTDKEPVGE
+GKGTKAFKQPAKRKLDAEDVIGSRRQPRAPKEKAQPLEDLASFQELSQTP
+>Q8IX03_PF00397_8 <unknown description>
+LPEGWEEARDFDGKVYYIDHTNRTTSWIDP
+>Q96MD2_PF09404_9 <unknown description>
+APVPVEQAVLETFFSHLGIFSYDKAKDNVEKEREANKSAGGSWLSLLAALAHLAAAEKVY
+HSLTYLGQKLGGQSFFSRKDSIRTIYTSLHNELKKVVTGRGALGGTAPHVEELLSHLSEQ
+LCFFVQARMEIADFYEKMYTLSTQKFINAEELVGLLDAIMKKYSSRFHHPILSPLESSFQ
+LEVDVLCHLLKAQAQVSEWKFLPSLVNLHSAHTKLQTWGQIFEKQRETKKHLFGGQSQKA
+VQPPHLFLWLMKLKNMLLAKFSFYFHEALSRQTTASEMKTLTAKANPDFFGKISSFIRKY
+DAANVSLIFDNRGSESFQGHGYHHPHSYREAPKGVDQYPAVVSLPSDRPVMHWPNVIMIM
+TDRTSDLNSLEKVVHFYDDKVQSTYFLTRPEPHFTIVIIFESKKSERDSHFISFLNEVSL
+ALKNPKVFASLKPGAK
+>P52732_PF00225_24 <unknown description>
+RCRPFNLAERKASAHSIVECDPVRKEVSVRTGGLADKSSRKTYTFDMVFGASTKQIDVYR
+SVVCPILDEVIMGYNCTIFAYGQTGTGKTFTMEGERSPNEEYTWEEDPLAGIIPRTLHQI
+FEKLTDNGTEFSVKVSLLEIYNEELFDLLNPSSDVSERLQMFDDPRNKRGVIIKGLEEIT
+VHNKDEVYQILEKGAAKRTTAATLMNAYSSRSHSVFSVTIHMKETTIDGEELVKIGKLNL
+VDLAGSENIGRSGAVDKRAREAGNINQSLLTLGRVITALVERTPHVPYRESKLTRILQDS
+LGGRTRTSIIATISPASLNLEETLSTLEYAHRAKNI
+>P52732_PF13931_916 <unknown description>
+DLKLDIPTGTTPQRKSYLYPSTLVRTEPREHLLDQLKRKQPELLMMLNCSENNKEETIPD
+VDVEEAVLGQYTEEPLSQEPSVDAGVDCSSIGGVPFFQHKKSHGKDKENRGINTLERSKV
+EETTEHLVTKSRLPLRAQ
+>Q15058_PF00225_364 <unknown description>
+RVRPFTKREKIEKASQVVFMSGKEITVEHPDTKQVYNFIYDVSFWSFDECHPHYASQTTV
+YEKLAAPLLERAFEGFNTCLFAYGQTGSGKSYTMMGFSEEPGIIPRFCEDLFSQVARKQT
+QEVSYHIEMSFFEVYNEKIHDLLVCKDENGQRKQPLRVREHPVYGPYVEALSMNIVSSYA
+DIQSWLELGNKQRATAATGMNDKSSRSHSVFTLVMTQTKTEFVEGEEHDHRITSRINLID
+LAGSERCSTAHTNGDRLKEGVSINKSLLTLGKVISALSEQANQRSVFIPYRESVLTWLLK
+ESLGGNSKTAMIATISPAASNIEETLSTLRYANQARLI
+>Q15058_PF16183_754 <unknown description>
+KLHQQERDMAEMQRVWKEKFEQAEKRKLQETKELQKAGIMFQMDNHLPNLVNLNEDPQLS
+EMLLYMIKEG
+>Q15058_PF00498_826 <unknown description>
+TVGKYKPNSSHDIQLSGVLIADDHCTIKNFGGTVSIIPVGEAKTYVNGKHILEITVLRHG
+DRVILG
+>Q9NS87_PF00225_32 <unknown description>
+RIRPPAERSGSADGEQNLCLSVLSSTSLRLHSNPEPKTFTFDHVADVDTTQESVFATVAK
+SIVESCMSGYNGTIFAYGQTGSGKTFTMMGPSESDNFSHNLRGVIPRSFEYLFSLIDREK
+EKAGAGKSFLCKCSFIEIYNEQIYDLLDSASAGLYLREHIKKGVFVVGAVEQVVTSAAEA
+YQVLSGGWRNRRVASTSMNRESSRSHAVFTITIESMEKSNEIVNIRTSLLNLVDLAGSER
+QKDTHAEGMRLKEAGNINRSLSCLGQVITALVDVGNGKQRHVCYRDSKLTFLLRDSLGGN
+AKTAIIANVHPGSRCFGETLSTLNFAQRAKLI
+>Q9NS87_PF15908_1279 <unknown description>
+NKEMECLRMTDEVERTQTLESKAFQEKEQLRSKLEEMYEERERTSQEMEMLRKQVECLAE
+ENGKLVGHQNLHQKIQYVVRLKKENVRLAEETEKLRAENV
+>Q9P2E2_PF00225_11 <unknown description>
+RCRPMNQRERELRCQPVVTVDCARAQCCIQNPGAADEPPKQFTFDGAYHVDHVTEQIYNE
+IAYPLVEGVTEGYNGTIFAYGQTGSGKSFTMQGLPDPPSQRGIIPRAFEHVFESVQCAEN
+TKFLVRASYLEIYNEDVRDLLGADTKQKLELKEHPEKGVYVKGLSMHTVHSVAQCEHIME
+TGWKNRSVGYTLMNKDSSRSHSIFTISIEMSAVDERGKDHLRAGKLNLVDLAGSERQSKT
+GATGERLKEATKINLSLSALGNVISALVDGRCKHVPYRDSKLTRLLQDSLGGNTKTLMVA
+CLSPADNNYDETLSTLRYANRAKNI
+>Q2TAC6_PF00225_17 <unknown description>
+RVRPISVAELEEGATLIAHKVDEQMVVLMDPMEDPDDILRAHRSREKSYLFDVAFDFTAT
+QEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDQEPGIYVQTLNDLFRAIE
+ETSNDMEYEVSMSYLEIYNEMIRDLLNPSLGYLELREDSKGVIQVAGITEVSTINAKEIM
+QLLMKGNRQRTQEPTAANQTSSRSHAVLQVTVRQRSRVKNILQEVRQGRLFMIDLAGSER
+ASQTQNRGQRMKEGAHINRSLLALGNCINALSDKGSNKYINYRDSKLTRLLKDSLGGNSR
+TVMIAHISPASSAFEESRNTLTYAGRAKNI
+>Q12756_PF00225_11 <unknown description>
+RVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHTSPEDINYASQ
+KQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIPQLCEDLFSRI
+NDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTSYN
+DIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEKVSKISLVDLA
+GSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKKTDFIPYRDSV
+LTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQI
+>Q12756_PF16183_358 <unknown description>
+AVINEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDTNTVPGGPKLTNALVGMSPSSSLS
+ALSSRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREAL
+LAEMGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGI
+>Q12756_PF00498_526 <unknown description>
+RVGREDGERRQDIVLSGHFIKEEHCVFRSDSRGGSEAVVTLEPCEGADTYVNGKKVTEPS
+ILRSGNRIIMG
+>Q12756_PF12423_814 <unknown description>
+LRQRLDLMREMYDRAAEVPSSVIEDCDNVVTGGDPFYDRFPWFRLVGS
+>Q12756_PF12473_1250 <unknown description>
+DYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGRIRNTPET
+DESLIDPNILSLNILSSGYIHPAQDDRTFYQFEAAWDSSMHNSLLLNRVTPYREKIYMTL
+SAYIEMENCTQPAVVTKDFCMVFYSRD
+>Q12756_PF00169_1678 <unknown description>
+SKKGYLHFLEPHTSGWARRFVVVRRPYAYMYNSDKDTVERFVLNLATAQVEYSEDQQAML
+KTPNTFAVCTEHRGILLQAASDKDMHDWLYAFNP
+>O60333_PF00225_11 <unknown description>
+RVRPFNSRETSKESKCIIQMQGNSTSIINPKNPKEAPKSFSFDYSYWSHTSPEDPCFASQ
+NRVYNDIGKEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGKQEESQAGIIPQLCEELFEKI
+NDNCNEEMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTSYT
+DIADLMDAGNKARTVAATNMNETSSRSHAVFTIVFTQKKHDNETNLSTEKVSKISLVDLA
+GSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVDNCTSKSKKKKKTDFIPYRDSV
+LTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQI
+>O60333_PF16183_358 <unknown description>
+AVINEDPNAKLVRELKEEVTRLKDLLRAQGLGDIIDIDPLIDDYSGSGSKYLKDFQNNKH
+RYLLASENQRPGHFSTASMGSLTSSPSSCSLSSQVGLTSVTSIQERIMSTPGGEEAIERL
+KESEKIIAELNETWEEKLRKTEAIRMEREALLAEMGVAIREDGGTLGVFSPKKTPHLVNL
+NEDPLMSECLLYYIKDGI
+>O60333_PF00498_558 <unknown description>
+VGQADAERRQDIVLSGAHIKEEHCIFRSERSNSGEVIVTLEPCERSETYVNGKRVSQPVQ
+LRSGNRIIMG
+>O60333_PF12423_845 <unknown description>
+LKQRLDLMREMYDRAGEMASSAQDESETTVTGSDPFYDRFHWFKLVGS
+>O60333_PF12473_1266 <unknown description>
+EYIPAVVDHTAGLPCQGTFLLHQGIQRRITVTIIHEKGSELHWKDVRELVVGRIRNKPEV
+DEAAVDAILSLNIISAKYLKSSHNSSRTFYRFEAVWDSSLHNSLLLNRVTPYGEKIYMTL
+SAYLELDHCIQPAVITKDVCMVFYSRD
+>O60333_PF00169_1703 <unknown description>
+SKKGYLHFKEPLYSNWAKHFVVVRRPYVFIYNSDKDPVERGIINLSTAQVEYSEDQQAMV
+KTPNTFAVCTKHRGVLLQALNDKDMNDWLYAFNP
+>O43896_PF00225_11 <unknown description>
+RVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHTSTEDPQFASQ
+QQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVPQLCEDLFSRV
+SENQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQDLSKLAVTSYA
+DIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRCHDQLTGLDSEKVSKISLVDLA
+GSERADSSGARGMRLKEGANINKSLTTLGKVISALADMQSKKRKSDFIPYRDSVLTWLLK
+ENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQI
+>O43896_PF16183_352 <unknown description>
+AIINEDPNARLIRELQEEVARLRELLMAQGLSASALEGLKTEEGSVRGALPAVSSPPAPV
+SPSSPTTHNGELEPSFSPNTESQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRME
+REALLAEMGVAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGV
+>O43896_PF00498_525 <unknown description>
+VGQVDMDIKLTGQFIREQHCLFRSIPQPDGEVVVTLEPCEGAETYVNGKLVTEPLVLKSG
+NRIVMG
+>Q14807_PF00225_64 <unknown description>
+PCVRGMDSCSLEIANWRNHQETLKYQFDAFYGERSTQQDIYAGSVQPILRHLLEGQNASV
+LAYGPTGAGKTHTMLGSPEQPGVIPRALMDLLQLTREEGAEGRPWALSVTMSYLEIYQEK
+VLDLLDPASGDLVIREDCRGNILIPGLSQKPISSFADFERHFLPASRNRTVGATRLNQRS
+SRSHAVLLVKVDQRERLAPFRQREGKLYLIDLAGSEDNRRTGNKGLRLKESGAINTSLFV
+LGKVVDALNQGLPRVPYRDSKLTRLLQDSLGGSAHSILIANIAPERRFYLDTVSALNFAA
+RSKEV
+>Q14807_PF12836_596 <unknown description>
+LNEGSARDLRSLQRIGPKKAQLIVGWRELHGPFSQVEDLERVEGITGKQMES
+>Q5T7B8_PF00536_5 <unknown description>
+LYECLCEAELAQYYSHFTALGLQKIDELAKITMKDYSKLGVHDMNDRKRLFQLIK
+>Q5T7B8_PF00225_229 <unknown description>
+RKRPLGMREVRRGEINIITVEDKETLLVHEKKEAVDLTQYILQHVFYFDEVFGEACTNQD
+VYMKTTHPLIQHIFNGGNATCFAYGQTGAGKTYTMIGTHENPGLYALAAKDIFRQLEVSQ
+PRKHLFVWISFYEIYCGQLYDLLNRRKRLFAREDSKHMVQIVGLQELQVDSVELLLEVIL
+KGSKERSTGATGVNADSSRSHAVIQIQIKDSAKRTFGRISFIDLAGSERAADARDSDRQT
+KMEGAEINQSLLALKECIRALDQEHTHTPFRQSKLTQVLKDSFIGNAKTCMIANISPSHV
+ATEHTLNTLRYADRVKE
+>Q9UIL4_PF00225_31 <unknown description>
+RVYGPAESQSAVFGDVCPLLTSLLDGYNVCVMAYGQTGSGKSYTMLGRHSDDGPVLPLDP
+QSDLGIIPRVAEELFRLILENTSRSPKVEVSIVEVYNNDIFDLLAKDSIAAVSGVKREVV
+TAKDGRTEVALLASEAVGSASKLMELVHGGLQLRAKHPTLVHADSSRSHLIITVTLTTAS
+CSDSTADQACSATLPREQTEAGRAGRSRRASQGALAPQLVPGNPAGHAEQVQARLQLVDS
+AGSECVGVSGVTGLALREMACISRSLAALAGVLGALLEHRGHAPYRNSRLTHLLQDCLGG
+DAKLLVILCISPSQRHLAQTLQGLGFGIRARQV
+>Q86VH2_PF00225_11 <unknown description>
+RIRPLLCKEALHNHQVCVRVIPNSQQVIIGRDRVFTFDFVFGKNSTQDEVYNTCIKPLVL
+SLIEGYNATVFAYGQTGSGKTYTIGGGHIASVVEGQKGIIPRAIQEIFQSISEHPSIDFN
+VKVSYIEVYKEDLRDLLELETSMKDLHIREDEKGNTVIVGAKECHVESAGEVMSLLEMGN
+AARHTGTTQMNEHSSRSHAIFTISICQVHKNMEAAEDGSWYSPRHIVSKFHFVDLAGSER
+VTKTGNTGERFKESIQINSGLLALGNVISALGDPRRKSSHIPYRDAKITRLLKDSLGGSA
+KTVMITCVSPSSSNFDESLNSLKYANRARNI
+>O00139_PF00225_229 <unknown description>
+RKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPNEM
+VYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVFL
+MLKKPNYKKLELQVYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCVE
+DVLKLIDIGNSCRTSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGADTS
+SADRQTRLEGAEINKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMIA
+TISPGMASCENTLNTLRYANRVKE
+>Q8N4N8_PF00225_219 <unknown description>
+RKRPLNQRETTLKDLDIITVPSDNVVMVHESKQKVDLTRYLQNQTFCFDHAFDDKASNEL
+VYQFTAQPLVESIFRKGMATCFAYGQTGSGKTYTMGGDFSGTAQDCSKGIYALVAQDVFL
+LLRNSTYEKLDLKVYGTFFEIYGGKVYDLLNWKKKLQVLEDGNQQIQVVGLQEKEVCCVE
+EVLNLVEIGNSCRTSRQTPVNAHSSRSHAVFQIILKSGRIMHGKFSLVDLAGNERGADTT
+KASRKRQLEGAEINKSLLALKECILALGQNKPHTPFRASKLTLVLRDSFIGQNSSTCMIA
+TISPGMTSCENTLNTLRYANRVKK
+>Q99661_PF00225_264 <unknown description>
+RKRPLNKQELAKKEIDVISIPSKCLLLVHEPKLKVDLTKYLENQAFCFDFAFDETASNEV
+VYRFTARPLVQTIFEGGKATCFAYGQTGSGKTHTMGGDLSGKAQNASKGIYAMASRDVFL
+LKNQPCYRKLGLEVYVTFFEIYNGKLFDLLNKKAKLRVLEDGKQQVQVVGLQEHLVNSAD
+DVIKMIDMGSACRTSGQTFANSNSSRSHACFQIILRAKGRMHGKFSLVDLAGNERGADTS
+SADRQTRMEGAEINKSLLALKECIRALGQNKAHTPFRESKLTQVLRDSFIGENSRTCMIA
+TISPGISSCEYTLNTLRYADRVKE
+>O15066_PF00225_15 <unknown description>
+RCRPMNGKEKAASYDKVVDVDVKLGQVSVKNPKGTAHEMPKTFTFDAVYDWNAKQFELYD
+ETFRPLVDSVLQGFNGTIFAYGQTGTGKTYTMEGIRGDPEKRGVIPNSFDHIFTHISRSQ
+NQQYLVRASYLEIYQEEIRDLLSKDQTKRLELKERPDTGVYVKDLSSFVTKSVKEIEHVM
+NVGNQNRSVGATNMNEHSSRSHAIFVITIECSEVGLDGENHIRVGKLNLVDLAGSERQAK
+TGAQGERLKEATKINLSLSALGNVISALVDGKSTHIPYRDSKLTRLLQDSLGGNAKTVMV
+ANVGPASYNVEETLTTLRYANRAKNI
+>O14782_PF00225_16 <unknown description>
+RCRPLSRKEEAAGHEQILTMDVKLGQVTLRNPRAAPGELPKTFTFDAVYDASSKQADLYD
+ETVRPLIDSVLQGFNGTVFAYGQTGTGKTYTMQGTWVEPELRGVIPNAFEHIFTHISRSQ
+NQQYLVRASYLEIYQEEIRDLLSKEPGKRLELKENPETGVYIKDLSSFVTKNVKEIEHVM
+NLGNQTRAVGSTHMNEVSSRSHAIFIITVECSERGSDGQDHIRVGKLNLVDLAGSERQNK
+AG
+>O14782_PF00225_282 <unknown description>
+AGGERPKEASKINLSLSALGNVIAALAGNRSTHIPYRDSKLTRLLQDSLGGNAKTIMVAT
+LGPASHSYDESLSTLRFANRAKNI
+>O95239_PF00225_15 <unknown description>
+RCRPLVPKEISEGCQMCLSFVPGEPQVVVGTDKSFTYDFVFDPSTEQEEVFNTAVAPLIK
+GVFKGYNATVLAYGQTGSGKTYSMGGAYTAEQENEPTVGVIPRVIQLLFKEIDKKSDFEF
+TLKVSYLEIYNEEILDLLCPSREKAQINIREDPKEGIKIVGLTEKTVLVALDTVSCLEQG
+NNSRTVASTAMNSQSSRSHAIFTISLEQRKKSDKNSSFRSKLHLVDLAGSERQKKTKAEG
+DRLKEGININRGLLCLGNVISALGDDKKGGFVPYRDSKLTRLLQDSLGGNSHTLMIACVS
+PADSNLEETLNTLRYADRARKI
+>Q2VIQ3_PF00225_15 <unknown description>
+RCRPLVPKEISEGCQMCLSFVPGETQVVVGTDKSFTYDFVFDPCTEQEEVFNKAVAPLIK
+GIFKGYNATVLAYGQTGSGKTYSMGGAYTAEQENEPTVGIIPRVIQLLFKEIDKKSDFEF
+TLKVSYLEIYNEEILDLLCPSREKAQINIREDPKEGIKIVGLTEKTVLVALDTVSCLEQG
+NNSRTVASTAMNSQSSRSHAIFTISIEQRKKSDKNCSFRSKLHLVDLAGSERQKKTKAEG
+DRLKEGININRGLLCLGNVISALGDDKKGSFVPYRDSKLTRLLQDSLGGNSHTLMIACVS
+PADSNLEETLSTLRYADRARKI
+>Q12840_PF00225_44 <unknown description>
+GKPYVFDRVFPPNTTQEQVYHACAMQIVKDVLAGYNGTIFAYGQTSSGKTHTMEGKLHDP
+QLMGIIPRIARDIFNHIYSMDENLEFHIKVSYFEIYLDKIRDLLDVTKTNLSVHEDKNRV
+PFVKGCTERFVSSPEEILDVIDEGKSNRHVAVTNMNEHSSRSHSIFLINIKQENMETEQK
+LSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGTKSYVPYRDSK
+MTRILQDSLGGNCRTTMFICCSPSSYNDAETKSTLMFGQRAKTI
+>O60282_PF00225_36 <unknown description>
+DETVVIGQGKPYVFDRVLPPNTTQEQVYNACAKQIVKDVLEGYNGTIFAYGQTSSGKTHT
+MEGKLHDPQLMGIIPRIAHDIFDHIYSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLA
+VHEDKNRVPYVKGCTERFVSSPEEVMDVIDEGKANRHVAVTNMNEHSSRSHSIFLINIKQ
+ENVETEKKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGTKT
+HVPYRDSKMTRILQDSLGGNCRTTIVICCSPSVFNEAETKSTLMFGQRAKTI
+>Q6ZMV9_PF00225_50 <unknown description>
+NNKRESYKFKFQRIFDQDANQETVFENIAKPVAGSVLAGYNGTIFAYGQTGSGKTFTITG
+GAERYSDRGIIPRTLSYIFEQLQKDSSKIYTTHISYLEIYNECGYDLLDPRHEASSLEDL
+PKVTILEDPDQNIHLKNLTLHQATTEEEALNLLFLGDTNRMIAETPMNQASTRSHCIFTI
+HLSSKEPGSATVRHAKLHLVDLAGSERVAKTGVGGHLLTEAKYINLSLHYLEQVIIALSE
+KHRSHIPYRNSMMTSVLRDSLGGNCMTTMIATLSLEKRNLDESISTCRFAQRVA
+>Q2M1P5_PF00225_21 <unknown description>
+RVRPLLPKELLHGHQSCLQVEPGLGRVTLGRDRHFGFHVVLAEDAGQEAVYQACVQPLLE
+AFFEGFNATVFAYGQTGSGKTYTMGEASVASLLEDEQGIVPRAMAEAFKLIDENDLLDCL
+VHVSYLEVYKEEFRDLLEVGTASRDIQLREDERGNVVLCGVKEVDVEGLDEVLSLLEMGN
+AARHTGATHLNHLSSRSHTVFTVTLEQRGRAPSRLPRPAPGQLLVSKFHFVDLAGSERVL
+KTGSTGERLKESIQINSSLLALGNVISALGDPQRRGSHIPYRDSKITRILKDSLGGNAKT
+VMIACVSPSSSDFDETLNTLNYASRAQNI
+>Q9HAQ2_PF00225_36 <unknown description>
+HLKKDIRRGVVNNQQTDWSFKLDGVLHDASQDLVYETVAKDVVSQALDGYNGTIMCYGQT
+GAGKTYTMMGATENYKHRGILPRALQQVFRMIEERPTHAITVRVSYLEIYNESLFDLLST
+LPYVGPSVTPMTIVENPQGVFIKGLSVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSR
+SHCIFTIYLEAHSRTLSEEKYITSKINLVDLAGSERLGKSGSEGQVLKEATYINKSLSFL
+EQAIIALGDQKRDHIPFRQCKLTHALKDSLGGNCNMVLVTNIYGEAAQLEETLSSLRFAS
+RMKL
+>Q92845_PF05804_13 <unknown description>
+VKGGNIDVHPSEKALIVHYEVEATILGEMGDPMLGERKECQKIIRLKSLNANTDITSLAR
+KVVEECKLIHPSKLNEVEQLLYYLQNRRDSLSGKEKKEKSSKPKDPPPFEGMEIDEVANI
+NDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNETALGALARVLREDWKQSVE
+LATNIIYIFFCFSSFSQFHGLITHYKIGALCMNIIDHELKRHELWQEELSKKKKAVDEDP
+ENQTLRKDYEKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMRNKNIVHMLVKAL
+DRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDLLNITLRLLLNLS
+FDTGLRNKMVQVGLLPKLTALLGNDNYKQIAMCVLYHISMDDRFKSMFAYTDCIPQLMKM
+LFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKFKDPLLMKMIRNISQ
+HDGPTKNLFIDYVGDLAAQISNDEEEEFVIECLGTLANLTIPDLDWELVLKEYKLVPYLK
+DKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNAQQEDDEFVCQII
+YVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDIIAEYDEEWAKKIQ
+SEKFRWHNSQWLEMVESRQMDESEQYLYGDDRIEPYIHEGDILERPDL
+>Q9BW19_PF00225_368 <unknown description>
+TRHDFSFDRVFPPGSGQDEVFEEIAMLVQSALDGYPVCIFAYGQTGSGKTFTMEGGPGGD
+PQLEGLIPRALRHLFSVAQELSGQGWTYSFVASYVEIYNETVRDLLATGTRKGQGGECEI
+RRAGPGSEELTVTNARYVPVSCEKEVDALLHLARQNRAVARTAQNERSSRSHSVFQLQIS
+GEHSSRGLQCGAPLSLVDLAGSERLDPGLALGPGERERLRETQAINSSLSTLGLVIMALS
+NKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASKVNQ
+>Q9BVG8_PF00225_451 <unknown description>
+RVRPVTKEDGEGPEATNAVTFDADDDSIIHLLHKGKPVSFELDKVFSPQASQQDVFQEVQ
+ALVTSCIDGFNVCIFAYGQTGAGKTYTMEGTAENPGINQRALQLLFSEVQEKASDWEYTI
+TVSAAEIYNEVLRDLLGKEPQEKLEIRLCPDGSGQLYVPGLTEFQVQSVDDINKVFEFGH
+TNRTTEFTNLNEHSSRSHALLIVTVRGVDCSTGLRTTGKLNLVDLAGSERVGKSGAEGSR
+LREAQHINKSLSALGDVIAALRSRQGHVPFRNSKLTYLLQDSLSGDSKTLMVVQVSPVEK
+NTSETLYSLKFAERVRSV
+>Q03426_PF00288_130 <unknown description>
+DIVVWSELPPGAGLGSSAAYSVCLAAALLTVCEEIPNPLKDGDCVNRWTKEDLELINKWA
+FQGERMIHGNPSGVDNAVSTWGG
+>Q03426_PF08544_294 <unknown description>
+LEELIDMNQHHLNALGVGHASLDQLCQVTRARGLHSKLTGAGGGGCGITLLKPGLEQPEV
+>O60870_PF10357_52 <unknown description>
+RQLLLASENPQQFMDYFSEEFRNDFLELLRRRFGTKRVHNNIVYNEYISHREHIHMNATQ
+WETLTDFTKWLGREGLCKVDETPKGWYIQYIDRDPETIRRQLELEKKKKQDLDDEEKTAK
+FIEEQV
+>O60870_PF18131_276 <unknown description>
+RTDYWLQPEIIVKIITKKLGEKYHKKKAIVKEVIDKYTAVVKMIDSGDKLKLD
+>P33176_PF00225_14 <unknown description>
+RFRPLNESEVNRGDKYIAKFQGEDTVVIASKPYAFDRVFQSSTSQEQVYNDCAKKIVKDV
+LEGYNGTIFAYGQTSSGKTHTMEGKLHDPEGMGIIPRIVQDIFNYIYSMDENLEFHIKVS
+YFEIYLDKIRDLLDVSKTNLSVHEDKNRVPYVKGCTERFVCSPDEVMDTIDEGKSNRHVA
+VTNMNEHSSRSHSIFLINVKQENTQTEQKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKN
+INKSLSALGNVISALAEGSTYVPYRDSKMTRILQDSLGGNCRTTIVICCSPSSYNESETK
+STLLFGQRAKTI
+>Q96J84_PF07679_22 <unknown description>
+RFSQEPADQTVVAGQRAVLPCVLLNYSGIVQWTKDGLALGMGQGLKAWPRYRVVGSADAG
+QYNLEITDAELSDDASYECQATEAALRSRRAKLTV
+>Q96J84_PF08205_136 <unknown description>
+GTPHNLTCRAFNAKPAATIIWFRDGTQQEGAVASTELLKDGKRETTVSQLLINPTDLDIG
+RVFTCRSMNE
+>Q96J84_PF13927_308 <unknown description>
+PRIVVDPKPTTTDIGSDVTLTCVWVGNPPLTLTWTKKDSNMVLSNSNQLLLKSVTQADAG
+TYTCRA
+>Q6UWL6_PF07679_24 <unknown description>
+PHFLQQPEDLVVLLGEEARLPCALGAYWGLVQWTKSGLALGGQRDLPGWSRYWISGNAAN
+GQHDLHIRPVELEDEASYECQATQA
+>Q6UWL6_PF08205_142 <unknown description>
+ANLTCRSRGDARPTPELLWFRDGVLLDGATFHQTLLKEGTPGSVESTLTLTPFSHDDGAT
+FVCRARSQAL
+>Q6UWL6_PF13927_312 <unknown description>
+PILQAKPEPVSVDVGEDASFSCAWRGNPLPRVTWTRRGGAQVLGSGATLRLPSVGPEDAG
+DYVCRAE
+>Q8IZU9_PF07679_49 <unknown description>
+SFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDLSSYPQYLVVGNHLSG
+EHHLKILRAELQDDAVYECQAIQA
+>Q8IZU9_PF13895_158 <unknown description>
+ISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLFISPG
+DVENGQSIVCRATNKAIPGGKETSVTI
+>Q8IZU9_PF07679_335 <unknown description>
+PRMTTEPQSLLVDLGSDAIFSCAWTGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDAG
+KYVCRAVVPRVGAGEREVTLTV
+>Q8IZU9_PF13927_419 <unknown description>
+PPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAWSWKENVLESGTSGRYTVETISTEEG
+VISTLTISNIVRADFQTIYNCTAWN
+>Q8TBQ9_PF06842_10 <unknown description>
+LLTVILLLICTCAYIRSLAPSLLDRNKTGLLGIFW
+>Q9NRX6_PF06842_11 <unknown description>
+LVFGLLFVCTCAYFKKVPRLKTWLLSEKKGVWGVFY
+>Q15726_PF15152_48 <unknown description>
+EQSLPCTERKPAATARLSRRGTSLSPPPESSGSPQQPGLSAPHSRQIPAPQGAVLVQREK
+DLPNYNWNSFGLRFG
+>Q969F8_PF00001_59 <unknown description>
+GNSLVIYVICRHKPMRTVTNFYIANLAATDVTFLLCCVPFTALLYPLPGWVLGDFMCKFV
+NYIQQVSVQATCATLTAMSVDRWYVTVFPLRALHRRTPRLALAVSLSIWVGSAAVSAPVL
+ALHRLSPGPRAYCSEAFPSRALERAFALYNLLALYLLPLLATCACYAAMLRHLGRVAVRP
+APADSALQGQVLAERAGAVRAKVSRLVAAVVLLFAACWGPIQLFLVLQALGPAGSWHPRS
+YAAYALKTWAHCMSYSNSALNPLLY
+>P04183_PF00265_19 <unknown description>
+GQIQVILGPMFSGKSTELMRRVRRFQIAQYKCLVIKYAKDTRYSSSFCTHDRNTMEALPA
+CLLRDVAQEALGVAVIGIDEGQFFPDIVEFCEAMANAGKTVIVAALDGTFQRKPFGAILN
+LVPLAESVVKLTAVCMECFREAAYTKRLGTEKEVEVIGGADKYHSVCRLCY
+>O00142_PF01712_53 <unknown description>
+ICVEGNIASGKTTCLEFFSNATDVEVLTEPVSKWRNVRGHNPLGLMYHDASRWGLTLQTY
+VQLTMLDRHTRPQVSSVRLMERSIHSARYIFVENLYRSGKMPEVDYVVLSEWFDWILRNM
+DVSVDLIVYLRTNPETCYQRLKKRCREEEKVIPLEYLEAIHHLHEEWLIKGSLFPMAAPV
+LVIEADHHMERMLELFEQNRDRILTPEN
+>P10721_PF00047_217 <unknown description>
+SKASYLLREGEEFTVTCTIKDVSSSVYSTWKRENSQTKLQEKYNSWHHGDFNYERQATLT
+ISSARVNDSGVFMCYANNTFGSANVTTT
+>P10721_PF07714_589 <unknown description>
+LSFGKTLGAGAFGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLG
+NHMNIVNLLGACTIGGPTLVITEYCCYGDLLNFLRRKRDSFICSKQEDHAEAALYKNLLH
+SKESSCSDSTNEYMDMKPGVSYVVPTKADKRRSVRIGSYIERDVTPAIMEDDELALDLED
+LLSFSYQVAKGMAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIKNDSNYVVKGN
+ARLPVKWMAPESIFNCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFR
+MLSPEHAPAEMYDIMKTCWDADPLKRPTFKQIVQL
+>Q8N5S9_PF00069_128 <unknown description>
+YKLQSEIGKGAYGVVRLAYNESEDRHYAMKVLSKKKLLKQYGFPRRPPPRGSQAAQGGPA
+KQLLPLERVYQEIAILKKLDHVNVVKLIEVLDDPAEDNLYLVFDLLRKGPVMEVPCDKPF
+SEEQARLYLRDVILGLEYLHCQKIVHRDIKPSNLLLGDDGHVKIADFGVSNQFEGNDAQL
+SSTAGTPAFMAPEAISDSGQSFSGKALDVWATGVTLYCFVYGKCPFIDDFILALHRKIKN
+EPVVFPEEPEISEELKDLILKMLDKNPETRIGVPDIKLHPWV
+>Q96RR4_PF00069_165 <unknown description>
+YTLKDEIGKGSYGVVKLAYNENDNTYYAMKVLSKKKLIRQAGFPRRPPPRGTRPAPGGCI
+QPRGPIEQVYQEIAILKKLDHPNVVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPL
+SEDQARFYFQDLIKGIEYLHYQKIIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALL
+SNTVGTPAFMAPESLSETRKIFSGKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKS
+QALEFPDQPDIAEDLKDLITRMLDKNPESRIVVPEIKLHPWV
+>Q5H943_PF15204_25 <unknown description>
+QRNTGEMSSNSTALALVRPSSSGLINSNTDNNLAVYDLSRDILNNFPHSIARQKRILVNL
+SMVENKLVELEHTLLSKGFRGASPHRK
+>Q07866_PF13424_213 <unknown description>
+LRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVATMLNILALVYRDQNKYK
+DAANLLNDALAIREK
+>Q07866_PF13424_296 <unknown description>
+VAATLNNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKY
+EEVEYYYQRALEIYQ
+>Q07866_PF13176_385 <unknown description>
+NNLASCYLKQGKFKQAETLYKEILTRAHERE
+>Q07866_PF13374_465 <unknown description>
+TTLKNLGALYRRQGKFEAAETLEEAAMRSRKQGL
+>Q9H0B6_PF13424_198 <unknown description>
+LRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVATMLNILALVYRDQNKYK
+EAAHLLNDALAIREK
+>Q9H0B6_PF13424_281 <unknown description>
+VAATLNNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKA
+EEVEYYYRRALEIY
+>Q9H0B6_PF13374_365 <unknown description>
+VAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFG
+>Q6P597_PF13424_247 <unknown description>
+DVATMLNILALVYRDQNKYKEATDLLHDALQIREQTLGPEHPAVAATLNNLAVLYGKRGR
+YREAEPLCQRALEIREK
+>Q6P597_PF13424_335 <unknown description>
+QLNNLALLCQNQGKFEDVERHYARALSIYEALGGPHDPNVAKTKNNLASAYLKQNKYQQA
+EELYKEIL
+>Q9NSK0_PF13424_211 <unknown description>
+LRTLHNLVIQYAAQGRYEVAVPLCKQALEDLERTSGRGHPDVATMLNILALVYRDQNKYK
+EAAHLLNDALSIRES
+>Q9NSK0_PF13424_294 <unknown description>
+VAATLNNLAVLYGKRGKYKEAEPLCQRALEIREKVLGTNHPDVAKQLNNLALLCQNQGKY
+EAVERYYQRALAIYE
+>Q9NSK0_PF13374_378 <unknown description>
+VARTKNNLASCYLKQGKYAEAETLYKEILTRAHVQEFGSVDDDH
+>Q9NSK0_PF13374_465 <unknown description>
+TTLRNLGALYRRQGKLEAAETLEECALRSRR
+>Q6PID8_PF13964_92 <unknown description>
+RSGHRCVADNTNLYVFGGYNPDYDESGGPDNEDYPLFRELWRYHFATGVWHQM
+>Q6PID8_PF13418_206 <unknown description>
+RIYGQAMAIINGSLYVFGGTTGYIYSTDLHKLDLNTREWTQLKP
+>Q6PID8_PF01344_260 <unknown description>
+RYRHEIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWEEIATK
+>Q6PID8_PF13418_315 <unknown description>
+ARRCHSCVQIKNDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATMP
+>Q5VTJ3_PF01344_532 <unknown description>
+GCAICSLFNYLFVVSGCQGPGHQPSSRVFCYNPLTGIWSEV
+>Q5VTJ3_PF01344_578 <unknown description>
+ARPHCRLVALDGHLYAIGGECLNSVERYDPRLDRWDFAPPLP
+>Q8IYD2_PF01344_20 <unknown description>
+RRVYCSLLETGGQVYAIGGCDDNGVPMDCFEVYSPEADQWTALPRLP
+>Q8IYD2_PF01344_68 <unknown description>
+ARAGVAVTALGKRIMVIGGVGTNQLPLKVVEMYNIDEGKWKK
+>Q8IYD2_PF13964_164 <unknown description>
+PRYAATSFLRGSKIYVLGGRQSKYAVNAFEVFDIETRSWTKFPNIPYKR
+>Q8IYD2_PF01344_267 <unknown description>
+RRADFVAGSLSGRVIVAGGLGNQPTVLETAEAFHPGKNKWEILPAMP
+>Q8IXV7_PF01344_21 <unknown description>
+RVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMASHTWLALAPLP
+>Q8IXV7_PF01344_68 <unknown description>
+ARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLMDEGRWERRATLP
+>Q8IXV7_PF01344_164 <unknown description>
+PCYGASTFLHGNKIYVLGGRQGKLPVTAFEAFDLEARTWTRHPSLP
+>Q8IXV7_PF01344_271 <unknown description>
+RADFVVGSLGGHIVAIGGLGNQPCPLGSVESFSLARRRWEALPAMP
+>Q8N7A1_PF13854_12 <unknown description>
+ERSGHCAVVDGNFLYVWGGYVSIEDNEVYLPNDEIWTYDIDSG
+>Q8N7A1_PF13964_70 <unknown description>
+SGSCGACINGKLYIFGGYDDKGYSNRLYFVNLRTRDETYIWEKITDFE
+>Q8N7A1_PF13418_196 <unknown description>
+PRAAHTCAVLGNKGYIFGGRVLQTRMNDLHYLNLDTWTWSG
+>Q8N7A1_PF13418_248 <unknown description>
+RSWHTLTPIADDKLFLCGGLSADNIPLSDGWIHNVTTNCWKQLTHLP
+>Q9Y2U9_PF13418_217 <unknown description>
+PRAAHACATVGNRGFVFGGRYRDARMNDLHYLNLDTWEWNELIP
+>Q9Y2U9_PF13418_268 <unknown description>
+RSWHSLTPVSSDHLFLFGGFTTDKQPLSDAWTYCISKNEW
+>Q9BQ90_PF13964_14 <unknown description>
+RVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLPPVK
+>Q9BQ90_PF13418_77 <unknown description>
+RYGHSTVLIDDTVLLWGGRNDTEGACNVLYAFDVNTHKWFTPRVSGTVP
+>Q9BQ90_PF07646_127 <unknown description>
+ARDGHSACVLGKIMYIFGGYEQQADCFSNDIHKLDTSTMTWTLICTKG
+>Q9BQ90_PF01344_182 <unknown description>
+FHSATMLGSHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPP
+>Q9BQ90_PF13964_239 <unknown description>
+RRSHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPVSFTWKKIEP
+>Q8TBB5_PF13418_63 <unknown description>
+PRLNASLSVHPEKDELILFGGEYFNGQKTFLYNELYVYNTRKDTWTKVDIPSPPP
+>Q8TBB5_PF13964_119 <unknown description>
+RCAHQAVVVPQGGGQLWVFGGEFASPNGEQFYHYKDLWVLHLATKTWEQV
+>Q8TBB5_PF13854_174 <unknown description>
+PSGRSGHRMVAWKRQLILFGGFHESTRDYIYYNDVYAFNLDTF
+>Q8TBB5_PF07646_231 <unknown description>
+RSGCQMSVTPQGGIVVYGGYSKQRVKKDVDKGTRHSDMFLLKPEDGREDKWVWTRMNPSG
+>Q8TBB5_PF07646_295 <unknown description>
+PRSGFSVAMAPNHQTLFFGGVCDEEEEESLSGEFFNDLYFYDATRNRWFEGQLK
+>Q8NEP7_PF13415_38 <unknown description>
+GRFYLVGGLLAGGAREPSSDTVVFDPARGQAVRLGARGSPPRSHHDA
+>Q13118_PF00096_399 <unknown description>
+FSCSWKGCERRFARSDELSRHRRTH
+>Q13118_PF00096_429 <unknown description>
+FACPMCDRRFMRSDHLTKHARRH
+>O14901_PF00096_394 <unknown description>
+YVCSFPGCRKTYFKSSHLKAHLRTH
+>O14901_PF00096_424 <unknown description>
+FNCSWDGCDKKFARSDELSRHRRTH
+>O14901_PF00096_454 <unknown description>
+FVCPVCDRRFMRSDHLTKHARRH
+>Q9Y2Y9_PF00096_167 <unknown description>
+HKCHYAGCEKVYGKSSHLKAHLRTH
+>Q9Y2Y9_PF00096_197 <unknown description>
+FACSWQDCNKKFARSDELARHYRTH
+>Q9Y2Y9_PF00096_227 <unknown description>
+FSCPICEKRFMRSDHLTKHARRH
+>Q8TD94_PF00096_195 <unknown description>
+HQCPFPGCTKAYYKSSHLKSHQRTH
+>Q8TD94_PF00096_225 <unknown description>
+FSCDWLDCDKKFTRSDELARHYRTH
+>Q8TD94_PF00096_255 <unknown description>
+FSCPLCPKQFSRSDHLTKHARRH
+>Q9UIH9_PF00096_321 <unknown description>
+HKCTFPGCSKMYTKSSHLKAHLRRH
+>Q9UIH9_PF00096_351 <unknown description>
+FACTWPGCGWRFSRSDELSRHRRSH
+>Q9UIH9_PF00096_381 <unknown description>
+YQCPVCEKKFARSDHLSKHIKVH
+>Q9BXK1_PF00096_127 <unknown description>
+HRCPFPDCAKAYYKSSHLKSHLRTH
+>Q9BXK1_PF00096_157 <unknown description>
+FACDWQGCDKKFARSDELARHHRTH
+>Q9BXK1_PF00096_187 <unknown description>
+FSCPLCSKRFTRSDHLAKHARRH
+>Q5JT82_PF00096_283 <unknown description>
+YCCNYENCGKAYTKRSHLVSHQRKH
+>Q5JT82_PF00096_313 <unknown description>
+YSCNWESCSWSFFRSDELRRHMRVH
+>Q5JT82_PF00096_343 <unknown description>
+YKCDQCSREFMRSDHLKQHQKTH
+>A0A0U1RQI7_PF00096_994 <unknown description>
+YVCDVEGCTWKFARSDELNRHKKRH
+>A0A0U1RQI7_PF00096_1024 <unknown description>
+YLCSICSKNFARSDHLKQHAKVH
+>Q13351_PF16832_22 <unknown description>
+DTQDDFLKWWRSEEAQDMGPGPPDPT
+>Q13351_PF16833_59 <unknown description>
+PGEEEDDERGADATWDLDLLLTNFSGP
+>Q13351_PF00096_279 <unknown description>
+HTCAHPGCGKSYTKSSHLKAHLRTH
+>Q13351_PF00096_309 <unknown description>
+YACTWEGCGWRFARSDELTRHYRKH
+>Q13351_PF00096_339 <unknown description>
+FRCQLCPRAFSRSDHLALHMKRH
+>Q9Y5W3_PF00096_272 <unknown description>
+HTCSYAGCGKTYTKSSHLKAHLRTH
+>Q9Y5W3_PF00096_302 <unknown description>
+YHCNWDGCGWKFARSDELTRHYRKH
+>Q9Y5W3_PF00096_332 <unknown description>
+FQCHLCDRAFSRSDHLALHMKRH
+>P57682_PF00096_260 <unknown description>
+HRCDYDGCNKVYTKSSHLKAHRRTH
+>P57682_PF00096_290 <unknown description>
+YKCTWEGCTWKFARSDELTRHFRKH
+>P57682_PF00096_320 <unknown description>
+FQCPDCDRSFSRSDHLALHRKRH
+>O43474_PF00096_396 <unknown description>
+HTCDYAGCGKTYTKSSHLKAHLRTH
+>O43474_PF00096_426 <unknown description>
+YHCDWDGCGWKFARSDELTRHYRKH
+>O43474_PF00096_456 <unknown description>
+FQCQKCDRAFSRSDHLALHMKRH
+>Q13887_PF00096_373 <unknown description>
+HYCDYPGCTKVYTKSSHLKAHLRTH
+>Q13887_PF00096_403 <unknown description>
+YKCTWEGCDWRFARSDELTRHYRKH
+>Q13887_PF00096_433 <unknown description>
+FQCGVCNRSFSRSDHLALHMKRH
+>Q99612_PF00096_200 <unknown description>
+HRCHFNGCRKVYTKSSHLKAHQRTH
+>Q99612_PF00096_230 <unknown description>
+YRCSWEGCEWRFARSDELTRHFRKH
+>Q99612_PF00096_260 <unknown description>
+FKCSHCDRCFSRSDHLALHMKRH
+>O75840_PF00096_219 <unknown description>
+HRCQFNGCRKVYTKSSHLKAHQRTH
+>O75840_PF00096_249 <unknown description>
+YKCSWEGCEWRFARSDELTRHYRKH
+>O75840_PF00096_279 <unknown description>
+FKCNHCDRCFSRSDHLALHMKRH
+>O95600_PF00096_274 <unknown description>
+HQCDFAGCSKVYTKSSHLKAHRRIH
+>O95600_PF00096_304 <unknown description>
+YKCTWDGCSWKFARSDELTRHFRKH
+>O95600_PF00096_334 <unknown description>
+FRCTDCNRSFSRSDHLSLHRRRH
+>Q13886_PF00096_143 <unknown description>
+HKCPYSGCGKVYGKSSHLKAHYRVH
+>Q13886_PF00096_173 <unknown description>
+FPCTWPDCLKKFSRSDELTRHYRTH
+>Q13886_PF00096_203 <unknown description>
+FRCPLCEKRFMRSDHLTKHARRH
+>Q6JEL2_PF00651_29 <unknown description>
+FNELRLEGKLCDVVIKVNGFEFSAHKNILCSCSSYFRALFTSGWNNTEKKVYNIPGISPD
+MMKLIIEYAYTRTVPITPDNVEKLLAAADQFNIMGIVRGCCEFLKS
+>Q6JEL2_PF07707_141 <unknown description>
+CIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLELSVTELKDIIEKDELNVKQE
+DAVFEAILKWISHDPQNRKQHISILLPKVRLALMHAEYFMNNV
+>Q6JEL2_PF01344_293 <unknown description>
+LFAIGGWSGGSPTNAIEAYDARADRWVNV
+>Q6JEL2_PF01344_328 <unknown description>
+PRAYHGAAYLKGYVYIIGGFDSVDYFNSVKRFDPVKKTWHQVAPM
+>Q6JEL2_PF01344_375 <unknown description>
+RRCYVSVTVLGNFIYAMGGFDGYVRLNTAERYEPETNQWTLIAPM
+>Q6JEL2_PF01344_423 <unknown description>
+RSDASATTLYGKVYICGGFNGNECLFTAEVYNTESNQWTVIAPMR
+>Q6JEL2_PF01344_469 <unknown description>
+RRSGIGVIAYGEHVYAVGGFDGANRLRSAEAYSPVANTWRTIPTM
+>Q6JEL2_PF01344_516 <unknown description>
+PRSNFGIEVVDDLLFVVGGFNGFTTTFNVECYDEKTDEWYDAHDM
+>Q9NVR0_PF00651_85 <unknown description>
+NEQRRQGLFCDITLCFGGAGGREFRAHRSVLAAATEYFTPLLSGQFSESRSGRVEMRKWS
+SEPGPEPDTVEAVIEYMYTGRIRVSTGSVHEVLELADRFLLIRLKEFCGEFLKKK
+>Q9NVR0_PF07707_205 <unknown description>
+CVAIHSLAHMYTLSQLALKAADMIRRNFHKVIQDEEFYTLPFHLIRDWLSDLEITVDSEE
+VLFETVLKWVQRNAEERERYFEELFKLLRLSQMKPTYLTRHVK
+>Q9NVR0_PF01344_445 <unknown description>
+SFGLTEVKGKLYSIGGHGNFSPGFKDVTVYNPELDKWHNLES
+>Q53G59_PF00651_23 <unknown description>
+MNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCAMFTSELSEKGKPYVDIQGLTAS
+TMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQACCEFLESQ
+>Q53G59_PF07707_135 <unknown description>
+CLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVEKLIKCDEIQVDSEE
+PVFEAVINWVKHAKKEREESLPNLLQYVRMPLLTPRYITDVI
+>Q53G59_PF01344_281 <unknown description>
+EVLLVVGGFGSQQSPIDVVEKYDPKTQEWSFLPS
+>Q53G59_PF01344_319 <unknown description>
+RRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWYSVAPMN
+>Q53G59_PF01344_368 <unknown description>
+RRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQ
+>Q53G59_PF01344_416 <unknown description>
+REGAGLVVASGVIYCLGGYDGLNILNSVEKYDPHTGHWTNVTPM
+>Q53G59_PF01344_463 <unknown description>
+RSGAGVALLNDHIYVVGGFDGTAHLSSVEAYNIRTDSWTTVTSM
+>Q53G59_PF01344_509 <unknown description>
+PRCYVGATVLRGRLYAIAGYDGNSLLSSIECYDPIIDSWEVVTSMG
+>Q9P2N7_PF00651_66 <unknown description>
+FDQLRLEGLLCDVTLMPGDTDDAFPVHRVMMASASDYFKAMFTGGMKEQDLMCIKLHGVS
+KVGLRKIIDFIYTAKLSLNMDNLQDTLEAASFLQILPVLDFCKVFLI
+>Q9P2N7_PF07707_180 <unknown description>
+CVEVGRIANTYNLTEVDKYVNSFVLKNFPALLSTGEFLKLPFERLAFVLSSNSLKHCTEL
+ELFKATCRWLRLEEPRMDFAAKLMKNIRFPLMTPQELINYVQ
+>Q9P2N7_PF01344_362 <unknown description>
+PRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWMQVASLN
+>Q9P2N7_PF01344_415 <unknown description>
+RTFFHLSALKGYLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMS
+>Q9P2N7_PF01344_463 <unknown description>
+YGHAGTVYGGVMYISGGITHDTFQKELMCFDPDTDKWIQKAPM
+>Q9P2N7_PF01344_509 <unknown description>
+RGLHCMCTVGERLYVIGGNHFRGTSDYDDVLSCEYYSPILDQWTPIAAM
+>Q9P2N7_PF01344_563 <unknown description>
+DVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPDKDEWHKV
+>Q9P2G3_PF00651_24 <unknown description>
+NLLWRKQLFCDVTLTAQGQQFHCHKAVLASCSQYFRSLFSSH
+>Q9P2G3_PF00651_123 <unknown description>
+NNLVLQGCSSIGLRLVLEYLYTANVTLSLDTVEEVLSVSKILHIPQVTKLCVQFLNDQ
+>Q9P2G3_PF07707_211 <unknown description>
+EDVLLLNFEEMRALLDSLPPPVESELALFQMSVLWLEHDRETRMQYAPDLMKRLRFALIP
+APELVERVQ
+>Q9P2G3_PF01344_363 <unknown description>
+AHHCVVEVENFLFVLGGEDQWNPNGKHSTNFVSRYDPRFNSWIQLPPMQ
+>Q9P2G3_PF01344_414 <unknown description>
+RASFYACRLDKHLYVIGGRNETGYLSSVECYNLETNEWRYVSSLP
+>Q9P2G3_PF01344_461 <unknown description>
+LAAHAGAVHNGKIYISGGVHNGEYVPWLYCYDPVMDVWARKQDMN
+>Q9P2G3_PF01344_560 <unknown description>
+RSGPGCAVLDDSIYLVGGYSWSMGAYKSSTICYCPEKGTWTEL
+>Q96M94_PF00651_23 <unknown description>
+ALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMFTADMRERDQDKIHLKGLTATGF
+SHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFCCSFLLA
+>Q96M94_PF07707_146 <unknown description>
+NIEGVREKLDTFLLDNFVPLMSRPDFLSYLSFEKLMSYLDNDHLSRFPEIELYEAVQSWL
+RHDRRRWRHTDTIIQNIRFCLMTPTSVFEKV
+>Q96M94_PF01344_368 <unknown description>
+PRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFV
+>Q96M94_PF01344_477 <unknown description>
+ARCFHKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPETDQWTILASMP
+>Q96M94_PF01344_532 <unknown description>
+RSGHGVTVLDKQIMVLGGLCYNGHYSDSILTFDPDENKWKE
+>Q6TDP4_PF00651_83 <unknown description>
+SRMRQRGLLCDIVLHVAAKEIRAHKVVLASCSPYFHAMFTNEMSESRQTHVTLHDIDPQA
+LDQLVQFAYTAEIVVGEGNVQTLLPAASLLQLNGVRDACCKFLLSQ
+>Q6TDP4_PF07707_194 <unknown description>
+CLGIRGFADAHSCSDLLKAAHRYVLQHFVDVAKTEEFMLLPLKQVLELVSSDSLNVPSEE
+EVYRAVLSWVKHDVDARRQHVPRLMKCVRLPLLSRDFLLGHV
+>Q6TDP4_PF01344_342 <unknown description>
+PVLFAVGGGSLFAIHGDCEAYDTRTDRWHVVASMS
+>Q6TDP4_PF01344_378 <unknown description>
+RRARVGVAAVGNRLYAVGGYDGTSDLATVESYDPVTNTWQPEVSM
+>Q6TDP4_PF01344_425 <unknown description>
+RRSCLGVAALHGLLYSAGGYDGASCLNSAERYDPLTGTWTSVAAMS
+>Q6TDP4_PF01344_472 <unknown description>
+RRRYVRVATLDGNLYAVGGYDSSSHLATVEKYEPQVNVWSPVASM
+>Q6TDP4_PF01344_519 <unknown description>
+RRSSAGVAVLEGALYVAGGNDGTSCLNSVERYSPKAGAWESVAPMN
+>Q6TDP4_PF01344_566 <unknown description>
+RRSTHDLVAMDGWLYAVGGNDGSSSLNSIEKYNPRTNKWVAASCM
+>O94889_PF00651_29 <unknown description>
+EEIRRQGKLCDVTLKIGDHKFSAHRIVLAASIPYFHAMFTNDMMECKQDEIVMQGMDPSA
+LEALINFAYNGNLAIDQQNVQSLLMGASFLQLQSIKDACCTFLRER
+>O94889_PF07707_140 <unknown description>
+CLGVRQFAETMMCAVLYDAANSFIHQHFVEVSMSEEFLALPLEDVLELVSRDELNVKSEE
+QVFEAALAWVRYDREQRGPYLPELLSNIRLPLCRPQFLSDRVQ
+>O94889_PF01344_280 <unknown description>
+PRCCTSIAGLIYAVGGLNSAGDSLNVVEVFDPIANCWERCRPM
+>O94889_PF01344_325 <unknown description>
+ARSRVGVAVVNGLLYAIGGYDGQLRLSTVEAYNPETDTWTRVGSMN
+>O94889_PF01344_373 <unknown description>
+RSAMGTVVLDGQIYVCGGYDGNSSLSSVETYSPETDKWTVVTSMS
+>O94889_PF01344_420 <unknown description>
+RSAAGVTVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAAGM
+>O94889_PF01344_467 <unknown description>
+RCRHGAASLGSKMFVCGGYDGSGFLSIAEMYSSVADQWCLIVPM
+>O94889_PF01344_513 <unknown description>
+RRSRVSLVASCGRLYAVGGYDGQSNLSSVEMYDPETDCWTFMAPM
+>Q9Y2M5_PF00651_59 <unknown description>
+NLLRKHRELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERA
+MELLIDFAYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQ
+>Q9Y2M5_PF07707_170 <unknown description>
+CLGIRAFADTHSCRELLRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEE
+QVFNAVMAWVKYSIQERRPQLPQVLQHVRLPLLSPKFLVGT
+>Q9Y2M5_PF01344_317 <unknown description>
+GEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMS
+>Q9Y2M5_PF01344_354 <unknown description>
+RRCGVGVSVLDDLLYAVGGHDGSSYLNSVERYDPKTNQWS
+>Q9Y2M5_PF01344_403 <unknown description>
+RTSVGVAVLGGFLYAVGGQDGVSCLNIVERYDPKENKWTRVASMS
+>Q9Y2M5_PF01344_449 <unknown description>
+RRLGVAVAVLGGFLYAVGGSDGTSPLNTVERYNPQENRWHTIAPMG
+>Q9Y2M5_PF01344_496 <unknown description>
+RRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAM
+>Q9Y2M5_PF01344_543 <unknown description>
+RRSGVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYG
+>Q9UJP4_PF00651_25 <unknown description>
+LSQLRAERKFLDVTLEAAGGRDFPAHRAVLAAASPYFRAMFAGQLRESRAERVRLHGVPP
+DMLQLLLDFSYTGRVAVSGDNAEPLLRAADLLQFPAVKEACGAFLQQQ
+>Q9UJP4_PF07707_138 <unknown description>
+CLDMQDFAEAFSCSGLASAAQRFILRHVGELGAEQLERLPLARLLRYLRDDGLCVPKEEA
+AYQLALRWVRADPPRRAAHWPQLLEAVRLPFVRRFYLLAHVE
+>Q9UJP4_PF01344_288 <unknown description>
+LVLVGGCDQDCDELVTVDCYNPQTGQWRYLAEF
+>Q9UJP4_PF01344_327 <unknown description>
+GYSIVALGNDIYVTGGSDGSRLYDCVWRYNSSVNEWAEVAPM
+>Q9UJP4_PF13964_502 <unknown description>
+HVGGSLAVLGGKLYVSGGYDNTFELSDVVEAYDPETRAWSVVGRLPEP
+>Q53GT1_PF00651_42 <unknown description>
+ALRDSGILFDVVLVVEGRHIEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAM
+CQILHFIYTSELELSLSNVQETLVAACQLQIPEIIHFCCDFL
+>Q53GT1_PF07707_153 <unknown description>
+LDVYRLAELFDLSRLTEQLDTYILKNFVAFSRTDKYRQLPLEKVYSLLSSNRLEVSCETE
+VYEGALLYHYSLEQVQADQISLHEPPKLLETVRFPLMEAEVLQR
+>Q53GT1_PF13964_338 <unknown description>
+RMSNQGIAVLNNFVYLIGGDNNVQGFRAESRCWRYDPRHNRWFQIQSL
+>Q53GT1_PF01344_392 <unknown description>
+LSVCVVGRYIYAVAGRDYHNDLNAVERYDPATNSWAYVAPL
+>Q53GT1_PF13415_446 <unknown description>
+GKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGPVRRAWHGMA
+>Q53GT1_PF01344_537 <unknown description>
+PGIAVLDNRIYVLGGRSHNRGSRTGYVHIYDVEKDCWEEGPQ
+>Q8NBE8_PF00651_32 <unknown description>
+DGLFTDITLQCPSGIIFHCHRAVLAACSNYFKAMFTADMKEKFKNKIKLSGIHHDILEGL
+VNYAYTSQIEITKRNVQSLLEAADLLQFLSVKKACERFLVRH
+>Q8NBE8_PF07707_139 <unknown description>
+CIGMHSFAEFHVCPELEKESRRILCSKFKEVWQQEEFLEISLEKFLFILSRKNLSVWKEE
+AIIEPVIKWTAHDVENRIECLYNLLSYINIDIDPVYLKT
+>Q8NBE8_PF01344_275 <unknown description>
+MYIIGGYYWHPLSEVHIWDPLTNVWIQGA
+>Q8NBE8_PF01344_312 <unknown description>
+SYGVTCLGPNIYVTGGYRTDNIEALDTVWIYNSESDEWTEG
+>Q8NBE8_PF01344_358 <unknown description>
+ARYYHCAVTLGGCVYALGGYRKGAPAEEAEFYDPLKEKWIPIANM
+>Q8NBE8_PF01344_408 <unknown description>
+NATACVLHDVIYVIGGHCGYRGSCTYDKVQSYNSDINEWSLITS
+>Q8NBE8_PF01344_460 <unknown description>
+CSVPFENKLYLVGGQTTITECYDPEQNEWREIAPMM
+>Q8NBE8_PF01344_497 <unknown description>
+RRMECGAVIMNGCIYVTGGYSYSKGTYLQSIEKYDPDLNKWEIVGNLP
+>Q6TFL4_PF00651_56 <unknown description>
+FNEFRDSRLFTDVIICVEGKEFPCHRAVLSACSSYFRAMFCNDHRESREMLVEINGILAE
+AMECFLQYVYTGKVKITTENVQYLFETSSLFQISVLRDACAKFLEEQ
+>Q6TFL4_PF07707_168 <unknown description>
+CLGIQRFADTHSLKTLFTKCKNFALQTFEDVSQHEEFLELDKDELIDYICSDELVIGKEE
+MVFEAVMRWVYRAVDLRRPLLHELLTHVRLPLLHPNYFVQTVE
+>Q6TFL4_PF01344_314 <unknown description>
+VIVVVGGCERVGGFNLPYTECYDPVTGEWKSLAKLP
+>Q6TFL4_PF01344_356 <unknown description>
+YAVCALRNDILVSGGRINSRDVWIYNSQLNIWIRVASLN
+>Q6TFL4_PF01344_400 <unknown description>
+HKMAVLLGKVYVVGGYDGQNRLSSVECYDSFSNRWTEVAPL
+>Q6TFL4_PF01344_446 <unknown description>
+SPAVTSCVGKLFVIGGGPDDNTCSDKVQSYDPETNSW
+>Q6TFL4_PF01344_536 <unknown description>
+NCGMSVCNGKIYILGGRRENGEATDTILCYDPAT
+>Q9H0H3_PF00651_36 <unknown description>
+LNTLRKHCMFTDVTLWAGDRAFPCHRAVLAASSRYFEAMFSHGLRESRDDTVNFQDNLHP
+EVLELLLDFAYSSRIAINEENAESLLEAGDMLQFHDVRDAAAEFLEKN
+>Q9H0H3_PF07707_149 <unknown description>
+CLGMMLLSDAHQCRRLYEFSWRMCLVHFETVRQSEDFNSLSKDTLLDLISSDELETEDER
+VVFEAILQWVKHDLEPRKVHLPELLRSVRLALLPSDCLQEAV
+>Q9H0H3_PF01344_329 <unknown description>
+PRKEFSASAIGCKVYVTGGRGSENGVSKDVWVYDTVHEEWSKAAPM
+>Q9H0H3_PF01344_377 <unknown description>
+ARFGHGSAELENCLYVVGGHTSLAGVFPASPSVSLKQVEKYDPGANKWMMVAPLR
+>Q9H0H3_PF01344_483 <unknown description>
+RYTAAAVLGSQIFIMGGDTEFTAASAYRFDCETNQWTRIGDM
+>Q9H0H3_PF01344_530 <unknown description>
+SCHALASGNKLYVVGGYFGTQRCKTLDCYDPTSDTWNCITT
+>Q53HC5_PF00651_55 <unknown description>
+TLRAQGQLLDVVLTINREAFPAHKVVLAACSDYFRAMFTGGMREASQDVIELKGVSARGL
+RHIIDFAYSAEVTLDLDCVQDVLGAAVFLQMLPVVELCEEFLK
+>Q53HC5_PF07707_165 <unknown description>
+CLNIGQMATTFSLASLRESVDAFTFRHFLQIAEEEDFLRLPLERLVFFLQSNRLQSCAEI
+DLFRAAVRWLQHDPARRPRASHVLCHIRFPLMQSSELVDSVQ
+>Q53HC5_PF01344_409 <unknown description>
+NVLCGMVYATGGRNRAGSLASVERYCPRRNEW
+>Q53HC5_PF01344_452 <unknown description>
+GHAGAASGGRLYISGGYGISVEDKKALHCYDPVADQWEFKAPMS
+>Q53HC5_PF01344_497 <unknown description>
+PRVLHAMVGAGGRIYALGGRMDHVDRCFDVLAVEYYVPETDQWTSVSPMR
+>Q53HC5_PF01344_552 <unknown description>
+AGCCLLERKIYIVGGYNWRLNNVTGIVQVYNTDTDEWER
+>Q9NXS3_PF00651_25 <unknown description>
+LNLLRQHHELCDIILRVGDVKIHAHKVVLASVSPYFKAMFTGNLSEKENSEVEFQCIDET
+ALQAIVEYAYTGTVFISQDTVESLLPAANLLQIKLVLKECCAFLESQ
+>Q9NXS3_PF07707_137 <unknown description>
+CIGISRFAETYGCRDLYLAATKYICQNFEAVCQTEEFFELTHADLDEIVSNDCLNVATEE
+TVFYALESWIKYDVQERQKYLAQLLNSVRLPLLSVKFLTRLY
+>Q9NXS3_PF01344_320 <unknown description>
+PRYEFGICVLDQKVYVIGGIATNVRPGVTIRKHENSVECWNPDTNTWTSLERMN
+>Q9NXS3_PF01344_376 <unknown description>
+RSTLGVVVLAGELYALGGYDGQSYLQSVEKYIPKIRKWQPVAPM
+>Q9NXS3_PF01344_423 <unknown description>
+RSCFAAAVLDGMIYAIGGYGPAHMNSVERYDPSKDSWEMVASM
+>Q9NXS3_PF01344_469 <unknown description>
+RIHFGVGVMLGFIFVVGGHNGVSHLSSIERYDPHQNQWTVCRPM
+>Q9NXS3_PF01344_515 <unknown description>
+PRTGVGAAVIDNYLYVVGGHSGSSYLNTVQKYDPISDTW
+>Q96CT2_PF00651_319 <unknown description>
+LNQQRRAKAFTDLKIVVEGREFEVHQNVLASCSLYFKDLIQRSVQDSGQGGREKLELVLS
+NLQADVLELLLEFVYTGSLVIDSANAKTLLEAASKFQFHTFCKVCVSFLEKQ
+>Q96CT2_PF07707_436 <unknown description>
+CLGVLAMAEAMQCSELYHMAKAFALQIFPEVAAQEEILSISKDDFIAYVSNDSLNTKAEE
+LVYETVIKWIKKDPATRTQYAAELLAVVRLPFIHPSYLLNVV
+>Q96CT2_PF01344_672 <unknown description>
+PRCRHNSLVYDGKIYTLGGLGVAGNVDHVERYDTITNQWEAVAPLP
+>Q96CT2_PF01344_722 <unknown description>
+SAAATVCGGKIYVFGGVNEAGRAAGVLQSYVPQTNTWSFIESP
+>Q96CT2_PF01344_811 <unknown description>
+RQFCSAVVLDGKIYATGGIVSSEGPALGNMEAYEPTTNTWTLLPHMP
+>Q0D2K2_PF00651_23 <unknown description>
+LQRLRSQPKLADVTLLVGGRELPCHRGLLALSSPYFHAMFAGDFAESFSARVELRDVEPA
+VVGQLVDFVYTGRLTITQGNVEALTRTAARLHFPSVQKVCGRYLQQQ
+>Q0D2K2_PF07707_135 <unknown description>
+CLGICEFGEQQGLLGVAAKAWAFLRENFEAVAREDEFLQLPRERLVTCLAGDLLQVQPEQ
+SRLEALMRWVRHDPQARAAHLPELLSLVHLDAVPRPCVQQL
+>Q0D2K2_PF01344_366 <unknown description>
+PRTNHASAALNGEIYVIGGTTLDVVEVESYDPYTDSWTPVSP
+>Q9H511_PF00651_64 <unknown description>
+SKMRQENFLCDLVIGTKTKSFDVHKSVMASCSEYFYNILKKDPSIQRVDLNDISPLGLAT
+VIAYAYTGKLTLSLYTIGSIISAAVYLQIHTLVKMCSDFLIR
+>Q9H511_PF07707_172 <unknown description>
+CMYVVNIAETYSLKNAKAAAQKFIRDNFLEFAESDQFMKLTFEQINELLIDDDLQLPSEI
+VAFQIAMKWLEFDQKRVKYAADLLSNIRFGTISAQDLVNYVQ
+>Q9H511_PF13964_356 <unknown description>
+FNQCVAVMDGFLYVAGGEDQNDARNQAKHAVSNFCRYDPRFNTWIHLASMNQ
+>Q9H511_PF01344_409 <unknown description>
+RTHFSLSVFNGLVYAAGGRNAEGSLASLECYVPSTNQWQPKTPL
+>Q9H511_PF01344_455 <unknown description>
+ARCCHASAVADGRVLVTGGYIANAYSRSVCAYDPASDSWQELPNLS
+>Q9H511_PF01344_506 <unknown description>
+HCAVTLSDRVYVMGGSQLGPRGERVDVLTVECYSPATGQWSYAAPL
+>Q9H511_PF01344_558 <unknown description>
+AGVSALHGRAYLVGGWNEGEKKYKKCIQCFSPELNEWTE
+>Q96NJ5_PF00651_32 <unknown description>
+LNQQRSDGILCDITLIAEEQKFHAHKAVLAACSDYFRAMFSLCMVESGADEVNLHGVTSL
+GLKQALEFAYTGQILLEPGVIQDVLAAGSHLQLLELLNLCSHYLIQE
+>Q96NJ5_PF07707_145 <unknown description>
+LDLYRLADLFNLTLLEKAVIDFLVKHLSELLKSRPEEVLTLPYCLLQEVLKSDRLTSLSE
+EQIWQLAVRWLEHNCHYQYMDELLQYIRFGLMDVDTLHT
+>Q96NJ5_PF01344_336 <unknown description>
+RSHHCVAVMGDFLFVAGGEVEHASGRTCAVRTACRYDPRSNSWAEIAPM
+>Q96NJ5_PF01344_388 <unknown description>
+REHFVLGAMEEYLYAVGGRNELRQVLPTVERYCPKKNKWTFVQS
+>Q96NJ5_PF01344_483 <unknown description>
+RRVYHSMAAVQRKLYVLGGNDLDYNNDRILVRHIDSYNIDTDQWTRC
+>Q8N239_PF00651_20 <unknown description>
+QALRAEGFLCDVTLETEGSEFPAHRSLLACSSDYFRALFKSHTQESRARVIHLHVPSAAG
+LQRLLDFIYTAWLSLSMDTVEDTLEAASYLQVTEALGLCGRYLERQ
+>Q8N239_PF07707_131 <unknown description>
+CCFAANVAARFGLAHTLDAAERCIVSHLQELLARGAGPAGLLELNPTSLRAVLGAPDVAR
+VPEARLLGLALAWLRQEPTTERLAHCTELLERVRFGLVPADVLRRV
+>Q8N239_PF01344_357 <unknown description>
+LGHSVCTAGNFLFVLGGESPSGSASSPLADDSRVVTAQVHRYDPRFHAWTEVPAMR
+>Q8N239_PF01344_414 <unknown description>
+ARAHFWCGAVGERLLAVGGLGAGGEVLASVEMYDLRRDRWTAA
+>Q8N239_PF01344_466 <unknown description>
+HAGAVGDRGVVYISGGKAGRGEGGASSLRDLYVLGPEEQVWSKKAPMG
+>Q8N239_PF01344_515 <unknown description>
+ARFGHHMAVLRGAVFAFLGRYEPFSEIERYDPGADQWTRLRPLP
+>Q6PF15_PF00651_31 <unknown description>
+LNAYRRSGTLTDVVLRAGGRDFPCHRAALSAGSAYFRSLFAAGRPERGPAVVPVVPVAPE
+APGTSPAGAAAALAVVLDYVYGAGVRLRAEDEAAAVLALAERLGVAGLREACVRFLEG
+>Q6PF15_PF07707_156 <unknown description>
+LALRRVAAAFSLAPLAERCGRVLRQAFAEVARHADFLELAPDEVVALLADPALGVAREEA
+VFEAAMRWVRHDAPARRGQLRRLLEHVRLPLLAPAYFLEKV
+>Q6PF15_PF01344_340 <unknown description>
+RSEFAACALRNDVYVSGGHINSHDVWMFSSHLHTWIKVASL
+>Q6PF15_PF01344_387 <unknown description>
+HKMAVVQGQLFAVGGFDGLRRLHSVERYDPFSNTWAAAAPLP
+>Q6PF15_PF01344_432 <unknown description>
+SSAAVASCAGKLFVIGGARQGGVNTDKVQCFDPKEDRWSL
+>Q6PF15_PF01344_524 <unknown description>
+CGVTVCDGKVHILGGRDDRGESTDKVFTFDPSSGQVEVQPSL
+>Q8N4N3_PF00651_36 <unknown description>
+LNEQRLRGLFCDVVLVADEQRVPAHRNLLAVCSDYFNSMFTIGMREAFQKEVELIGASYI
+GLKAVVDFLYGGELVLDGGNIDYVLETAHLLQIWTVVDFCCEYLEQ
+>Q8N4N3_PF07707_149 <unknown description>
+LYLQELASIYSLKRLDAFIDGFILNHFGTLSFTPDFLQNVSMQKLCVYLSSSEVQRECEH
+DLLQAALQWLTQQPEREAHARQVLENIHFPLIPKNDLLHRV
+>Q8N4N3_PF01344_334 <unknown description>
+RRSHHCVAVLGGFIFIAGGSFSRDNGGDAASNLLYRYDPRCKQWIKVASMN
+>Q8N4N3_PF01344_393 <unknown description>
+ASIEDMLVAIGGRNENGALSSVETYSPKTDSWSYVAGL
+>Q8N4N3_PF01344_486 <unknown description>
+HSMCSLGDSIYSIGGSDDNIESMERFDVLGVEAYSPQCNQWTRVAPL
+>Q8N4N3_PF01344_539 <unknown description>
+SGVAVWEGRIYILGGYSWENTAFSKTVQVYDREADKWSRGVDL
+>Q2WGJ6_PF00651_24 <unknown description>
+LNSLRQSRILTDVSICAGAREIPCHRNVLASSSPYFRAMFCSSFREKSEAKVQLKGIDPP
+TLDQIVSYVYTGEAHIATDNVLPVMEAASMLQFPKLFEACSSYLQSQ
+>Q2WGJ6_PF07707_136 <unknown description>
+CLGMIRLSEILSCETLKKKAREVALTSFPEVAASADLKELCALELRDYLGDDGLCGEEEK
+VFEALMVWIKHDLQARKRYMQELFKQVRLQYIHPAFFHHFI
+>Q2WGJ6_PF01344_372 <unknown description>
+ARYSHRSTAHKNFIFSIGGIGEGQELMGSMERYDSICNVWESMASMP
+>Q2WGJ6_PF01344_510 <unknown description>
+RRMHHGATVMGNKLYVTGGRRLTTDCNIEDSASFDCYDPETDTWTSQ
+>Q2TBA0_PF00651_23 <unknown description>
+LKDMLDHGKFLDCVVRAGEREFPCHRLVLAACSPYFRARFLAEPERAGELHLEEVSPDVV
+AQVLHYLYTSEIALDEASVQDLFAAAHRFQIPSIFTICVSFLQKR
+>Q2TBA0_PF07707_133 <unknown description>
+CLAVFRLGLLLDCARLAVAARDFICAHFTLVARDADFLGLSADELIAIISSDGLNVEKEE
+AVFEAVMRWAGSGDAEAQAERQRALPTVFESVRCRLLPRAFLESRV
+>Q2TBA0_PF01344_453 <unknown description>
+YGHTVLSHMDLVYVIGGKGSDRKCLNKMCVYDPKKFEWKELAPMQ
+>Q2TBA0_PF01344_499 <unknown description>
+ARSLFGATVHDGRIIVAAGVTDTGLTSSAEVYSITDNKWA
+>Q2TBA0_PF01344_547 <unknown description>
+RSSLSLVSLVGTLYAIGGFATLETESGELVPTELNDIWRYNEEEKKWE
+>O60662_PF00651_23 <unknown description>
+LKDLLDEKKFIDCTLKAGDKSLPCHRLILSACSPYFREYFLSEIDEAKKKEVVLDNVDPA
+ILDLIIKYLYSASIDLNDGNVQDIFALASRFQIPSVFTVCVSYLQKR
+>O60662_PF07707_135 <unknown description>
+CLAILRLGLLLDCPRLAISAREFVSDRFVQICKEEDFMQLSPQELISVISNDSLNVEKEE
+AVFEAVMKWVRTDKENRVKNLSEVFDCIRFRLMTEKYFKDHVE
+>O60662_PF01344_334 <unknown description>
+PRNHSSIVTQQNQIYVVGGLYVDEENKDQPLQSYFFQLDSIASEWVGLPPLP
+>O60662_PF01344_387 <unknown description>
+ARCLFGLGEVDDKIYVVAGKDLQTEASLDSVLCYDPVAAKWNEVKKLP
+>O60662_PF01344_438 <unknown description>
+YGHNVISHKGMIYCLGGKTDDKKCTNRVFIFNPKKGDWKDLAPM
+>O60662_PF01344_484 <unknown description>
+PRSMFGVAVHKGKIVIAGGVTEDGLSASVEAFDLTTNKWDVMTE
+>Q9P2K6_PF07707_130 <unknown description>
+CLEMYRLAQVYGLPDLQEACLRFMVVHFHEVLCKPQFHLL
+>Q9P2K6_PF01344_245 <unknown description>
+GYGSAILDNYLFIVGGYRITSQEISAAHSYNPSTNEWLQVASMN
+>Q9P2K6_PF01344_291 <unknown description>
+RSNFKLVAVNSKLYAIGGQAVSNVECYNPEQDAWNFVAPLP
+>Q9NR64_PF00651_203 <unknown description>
+ESYLKQQQLCDVILIVGNRKIPAHRLVLSSVSDYFAAMFTSDVCEAKQEEIKMEGIDPNA
+LWDLVQFAYTGCLELKEDTIENLLAAACLLQLPQVVEVCCHFLM
+>Q9NR64_PF07707_314 <unknown description>
+CLGIRAFADAQGCIELMKVAHSYTMENIMEVIRNQEFLLLPAEELHKLLASDDVNVPDEE
+TIFHALMMWVKYDMQSRCNDLSMLLAFIRLPLLPPQILAD
+>Q9NR64_PF01344_459 <unknown description>
+GTLYAVGGMDNNKGATTIEKYDLRTNLWIQA
+>Q9NR64_PF01344_495 <unknown description>
+RRLQFGVAVIDDKLFVIGGRDGLKTLNTVECYNPKTKTWTVLPPMS
+>Q9NR64_PF01344_543 <unknown description>
+RHGLGVTVLEGPIYAVGGHDGWSYLNTVERWDPQSQQWTFVASMS
+>Q9NR64_PF01344_589 <unknown description>
+ARSTVGVAALNGKLYSVGGRDGSSCLSSMEYYDPHTNKWNMCAPM
+>Q9NR64_PF01344_636 <unknown description>
+RRGGVGVATCDGFLYAVGGHDAPASNHCSRLLDYVERYDPKTDTWTMVAPLS
+>Q9NR64_PF01344_690 <unknown description>
+RDAVGVCLLGDRLYAVGGYDGQTYLNTMESYDPQTNEWTQMASLN
+>O95198_PF00651_46 <unknown description>
+MNELRSQNLLCDVTIVAEDMEISAHRVVLAACSPYFHAMFTGEMSESRAKRVRIKEVDGW
+TLRMLIDYVYTAEIQVTEENVQVLLPAAGLLQLQDVKKTCCEFLESQ
+>O95198_PF07707_158 <unknown description>
+CLGIRAFADMHACTDLLNKANTYAEQHFADVVLSEEFLNLGIEQVCSLISSDKLTISSEE
+KVFEAVIAWVNHDKDVRQEFMARLMEHVRLPLLPREYLVQRVE
+>O95198_PF01344_309 <unknown description>
+MVVVGGQAPKAIRSVECYDFKEERWHQVAELP
+>O95198_PF01344_342 <unknown description>
+RRCRAGMVYMAGLVFAVGGFNGSLRVRTVDSYDPVKDQWTSVANMR
+>O95198_PF01344_389 <unknown description>
+RRSTLGAAVLNGLLYAVGGFDGSTGLSSVEAYNIKSNEWFHVAPMN
+>O95198_PF01344_436 <unknown description>
+RRSSVGVGVVGGLLYAVGGYDGASRQCLSTVECYNATTNEWTYIAEMS
+>O95198_PF01344_485 <unknown description>
+RRSGAGVGVLNNLLYAVGGHDGPLVRKSVEVYDPTTNAWRQVADMN
+>O95198_PF01344_533 <unknown description>
+RRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPTTDKWTVVSSC
+>Q9UH77_PF00651_40 <unknown description>
+MNELRSKQLLCDVMIVAEDVEIEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQ
+TLSKLIDYIYTAEIEVTEENVQVLLPAASLLQLMDVRQNCCDFLQSQ
+>Q9UH77_PF07707_152 <unknown description>
+CLGIRAFADVHTCTDLLQQANAYAEQHFPEVMLGEEFLSLSLDQVCSLISSDKLTVSSEE
+KVFEAVISWINYEKETRLEHMAKLMEHVRLPLLPRDYLVQTVE
+>Q9UH77_PF01344_295 <unknown description>
+TPVSLPKVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELP
+>Q9UH77_PF01344_336 <unknown description>
+RRCRAGVVFMAGHVYAVGGFNGSLRVRTVDVYDGVKDQWTSIASMQ
+>Q9UH77_PF01344_383 <unknown description>
+RRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNEWFFVAPMN
+>Q9UH77_PF01344_430 <unknown description>
+RRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWIYVADMS
+>Q9UH77_PF01344_479 <unknown description>
+RRSGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMN
+>Q9UH77_PF01344_527 <unknown description>
+RRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPVTDKWTLLPT
+>Q9C0H6_PF00651_173 <unknown description>
+ENYLKEKQLCDVLLIAGHLRIPAHRLVLSAVSDYFAAMFTNDVLEAKQEEVRMEGVDPNA
+LNSLVQYAYTGVLQLKEDTIESLLAAACLLQLTQVIDVCSNFLIKQ
+>Q9C0H6_PF07707_284 <unknown description>
+CLGIRSFGDAQGCTELLNVAHKYTMEHFIEVIKNQEFLLLPANEISKLLCSDDINVPDEE
+TIFHALMQWVGHDVQNRQGELGMLLSYIRLPLLPPQLLAD
+>Q9C0H6_PF01344_429 <unknown description>
+GALYAVGGMDAMKGTTTIEKYDLRTNSWLHIGTMN
+>Q9C0H6_PF01344_465 <unknown description>
+RRLQFGVAVIDNKLYVVGGRDGLKTLNTVECFNPVGKIWTVMPPMS
+>Q9C0H6_PF01344_513 <unknown description>
+RHGLGVATLEGPMYAVGGHDGWSYLNTVERWDPEGRQWNYVASMS
+>Q9C0H6_PF01344_559 <unknown description>
+PRSTVGVVALNNKLYAIGGRDGSSCLKSMEYFDPHTNKWSLCAPMS
+>Q9C0H6_PF01344_606 <unknown description>
+RRGGVGVATYNGFLYVVGGHDAPASNHCSRLSDCVERYDPKGDSWSTVAPLS
+>Q9C0H6_PF01344_662 <unknown description>
+AVAVCPLGDKLYVVGGYDGHTYLNTVESYDAQRNEWKE
+>Q96PQ7_PF00651_165 <unknown description>
+ENYLRHKQLCDVILVAGDRRIPAHRLVLSSVSDYFAAMFTNDVREARQEEIKMEGVEPNS
+LWSLIQYAYTGRLELKEDNIECLLSTACLLQLSQVVEACCKFLMKQ
+>Q96PQ7_PF07707_276 <unknown description>
+CLGIRSFADAQGCTDLHKVAHNYTMEHFMEVIRNQEFVLLPASEIAKLLASDDMNIPNEE
+TILNALLTWVRHDLEQRRKDLSKLLAYIRLPLLAPQFLAD
+>Q96PQ7_PF01344_421 <unknown description>
+GTLFAVGGMDSTKGATSIEKYDLRTNMWTPVANMN
+>Q96PQ7_PF01344_457 <unknown description>
+RRLQFGVAVLDDKLYVVGGRDGLKTLNTVECYNPKTKTWSVMPPMS
+>Q96PQ7_PF01344_505 <unknown description>
+RHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQWNFVATMS
+>Q96PQ7_PF01344_551 <unknown description>
+PRSTVGVAVLSGKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMS
+>Q96PQ7_PF01344_598 <unknown description>
+RRGGVGVTTWNGLLYAIGGHDAPASNLTSRLSDCVERYDPKTDMWTAVASMS
+>Q96PQ7_PF01344_654 <unknown description>
+AVGVCLLGDKLYAVGGYDGQAYLNTVEAYDPQTNEWTQVAPL
+>Q8WZ60_PF00651_63 <unknown description>
+ETLRMENALTDVILCVDIQEFSCHRVVLAAASNYFRAMFCNDLKEKYEKRIIIKGVDAET
+MHTLLDYTYTSKALITKQNVQRVLEAANLFQFLRMVDACASFLTE
+>Q8WZ60_PF07707_174 <unknown description>
+CVGILRLADTHSLDSLKKQVQSYIIQNFVQILNSEEFLDLPVDTLHHILKSDDLYVTEEA
+QVFETVMSWVRHKPSERLCLLPYVLENVRLPLLDPWYFVETVE
+>Q8WZ60_PF01344_414 <unknown description>
+HKMVVLGGKVYVIGGFDGLQRINNVETYDPFHNCWSEAAPL
+>Q8WZ60_PF01344_459 <unknown description>
+SSFAATSHKKKLYVIGGGPNGKLATDKTQCYDPSTNKWSLKAAMP
+>Q8WZ60_PF01344_548 <unknown description>
+RASCGIAPCNNRLYITGGRDEKNEVIATVLCWDPEAQ
+>Q8IXQ5_PF00651_34 <unknown description>
+MNNMRKQKTLCDVILMVQERKIPAHRVVLAAASHFFNLMFTTNMLESKSFEVELKDAEPD
+IIEQLVEFAYTARISVNSNNVQSLLDAANQYQIEPVKKMCVDFLKEQ
+>Q8IXQ5_PF07707_146 <unknown description>
+CLGISVLAECLDCPELKATADDFIHQHFTEVYKTDEFLQLDVKRVTHLLNQDTLTVRAED
+QVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISKNFLSKTVQ
+>Q8IXQ5_PF01344_374 <unknown description>
+SLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSM
+>Q8IXQ5_PF01344_420 <unknown description>
+RCSHGMVEANGLIYVCGGSLGNNVSGRVLNSCEVYDPATETWTELCPM
+>Q8IXQ5_PF01344_470 <unknown description>
+ARKNHGLVFVKDKIFAVGGQNGLGGLDNVEYYDIKLNEWKMVSPMP
+>Q9P2G9_PF00651_60 <unknown description>
+FYENGELCDVTLKVGSKLISCHKLVLACVIPYFRAMFLSEMAEAKQTLIEIRDFDGDAIE
+DLVKFVYSSRLTLTVDNVQPLLYAACILQVELVARACCEYMK
+>Q9P2G9_PF07707_169 <unknown description>
+CLAVRAFAESHNRIDLMDMADQYACDHFTEVVECEDFVSVSPQHLHKLLSSSDLNIENEK
+QVYNAAIKWLLANPQHHSKWLDETLAQVRLPLLPVDFLMGVV
+>Q9P2G9_PF01344_318 <unknown description>
+GVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPEMN
+>Q9P2G9_PF01344_355 <unknown description>
+RRRHVGVISVEGKVYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMN
+>Q9P2G9_PF01344_403 <unknown description>
+RRGIALASLGGPIYAIGGLDDNTCFNDVERYDIESDQWSTVAPMN
+>Q9P2G9_PF01344_449 <unknown description>
+PRGGVGSVALVNHVYAVGGNDGMASLSSVERYDPHLDKWIEVKEM
+>Q9P2G9_PF01344_496 <unknown description>
+RRAGNGVSKLHGCLYVVGGFDDNSPLSSVERYDPRSNKWDYVA
+>Q9P2G9_PF01344_543 <unknown description>
+PRGGVGIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNRWELVGS
+>Q9P2J3_PF00651_40 <unknown description>
+FDQLRIEGLLCDVTLVPGDGDEIFPVHRAMMASASDYFKAMFTGGMKEQDLMCIKLHGVN
+KVGLKKIIDFIYTAKLSLNMDNLQDTLEAASFLQILPVLDFCKVFLI
+>Q9P2J3_PF07707_154 <unknown description>
+CVEVGRIANTYNLIEVDKYVNNFILKNFPALLSTGEFLKLPFERLAFVLSSNSLKHCTEL
+ELFKAACRWLRLEDPRMDYAAKLMKNIRFPLMTPQDLINYVQ
+>Q9P2J3_PF01344_336 <unknown description>
+PRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWMQVASLN
+>Q9P2J3_PF01344_389 <unknown description>
+RTFFHLSALKGHLYAVGGRSAAGELATVECYNPRMNEWSYVAKMS
+>Q9P2J3_PF01344_437 <unknown description>
+YGHAGTVYGGLMYISGGITHDTFQNELMCFDPDTDKWMQKAPM
+>Q9P2J3_PF01344_483 <unknown description>
+RGLHCMCTVGDKLYVIGGNHFRGTSDYDDVLSCEYYSPTLDQWTPIAAM
+>Q9P2J3_PF01344_537 <unknown description>
+DVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPEKDEWHKV
+>O43240_PF00089_49 <unknown description>
+GSPCARGSQPWQVSLFNGLSFHCAGVLVDQSWVLTAAHCGNKPLWARVGDDHLLLLQGEQ
+LRRTTRSVVHPKYHQGSGPILPRRTDEHDLMLLKLARPVVLGPRVRALQLPYRCAQPGDQ
+CQVAGWGTTAARRVKYNKGLTCSSITILSPKECEVFYPGVVTNNMICAGLDRGQDPCQSD
+SGGPLVCDETLQGILSWGVYPCGSAQHPAVYTQICKYMSWI
+>Q9UBX7_PF00089_22 <unknown description>
+IIKGFECKPHSQPWQAALFEKTRLLCGATLIAPRWLLTAAHCLKPRYIVHLGQHNLQKEE
+GCEQTRTATESFPHPGFNNSLPNKDHRNDIMLVKMASPVSITWAVRPLTLSSRCVTAGTS
+CLISGWGSTSSPQLRLPHTLRCANITIIEHQKCENAYPGNITDTMVCASVQEGGKDSCQG
+DSGGPLVCNQSLQGIISWGQDPCAITRKPGVYTKVCKYVDWI
+>Q9UKR0_PF00089_22 <unknown description>
+IFNGTECGRNSQPWQVGLFEGTSLRCGGVLIDHRWVLTAAHCSGSRYWVRLGEHSLSQLD
+WTEQIRHSGFSVTHPGYLGASTSHEHDLRLLRLRLPVRVTSSVQPLPLPNDCATAGTECH
+VSGWGITNHPRNPFPDLLQCLNLSIVSHATCHGVYPGRITSNMVCAGGVPGQDACQGDSG
+GPLVCGGVLQGLVSWGSVGPCGQDGIPGVYTYICKYVDWI
+>Q9UKR3_PF00089_38 <unknown description>
+GGYTCFPHSQPWQAALLVQGRLLCGGVLVHPKWVLTAAHCLKEGLKVYLGKHALGRVEAG
+EQVREVVHSIPHPEYRRSPTHLNHDHDIMLLELQSPVQLTGYIQTLPLSHNNRLTPGTTC
+RVSGWGTTTSPQVNYPKTLQCANIQLRSDEECRQVYPGKITDNMLCAGTKEGGKDSCEGD
+SGGPLVCNRTLYGIVSWGDFPCGQPDRPGVYTRVSRYVLWI
+>Q9H2R5_PF00089_24 <unknown description>
+EGDECAPHSQPWQVALYERGRFNCGASLISPHWVLSAAHCQSRFMRVRLGEHNLRKRDGP
+EQLRTTSRVIPHPRYEARSHRNDIMLLRLVQPARLNPQVRPAVLPTRCPHPGEACVVSGW
+GLVSHNEPGTAGSPRSQVSLPDTLHCANISIISDTSCDKSYPGRLTNTMVCAGAEGRGAE
+SCEGDSGGPLVCGGILQGIVSWGDVPCDNTTKPGVYTKVCHYLEWI
+>P06870_PF00089_25 <unknown description>
+IVGGWECEQHSQPWQAALYHFSTFQCGGILVHRQWVLTAAHCISDNYQLWLGRHNLFDDE
+NTAQFVHVSESFPHPGFNMSLLENHTRQADEDYSHDLMLLRLTEPADTITDAVKVVELPT
+EEPEVGSTCLASGWGSIEPENFSFPDDLQCVDLKILPNDECKKAHVQKVTDFMLCVGHLE
+GGKDTCVGDSGGPLMCDGVLQGVTSWGYVPCGTPNKPSVAVRVLSYVKWI
+>P20151_PF00089_25 <unknown description>
+IVGGWECEKHSQPWQVAVYSHGWAHCGGVLVHPQWVLTAAHCLKKNSQVWLGRHNLFEPE
+DTGQRVPVSHSFPHPLYNMSLLKHQSLRPDEDSSHDLMLLRLSEPAKITDVVKVLGLPTQ
+EPALGTTCYASGWGSIEPEEFLRPRSLQCVSLHLLSNDMCARAYSEKVTEFMLCAGLWTG
+GKDTCGGDSGGPLVCNGVLQGITSWGPEPCALPEKPAVYTKVVHYRKWI
+>P07288_PF00089_25 <unknown description>
+IVGGWECEKHSQPWQVLVASRGRAVCGGVLVHPQWVLTAAHCIRNKSVILLGRHSLFHPE
+DTGQVFQVSHSFPHPLYDMSLLKNRFLRPGDDSSHDLMLLRLSEPAELTDAVKVMDLPTQ
+EPALGTTCYASGWGSIEPEEFLTPKKLQCVDLHVISNDVCAQVHPQKVTKFMLCAGRWTG
+GKSTCSGDSGGPLVCNGVLQGITSWGSEPCALPERPSLYTKVVHYRKWI
+>Q9Y337_PF00089_67 <unknown description>
+IINGSDCDMHTQPWQAALLLRPNQLYCGAVLVHPQWLLTAAHCRKKVFRVRLGHYSLSPV
+YESGQQMFQGVKSIPHPGYSHPGHSNDLMLIKLNRRIRPTKDVRPINVSSHCPSAGTKCL
+VSGWGTTKSPQVHFPKVLQCLNISVLSQKRCEDAYPRQIDDTMFCAGDKAGRDSCQGDSG
+GPVVCNGSLQGLVSWGDYPCARPNRPGVYTNLCKFTKWI
+>Q92876_PF00089_23 <unknown description>
+VHGGPCDKTSHPYQAALYTSGHLLCGGVLIHPLWVLTAAHCKKPNLQVFLGKHNLRQRES
+SQEQSSVVRAVIHPDYDAASHDQDIMLLRLARPAKLSELIQPLPLERDCSANTTSCHILG
+WGKTADGDFPDTIQCAYIHLVSREECEHAYPGQITQNMLCAGDEKYGKDSCQGDSGGPLV
+CGDHLRGLVSWGNIPCGSKEKPGVYTNVCRYTNWI
+>P49862_PF00089_30 <unknown description>
+IIDGAPCARGSHPWQVALLSGNQLHCGGVLVNERWVLTAAHCKMNEYTVHLGSDTLGDRR
+AQRIKASKSFRHPGYSTQTHVNDLMLVKLNSQARLSSMVKKVRLPSRCEPPGTTCTVSGW
+GTTTSPDVTFPSDLMCVDVKLISPQDCTKVYKDLLENSMLCAGIPDSKKNACNGDSGGPL
+VCRGTLQGLVSWGTFPCGQPNDPGVYTQVCKFTKWI
+>O60259_PF00089_34 <unknown description>
+LGGHECQPHSQPWQAALFQGQQLLCGGVLVGGNWVLTAAHCKKPKYTVRLGDHSLQNKDG
+PEQEIPVVQSIPHPCYNSSDVEDHNHDLMLLQLRDQASLGSKVKPISLADHCTQPGQKCT
+VSGWGTVTSPRENFPDTLNCAEVKIFPQKKCEDAYPGQITDGMVCAGSSKGADTCQGDSG
+GPLVCDGALQGITSWGSDPCGRSDKPGVYTNICRYLDWI
+>Q9UKQ9_PF00089_24 <unknown description>
+IGAEECRPNSQPWQAGLFHLTRLFCGATLISDRWLLTAAHCRKPYLWVRLGEHHLWKWEG
+PEQLFRVTDFFPHPGFNKDLSANDHNDDIMLIRLPRQARLSPAVQPLNLSQTCVSPGMQC
+LISGWGAVSSPKALFPVTLQCANISILENKLCHWAYPGHISDSMLCAGLWEGGRGSCQGD
+SGGPLVCNGTLAGVVSGGAEPCSRPRRPAVYTSVCHYLDWI
+>P03952_PF00024_22 <unknown description>
+LTQLYENAFFRGGDVASMYTPNAQYCQMRCTFHPRCLLFSFLPASSINDMEKRFGCFLKD
+SVTGTLPKVHRTGAVSGHSLKQC
+>P03952_PF00024_111 <unknown description>
+CHRDIYKGVDMRGVNFNVSKVSSVEECQKRCTSNIRCQFFSYATQTFHKAEYRNNCLLKY
+SPGGTPTAIKVLSNVESGFSL
+>P03952_PF00024_201 <unknown description>
+CHMNIFQHLAFSDVDVARVLTPDAFVCRTICTYHPNCLFFTFYTNVWKIESQRNVCLLKT
+SESGTPSSSTPQENTISGYSLLTC
+>P03952_PF00024_292 <unknown description>
+CHSKIYPGVDFGGEELNVTFVKGVNVCQETCTKMIRCQFFTYSLLPEDCKEEKCKCFLRL
+SMDGSPTRIAYGTQGSSGYSL
+>P03952_PF00089_391 <unknown description>
+IVGGTNSSWGEWPWQVSLQVKLTAQRHLCGGSLIGHQWVLTAAHCFDGLPLQDVWRIYSG
+ILNLSDITKDTPFSQIKEIIIHQNYKVSEGNHDIALIKLQAPLNYTEFQKPICLPSKGDT
+STIYTNCWVTGWGFSKEKGEIQNILQKVNIPLVTNEECQKRYQDYKITQRMVCAGYKEGG
+KDACKGDSGGPLVCKHNGMWRLVGITSWGEGCARREQPGVYTKVAEYMDWI
+>Q86Z14_PF00232_79 <unknown description>
+DTFPKNFFWGIGTGALQVEGSWKKDGKGPSIWDHFIHTHLKNVSSTNGSSDSYIFLEKDL
+SALDFIGVSFYQFSISWPRLFPDGIVTVANAKGLQYYSTLLDALVLRNIEPIVTLYHWDL
+PLALQEKYGGWKNDTIIDIFNDYATYCFQMFGDRVKYWITIHNPYLVAWHGYGTGMHAPG
+EKGNLAAVYTVGHNLIKAHSKVWHNYNTHFRPHQKGWLSITLGSHWIEPNRSENTMDIFK
+CQQSMVSVLGWFANPIHGDGDYPEGMRKKLFSVLPIFSEAEKHEMRGTADFFAFSF
+>Q86Z14_PF00232_391 <unknown description>
+NVSLNLREALNWIKLEYNNPRILIAENGWFTDSRVKTEDTTAIYMMKNFLSQVLQAIRLD
+EIRVFGYTAWSLLDGFEWQDAYTIRRGLFYVDFNSKQKERKPKSSAHYYKQIIRENGF
+>Q86Z14_PF00232_519 <unknown description>
+GQFPCDFSWGVTESVLKPESVASSPQFSDPHLYVWNATGNRLLHRVEGVRLKTRPAQCTD
+FVNIKKQLEMLARMKVTHYRFALDWASVLPTGNLSAVNRQALRYYRCVVSEGLKLGISAM
+VTLYYPTHAHLGLPEPLLHADGWLNPSTAEAFQAYAGLCFQELGDLVKLWITINEPNRLS
+DIYNRSGNDTYGAAHNLLVAHALAWRLYDRQFRPSQRGAVSLSLHADWAEPANPYADSHW
+RAAERFLQFEIAWFAEPLFKTGDYPAAMREYIASKHRRGLSSSALPRLTEAERRLLKGTV
+DFCALNHFTTRFVMHEQLAGSRYDSDRDIQFLQDITRLSSPTRLAVIPWGVRKLLRWVRR
+NYGDMDIYITASGIDDQALEDDRLRKYYLGKYLQEVLKAYLIDKVRIKGYYAFKLAEEKS
+KPRFGFFTSDFKAKSSIQFYNKVISSRG
+>Q9UEF7_PF00232_58 <unknown description>
+QGTFPDGFLWAVGSAAYQTEGGWQQHGKGASIWDTFTHHPLAPPGDSRNASLPLGAPSPL
+QPATGDVASDSYNNVFRDTEALRELGVTHYRFSISWARVLPNGSAGVPNREGLRYYRRLL
+ERLRELGVQPVVTLYHWDLPQRLQDAYGGWANRALADHFRDYAELCFRHFGGQVKYWITI
+DNPYVVAWHGYATGRLAPGIRGSPRLGYLVAHNLLLAHAKVWHLYNTSFRPTQGGQVSIA
+LSSHWINPRRMTDHSIKECQKSLDFVLGWFAKPVFIDGDYPESMKNNLSSILPDFTESEK
+KFIKGTADFFAL
+>Q9UEF7_PF00232_388 <unknown description>
+QLESPNLRQLLSWIDLEFNHPQIFIVENGWFVSGTTKRDDAKYMYYLKKFIMETLKAIKL
+DGVDVIGYTAWSLMDGFEWHRGYSIRRGLFYVDFLSQDKMLLPKSSALFYQKLIEKNGF
+>Q9UEF7_PF00232_517 <unknown description>
+GTFPCDFAWGVVDNYIQVDTTLSQFTDLNVYLWDVHHSKRLIKVDGVVTKKRKSYCVDFA
+AIQPQIALLQEMHVTHFRFSLDWALILPLGNQSQVNHTILQYYRCMASELVRVNITPVVA
+LWQPMAPNQGLPRLLARQGAWENPYTALAFAEYARLCFQELGHHVKLWITMNEPYTRNMT
+YSAGHNLLKAHALAWHVYNEKFRHAQNGKISIALQADWIEPACPFSQKDKEVAERVLEFD
+IGWLAEPIFGSGDYPWVMRDWLNQRNNFLLPYFTEDEKKLIQGTFDFLALSHYTTILVDS
+EKEDPIKYNDYLEVQEMTDITWLNSPSQVAVVPWGLRKVLNWLKFKYGDLPMYIISNGID
+DGLHAEDDQLRVYYMQNYINEALKAHILDGINLCGYFAYSFNDRTAPRFGLYRYAADQFE
+PKASMKHYRKIIDSNG
+>Q12918_PF00059_114 <unknown description>
+PWNNSLADCSTKESSLLLIRDKDELIHTQNLIRDKAILFWIGLNFSLSEKNWKWINGSFL
+NSNDLEIRGDAKENSCISISQTSVYSEYCSTEIRWICQK
+>Q13241_PF00059_78 <unknown description>
+EQKTWNESRHLCASQKSSLLQLQNTDELDFMSSSQQFYWIGLSYSEEHTAWLWENGSALS
+QYLFPSFETFNTKNCIAYNPNGNALDESCEDKNRYICKQ
+>Q9NZS2_PF00059_133 <unknown description>
+KSWSDSYVYCLERKSHLLIIHDQLEMAFIQKNLRQLNYVWIGLNFTSLKMTWTWVDGSPI
+DSKIFFIKGPAKENSCAAIKESKIFSETCSSVFKWICQ
+>D3W0D1_PF00059_97 <unknown description>
+KTWKESQRDCTQLQAHLLVIQNLDELEFIQNSLKPGHFGWIGLYVTFQGNLWMWIDEHFL
+VPELFSVIGPTDDRSCAVITGNWVYSEDCSSTFKGICQR
+>Q96E93_PF00059_93 <unknown description>
+EKDWNSSLEFCLARDSHLLVITDNQEMSLLQVFLSEAFCWIGLRNNSGWRWEDGSPLNFS
+RISSNSFVQTCGAINKNGLQASSCEVPLHWVCKK
+>A4D1S0_PF00059_311 <unknown description>
+AQAWEASQAFCSAYHATLPLLSHTQDFLGRYPVSRHSWVGAWRGPQGWHWIDEAPLPPQL
+LPEDGEDNLDINCGALEEGTLVAANCSTPRPWVCA
+>Q5SVS4_PF00153_6 <unknown description>
+WKPFVYGGLASITAECGTFPIDLTKTRLQIQGQTNDAKFKEIRYRGMLHALVRIGREEGL
+KALYSGIAPAMLRQASYGTIKIGTYQSLKRLFIE
+>Q5SVS4_PF00153_105 <unknown description>
+TLPINVICGILSGVISSTIANPTDVLKIRMQAQSNTIQGGMIGNFMNIYQQEGTRGLWKG
+VSLTAQRAAIVVGVELPVYDITKKHLI
+>Q5SVS4_PF00153_199 <unknown description>
+TVYTHFLSSFTCGLAGALASNPVDVVRTRMMNQRVLRDGRCSGYTGTLDCLLQTWKNEGF
+FALYKGFWPNWLRLGPWNIIFFVTYEQLKKL
+>O15229_PF01494_10 <unknown description>
+KVAVIGGGLVGSLQACFLAKRNFQIDVYEAREDTRVATFTRGRSINLALSHRGRQALKAV
+GLEDQIVSQGIPMRARMIHSLSGKKSAIPYGTKSQYILSVSRENLNKDLLTAAEKYPNVK
+MHFNHRLLKCNPEEGMITVLGSDKVPKDVTCDLIVGCDGAYSTVRSHLMKKPRFDYSQQY
+IPHGYMELTIPPKNGDYAMEPNYLHIWPRNTFMMIALPNMNKSFTCTLFMPFEEFEKLLT
+SNDVVDFFQKYFPDAIPLIGEKLLVQDFFLLPAQPMISVKCSSFHFKSHCVLLGDAAHAI
+VPFFGQGMNAGFEDC
+>Q03164_PF02008_1148 <unknown description>
+KGRRSRRCGQCPGCQVPEDCGVCTNCLDKPKFGGRNIKKQCCKMRKC
+>Q03164_PF00628_1481 <unknown description>
+FCHVCGRQHQATKQLLECNKCRNSYHPECLGPNYPTKPTKKKKVWICTKCVRC
+>Q03164_PF00628_1569 <unknown description>
+CPLCDKCYDDDDYESKMMQCGKCDRWVHSKCENLSGTEDEMYEILSNLPESVAYTCVNCT
+E
+>Q03164_PF13771_1903 <unknown description>
+HVNCALWSAEVFEDDDGSLKNVHMAVIRGKQLRCEFCQKPGATVGCCLTSCTSNYHFMCS
+RAKNCVFLDDKKVYCQRHR
+>Q03164_PF05964_2027 <unknown description>
+GSMTIDCLGILNDLSDCEDKLFPIGYQCSRVYWSTTDARKRCVYTCKI
+>Q03164_PF05965_3672 <unknown description>
+LVFEISSDDGFQICAESIEDAWKSLTDKVQEARSNARLKQLSFAGVNGLRMLGILHDAVV
+FLIEQLSGAKHCRNYKFRFH
+>Q03164_PF00856_3843 <unknown description>
+GRGLFCKRNIDAGEMVIEYAGNVIRSIQTDKREKYYDSKGIGCYMFRIDDSEVVDATMHG
+NAARFINHSCEPNCYSRVINIDGQKHIVIFAMRKIYRGEELTYDY
+>Q9UMN6_PF02008_960 <unknown description>
+KMRMARCGHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYRKC
+>Q9UMN6_PF00628_1251 <unknown description>
+FCHVCGRKGRGSKHLLECERCRHAYHPACLGPSYPTRATRKRRHWICSACVRC
+>Q9UMN6_PF00628_1337 <unknown description>
+YCPICTRCYEDNDYESKMMQCAQCDHWVHAKCEGLSDEDYEILSGLPDSVLYTCGPCA
+>Q9UMN6_PF13771_1608 <unknown description>
+HVNCAIWSAEVFEENDGSLKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNFHFMCA
+RASYCIFQDDKKVFCQKHT
+>Q9UMN6_PF05964_1733 <unknown description>
+GSIRIDSLGTLSDLSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRI
+>Q9UMN6_PF05965_2411 <unknown description>
+GPHLRFEISSEDGFSVEAESLEGAWRTLIEKVQEARGHARLRHLSFSGMSGARLLGIHHD
+AVIFLAEQLPGAQRCQHYKFRYH
+>Q9UMN6_PF00856_2586 <unknown description>
+GRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFYDGKGIGCYMFRMDDFDVVDATMHG
+NAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRILRGEELTYDY
+>Q8NEZ4_PF13771_252 <unknown description>
+HHRCVEWSLGVCQMEEPLLVNVDKAVVSGSTERCAFCKHLGATIKCCEEKCTQMYHYPCA
+AGAGTFQDFSHIFLLCPEH
+>Q8NEZ4_PF00628_390 <unknown description>
+VCQNCKQSGEDSKMLVCDTCDKGYHTFCLQPVMKSVPTNGWKCKNCRI
+>Q8NEZ4_PF00628_1009 <unknown description>
+VCEACGKATDPGRLLLCDDCDISYHTYCLDPPLQTVPKGGWKCKWCV
+>Q8NEZ4_PF13832_4401 <unknown description>
+KCCFCHEEGDGLTDGPARLLNLDLDLWVHLNCALWSTEVYETQAGALINVELALRRGLQM
+KCVFCHKTGATSGCHRFRCTNIYHFTCAIKAQCMFFKD
+>Q8NEZ4_PF05964_4551 <unknown description>
+GSLIFHTIGQLLPQQMQAFHSPKALFPVGYEASRLYWSTRYANRRCRYLCSI
+>Q8NEZ4_PF05965_4608 <unknown description>
+RPVFVIRIVEQGHEDLVLSDISPKGVWDKILEPVACVRKKSEMLQLFPAYLKGEDLFGLT
+VSAVARIAESLPGVEACENYTFRYG
+>Q8NEZ4_PF00856_4782 <unknown description>
+GLGLYAARDIEKHTMVIEYIGTIIRNEVANRKEKLYESQNRGVYMFRMDNDHVIDATLTG
+GPARYINHSCAPNCVAEVVTFERGHKIIISSSRRIQKGEELCYDY
+>O14686_PF13771_139 <unknown description>
+HHWCAAWSAGVWGQEGPELCGVDKAIFSGISQRCSHCTRLGASIPCRSPGCPRLYHFPCA
+TASGSFLSMKTLQLLCPEHS
+>O14686_PF00628_275 <unknown description>
+VCQACRKPGNDSKMLVCETCDKGYHTFCLKPPMEELPAHSWKCKACRV
+>O14686_PF00628_1379 <unknown description>
+MCVVCGSFGRGAEGHLLACSQCSQCYHPYCVNSKITKVMLLKGWRCVECI
+>O14686_PF00628_1429 <unknown description>
+VCEVCGQASDPSRLLLCDDCDISYHTYCLDPPLLTVPKGGWKCKWCVSC
+>O14686_PF13832_5031 <unknown description>
+RCCFCHEEGDGATDGPARLLNLDLDLWVHLNCALWSTEVYETQGGALMNVEVALHRGLLT
+KCSLCQRTGATSSCNRMRCPNVYHFACAIRAKCMFFKDK
+>O14686_PF05964_5181 <unknown description>
+GGLVFHAIGQLLPHQMADFHSATALYPVGYEATRIYWSLRTNNRRCCYRCSI
+>O14686_PF05965_5238 <unknown description>
+RPEFVIKVIEQGLEDLVFTDASPQAVWNRIIEPVAAMRKEADMLRLFPEYLKGEELFGLT
+VHAVLRIAESLPGVESCQNYLFRYG
+>O14686_PF00856_5408 <unknown description>
+GLGLYAAKDLEKHTMVIEYIGTIIRNEVANRREKIYEEQNRGIYMFRINNEHVIDATLTG
+GPARYINHSCAPNCVAEVVTFDKEDKIIIISSRRIPKGEELTYDY
+>Q8IZD2_PF00628_120 <unknown description>
+RCICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQP
+>Q8IZD2_PF00856_281 <unknown description>
+MDRYEEANNNQYSEGVQREAQRIALRLGNGNDKKEMNKSDLNTNNLLFKPPVESHIQKNK
+KILKSAKDLPPDALIIEYRGKFMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTF
+GNEARFIRRSCTPNAEVRHEIQDGTIHLYIYSIHSIPKGTEITIA
+>Q9NQR1_PF00856_227 <unknown description>
+GRGVIATKQFSRGDFVVEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDA
+TRETNRLGRLINHSKCGNCQTKLHDIDGVPHLILIASRDIAAGEELLYDYG
+>Q4FZB7_PF00856_218 <unknown description>
+WKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRP
+NCKFVSTGRDTACVKALRDIEPGEEISCYYG
+>Q86Y97_PF00856_158 <unknown description>
+NDFSIMYSTRKRSAQLWLGPAAFINHDCKPNCKFVPADGNAACVKVLRDIEPGDEVTCFY
+G
+>A6PVL3_PF15033_1 <unknown description>
+MDIPISSRDFRGLQLACVALGLVAGSIIIGISVSKAAAAMGGVFIGAAVLGLLILAYPFL
+KARFNLDHILPTIGSLRIHPHPGADHGEGRSSTNGNKEGARSSLSTVSRTLEKLKPGTRG
+AEE
+>Q76NI1_PF16474_37 <unknown description>
+VSLADILSLRDRGLSEQEAWAVCLECSLSMRSVAHAAIFQSLCITPDTLAFNTSGNVCFM
+EQLSDDPEGAFVPPEFDVTGNTFEAHIYSLGATLKAALEYVAEPTLEPRLSQDLEALLSR
+MQAEDPG
+>Q76NI1_PF00618_1250 <unknown description>
+LQAGTPLGLMAYLYSSDAFLEGYVQQFLYTFRYFCTPHDFLHFLLDRINSTLTRAHQDPT
+STFTKIYRRSLCVLQAWVEDCYAVDFPRNSGLLGKLEDF
+>Q76NI1_PF00617_1475 <unknown description>
+QLTLLQQELFQKCHPVHFLNSRALGVMDKSTAIPKASSSESLSAKTCSLFLPNYVQDKYL
+LQLLRNADDVSTWVAAEIVTSHTSKLQVNLLSKFLLIAKSCYEQRNFATAMQILSGLEHL
+AVRQSPAWRILPAKIAEVMEELKAV
+>P01042_PF00031_24 <unknown description>
+EEIDCNDKDLFKAVDAALKKYNSQNQSNNQFVLYRITEATKTVGSDTFYSFKYEIKEGDC
+PVQSGKTWQDCEYKDAAKAATGECTATVGKR
+>P01042_PF00031_144 <unknown description>
+GCVHPISTQSPDLEPILRHGIQYFNNNTQHSSLFMLNEVKRAQRQVVAGLNFRITYSIVQ
+TNCSKENFLFLTPDCKSLWNGDTGECTDNAYID
+>P01042_PF00031_266 <unknown description>
+GCPRDIPTNSPELEETLTHTITKLNAENNATFYFKIDNVKKARVQVVAGKKYFIDFVARE
+TTCSKESNEELTESCETKKLGQSLDCNAEVYVVPW
+>Q8NG31_PF19221_139 <unknown description>
+NDQTVIFSDENQMDLTSSHTVMI
+>Q8NG31_PF19221_268 <unknown description>
+SNITRLFREKDDGMNFTQCHTANI
+>Q8NG31_PF19221_426 <unknown description>
+KTVFYSSCNDAMEMTKC
+>Q8NG31_PF19221_474 <unknown description>
+EKTIYSGEENMDITKSHTVAI
+>Q8NG31_PF19221_561 <unknown description>
+DRKTELLSGENMDLTESHTSN
+>Q8NG31_PF19221_748 <unknown description>
+CHDKMIICSEEEQNMDLTKSHTVVI
+>Q8NG31_PF19221_858 <unknown description>
+IDKTIVFSEDDKNDMDITKSYTIEI
+>Q8NG31_PF19221_903 <unknown description>
+ETILYTCRQDDMEITRSHTTA
+>Q8NG31_PF19221_938 <unknown description>
+PMDKTVVFVDNHVELEMTESHTVFI
+>Q8NG31_PF19221_1072 <unknown description>
+NDKTIVFSENHKNDMDITQSCMVEI
+>Q8NG31_PF19221_1114 <unknown description>
+SKTILYSCGQDDMEITRSHTTA
+>Q8NG31_PF19221_1150 <unknown description>
+PMDKTVLFTDNYSDLEVTDSHTVFI
+>Q8NG31_PF18210_2061 <unknown description>
+QLKTEEEELQRNLLELEVQKEQTLAQIDFMQKQRNRTEELLDQLSLSEWDVVEWSDDQAV
+FTFVYDTIQLTITFEESVVGFPFLDKRYRKIVDVNFQSLLDEDQAPPSSLLVHKLIFQYV
+EEKESWKKTCTTQHQLPKMLEEFSLVVHHCRLLG
+>Q1ED39_PF15477_362 <unknown description>
+WDTAGFENEDQKLKFLRLMGGFKNLSPSFSRPASTIARPNMALGKKAADSLQQNLQRDYD
+RAMSWKYSRGAGLG
+>P50748_PF10493_487 <unknown description>
+QEMLNYAKTRLLKKEDKTALIYSDGLKEVLRAHAKLTTFYGAFGPEKFSGSSWIEFLNNE
+DDLKDIFLQLKEGNLVCAQYLWLRHRANFESRFDVKMLESLLNSMSASVSLQKLCPWFKN
+DVIPFVRRTVPEGQIILAKWLEQAARNLELTDKANWPENGLQLAEIFFTAEKTDELGLAS
+SWHWISLKDYQNTEEVCQLRTLVNNLRELITLHRKYNCKLALSDFEKENTTTIVFRMFDK
+VLAPELIPSILEKFIRVYMREHDLQEEELLLLYIEDLLNRCSSKSTSLFETAWEAKAMAV
+IACLSDTDLIFDAVLKIMYAAVVPWSAAVEQLVKQHLEMDHPKVKLLQESYKLMEMKKLL
+RGYGIREVNLLNKEIMRVVRYILKQDVPSSLEDALKVAQAFMLSDDEIYSLRIIDLIDRE
+QGEDCLLLL
+>P50748_PF10493_1581 <unknown description>
+ITLPSAAQTRLPFHLIFFGTAQNFWKILSTELSEESFPTLLLISKLMKFSLDTLYVSTAK
+HVFEKKLKPKLLKLTQAKSSTLINKEITKITQTIESCLLSIVNPEWAVAIAISLAQDIPE
+GSFKISALKFCLYLAERWLQNIPSQDEKREKAEALLKKLHIQYRRSGTEAVLIAHKLNTE
+EYLRVIGKPAHLIVSLYEHPSINQRIQNSSGTDYPDIHAAAKEIAEVNEINLEKVWDMLL
+EKWLCPSTKPGEKPSELFELQEDEALRRVQYLLLSRPIDYSSRMLFVFATSTTTTLGMHQ
+LTFAHRTRALQCLFYLADKETIESLFKKPIEEVKSYLRCITFLASFETLNIPITYELFCS
+SPKEGMIKGLWKNHSHESMAVRLVTELCLEYKIYDLQLWNGLLQKLLGFNMIPYLRKVLK
+AISSIHSLWQVPYFSKAWQRVIQIPLLSASCPLSPDQLSDCSESLIAVLECPVSGDLDLI
+GVARQYIQLELPAFALACLMLMPHSEKRHQQIKNFLGSCDPQVILKQLEEHMNTGQLAGF
+SHQIRSLIL
+>P46020_PF00723_8 <unknown description>
+GVRLDGYARLVQQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLAYRKNADR
+DEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKTCATVVGD
+DQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTADFGIWERG
+DKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQSILNSLLP
+RASTSKEVDASLLSVVSFPAFAVEDSQLVELTKQEIITKLQGRYGCCRFLRDGYKTPKED
+PNRLYYEPAELKLFENIECEWPLFWTYFILDGVFSGNAEQVQEYKEALEAVLIKGKNGVP
+LLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAPGEIDPLN
+RRFSTVPKPDVVVQVSILAETEEIKTILKDKGIYVETIAEVYPIRVQPARILSHIYSSLG
+CNNRMKLSGRPYRHMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNKMIVEMLRTDL
+SYLCSRWRMTGQPTITFPISHSMLDEDGTSLNSSILAALRKMQDGYFGGARVQTGKLSEF
+LTTSCCTHLSFMDPGPEGKLYSEDYDDNYDYLESGNWMNDYDSTSHARCGDEVARYLDHL
+LAHTAPHPKLAPTSQKGGLDRFQAAVQTTCDLMSLVTKAKELHVQNVHMYLPTKLFQASR
+PSFNLLDSPHPRQENQVPSVRVEIHLPRDQSGEVDFKALVLQLKETSSLQEQADILYMLY
+TMKGPDWNTELYNERSATVRELLTELYGKVGEIRHWGLIRYISGILRKKVEALDEACTDL
+LSHQKHLTVGLPPEPREKTISAPLPYEALTQLIDEASEGDMSISILTQEIMVYLAMYMRT
+QPGLFAEMFRLRIGL
+>P46020_PF19292_1045 <unknown description>
+SSKDSRQGQWQRRRRLDGALNRVPVGFYQKVWKVLQKCHGLSVEGFVLPSSTTREMTPGE
+IKFSVHVESVLNRVPQPEYRQLLVEAILVLTMLADI
+>P46019_PF00723_8 <unknown description>
+GVRLDGYARLVQQTILCYQNPVTGLLSASHEQKDAWVRDNIYSILAVWGLGMAYRKNADR
+DEDKAKAYELEQNVVKLMRGLLQCMMRQVAKVEKFKHTQSTKDSLHAKYNTATCGTVVGD
+DQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVADYGMWERG
+DKTNQGIPELNASSVGMAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQSILFSMLP
+RASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDGYKTPRED
+PNRLHYDPAELKLFENIECEWPVFWTYFIIDGVFSGDAVQVQEYREALEGILIRGKNGIR
+LVPELYAVPPNKVDEEYKNPHTVDRVPMGKVPHLWGQSLYILSSLLAEGFLAAGEIDPLN
+RRFSTSVKPDVVVQVTVLAENNHIKDLLRKHGVNVQSIADIHPIQVQPGRILSHIYAKLG
+RNKNMNLSGRPYRHIGVLGTSKLYVIRNQIFTFTPQFTDQHHFYLALDNEMIVEMLRIEL
+AYLCTCWRMTGRPTLTFPISRTMLTNDGSDIHSAVLSTIRKLEDGYFGGARVKLGNLSEF
+LTTSFYTYLTFLDPDCDEKLFDNASEGTFSPDSDSDLVGYLEDTCNQESQDELDHYINHL
+LQSTSLRSYLPPLCKNTEDRHVFSAIHSTRDILSVMAKAKGLEVPFVPMTLPTKVLSAHR
+KSLNLVDSPQPLLEKVPESDFQWPRDDHGDVDCEKLVEQLKDCSNLQDQADILYILYVIK
+GPSWDTNLSGQHGVTVQNLLGELYGKAGLNQEWGLIRYISGLLRKKVEVLAEACTDLLSH
+QKQLTVGLPPEPREKIISAPLPPEELTKLIYEASGQDISIAVLTQEIVVYLAMYVRAQPS
+LFVEMLRLRIGL
+>P46019_PF19292_1062 <unknown description>
+ERQGQWLRRRRLDGAINRVPVGFYQRVWKILQKCHGLSIDGYVLPSSTTREMTPHEIKFA
+VHVESVLNRVPQPEYRQLLVEAIMVLTLLSDTEMTSIGGIIHVDQIVQMASQLFLQDQVS
+IGAMDTLEKDQATGICHFFYDSAPSG
+>Q93100_PF00723_48 <unknown description>
+KLDHYYRIVKSTLLLYQSPTTGLFPTKTCGGDQKAKIQDSLYCAAGAWALALAYRRIDDD
+KGRTHELEHSAIKCMRGILYCYMRQADKVQQFKQDPRPTTCLHSVFNVHTGDELLSYEEY
+GHLQINAVSLYLLYLVEMISSGLQIIYNTDEVSFIQNLVFCVERVYRVPDFGVWERGSKY
+NNGSTELHSSSVGLAKAALEAINGFNLFGNQGCSWSVIFVDLDAHNRNRQTLCSLLPRES
+RSHNTDAALLPCISYPAFALDDEVLFSQTLDKVVRKLKGKYGFKRFLRDGYRTSLEDPNR
+CYYKPAEIKLFDGIECEFPIFFLYMMIDGVFRGNPKQVQEYQDLLTPVLHHTTEGYPVVP
+KYYYVPADFVEYEKNNPGSQKRFPSNCGRDGKLFLWGQALYIIAKLLADELISPKDIDPV
+QRYVPLKDQRNVSMRFSNQGPLENDLVVHVALIAESQRLQVFLNTYGIQTQTPQQVEPIQ
+IWPQQELVKAYLQLGINEKLGLSGRPDRPIGCLGTSKIYRILGKTVVCYPIIFDLSDFYM
+SQDVFLLIDDIKNALQFIKQYWKMHGRPLFLVLIREDNIRGSRFNPILDMLAALKKGIIG
+GVKVHVDRLQTLISGAVVEQLDFLRISDTEELPEFKSFEELEPPKHSKVKRQSSTPSAPE
+LGQQPDVNISEWKDKPTHEILQKLNDCSCLASQAILLGILLKREGPNFITKEGTVSDHIE
+RVYRRAGSQKLWLAVRYGAAFTQKFSSSIAPHITTFLVHGKQVTLGAFGHEEEVISNPLS
+PRVIQNIIYYKCNTHDEREAVIQQELVIHIGWIISNNPELFSGMLKIRIGW
+>Q93100_PF19292_919 <unknown description>
+QNGRCWLNRRQIDGSLNRTPTGFYDRVWQILERTPNGIIVAGKHLPQQPTLSDMTMYEMN
+FSLLVEDTLGNIDQPQYRQIVVELLMVVSIVLERNPELEFQDKVDLDRLVKEAFNEFQKD
+QSRLKEIEKQDDMTSFYNTPPLGKRGTCSYLTKAVMNLL
+>P17252_PF00130_37 <unknown description>
+HKFIARFFKQPTFCSHCTDFIWGFGKQGFQCQVCCFVVHKRCHEFVTFSCP
+>P17252_PF00130_102 <unknown description>
+HKFKIHTYGSPTFCDHCGSLLYGLIHQGMKCDTCDMNVHKQCVINVPSLCGM
+>P17252_PF00168_172 <unknown description>
+KLHVTVRDAKNLIPMDPNGLSDPYVKLKLIPDPKNESKQKTKTIRSTLNPQWNESFTFKL
+KPSDKDRRLSVEIWDWDRTTRNDFMGSLSFGVSELMKMPASGWYKL
+>P17252_PF00069_341 <unknown description>
+FLMVLGKGSFGKVMLADRKGTEELYAIKILKKDVVIQDDDVECTMVEKRVLALLDKPPFL
+TQLHSCFQTVDRLYFVMEYVNGGDLMYHIQQVGKFKEPQAVFYAAEISIGLFFLHKRGII
+YRDLKLDNVMLDSEGHIKIADFGMCKEHMMDGVTTRTFCGTPDYIAPEIIAYQPYGKSVD
+WWAYGVLLYEMLAGQPPFDGEDEDELFQSIMEHNVSYPKSLSKEAVSVCKGLMTKHPAKR
+LGC
+>P17252_PF00433_624 <unknown description>
+ENFDKFFTRGQPVLTPPDQLVIANIDQSDFEGFSY
+>P05771_PF00130_37 <unknown description>
+HKFTARFFKQPTFCSHCTDFIWGFGKQGFQCQVCCFVVHKRCHEFVTFSCP
+>P05771_PF00130_102 <unknown description>
+HKFKIHTYSSPTFCDHCGSLLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGT
+>P05771_PF00168_173 <unknown description>
+LIVLVRDAKNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLK
+ESDKDRRLSVEIWDWDLTSRNDFMGSLSFGISELQKASVDGWFKL
+>P05771_PF00069_344 <unknown description>
+FLMVLGKGSFGKVMLSERKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFL
+TQLHSCFQTMDRLYFVMEYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGII
+YRDLKLDNVMLDSEGHIKIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVD
+WWAFGVLLYEMLAGQAPFEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKR
+LGCGPEGERDIKEHAF
+>P05771_PF00433_627 <unknown description>
+ENFDRFFTRHPPVLTPPDQEVIRNIDQSEFEGFSF
+>Q15139_PF00130_150 <unknown description>
+FVHSYRAPAFCDHCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCS
+>Q15139_PF00130_271 <unknown description>
+HTFVIHSYTRPTVCQYCKKLLKGLFRQGLQCKDCRFNCHKRCAPKVPNNC
+>Q15139_PF00169_423 <unknown description>
+VMKEGWMVHYTSKDTLRKRHYWRLDSKCITLFQNDTGSRYYKEIPLSEILSLEPVKTSAL
+IPNGANPHCFEITTANVVYYVGENVVNPSSPSPNNSVLTSGVGADVARMWEIAIQHA
+>Q15139_PF00069_587 <unknown description>
+EVLGSGQFGIVYGGKHRKTGRDVAIKIIDKLRFPTKQESQLRNEVAILQNLHHPGVVNLE
+CMFETPERVFVVMEKLHGDMLEMILSSEKGRLPEHITKFLITQILVALRHLHFKNIVHCD
+LKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRNKGYNRSLDM
+WSVGVIIYVSLSGTFPFNEDEDIHDQIQNAAFMYPPNPWKEISHEAIDLINNLLQVKMRK
+RYSVDKTLSHPWL
+>Q9BZL6_PF00130_139 <unknown description>
+HALTVHSYRAPAFCDHCGEMLFGLVRQGLKCDGCGLNYHKRCAFSIPNNCS
+>Q9BZL6_PF00130_265 <unknown description>
+HTFLIHSYTRPTVCQACKKLLKGLFRQGLQCKDCKFNCHKRCATRVPNDC
+>Q9BZL6_PF00069_555 <unknown description>
+EVLGSGQFGVVYGGKHRKTGRDVAVKVIDKLRFPTKQESQLRNEVAILQSLRHPGIVNLE
+CMFETPEKVFVVMEKLHGDMLEMILSSEKGRLPERLTKFLITQILVALRHLHFKNIVHCD
+LKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLLNQGYNRSLDM
+WSVGVIMYVSLSGTFPFNEDEDINDQIQNAAFMYPASPWSHISAGAIDLINNLLQVKMRK
+RYSVDKSLSHPWL
+>O94806_PF00130_155 <unknown description>
+HTLYVHSYKAPTFCDYCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCS
+>O94806_PF00130_272 <unknown description>
+HTFAVHSYTRPTICQYCKRLLKGLFRQGMQCKDCKFNCHKRCASKVPRDC
+>O94806_PF00169_418 <unknown description>
+VKEGWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRISSPRDFTNI
+SQGSNPHCFEIITDTMVYF
+>O94806_PF00069_580 <unknown description>
+EVLGSGQFGIVYGGKHRKTGRDVAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLE
+CMFETPERVFVVMEKLHGDMLEMILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCD
+LKPENVLLASAEPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDM
+WSVGVIIYVSLSGTFPFNEDEDINDQIQNAAFMYPPNPWREISGEAIDLINNLLQVKMRK
+RYSVDKSLSHPWL
+>Q05655_PF00130_159 <unknown description>
+HEFIATFFGQPTFCSVCKDFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCT
+>Q05655_PF00130_231 <unknown description>
+HRFKVHNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGI
+>Q05655_PF00069_352 <unknown description>
+HKVLGKGSFGKVLLGELKGRGEYFAIKALKKDVVLIDDDVECTMVEKRVLTLAAENPFLT
+HLICTFQTKDHLFFVMEFLNGGDLMYHIQDKGRFELYRATFYAAEIMCGLQFLHSKGIIY
+RDLKLDNVLLDRDGHIKIADFGMCKENIFGESRASTFCGTPDYIAPEILQGLKYTFSVDW
+WSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDILEKLFEREPTKRL
+GVTGNIKIHPFF
+>Q05655_PF00433_624 <unknown description>
+VKSPRDYSNFDQEFLNEKARLSYSDKNLIDSMDQSAFAGFSF
+>Q02156_PF00168_7 <unknown description>
+LLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKTNSPAWH
+DEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDW
+>Q02156_PF00130_170 <unknown description>
+HKFMATYLRQPTYCSHCRDFIWGVIGKQGYQCQVCTCVVHKRCHELIITKCA
+>Q02156_PF00130_243 <unknown description>
+HKFGIHNYKVPTFCDHCGSLLWGLLRQGLQCKVCKMNVHRRCETNVAPNCGV
+>Q02156_PF00069_409 <unknown description>
+NFIKVLGKGSFGKVMLAELKGKDEVYAVKVLKKDVILQDDDVDCTMTEKRILALARKHPY
+LTQLYCCFQTKDRLFFVMEYVNGGDLMFQIQRSRKFDEPRSRFYAAEVTSALMFLHQHGV
+IYRDLKLDNILLDAEGHCKLADFGMCKEGILNGVTTTTFCGTPDYIAPEILQELEYGPSV
+DWWALGVLMYEMMAGQPPFEADNEDDLFESILHDDVLYPVWLSKEAVSILKAFMTKNPHK
+RLGCVASQNGEDAIKQHPFF
+>Q02156_PF00433_691 <unknown description>
+TKRDVNNFDQDFTREEPVLTLVDEAIVKQINQEEFKGFSY
+>P05129_PF00130_36 <unknown description>
+HKFTARFFKQPTFCSHCTDFIWGIGKQGLQCQVCSFVVHRRCHEFVTFECPG
+>P05129_PF00130_101 <unknown description>
+HKFRLHSYSSPTFCDHCGSLLYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGV
+>P05129_PF00168_173 <unknown description>
+IHVTVGEARNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTRTVKATLNPVWNETFVFNLK
+PGDVERRLSVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKL
+>P05129_PF00069_353 <unknown description>
+FLMVLGKGSFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGG
+RPHFLTQLHSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLH
+NQGIIYRDLKLDNVMLDAEGHIKITDFGMCKENVFPGTTTRTFCGTPDYIAPEIIAYQPY
+GKSVDWWSFGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTK
+HPGKRLG
+>P05129_PF00433_642 <unknown description>
+NFDKFFTRAAPALTPPDRLVLASIDQADFQGFTY
+>P41743_PF00564_26 <unknown description>
+VRVKAYYRGDIMITHFEPSISFEGLCNEVRDMCSFDNEQLFTMKWIDEEGDPCTVSSQLE
+LEEAFRLYELNKDSELLIHVFP
+>P41743_PF00130_141 <unknown description>
+HTFQAKRFNRRAHCAICTDRIWGLGRQGYKCINCKLLVHKKCHKLVTIECGR
+>P41743_PF00069_256 <unknown description>
+LLRVIGRGSYAKVLLVRLKKTDRIYAMKVVKKELVNDDEDIDWVQTEKHVFEQASNHPFL
+VGLHSCFQTESRLFFVIEYVNGGDLMFHMQRQRKLPEEHARFYSAEISLALNYLHERGII
+YRDLKLDNVLLDSEGHIKLTDYGMCKEGLRPGDTTSTFCGTPNYIAPEILRGEDYGFSVD
+WWALGVLMFEMMAGRSPFDIVGSSDNPDQNTEDYLFQVILEKQIRIPRSLSVKAASVLKS
+FLNKDPKERLGCHPQTGFADIQGHPFF
+>P41743_PF00433_551 <unknown description>
+NFDSQFTNEPVQLTPDDDDIVRKIDQSEFEGFEY
+>P24723_PF00168_10 <unknown description>
+GYLRVRIGEAVGLQPTRWSLRHSLFKKGHQLLDPYLTVSVDQVRVGQTSTKQKTNKPTYN
+EEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTTGASDTF
+>P24723_PF00130_172 <unknown description>
+HKFMATYLRQPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQ
+>P24723_PF00130_246 <unknown description>
+HKFSIHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGV
+>P24723_PF00069_355 <unknown description>
+FEFIRVLGKGSFGKVMLARVKETGDLYAVKVLKKDVILQDDDVECTMTEKRILSLARNHP
+FLTQLFCCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHDKG
+IIYRDLKLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPA
+VDWWAMGVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSFMTKNPT
+MRLGSLTQGGEHAILRHPFF
+>P24723_PF00433_635 <unknown description>
+IKSREDVSNFDPDFIKEEPVLTPIDEGHLPMINQDEFRNFSY
+>Q04759_PF00130_160 <unknown description>
+HEFTATFFPQPTFCSVCHEFVWGLNKQGYQCRQCNAAIHKKCIDKVIAKCT
+>Q04759_PF00130_232 <unknown description>
+HRFKVYNYKSPTFCEHCGTLLWGLARQGLKCDACGMNVHHRCQTKVANLCGI
+>Q04759_PF00069_383 <unknown description>
+HKMLGKGSFGKVFLAEFKKTNQFFAIKALKKDVVLMDDDVECTMVEKRVLSLAWEHPFLT
+HMFCTFQTKENLFFVMEYLNGGDLMYHIQSCHKFDLSRATFYAAEIILGLQFLHSKGIVY
+RDLKLDNILLDKDGHIKIADFGMCKENMLGDAKTNTFCGTPDYIAPEILLGQKYNHSVDW
+WSFGVLLYEMLIGQSPFHGQDEEELFHSIRMDNPFYPRWLEKEAKDLLVKLFVREPEKRL
+GVRGDIRQHPLF
+>Q04759_PF00433_655 <unknown description>
+VKSPFDCSNFDKEFLNEKPRLSFADRALINSMDQNMFRNFSF
+>Q05513_PF00564_16 <unknown description>
+VRLKAHYGGDIFITSVDAATTFEELCEEVRDMCRLHQQHPLTLKWVDSEGDPCTVSSQME
+LEEAFRLARQCRDEGLIIHVFP
+>Q05513_PF00130_131 <unknown description>
+HLFQAKRFNRRAYCGQCSERIWGLARQGYRCINCKLLVHKRCHGLVPLTCRK
+>Q05513_PF00069_254 <unknown description>
+LIRVIGRGSYAKVLLVRLKKNDQIYAMKVVKKELVHDDEDIDWVQTEKHVFEQASSNPFL
+VGLHSCFQTTSRLFLVIEYVNGGDLMFHMQRQRKLPEEHARFYAAEICIALNFLHERGII
+YRDLKLDNVLLDADGHIKLTDYGMCKEGLGPGDTTSTFCGTPNYIAPEILRGEEYGFSVD
+WWALGVLMFEMMAGRSPFDIITDNPDMNTEDYLFQVILEKPIRIPRFLSVKASHVLKGFL
+NKDPKERLGCRPQTGFSDIKSHAFF
+>Q05513_PF00433_546 <unknown description>
+DNFDTQFTSEPVQLTPDDEDAIKRIDQSEFEGFEY
+>Q14558_PF13793_44 <unknown description>
+STAACTELAKRITERLGAELGKSVVYQETNGETRVEIKESVRGQDIFIIQTIPRDVNTAV
+MELLIMAYALKTACARNIIGVIPYFPYSKQSKMRKRGSIVCKLLASMLAKAG
+>Q14558_PF14572_196 <unknown description>
+NYRNAVIVAKSPDAAKRAQSYAERLRLGLAVIHGEAQCTELDMDDGRHSPPMVKNATVHP
+GLELPLMMAKEKPPITVVGDVGGRIAIIVDDIIDDVESFVAAAEILKERGAYKIYVMATH
+GILSAEAPRLIEESSVDEVVVTNTVPHEVQKLQCPKIKTVDISLILSEAIRRIHNGESMA
+YLFR
+>O60256_PF13793_28 <unknown description>
+NSSCMELSKKIAERLGVEMGKVQVYQEPNRETRVQIQESVRGKDVFIIQTVSKDVNTTIM
+ELLIMVYACKTSCAKSIIGVIPYFPYSKQCKMRKRGSIVSKLLASMMCKAG
+>O60256_PF14572_179 <unknown description>
+DYRNAVIVAKSPASAKRAQSFAERLRLGIAVIHGEAQDAESDLVDGRHSPPMVRSVAAIH
+PSLEIPMLIPKEKPPITVVGDVGGRIAIIVDDIIDDVDSFLAAAETLKERGAYKIFVMAT
+HGLLSSDAPRRIEESAIDEVVVTNTIPHEVQKLQCPKIKTVDISMILSEAIRRIHNGESM
+SYLFR
+>P11801_PF00069_98 <unknown description>
+YDIKALIGRGSFSRVVRVEHRATRQPYAIKMIETKYREGREVCESELRVLRRVRHANIIQ
+LVEVFETQERVYMVMELATGGELFDRIIAKGSFTERDATRVLQMVLDGVRYLHALGITHR
+DLKPENLLYYHPGTDSKIIITDFGLASARKKGDDCLMKTTCGTPEYIAPEVLVRKPYTNS
+VDMWALGVIAYILLSGTMPFEDDNRTRLYRQILRGKYSYSGEPWPSVSNLAKDFIDRLLT
+VDPGARMTALQALRHPWV
+>Q96QS6_PF00069_63 <unknown description>
+YDIKALIGTGSFSRVVRVEQKTTKKPFAIKVMETREREGREACVSELSVLRRVSHRYIVQ
+LMEIFETEDQVYMVMELATGGELFDRLIAQGSFTERDAVRILQMVADGIRYLHALQITHR
+NLKPENLLYYHPGEESKILITDFGLAYSGKKSGDWTMKTLCGTPEYIAPEVLLRKPYTSA
+VDMWALGVITYALLSGFLPFDDESQTRLYRKILKGKYNYTGEPWPSISHLAKDFIDKLLI
+LEAGHRMSAGQALDHPWV
+>P14618_PF00224_43 <unknown description>
+RNTGIICTIGPASRSVETLKEMIKSGMNVARLNFSHGTHEYHAETIKNVRTATESFASDP
+ILYRPVAVALDTKGPEIRTGLIKGSGTAEVELKKGATLKITLDNAYMEKCDENILWLDYK
+NICKVVEVGSKIYVDDGLISLQVKQKGADFLVTEVENGGSLGSKKGVNLPGAAVDLPAVS
+EKDIQDLKFGVEQDVDMVFASFIRKASDVHEVRKVLGEKGKNIKIISKIENHEGVRRFDE
+ILEASDGIMVARGDLGIEIPAEKVFLAQKMMIGRCNRAGKPVICATQMLESMIKKPRPTR
+AEGSDVANAVLDGADCIMLSGETAKGDYPLEAVRMQHLIAREAEAAIYHLQL
+>P14618_PF02887_410 <unknown description>
+EATAVGAVEASFKCCSGAIIVLTKSGRSAHQVARYRPRAPIIAVTRNPQTARQAHLYRGI
+FPVLCKDPVQEAWAEDVDLRVNFAMNVGKARGFFKKGDVVIVLTGWRPGSGFTNTMRVV
+>P30613_PF00224_86 <unknown description>
+RSTSIIATIGPASRSVERLKEMIKAGMNIARLNFSHGSHEYHAESIANVREAVESFAGSP
+LSYRPVAIALDTKGPEIRTGILQGGPESEVELVKGSQVLVTVDPAFRTRGNANTVWVDYP
+NIVRVVPVGGRIYIDDGLISLVVQKIGPEGLVTQVENGGVLGSRKGVNLPGAQVDLPGLS
+EQDVRDLRFGVEHGVDIVFASFVRKASDVAAVRAALGPEGHGIKIISKIENHEGVKRFDE
+ILEVSDGIMVARGDLGIEIPAEKVFLAQKMMIGRCNLAGKPVVCATQMLESMITKPRPTR
+AETSDVANAVLDGADCIMLSGETAKGNFPVEAVKMQHAIAREAEAAVYHRQL
+>P30613_PF02887_454 <unknown description>
+VTAIGAVEAAFKCCAAAIIVLTTTGRSAQLLSRYRPRAAVIAVTRSAQAARQVHLCRGVF
+PLLYREPPEAIWADDVDRRVQFGIESGKLRGFLRVGDLVIVVTGWRPGSGYTNIMRVL
+>P60331_PF13885_74 <unknown description>
+SCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCLPTC
+>P60331_PF13885_119 <unknown description>
+SSCCQQSSCQPTCCASSSSQQSCCVPVCCKPVCYVPTCS
+>P60331_PF13885_193 <unknown description>
+CCKPICCVPVCSGASTSCCQQSSCQPACCTTSCCRPSSSVSLLCRPV
+>P60368_PF13885_22 <unknown description>
+CPESCCELPCGTPSCCAPAPCLTLVCTPVSCVSSPCCQA
+>P60368_PF13885_74 <unknown description>
+SCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCVPVCCG
+>P60368_PF13885_120 <unknown description>
+CCQQSSCQPACCASSSCQQSCRVPVCCKAVCCVPTCSES
+>P60368_PF13885_160 <unknown description>
+SSCCQQSSCQPACCTSSPCQQSCCVSVCCKPVCCKSICCVPV
+>P60368_PF13885_209 <unknown description>
+CCQQSSCQPACCTSSCCRPSSSVSLLCRPVCSRPASCSFS
+>P60369_PF13885_95 <unknown description>
+CQQACCVPVCCKPVCCVPVCCKPVCCKPICCVPVCSG
+>P60372_PF13885_124 <unknown description>
+CCVPVCCGDSSCCQQSSCQSACCTSSPCQQACCVPICCKPVC
+>P60372_PF13885_204 <unknown description>
+CTPSCCQQSSCQPACCTSSSCQQACCVPVCCKTVCCKPVC
+>P60372_PF13885_250 <unknown description>
+CCQQSSCQPACCTSSPCQQACCVPVCCKPVCCKPVCSVPIC
+>P60372_PF13885_296 <unknown description>
+LCCQQSSCQPACCTSSQSQQGCCVPVCCKPVSCVPVCS
+>P60372_PF13885_339 <unknown description>
+CCQQSSCQPACCTTSCCRPSSSVSLLCRPVCRPACCVPVPSCCAPTSSCQPSCCRPA
+>P60370_PF13885_68 <unknown description>
+SCTPSCCQPACCASSPCQQACCVPVCCKPVCCLPTCS
+>P60370_PF13885_110 <unknown description>
+CCQQSSCQPTCCASSSCQQSCCVPVCCKPVCCVPTCSEDSSSCCQ
+>P60370_PF13885_182 <unknown description>
+CCKPICCVPVCSGASTSCCQQSSCQPACCTTSCCRPSSSVSLLCRPI
+>P60371_PF13885_124 <unknown description>
+CCVSVCCGDSSCCQQSSCQSACCTSSPCQQACCVPVCCKPVC
+>P60371_PF13885_203 <unknown description>
+SCTPSCCQQSSCQPTCCTSSPCQQACCVPVCCVPVCCVPTCS
+>P60371_PF13885_248 <unknown description>
+SSCCQQSSCQPACCTSSPCQHACCVPVCSG
+>P60371_PF13885_280 <unknown description>
+TSCCQQSSCQPACCTASCCRSSSSVSLLCHPVCKSTCCVPV
+>P60409_PF13885_133 <unknown description>
+SSCCQQSSCQSACCTSSPCQQACCVPICCKPVC
+>P60409_PF13885_203 <unknown description>
+SCTPSCCQQSSCKPACCTSSPCQQACCVPVCCKPVCCVPTC
+>P60409_PF13885_249 <unknown description>
+SCCQPACCTSSQSQQGCCVPVCCKPVCCVPVCS
+>P60410_PF05287_9 <unknown description>
+TYVIAASTMSVCSSDVGHVSRVSSPSTCTGSSWQVDNCQESCCEPRSCASSCCTPSCCAP
+APCLALVCAPVSCEPSPCQSGC
+>P60410_PF13885_143 <unknown description>
+SPCCQQSSCQSACCTFSPCQQACCVPICCKPICCVPVCS
+>P60410_PF13885_183 <unknown description>
+ASSLCCQKSSCQPACCTTSCCRPSSSVSLLCRPV
+>P60411_PF13885_115 <unknown description>
+CGASSCCQQSSYQPACCASSSCQPACCVPVCCKPVCCAPT
+>P60411_PF13885_162 <unknown description>
+CCQQSSCQPACCTSSPCQQSYCVPVCCKPVCCKPICCVPVCS
+>P60411_PF13885_209 <unknown description>
+CCQQSGCQPACCTTSCCRPSSSVSLLCRPVCRPACCVPVSSCCAPT
+>P60014_PF13885_156 <unknown description>
+CYVPVCSGASTSCCQQSSCQPACCTASCCRPSSSVSLLCHPV
+>P60014_PF13885_198 <unknown description>
+CKSTCCVPVPSCGASASSCQPSCCRTASCVSLLCRPVCSRPACY
+>P60412_PF13885_124 <unknown description>
+SSCCRQSSCQPACCASSSCQPACCVPVCCKPVCCVSTCS
+>P60412_PF13885_168 <unknown description>
+CCQQSSCQPACCTSSSYQQACCVPVCCKTVYCKPICCVPVCSRA
+>P60413_PF13885_84 <unknown description>
+CCQQSSCQPACCTSSPCQQACCVPVCCKTVCCKPVCCMPVCCGPS
+>P60413_PF13885_156 <unknown description>
+CCKPICCVPVCSGASSLCCQQSSCQPACCTTSCCRPSSSVSLLCRPV
+>P60413_PF13885_212 <unknown description>
+PSCCVPTSSCQPSCGRLASCGSLLCRPTCSRL
+>Q8IUC1_PF05287_1 <unknown description>
+MSFNCSTRNCSSRPIGGRCIVPVAQVTTTSTTDADCLGGICLPSSFQTGSWLLDHCQETC
+CEPTACQPTCYRRTSCVSNPCQVTCSRQTTCISNPCSTTYSRPLTFVSSGCQPLGGISSV
+CQPVGGISTVCQPVGGVSTVCQPACGVSRTYQQSCVSS
+>P59990_PF13885_24 <unknown description>
+CYIPVGCQSSVCVPVSFKPAVCVPVRCQSSVCVPVSCRPVVYA
+>P59991_PF05287_3 <unknown description>
+HTSCSSGCQPACCAPSPCQPACCVPSSCQASCCVPVGCQSSVCVPVSFKPAVCLPVSCQS
+SVCVPMSFKSAVCVPVSCQSSVCVPVSCRPIVCAAPSCQSSLCVPVSCRPVVYAAPSCQS
+SGCCQPSCTS
+>P60328_PF13885_2 <unknown description>
+CHTSCSPACQPTCCIHSPCQASCYVPVSCQSSVCMPV
+>Q8IUC0_PF05287_1 <unknown description>
+MSYNCCSGNFSSRSCGGYLHYPASSCGFSYPSNQVYSTDLCSPSTCQLGSSLYRGCQQTC
+WEPTSCQTSYVESSPCQTSCYRPRTSLLCSPCQTTYSGSLGFGSSSCRSLGYGSRSCYSV
+GCGSSGFRSLGYGGCGFPSLGYGVGFCRPTYLASRSCQSSCYRPTCGSGF
+>Q52LG2_PF05287_1 <unknown description>
+MSYNCCSGNFSSRSCGDYLRYPASSRGFSYPSNLVYSTDLCSPSTCQLGSSLYRGCQEIC
+WEPTSCQTSYVESSPCQTSCYRPRTSLLCSPCKTTYSGSLGFGSSSCRSLGYGSRSCYSV
+GCGSSGVRSLGYGSCGFPSLGYGSGFCRPTYLASRSCQSPCYRPAYGSTF
+>Q3SY46_PF05287_1 <unknown description>
+MSYNCCSRNFSSCSHGGYLHYPGSSCGSSYPSNLVYSTDLCSPSTCQLGSSLYRGCQETC
+WRPNSCQTLCVESSPCHTSCYYPRTHMLCNSCLTMHVGSRGFGSNSCCSLSCGSRSCSSL
+GCGSNGFRYLNYRIHTSPSQSYRSRFCHPIYFPPRRWFHSSCYQPFCRSGF
+>Q3LI77_PF05287_57 <unknown description>
+EPASCQKSCYRPRTSILCCPCQTTCSGSLGFRSSSCRSQGYGSRCCYSLGNGSSGFRFLK
+YGGCGFPSLSYGSRFCYPNYLASGAWQSSCYRPICGSR
+>Q3LI76_PF05287_1 <unknown description>
+MSYNCSSGNFSSCCFGSYLRYPVSTYNLFYPSNAIYSPNTCQLGSSLYNGCQETYCEPTS
+CQTSCTLARSYQTSCYCPKNSIFCSPRQTNYIRSLG
+>A8MUX0_PF13885_68 <unknown description>
+CAQPLCCDPVICEPSCSVSSGCQPVCCEATTCEPSCSVSNCYQPV
+>A8MUX0_PF13885_128 <unknown description>
+CCQPVCFEATVCEPSCSVSSCAQPVCCEPAICEPSCSVSSCCQPV
+>A8MUX0_PF13885_184 <unknown description>
+CVPTSCQPVLCKSSCCQPVVCEPSCCSAVCTLPSSCQPVVCEPS
+>A8MUX0_PF13885_298 <unknown description>
+CQEPSCCVSSICQPICSEPSPCSPAVCVSSPCQPTCY
+>A8MUX0_PF13885_435 <unknown description>
+RPACVTSYSCRPVYFRPSCTESDSCKRDCKKSTSSQLDCV
+>Q8IUB9_PF11759_1 <unknown description>
+MSHYGSYYGGLGYSCGGFGGLGYGYGCGCGSFCRRGSGCGYGGYGYGSGFGSYGYGSG
+>Q3LHN2_PF11759_3 <unknown description>
+YGYGCGCGSFCRLGYGCGYEGCRYGCGHRGCGDGCCCPSCYRRY
+>Q7Z4W3_PF11759_1 <unknown description>
+MSYYGSYYGGLGYGCGGFGGLGYGYGCGCGSFRRLGSGCGYGGYGYGSGFGGYGYGS
+>Q3LI73_PF11759_1 <unknown description>
+MSYYGSYYRGLGYGCGGFGGLGYGYGCGCGSFRRLGYGCGFGGNGYGYCRPSCYGGY
+>Q3LI72_PF11759_1 <unknown description>
+MNYYGNYYGGLGYGYGGFDDLGYGYGCGCGSFRRLGYGGGYGGYGYGSGFGGYGYRSCRP
+SCYGGY
+>Q3LI70_PF11759_1 <unknown description>
+MRYYGSYYRGLGYGCGGFGGLGYGCGCGGYRYGSGYGGYRYGCCRPSCREGY
+>Q3SYF9_PF11759_1 <unknown description>
+MSYSGSYYGGLGYGCGGFGGLGYGYSCGCGSFRRLGYGCGYGGYRYSCCHPSCYGGY
+>Q3LI54_PF11759_1 <unknown description>
+MSYYRSYYGGLGYGYGGFGGWGYGYGCGYGSFRRLGYGCGYGGYGFSCCRPLYYGGY
+>Q3LI63_PF11759_1 <unknown description>
+MIYYSNYYGGYGYGGLGCGYGCGYRGYGCGYGGYGGYGNGYYCPSCYGRY
+>Q3LI61_PF11759_1 <unknown description>
+MCYYSNYYGGLRYGYGVLGGGYGCGCGYGHGYGGLGCGYGRGYGGYGYGCCRPSCYGRY
+>Q3LI59_PF11759_1 <unknown description>
+MCCNYYRNCCGGCGYGSGWSSGCGYGCGYGCGYGSGCRYGSGYGTGCGYGCGYGSGCGYG
+CGYSSSCCGYRPLCYRRC
+>Q3MIV0_PF11759_1 <unknown description>
+MSFDNNYHGGQGYAKGGLGCSYGCGLSGYGYACYCPWCYER
+>A1A580_PF05287_1 <unknown description>
+MSYNCCCGNFSSHSCEGYLCYSGYSRGGSSYPSNLVYSTEP
+>Q3LI83_PF05287_6 <unknown description>
+MSTTGYPGVCSTTSYRTHCYIPVTSSVTLSSSDLSPTFGHCLPSSYQGNLWLLDYCQESY
+GEAPTCKSPSCEPKTCSTTGCDPSNSSVPCNSPSAGQVFSVCETTNVSPSPSCSPSTQTN
+GYVCNCHIPTRNASKACQTLRNGSNCFGQLNCLSKSFQTLNHCRLSTLGYKSYQNPCFIP
+SYVSP
+>Q3LHN0_PF05287_5 <unknown description>
+SQGFFFSSCHPQNHVSYGCQSPSFIFCRCQSLNFVSRTCYPLSYFSYGNQTIGSISNSFR
+SLNYVSHSFQPISFMHSSFQPAC
+>Q6PEX3_PF05287_5 <unknown description>
+NYCSGNSNSGSLRTSRHIPLTSIDLCPTSVSCGDVLYLPTSSQDHTWVTDNCQETCGEPT
+SCQPVHCETGNLETSCGSSTAYYVPRPCQGSSFLPASFFSSSCLPVSCRPQRYVSSGCRP
+LRPLLNSYQPIGDCVPNAYRPQFCLSKSCQPQNLLTSGCQPSSCLAYRPQSLHVVSSSLR
+PLGPLFSGCQPLTHVFSTCRPSCS
+>Q3LI81_PF05287_1 <unknown description>
+MPHSHCHSLRSFHNAPPLSAITHGTNPITFEDRLCLPSSFHSRTCFLDNFQETCNETTSC
+QMTNCEQDLFTDDSCVQSNCFPGVVQTTYSNSRPCERTACQSESSSAGLACVSQPCQSES
+TQQMGFVAQSCQPASLKGNSCPPKTSKSKNFETLERASSQCQCQSQNPESSSCRPLVNVA
+PEPQLLESSPGVEPTCCVT
+>A8MX34_PF13885_115 <unknown description>
+CCQEKCCDASPCQQSSCQESVCMSGSCQAACGQSVCCDAGSCQPS
+>A8MX34_PF13885_165 <unknown description>
+SCPETSCLPTICTASPCQPTWCQGSSCQPVSGEGQPCKSTYYQPI
+>Q9BYQ6_PF13885_20 <unknown description>
+CQETCCRPSCCETTCCRTTYCRPSCCVSSCCRPQCCQSVCCQPT
+>Q9BYQ6_PF13885_89 <unknown description>
+CCQSMCCQPTCCRPRCCISSCCRPSCCVSSCCRPQCCQSVCCQPT
+>Q9BYQ6_PF13885_160 <unknown description>
+CLRPVCGRVSCHTTCYRPTCVISSCPRPLCCASSCC
+>Q9BQ66_PF13885_45 <unknown description>
+CCRPQCCQSVCCQPTCCRPSCCQTTCCRTTCCRPSCCVSSCCRP
+>G5E9R7_PF13885_58 <unknown description>
+CCQPTCCRPSCCQTTCCRTTCCHPSCCVSSCCRPQCCHSVCFQPT
+>Q6L8G5_PF13885_157 <unknown description>
+CCQSSCCNPCCCQSSCCVPVCCQSSCCKPCCCQSSCCVPVCCQ
+>Q6L8G4_PF13885_121 <unknown description>
+CCKPCCCQSSCCQSSCFKPCCCQSSCCVPVCCQ
+>Q07627_PF01500_1 <unknown description>
+MACCQTSFCGFPSCSTSGTCGSSCCQPSCCETSSCQPRCCETSCCQPSC
+>Q07627_PF01500_69 <unknown description>
+SCCQPSCCETSCCQPSCYQTSSCGTGCGIGGGIGYGQEGSSGAVSTRIRWCRPDCRVEGT
+CLPPCCVVSCTPPSCCQLHHAEASCCRPSYCGQSCCRPVCCCYCSEPTC
+>Q8IUG1_PF01500_1 <unknown description>
+MTCCQTSFCGYPSCSTSGTCGSSCCQPSCCETSCCQPSCCQTSFCGFPSFSTSGTCSSSC
+CQPSCCETSCCQPSCCQTSSCGTGCGIGGGIGYGQEGSSGAVSTRIRWCRPDCRVEGTCL
+PPCCVVSCTPPTCCQLHHAEASCCRPSYCGQSCCRPVCCCYSCEPTC
+>P0C5Y4_PF01500_19 <unknown description>
+CCETSCCQPSCCQTSSCGTGCGIGGGIGYGQEGSGGSVSTRIRWCHPDCHVEGTCLPPCY
+LVSCTPPSCCQLHHAEASCCRPSYCGQSCCRPACCCHCCEPTC
+>Q9BYS1_PF01500_1 <unknown description>
+MTCCQTSFCGYPSFSISGTCGSSCCQPSCCETSCCQPRSCQT
+>Q9BYS1_PF01500_73 <unknown description>
+PSCCETSCCQPSCCQISSCGTGCGIGGGISYGQEGSSGAVSTRIRWCRPDSRVEGTYLPP
+CCVVSCTPPSCCQLHHAQASCCRPSYCGQSCCRPVCCCEPTC
+>Q9BYU5_PF01500_4 <unknown description>
+SCCGSTFSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVC
+CDPCSLQEGC
+>Q9BYU5_PF13885_89 <unknown description>
+CRPCCWATTCCQPVSVQSPCCRPPCGQPTPCSTTCRTSSC
+>Q9BYT5_PF01500_4 <unknown description>
+SCCGSTFSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVC
+CDPCSLQEGC
+>P0C7H8_PF01500_4 <unknown description>
+SCCGSTLSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVC
+CDPCSLQEGC
+>P0C7H8_PF13885_89 <unknown description>
+CRPCCWATTCCQPVSVQSPCCRPPCGQPTPCSTTCRTSSC
+>Q9BYR9_PF01500_4 <unknown description>
+SCCGSTLSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVC
+CDPCSLQEGC
+>Q9BYR9_PF13885_89 <unknown description>
+CRPCCWATTCCQPVSVQSPCCRPPCGQPTPCSTTCRTSSC
+>Q9BYR8_PF04579_3 <unknown description>
+CCALRSCSVPTGPATTFCSFDKSCRCGVCLPSTCPHEISLLQPICCDTCPPPCCKPDTYV
+PTCWLLNNCHPTPGLSGINLTTYVQPGCESPCEPRC
+>Q9BYR7_PF04579_3 <unknown description>
+CCASRSCSVPTGPATTICSSDKSCRCGVCLPSTCPHTVWLLEPICCDNCPPPCHIPQPCV
+PTCFLLNSCQPTPGLETLNLTTFTQPCCEPCLPR
+>Q9BYR6_PF04579_3 <unknown description>
+CCASRGCSVPTGPATTICSSDKSCRCGVCLPSTCPHTVWLLEPTCCDNCPPPCHIPQPCV
+PTCFLLNSCQPTPGLETLNLTTFTQPCCEPCLPR
+>Q9BYQ7_PF13885_4 <unknown description>
+SCCGSVCSDQGCDQGLCQETCCRPSCCQTTCCCPSCVVSSCCRPS
+>Q9BYQ7_PF13885_107 <unknown description>
+CCQTTCRPSCGVSSCCRPLCCQTTCCRATCCRPSCCGSSC
+>Q9BYR5_PF13885_5 <unknown description>
+CCGSVCSDQGCGLENCCRPSCCQTTCCRTTCCRPSCCVSSCCRP
+>Q9BYR5_PF13885_55 <unknown description>
+CCQPTCCSPSCCQTTCCRTTCCRPSCCVSSCFRPQCCQSVYCQPT
+>Q9BYR4_PF13885_21 <unknown description>
+QESCCRPSCCQTTCCRTTCCRPSCCISSCCRPSCCISSCCKPS
+>Q9BYR4_PF13885_99 <unknown description>
+CCRPSCCISSCCRPSCCISSCCKPSCCQTTCCRPSCCISSCYRP
+>Q9BYR3_PF13885_5 <unknown description>
+CCGSVCSDQGCGLENCCRPSYCQTTCCRTTCCRPSCCVSSCCRP
+>Q9BYR3_PF13885_75 <unknown description>
+CCQSVCCQPTCCRPQCCQTTCCRTTCCRPSCCRPQCCQSVCCQPT
+>Q9BYR3_PF13885_126 <unknown description>
+CVSSCCRPQCCQTTCCRTTCCRPSCCVSRCYRPHCGQSLCC
+>Q9BYR2_PF13885_35 <unknown description>
+CCRPSCCKPQCCQSVCYQPTCCHPSCCISSCCRPYCCESSCCRPC
+>Q9BYR2_PF13885_105 <unknown description>
+CCRPQCCQSVCCQPTCCRPSCCISSCCHPSCCESSCCRPCCCVRP
+>Q9BYQ5_PF13885_17 <unknown description>
+LETCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPT
+>Q9BYQ5_PF13885_60 <unknown description>
+CCRPSCCPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPT
+>Q9BYQ5_PF13885_124 <unknown description>
+CCRSQCCQSVCCQPTCCRPSCCISSCCRPSCCESSCCRPCCCRPC
+>Q9BYR0_PF13885_34 <unknown description>
+CCRTTCYRPSCCVSSCCRPQCCQSVCCQPTCCRPTCCETTCCHP
+>Q9BYR0_PF13885_79 <unknown description>
+CCISSCCRPSCCMSSCCKPQCCQSVCCQPTCCRPSCCRPCCCLRP
+>Q9BYQ9_PF13885_34 <unknown description>
+CCRTTCYRPSYSVSCCCRPQCCQSVCCQPTCCRPSCCVSSCCKP
+>Q9BYQ9_PF13885_79 <unknown description>
+CCQSVCCQPTCCHPSCCISSCCRPSCCVSSCCKPQCCQSVCCQP
+>Q9BYQ9_PF13885_150 <unknown description>
+CLRPVCGRVSCHTTCYRPACVISTCPRPVCCASSCC
+>Q9BYQ8_PF01500_4 <unknown description>
+SCCGSVCSDQGCGQDLCQETCCRPSCCETTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPT
+CSRPSCCQTTCCRTTCYRPSCCVSSCCRPQCCQPACCQPTCCRPSCCETTCCHPRCCISS
+CCRPSCCVSSCCKPQCC
+>Q701N4_PF13885_132 <unknown description>
+CCQSSCCKPCCCQSSCCVPVCCQSSCCKPCCCQSNCCVPVCCQ
+>Q701N2_PF13885_152 <unknown description>
+YGCSQSSCCKPCCCSSGCGSSCCQSSCCKPYCCQSSCCKP
+>Q701N2_PF13885_206 <unknown description>
+SGCGSSCCQSSCYKPCCCQSSCCVPVCCQ
+>Q3LI66_PF11759_1 <unknown description>
+MCGSYYGNYYGDHGYGCCGYEGLGYGYGSLRCGYSSCCGYGHGYGSRFFCGCGYGCGSGY
+>Q8IUC3_PF15034_1 <unknown description>
+MTRYFCCGSYFPGYPIYGTNFHGTFRATPLNCVVPLGSPLNYGCGCNGYSSLGYSFGGSN
+INNLGGCYGGSFYRPWGSGSGFGY
+>Q8IUC2_PF11759_7 <unknown description>
+PGAVFPGCYWGSYGYPLGYSVGCGYGSTYSPVGYGFGYGYNGCGAFGYRRY
+>A8MXZ3_PF13885_51 <unknown description>
+CCHPTCCQNTCCRTTCCQPTCVASCCQPSCCSTPCCQPTCCGSSCC
+>A8MXZ3_PF13885_118 <unknown description>
+CCHPTCYQTICFRTTCCQPTCCQPTCCRNTSCQPTCCGSSCCQPC
+>A8MXZ3_PF13885_214 <unknown description>
+CCRPTCCQTTCYRTTCCRPSCCCSPCCVSSCCQPSCC
+>Q9BYQ4_PF13885_4 <unknown description>
+CCSPCCQPTCCRTTCCRTTCWKPTTVTTCSSTPCCQPACCVSSCCQP
+>Q9BYQ4_PF13885_80 <unknown description>
+CCSTPCCQPTCCGSSCCGQTSCGSSCGQSSSCAPVYCRRTCYYPT
+>Q9BYQ4_PF13885_144 <unknown description>
+CCRPACCETTCCRTTCFQPTCVSSCCQPSCC
+>Q9BYQ3_PF13885_4 <unknown description>
+CCSPCCQPTCCRTTCWQPTTVTTCSSTPCCQPSCCVSSCCQPC
+>Q9BYQ3_PF13885_66 <unknown description>
+CVTSCCQPSCCSTPCCQPTCCGSSCGQSSSCAPVYCRRTCYHPT
+>Q9BYQ3_PF13885_129 <unknown description>
+CCRPACCETTCCRTTCFQPTCVYSCCQPSCC
+>Q9BYQ2_PF13885_2 <unknown description>
+THCCSPCCQPTCCRTTCCRTTCWKPTTVTTCSS
+>Q9BYQ2_PF13885_66 <unknown description>
+CVTSCCQPSCCSTPCCQPTCCGSSCDQSSSCAPVYCRRTCYYPT
+>Q9BYQ2_PF13885_116 <unknown description>
+CLNQSCGSNCCQPCCRPACCETTCFQPTCVSSCCQPFCC
+>A8MVA2_PF13885_80 <unknown description>
+CCSTPCYQPICCGSSCCGQTSCGSSCGQSSSCAPVYCRRTCYHPT
+>A8MTY7_PF13885_4 <unknown description>
+CCSPCCQPTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPC
+>A8MTY7_PF13885_75 <unknown description>
+CCSTPCCQPICCGSSCCGQTSCGSSCCQPSSCAPIYCRRTCYHPT
+>A8MTY7_PF13885_126 <unknown description>
+CLNQSCGSSCCQPCCRPACCETTCCRTTCFQPTCVTSCCQP
+>Q9BYQ0_PF13885_4 <unknown description>
+CCSPCCQPTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPC
+>Q9BYQ0_PF13885_65 <unknown description>
+CCQPSCCSTPCCQPTCCGQTSCGSSCGQSSSCAPVYCRRTCYHPT
+>Q9BYQ0_PF13885_129 <unknown description>
+CCRPACCETTCCRTTCFQPTCVSSCCQPSCC
+>C9JBD0_PF01352_14 <unknown description>
+SVAFEDVAVYFTTKEWAIMVPAERALYRDVMLENYEAVAFV
+>Q5JUW0_PF01352_7 <unknown description>
+SLTFKDVFVDFTLEEWQQLDSAQKNLYRDVMLENYSHLVSVG
+>Q7Z2F6_PF01352_4 <unknown description>
+LTFRDVAIEFSREEWEHLDSDQKLLYGDVMLENYGNLVSLG
+>Q96MU8_PF00051_34 <unknown description>
+CFTANGADYRGTQNWTALQGGKPCLFWNETFQHPYNTLKYPNGEGGLGEHNYCRNPDGDV
+SPWCYVAEHEDGVYWKYCEIPAC
+>Q96MU8_PF01822_122 <unknown description>
+LGCYKDHGNPPPLTGTSKTSNKLTIQTCISFCRSQRFKFAGMESGYACFCGNNPDYWKYG
+EAASTECNSVCFGDHTQPCGG
+>Q96MU8_PF00431_216 <unknown description>
+CGGNYSAMSSVVYSPDFPDTYATGRVCYWTIRVPGASHIHFSFPLFDIRDSADMVELLDG
+YTHRVLARFHGRSRPPLSFNVSLDFVILYFFSDRINQAQGFAVLY
+>Q8NCW0_PF00051_36 <unknown description>
+CFQVNGADYRGHQNRTGPRGAGRPCLFWDQTQQHSYSSASDPHGRWGLGAHNFCRNPDGD
+VQPWCYVAETEEGIYWRYCDIPSC
+>Q8NCW0_PF01822_124 <unknown description>
+YLGCFVDSGAPPALSGPSGTSTKLTVQVCLRFCRMKGYQLAGVEAGYACFCGSESDLARG
+RLAPATDCDQICFGHPGQLCGG
+>Q8NCW0_PF00431_219 <unknown description>
+CQGNWTAPQGVIYSPDFPDEYGPDRNCSWALGPPGAALELTFRLFELADPRDRLELRDAA
+SGSLLRAFDGARPPPSGPLRLGTAALLLTFRSDARGHAQGFALTY
+>Q8N9T8_PF05178_337 <unknown description>
+ERKKREKAKKQEELKQLKNLKRKEILAKLEKLRKVTGNEMLGLEEGDLEDDFDPAQHDQL
+MQKCFGDEYYGAVEEEKPQFEEEEGLEDDWN
+>Q8N9T8_PF12936_498 <unknown description>
+KTFEEYLDEYYRLDYEDIIDDLPCRFKYRTVVPCDFGLSTEEILAADDKELNRWCSLKKT
+CMYRSEQEELRDKRAYSQKAQNSWKKRQ
+>O00522_PF16705_22 <unknown description>
+SLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQGNSEITQGILDYVVETTKPISP
+ANQGIRGKRVVLMKKFPLDGEKMGREASLFIVPSVVKDNTKYTYTPGCPIFYCLQDIMRV
+CSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRPSPLERIKTNVINPAYATE
+>O00522_PF13857_340 <unknown description>
+LLEKGKCNPNLLNGQLSSPLHFAAGGGHAEIVQILLNHPETDRHITDQQGRSPLNI
+>O00522_PF00373_518 <unknown description>
+VEKQIEDPLAILILFDEARYNLLKGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLN
+EENLKSIVPVTKLKSKAPHWTNRILHEYKNLSTSEGVSKEMHHLQRMFLQNCWEIPTYGA
+AFF
+>Q13601_PF17903_58 <unknown description>
+SSFATLFPKYREAYLKECWPLVQKALNEHHVNATLDLIEGSMTVCTTKKTFDPYIIIRAR
+DLIKLLARSVSFEQAVRILQD
+>O76011_PF00038_55 <unknown description>
+SEKETMQFLNDRLASYLEKVRQLERDNAELEKLIQERSQQQEPLLCPSYQSYFKTIEELQ
+QKILCAKAENARLVVNIDNAKLASDDFRSKYQTEQSLRLLVESDINSIRRILDELTLCKS
+DLESQVESLREELICLKKNHEEEVNTLRSQLGDRLNVEVDTAPTVDLNQVLNETRSQYEA
+LVEINRREVEQWFATQTEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHNLRD
+SLENTLTESEAHYSSQLSQVQSLITNVESQLAEIRCDLERQNQEYQVLLDVRARLECEIN
+TYRSLLESEDCK
+>Q92764_PF00038_96 <unknown description>
+NEKETMQSLNDRLAGYLEKVRQLEQENASLESRIREWCEQQVPYMCPDYQSYFRTIEELQ
+KKTLCSKAENARLVVEIDNAKLAADDFRTKYETEVSLRQLVESDINGLRRILDDLTLCKS
+DLEAQVESLKEELLCLKKNHEEEVNSLRCQLGDRLNVEVDAAPPVDLNRVLEEMRCQYET
+LVENNRRDAEDWLDTQSEELNQQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHSMRD
+ALESTLAETEARYSSQLAQMQCMITNVEAQLAEIRADLERQNQEYQVLLDVRARLECEIN
+TYRGLLESEDS
+>O76013_PF00038_92 <unknown description>
+SEKETMQFLNDRLANYLEKVRQLERENAELESRIQEWYEFQIPYICPDYQSYFKTIEDFQ
+QKILLTKSENARLVLQIDNAKLAADDFRTKYETELSLRQLVEADINGLRRILDELTLCKA
+DLEAQVESLKEELMCLKKNHEEEVSVLRCQLGDRLNVEVDAAPPVDLNKILEDMRCQYEA
+LVENNRRDVEAWFNTQTEELNQQVVSSSEQLQCCQTEIIELRRTVNALEIELQAQHSMRN
+SLESTLAETEARYSSQLAQMQCLISNVEAQLSEIRCDLERQNQEYQVLLDVKARLEGEIA
+TYRHLLEGEDCK
+>O76014_PF00038_104 <unknown description>
+EKETMKFLNDRLANYLEKVRQLEQENAELETTLLERSKCHESTVCPDYQSYFRTIEELQQ
+KILCSKAENARLIVQIDNAKLAADDFRIKLESERSLHQLVEADKCGTQKLLDDATLAKAD
+LEAQQESLKEEQLSLKSNHEQEVKILRSQLGEKFRIELDIEPTIDLNRVLGEMRAQYEAM
+VETNHQDVEQWFQAQSEGISLQAMSCSEELQCCQSEILELRCTVNALEVERQAQHTLKDC
+LQNSLCEAEDRYGTELAQMQSLISNLEEQLSEIRADLERQNQEYQVLLDVKARLENEIAT
+YRNLLESEDCK
+>O76015_PF00038_104 <unknown description>
+EKETMQFLNDRLANYLEKVRQLEQENAELEATLLERSKCHESTVCPDYQSYFHTIEELQQ
+KILCSKAENARLIVQIDNAKLAADDFRIKLESERSLRQLVEADKCGTQKLLDDATLAKAD
+LEAQQESLKEEQLSLKSNHEQEVKILRSQLGEKLRIELDIEPTIDLNRVLGEMRAQYEAM
+LETNRQDVEQWFQAQSEGISLQDMSCSEELQCCQSEILELRCTVNALEVERQAQHTLKDC
+LQNSLCEAEDRFGTELAQMQSLISNVEEQLSEIRADLERQNQEYQVLLDVKTRLENEIAT
+YRNLLESEDCK
+>Q14533_PF16208_5 <unknown description>
+SGFGGRAFSCISACGPRPGRCCITAAPYRGISCYRGLTGGFGSHSVCGGFRAGSCGRSFG
+YRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCV
+>Q14533_PF00038_105 <unknown description>
+EEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFEGYIETLRR
+EAECVEADSGRLASELNHVQEVLEGYKKKYEEEVSLRATAENEFVALKKDVDCAYLRKSD
+LEANVEALIQEIDFLRRLYEEEILILQSHISDTSVVVKLDNSRDLNMDCIIAEIKAQYDD
+IVTRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNS
+KLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIA
+TYRRLLEGEEQR
+>Q9NSB4_PF16208_61 <unknown description>
+GFGRPRVASRCGGTLPGFGYRLGATCGPSACITPVTINESLLVPLALEIDPTVQRV
+>Q9NSB4_PF00038_119 <unknown description>
+DEKEQIKCLNNRFASFINKVRFLEQKNKLLETKWNFMQQQRCCQTNIEPIFEGYISALRR
+QLDCVSGDRVRLESELCSLQAALEGYKKKYEEELSLRPCVENEFVALKKDVDTAFLMKAD
+LETNAEALVQEIDFLKSLYEEEICLLQSQISETSVIVKMDNSRELDVDGIIAEIKAQYDD
+IASRSKAEAEAWYQCRYEELRVTAGNHCDNLRNRKNEILEMNKLIQRLQQETENVKAQRC
+KLEGAIAEAEQQGEAALNDAKCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEIA
+TYRRLLEGEEHR
+>P78385_PF16208_39 <unknown description>
+GISCYRGLTGGFGSHSVCGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNL
+EIDPNAQCV
+>P78385_PF00038_110 <unknown description>
+EEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFAGYIETLRR
+EAECVEADSGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVALKKDVDCAYLRKSD
+LEANVEALIQEIDFLRRLYEEEIRILQSHISDTSVVVKLDNSRDLNMDCIVAEIKAQYDD
+IATRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNS
+KLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIA
+TYRRLLEGEEQR
+>Q9NSB2_PF16208_16 <unknown description>
+NFSSCSAMTPQNLNRFRANSVSCWSGPGFRGLGSFGSRSVITFGSYSPRIAAVGSRPIHC
+GVRFGAGCGMGFGDGRGVGLGPRADSCVGLGFGAGSGIGYGFGGPGFGYRVGGVGVPAAP
+SITAVTVNKSLLTPLNLEIDPNAQRV
+>Q9NSB2_PF00038_164 <unknown description>
+DEKEQIKTLNNKFASFIDKVRFLEQQNKLLETKWSFLQEQKCIRSNLEPLFESYITNLRR
+QLEVLVSDQARLQAERNHLQDVLEGFKKKYEEEVVCRANAENEFVALKKDVDAAFMNKSD
+LEANVDTLTQEIDFLKTLYMEEIQLLQSHISETSVIVKMDNSRDLNLDGIIAEVKAQYEE
+VARRSRADAEAWYQTKYEEMQVTAGQHCDNLRNIRNEINELTRLIQRLKAEIEHAKAQRA
+KLEAAVAEAEQQGEATLSDAKCKLADLECALQQAKQDMARQLCEYQELMNAKLGLDIEIA
+TYRRLLEGEESR
+>P78386_PF16208_72 <unknown description>
+RAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCV
+>P78386_PF00038_122 <unknown description>
+EEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKWQFYQNQRCCESNLEPLFSGYIETLRR
+EAECVEADSGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVVLKKDVDCAYLRKSD
+LEANVEALVEESSFLRRLYEEEIRVLQAHISDTSVIVKMDNSRDLNMDCIIAEIKAQYDD
+VASRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEIENAKCQRA
+KLEAAVAEAEQQGEAALSDARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDIEIA
+TYRRLLEGEEHR
+>O43790_PF16208_34 <unknown description>
+GISCYRGLTGGFGSHSVCGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNL
+EIDPNAQCV
+>O43790_PF00038_105 <unknown description>
+EEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFEGYIETLRR
+EAECVEADSGRLASELNHVQEVLEGYKKKYEEEVSLRATAENEFVALKKDVDCAYLRKSD
+LEANVEALIQEIDFLRRLYEEEIRVLQSHISDTSVVVKLDNSRDLNMDCIIAEIKAQYDD
+IVTRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNS
+KLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIA
+TYRRLLEGEEQR
+>Q15418_PF00069_62 <unknown description>
+FELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVNH
+PFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHSL
+GIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHSH
+SADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRNP
+ANRLGSGPDGAEEIKRHVF
+>Q15418_PF00433_344 <unknown description>
+QPDDTFYFDTEFTSRTPKDSPGIPPSAGAHQLFRGFSF
+>Q15418_PF00069_418 <unknown description>
+YVVKETIGVGSYSECKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKD
+VYDDGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTIGKTVEYLHSQGVVHRDLK
+PSNILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDI
+WSLGILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLH
+VDPHQRLTAKQVLQHPWV
+>Q15349_PF00069_59 <unknown description>
+FELLKVLGQGSYGKVFLVRKVKGSDAGQLYAMKVLKKATLKVRDRVRSKMERDILAEVNH
+PFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSL
+GIIYRDLKPENILLDEEGHIKITDFGLSKEAIDHDKRAYSFCGTIEYMAPEVVNRRGHTQ
+SADWWSFGVLMFEMLTGSLPFQGKDRKETMALILKAKLGMPQFLSGEAQSLLRALFKRNP
+CNRLGAGIDGVEEIKRHPFF
+>Q15349_PF00433_342 <unknown description>
+PEDTFHFDPEFTARTPTDSPGVPPSANAHHLFRGFSF
+>Q15349_PF00069_415 <unknown description>
+YEIKEDIGVGSYSVCKRCVHKATDTEYAVKIIDKSKRDPSEEIEILLRYGQHPNIITLKD
+VYDDGKFVYLVMELMRGGELLDRILRQRYFSEREASDVLCTITKTMDYLHSQGVVHRDLK
+PSNILYRDESGSPESIRVCDFGFAKQLRAGNGLLMTPCYTANFVAPEVLKRQGYDAACDI
+WSLGILLYTMLAGFTPFANGPDDTPEEILARIGSGKYALSGGNWDSISDAAKDVVSKMLH
+VDPHQRLTAMQVLKHPWV
+>P51812_PF00069_68 <unknown description>
+FELLKVLGQGSFGKVFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNH
+PFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSL
+GIIYRDLKPENILLDEEGHIKLTDFGLSKESIDHEKKAYSFCGTVEYMAPEVVNRRGHTQ
+SADWWSFGVLMFEMLTGTLPFQGKDRKETMTMILKAKLGMPQFLSPEAQSLLRMLFKRNP
+ANRLGAGPDGVEEIKRHSFF
+>P51812_PF00433_351 <unknown description>
+PEDTFYFDPEFTAKTPKDSPGIPPSANAHQLFRGFSF
+>P51812_PF00069_422 <unknown description>
+YEVKEDIGVGSYSVCKRCIHKATNMEFAVKIIDKSKRDPTEEIEILLRYGQHPNIITLKD
+VYDDGKYVYVVTELMKGGELLDKILRQKFFSEREASAVLFTITKTVEYLHAQGVVHRDLK
+PSNILYVDESGNPESIRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACDI
+WSLGVLLYTMLTGYTPFANGPDDTPEEILARIGSGKFSLSGGYWNSVSDTAKDLVSKMLH
+VDPHQRLTAALVLRHPWI
+>O75676_PF00069_33 <unknown description>
+FELLKVLGTGAYGKVFLVRKAGGHDAGKLYAMKVLRKAALVQRAKTQEHTRTERSVLELV
+RQAPFLVTLHYAFQTDAKLHLILDYVSGGEMFTHLYQRQYFKEAEVRVYGGEIVLALEHL
+HKLGIIYRDLKLENVLLDSEGHIVLTDFGLSKEFLTEEKERTFSFCGTIEYMAPEIIRSK
+TGHGKAVDWWSLGILLFELLTGASPFTLEGERNTQAEVSRRILKCSPPFPPRIGPVAQDL
+LQRLLCKDPKKRLGAGPQGAQEVRNHPFF
+>O75676_PF00433_322 <unknown description>
+IRSELDVGNFAEEFTRLEPVYSPPGSPPPGDPRIFQGYSF
+>O75676_PF00069_416 <unknown description>
+ALGQGSFSVCRRCRQRQSGQEFAVKILSRRLEANTQREVAALRLCQSHPNVVNLHEVHHD
+QLHTYLVLELLRGGELLEHIRKKRHFSESEASQILRSLVSAVSFMHEEAGVVHRDLKPEN
+ILYADDTPGAPVKIIDFGFARLRPQSPGVPMQTPCFTLQYAAPELLAQQGYDESCDLWSL
+GVILYMMLSGQVPFQGASGQGGQSQAAEIMCKIREGRFSLDGEAWQGVSEEAKELVRGLL
+TVDPAKRLKLEGLRGSSWL
+>O75582_PF00069_49 <unknown description>
+FELLKVLGTGAYGKVFLVRKISGHDTGKLYAMKVLKKATIVQKAKTTEHTRTERQVLEHI
+RQSPFLVTLHYAFQTETKLHLILDYINGGELFTHLSQRERFTEHEVQIYVGEIVLALEHL
+HKLGIIYRDIKLENILLDSNGHVVLTDFGLSKEFVADETERAYSFCGTIEYMAPDIVRGG
+DSGHDKAVDWWSLGVLMYELLTGASPFTVDGEKNSQAEISRRILKSEPPYPQEMSALAKD
+LIQRLLMKDPKKRLGCGPRDADEIKEHLFF
+>O75582_PF00433_339 <unknown description>
+IRDELDVSNFAEEFTEMDPTYSPAALPQSSEKLFQGYSF
+>O75582_PF00069_429 <unknown description>
+DKPLGEGSFSICRKCVHKKSNQAFAVKIISKRMEANTQKEITALKLCEGHPNIVKLHEVF
+HDQLHTFLVMELLNGGELFERIKKKKHFSETEASYIMRKLVSAVSHMHDVGVVHRDLKPE
+NLLFTDENDNLEIKIIDFGFARLKPPDNQPLKTPCFTLHYAAPELLNQNGYDESCDLWSL
+GVILYTMLSGQVPFQSHDRSLTCTSAVEIMKKIKKGDFSFEGEAWKNVSQEAKDLIQGLL
+TVDPNKRLKMSGLRYNEW
+>Q9UK32_PF00069_73 <unknown description>
+FELLKVLGQGSFGKVFLVRKKTGPDAGQLYAMKVLKKASLKVRDRVRTKMERDILVEVNH
+PFIVKLHYAFQTEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELALALDHLHQL
+GIVYRDLKPENILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEVVNRRGHSQ
+SADWWSYGVLMFEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLLRMLFKRNP
+ANRLGSEGVEEIKRHLFF
+>Q9UK32_PF00433_354 <unknown description>
+PDDTFCFDPEFTAKTPKDSPGLPASANAHQLFKGFSF
+>Q9UK32_PF00069_426 <unknown description>
+YELKEDIGVGSYSVCKRCIHATTNMEFAVKIIDKSKRDPSEEIEILMRYGQHPNIITLKD
+VFDDGRYVYLVTDLMKGGELLDRILKQKCFSEREASDILYVISKTVDYLHCQGVVHRDLK
+PSNILYMDESASADSIRICDFGFAKQLRGENGLLLTPCYTANFVAPEVLMQQGYDAACDI
+WSLGVLFYTMLAGYTPFANGPNDTPEEILLRIGNGKFSLSGGNWDNISDGAKDLLSHMLH
+MDPHQRYTAEQILKHSWI
+>P23443_PF00069_91 <unknown description>
+FELLRVLGKGGYGKVFQVRKVTGANTGKIFAMKVLKKAMIVRNAKDTAHTKAERNILEEV
+KHPFIVDLIYAFQTGGKLYLILEYLSGGELFMQLEREGIFMEDTACFYLAEISMALGHLH
+QKGIIYRDLKPENIMLNHQGHVKLTDFGLCKESIHDGTVTHTFCGTIEYMAPEILMRSGH
+NRAVDWWSLGALMYDMLTGAPPFTGENRKKTIDKILKCKLNLPPYLTQEARDLLKKLLKR
+NAASRLGAGPGDAGEVQAHPFF
+>P23443_PF00433_374 <unknown description>
+QSEEDVSQFDSKFTRQTPVDSPDDSTLSESANQVFLGFTY
+>Q9UBS0_PF00069_67 <unknown description>
+FELLRVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVRNAKDTAHTRAERNILESV
+KHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITLALGHLH
+SQGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAVTHTFCGTIEYMAPEILVRSGH
+NRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIRGKLALPPYLTPDARDLVKKFLKR
+NPSQRIGGGPGDAADVQRHPFF
+>Q9UBS0_PF00433_350 <unknown description>
+QSEEDVSQFDTRFTRQTPVDSPDDTALSESANQAFLGFTY
+>Q96S38_PF00787_45 <unknown description>
+VQEIIVWKRYSDFKKLHKELWQIHKNLFRHSELFPPFAKGIVFGRFDETVIEERRQCAED
+LLQFSANIPALYNSKQLEDFFK
+>Q96S38_PF04212_240 <unknown description>
+LEKAGELIKLALKKEEEDDYEAASDFYRKGVDLLLEGVQGESSPTRREAVKRRTAEYLMR
+AESIS
+>Q96S38_PF00069_895 <unknown description>
+LASRFYIPEGCIQRWAAEMVVALDALHREGIVCRDLNPNNILLNDRGHIQLTYFSRWSEV
+EDSCDSDAIERMYCAPEVGAITEETEACDWWSLGAVLFELLTGKTLVECHPAGINTHTTL
+NMPECVSEEARSLIQQLLQFNPLERLGAGVAGVEDIKSHPFF
+>Q6VAB6_PF13543_24 <unknown description>
+CELVQNMIDLSISNLEGLRTKCATSNDLTQKEIRTLESKLVKYFSRQLSCKKKVALQERN
+AELDGFPQLRHWFRIVDVRKEVLEEISPGQLSLEDLLEMTDEQVCETVEKYGANREECAR
+LNASLSCLR
+>Q6VAB6_PF07714_666 <unknown description>
+LEIGELIGKGRFGQVYHGRWHGEVAIRLIDIERDNEDQLKAFKREVMAYRQTRHENVVLF
+MGACMSPPHLAIITSLCKGRTLYSVVRDAKIVLDVNKTRQIAQEIVKGMGYLHAKGILHK
+DLKSKNVFYDNGKVVITDFGLFSISGVLQAGRREDKLRIQNGWLCHLAPEIIRQLSPDTE
+EDKLPFSKHSDVFALGTIWYELHAREWPFKTQPAEAIIWQMGTGMKPNLSQIGMGKEISD
+ILLFCWAFEQEERPTFTKLMDML
+>P43405_PF00017_15 <unknown description>
+FFFGNITREEAEDYLVQGGMSDGLYLLRQSRNYLGGFALSVAHGRKAHHYTIERELNGTY
+AIAGGRTHASPADLCHYH
+>P43405_PF00017_168 <unknown description>
+WFHGKISREESEQIVLIGSKTNGKFLIRARDNNGSYALCLLHEGKVLHYRIDKDKTGKLS
+IPEGKKFDTLWQLVEHY
+>P43405_PF07714_374 <unknown description>
+DKELGSGNFGTVKKGYYQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQLDNPYIV
+RMIGICEAESWMLVMEMAELGPLNKYLQQNRHVKDKNIIELVHQVSMGMKYLEESNFVHR
+DLAARNVLLVTQHYAKISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINYYKFSSKS
+DVWSFGVLMWEAFSYGQKPYRGMKGSEVTAMLEKGERMGCPAGCPREMYDLMNLCWTYDV
+ENRPGFAAVE
+>Q8N1A0_PF00038_2 <unknown description>
+ELSQLLNEIRANYEKILTRNQIETVLSTRIQLEEDISKKMDKDEEALKAAQAELKEARRQ
+WHHLQVEIESLHAVERGLENSLHASEQHYQMQLQDLETVIEGLEKELQEVRRGIEKQLQE
+HEMLLNTKMRLEQEIATYRHLLEKEEIR
+>O76009_PF00038_55 <unknown description>
+SEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLVCASYQSYFKTIEELQ
+QKILCSKSENARLVVQIDNAKLASDDFRTKYETELSLRQLVESDINGLRRILDELTLCRS
+DLEAQVESLKEELLCLKQNHEQEVNTLRCQLGDRLNVEVDAAPTVDLNQVLNETRSQYEA
+LVETNRREVEQWFATQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRD
+SLENTLTESEARYSSQLSQVQRLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEIN
+TYRSLLESEDCK
+>Q14525_PF00038_55 <unknown description>
+SEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLLCPSYQSYFKTIEELQ
+QKILCSKSENARLVVQIDNAKLAADDFRTKYQTEQSLRQLVESDINSLRRILDELTLCRS
+DLEAQMESLKEELLSLKQNHEQEVNTLRCQLGDRLNVEVDAAPAVDLNQVLNETRNQYEA
+LVETNRREVEQWFATQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRY
+SLENTLTESEARYSSQLSQVQSLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEIN
+TYRSLLESEDCK
+>Q9HA64_PF03881_15 <unknown description>
+RATGHSGGGCISQGRSYDTDQGRVFVKVNPKAEARRMFEGEMASLTAILKTNTVKVPKPI
+KVLDAPGGGSVLVMEHMDMRHLSSHAAKLGAQLADLHLDNKKLGEMRLKEAGTVGRGGGQ
+EERPFVARFGFDVVTCCGYLPQVNDWQEDWVVFYARQRIQPQMDMVEKESGDREALQLWS
+ALQLKIPDLFRDLEIIPALLHGDLWGGNVAEDSSGPVIFDPASFYGHSEYELAIAGMFGG
+FSSSFYSAYHGKIPKAPGFEKRLQLYQLFHYLNHWNHFGSGYRGSSLNIMRNLV
+>Q8N6L1_PF09775_4 <unknown description>
+GTGTSLALSSLLSLLLFAGMQMYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLENLVF
+GKGFQAKIFPEILLCLLLALFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQAAAPVL
+TPAKV
+>Q9H079_PF13925_149 <unknown description>
+QDHETMAQVLFSRNMRLNVALTFWRKRSISELVAYLLRIEDLGVVVDCLPVLTNCLQEEK
+QYISLGCCVDLLPLVKSLLKSKFEEYVIVGLNWLQAVIKRWWSELSSKTEIINDGNIQIL
+KQQLSGLWEQENHLTLVPGYTGNIAKDVDAYL
+>P60985_PF15200_23 <unknown description>
+ATLGGPEEESTIENYASRPEAFNTPFLNIDKLRSAFKADEFLNWHALFESIKRKLPFLNW
+DAFPKLKGLRSATPDAQ
+>P23919_PF02223_11 <unknown description>
+LEGVDRAGKSTQSRKLVEALCAAGHRAELLRFPERSTEIGKLLSSYLQKKSDVEDHSVHL
+LFSANRWEQVPLIKEKLSQGVTLVVDRYAFSGVAFTGAKENFSLDWCKQPDVGLPKPDLV
+LFLQLQLADAAKRGAFGHERYENGAFQERALRCFHQLMKDTTLNWKMVDASKSIEAVHED
+I
+>Q96EK9_PF08433_1 <unknown description>
+MPLVVFCGLPYSGKSRRAEELRVALAAEGRAVYVVDDAAVLGAEDPAVYGDSAREKALRG
+ALRASVERRLSRHDVVILDSLNYIKGFRYELYCLARAARTPLCLVYCVRPGGPIAGPQVA
+GANENPGRNVSVSWRPRAEEDGRAQAAGSSVLRELHTADSVVNGSAQADVPKELEREESG
+AAESPALVTPDSEKSAKHGSGAFYSPELLEALTLRFEAPDSRNRWDRPLFTLVGLEEPLP
+LAGIRSALFENRAPPPHQSTQSQPLASGSFLHQLDQVTSQVLAGLMEAQKSAVPGDLLTL
+PGTTEHLRFTRPLTMAELSRLRRQFISYTKMHPNNENLPQLANMFLQYLS
+>Q86UP2_PF05104_29 <unknown description>
+MKETLYDEVLAKQKREQKLIPTKTDKKKAEKKKNKKKEIQNGNLHESDSESVPRDFKLSD
+ALAVEDDQVAPVPLNVVETSSSVRERKKKEKKQKPVLEEQVIKESDASKIPGKKVEPVPV
+TKQPTPPSEAAASKKKP
+>O75449_PF00004_245 <unknown description>
+VLMVGPPGTGKTLLAKAVATECKTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYSPAT
+IFIDEIDSICSRRGTSEEHEASRRVKAELLVQMDGVGGTSENDDPSKMVMVLAATNFPWD
+IDEALRRRLEKRIYIPL
+>O75449_PF17862_403 <unknown description>
+DVDLASIAENMEGYSGADITNVCRDASLMAMRRRIEGLTPEEIRN
+>O75449_PF09336_452 <unknown description>
+EMHMPTTMEDFEMALKKVSKSVSAADIERYEKWIFEFG
+>Q9BVA0_PF00400_13 <unknown description>
+LQEIVAHASNVSSLVLGKASGRLLATGGDDCRVNLWS
+>Q9BVA0_PF00400_54 <unknown description>
+NCIMSLTGHTSPVESVRLNTPEELIVAGSQSGSIRVWD
+>Q9BVA0_PF00400_97 <unknown description>
+ILRTLMGHKANICSLDFHPYGEFVASGSQDTNIKLWD
+>Q9BVA0_PF00400_139 <unknown description>
+CVFRYRGHSQAVRCLRFSPDGKWLASAADDHTVKLWD
+>Q9BVA0_PF00400_180 <unknown description>
+KMMSEFPGHTGPVNVVEFHPNEYLLASGSSDRTIRFWD
+>Q9BVA0_PF13925_493 <unknown description>
+KGHDTMCVVLTSRHKNLDTVRAVWTMGDIKTSVDSAVAINDLSVVVDLLNIVNQKASLWK
+LDLCTTVLPQIEKLLQSKYESYVQTGCTSLKLILQRFLPLITDMLAAPPSVGVDISREER
+LHKCRLCYKQLKSISGLVKSKSGLSGRHGSTFRELHLLM
+>Q9NVR5_PF08190_43 <unknown description>
+LTDPENRRRYEAEITALERERGVEVRFVHPEPGHVLRTSLDGARRCFVNVCSNALVGAPS
+SRPGSGGDRGAAPGSHWSLPYSLAPGREYAGRSSSRYMVYDVVFHPDALALARRHEGFRQ
+MLDATALEAVEKQFGVKLDRRNAKTLKAKYKGTPEAAVLRTPLPG
+>Q9NVR5_PF18201_250 <unknown description>
+PTEPRYSVVQRHHVDLQDYRCSRDSAPSPVPHELVITIELPLLRSAEQAALEVTRKLLCL
+DSRKPDYRLRLSLPYPVDDGRGKAQFNKARRQLVVTLPVV
+>Q9BQD3_PF10241_14 <unknown description>
+RILSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSARLQQMSERFLHHTRTLVEM
+KRDLDSIFRRIRTLKGKLARQHPEAF
+>Q16719_PF00266_119 <unknown description>
+LMKDIVGANEKEIALMNALTVNLHLLMLSFFKPTPKRYKILLEAKAFPSDHYAIESQLQL
+HGLNIEESMRMIKPREGEETLRIEDILEVIEKEGDSIAVILFSGVHFYTGQHFNIPAITK
+AGQAKGCYVGFDLAHAVGNVELYLHDWGVDFACWCSYKYLNAGAGGIAGAFIHEKHAHTI
+KPALVGWFGHELSTRFKMDNKLQLIPGVCGFRISNPPILLVCSLHASLEIFKQATMKALR
+KKSVLLTGYLEYLIKHNYG
+>Q8NBH2_PF01841_171 <unknown description>
+LVSDLLQEAHTDLERVRAIWIWICHHIEYDIAAAQEKDRQAFKPTDILRTQKTNCDGYAG
+LFERMCRLAGVQCMTVPGYSKGFGYQTGQSFSGEFDHAWNAVYLEGRWHLVD
+>Q9UNZ5_PF09495_1 <unknown description>
+MAQGQRKFQAHKPAKSKTAAAASEKNRGPRKGGRVIAPKKARVVQQQKLKKNLEVGIRKK
+IEHDVVMKASSSLPKKLALLKAPA
+>P32004_PF13927_34 <unknown description>
+PPVITEQSPRRLVVFPTDDISLKCEASGKPEVQFRWTRDGVHFKPKEELGVTVYQSPHSG
+SFTITGNNSNFAQRFQGIYRCFASN
+>P32004_PF13927_239 <unknown description>
+KPRLLFPTNSSSHLVALQGQPLVLECIAEGFPTPTIKWLRPSGPMPADRVTYQNHNKTLQ
+LLKVGEEDDGEYRCLAEN
+>P32004_PF13927_338 <unknown description>
+KPQSHLYGPGETARLDCQVQGRPQPEVTWRINGIPVEELAKDQKYRIQRGALILSNVQPS
+DTMVTQCEARN
+>P32004_PF07679_436 <unknown description>
+YMAVQGSTAYLLCKAFGAPVPSVQWLDEDGTTVLQDERFFPYANGTLGIRDLQANDTGRY
+FCLAANDQNNVTIMANLKV
+>P32004_PF13927_519 <unknown description>
+QITQGPRSTIEKKGSRVTFTCQASFDPSLQPSITWRGDGRDLQELGDSDKYFIEDGRLVI
+HSLDYSDQGNYSCVAS
+>P32004_PF00041_622 <unknown description>
+DLHLLTQSQVRVSWSPAEDHNAPIEKYDIEFEDKEMAPEKWYSLGKVPGNQTSTTLKLSP
+YVHYTFRVTAINKYGPGEPS
+>P32004_PF00041_718 <unknown description>
+PVDVKGEGNETTNMVITWKPLRWMDWNAPQVQYRVQWRPQGTRGPWQEQIVSDPFLVVSN
+TSTFVPYEIKVQAVNSQGKGPE
+>P32004_PF00041_817 <unknown description>
+ELEGIEILNSSAVLVKWRPVDLAQVKGHLRGYNVTYWREGSQRKHSKRHIHKDHVVVPAN
+TTSVILSGLRPYSSYHLEVQAFNGRGSGPAS
+>P32004_PF00041_921 <unknown description>
+PEALHLECQSNTSLLLRWQPPLSHNGVLTGYVLSYHPLDEGGKGQLSFNLRDPELRTHNL
+TDLSPHLRYRFQLQATTKEGPGE
+>P32004_PF13882_1144 <unknown description>
+KRSKGGKYSVKDKEDTQVDSEARPMKDETFGEYRSLESDNEEKAFGSSQPSLNGDIKPLG
+SDDSLADYGGSVDVQFNEDGSFIGQYSGKK
+>Q15334_PF08366_280 <unknown description>
+TTPYGPFPCKAINKILWRNCESGGHFIIFSGGMPRASYGDRHCVSVLRAETLVTLDFTSR
+IIDFFTVHSTRPEDEFDDPQALAVLLEEELVVLDLQTPGWP
+>Q15334_PF00400_447 <unknown description>
+LLLTGHEDGTVRFWD
+>Q6P1M3_PF08366_272 <unknown description>
+LVPYGPFPCKAITRILWLTTRQGLPFTIFQGGMPRASYGDRHCISVIHDGQQTAFDFTSR
+VIGFTVLTEADPAATFDDPYALVVLAEEELVVIDLQTAGWP
+>Q6P1M3_PF00400_433 <unknown description>
+PQRDLLLTGHEDGTVRFWD
+>Q9H9P8_PF01266_50 <unknown description>
+DIVIVGGGIVGLASARALILRHPSLSIGVLEKEKDLAVHQTGHNSGVIHSGIYYKPESLK
+AKLCVQGAALLYEYCQQKGISYKQCGKLIVAVEQEEIPRLQALYEKGLQNGVPGLRLIQQ
+EDIKKKEPYCRGLMAIDCPHTGIVDYRQVALSFAQDFQEAGGSVLTNFEVKGIEMAKESP
+SRSIDGMQYPIVIKNTKGEEIRCQYVVTCAGLYSDRISELSGCTPDPRIVPFRGDYLLLK
+PEKCYLVKGNIYPVPDSRFPFLGVHFTPRMDGSIWLGPNAVLAFKREGYRPFDFSATDVM
+DIIINSGLIKLASQNFSYGVTEMYKACFLGATVKYLQKFIPEITISDILRGPAGVRAQAL
+DRDGNLVEDFVFDAGVGDIGNRILHVRNAPSPAATSSIAISGMIAD
+>A6NMS7_PF15779_251 <unknown description>
+EEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITS
+EPTNETESS
+>A6NMS7_PF15779_385 <unknown description>
+QPPEHHEVTVSPPGHHQTHHLASPSVSVKPPDVQLTIAAEPSAEVGT
+>A6NMS7_PF15779_490 <unknown description>
+NPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDL
+GFTITPESKTEVELSP
+>A6NMS7_PF15779_560 <unknown description>
+EVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITP
+EPTTEVGHST
+>A6NMS7_PF15779_630 <unknown description>
+PPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPST
+>A6NMS7_PF15779_689 <unknown description>
+TAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSP
+>A6NMS7_PF15779_739 <unknown description>
+PTTEETSTQPPDLGLAIIPEPTTETGHST
+>A6NMS7_PF13855_892 <unknown description>
+EKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNV
+>A6NMS7_PF14914_1468 <unknown description>
+SPGDQFEIQLTQQLQSLIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLISRTGHLMKL
+LSGQQEVKASKIEWDTDQWKIENYINESTEAQSEQKEKSLELKKEVPGYGYTDKLILALI
+VTGILTILIILFCLIVICCHRRSLQ
+>A6NM11_PF15779_251 <unknown description>
+EEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITS
+EPTNETESS
+>A6NM11_PF15779_385 <unknown description>
+QPPEHHEVTVSPPGHHQTHHLASPSVSVKPPDVQLTIAAEPSAEVGT
+>A6NM11_PF15779_490 <unknown description>
+NPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDL
+GFTITPESKTEVELSP
+>A6NM11_PF15779_560 <unknown description>
+EVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITP
+EPTTEVGHST
+>A6NM11_PF15779_630 <unknown description>
+PPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPST
+>A6NM11_PF15779_689 <unknown description>
+TAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSP
+>A6NM11_PF15779_739 <unknown description>
+PTTEETSTQPPDLGLAIIPEPTTETRHST
+>A6NM11_PF13855_892 <unknown description>
+EKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNV
+>A6NM11_PF14914_1468 <unknown description>
+SPGDQFEIQLTQQLQSLIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLISRTGHLMKL
+LSGQQEVKASKIEWDTDQWKIENYINESTEAQSEQKEKSLELKKEVPGYGYTDKLILALI
+VTGILTILIILFCLIVICCHRRSLQ
+>O60309_PF15779_251 <unknown description>
+EEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITS
+EPTNETESS
+>O60309_PF15779_354 <unknown description>
+ELSISEQQQPVQPSESSREVESSPTQQETPGQPPEHHEVTVSPPGHHQTHHLASPSVSVK
+PPDVQLTIAAEPSAEVGT
+>O60309_PF15779_490 <unknown description>
+NPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDL
+GFTITPESMTEVELSP
+>O60309_PF15779_560 <unknown description>
+EVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITP
+EPTTEVGHST
+>O60309_PF15779_630 <unknown description>
+PPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPST
+>O60309_PF15779_689 <unknown description>
+TAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSP
+>O60309_PF13855_892 <unknown description>
+EKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNV
+>O60309_PF14914_1468 <unknown description>
+SPGDQFEIQLTQQLQSVIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLVSRTGHLMKL
+LSGQQEVKASKIEWDTDQWKTENYINESTEAQSEQKEKSLEFTKELPGYGYTKKLILALI
+VTGILTILIILLCLIEICCHRRSLQ
+>Q6ZP29_PF04193_38 <unknown description>
+SVGLGLISILCFAASTFPQFIKAYKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPL
+>Q6ZP29_PF04193_183 <unknown description>
+IGFVIGSISSVLYLLSRLPQIRTNFLRKSTQGISYSLFALVMLGNTLYGLSVLLKNPE
+>Q53H82_PF00753_28 <unknown description>
+LQGTNTYLVGTGPRRILIDTGEPAIPEYISCLKQALTEFNTAIQEIVVTHWHRDHSGGIG
+DICKSINNDTTYCIKKLPRNPQREEIIGNGEQQYVYLKDGDVIKTEGATLRVLYTPGHTD
+DHMALLLEEENAIFSGDCILGEGTTVFEDLYDYMNSLKELLKIKADIIYPGH
+>Q53H82_PF17778_237 <unknown description>
+TVMELVKIIYKNTPENLHEMAKHNLLLHLKKLEKEGKIFSNTDPDKKW
+>Q8IV20_PF02578_195 <unknown description>
+TTRTGGISYIPTLSSFNLFSSSKRRDPKVVVQENLRRLANAAGFNVEKFYRIKTHHSNDI
+WIMGRKEPDSYDGITTNQRGVTIAALGADCIPIVFADPVKKACGVAHAGWKGTLLGVAMA
+TVNAMIAEYGCSLEDIVVVLGPSVGPCCFTLPRESAEAFHNLHPACVQLFDSPNPCIDIR
+KATRILLEQGGILPQNIQDQNQDLNLCTSCHPDKFFSHVRDGLNFGTQIGFI
+>P83111_PF00144_116 <unknown description>
+HRIKDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVALA
+KLWEAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDIKKVKEE
+>P83111_PF00144_302 <unknown description>
+IESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGCKYLDYMQKIFHDLDMLTTVQ
+EENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLYGYQVG
+LFKNSNENLLPGYLKPETMVMMWTPVPNTEMSWDKEGKYAMAWGVVERKQTYGSCRKQRH
+YASHTGGAVGASSVLLVLPEELDTETINNKVPPRGIIVSIICN
+>Q14657_PF09341_63 <unknown description>
+FTLSVPFPTPLEAEIAHGSLAPDAEPHQRVVGKDLTVSGRILVVRWKAEDCRLLRISVIN
+FLDQLSLVVRTMQ
+>Q6GTX8_PF13895_28 <unknown description>
+RPSISAEPGTVIPLGSHVTFVCRGPVGVQTFRLERDSRSTYNDTEDVSQASPSESEARFR
+IDSVREGNAGLYRCIYYKPPKWSEQSDYLEL
+>Q6ISS4_PF13895_28 <unknown description>
+RPSISAEPGTVISPGSHVTFMCRGPVGVQTFRLEREDRAKYKDSYNVFRLGPSESEARFH
+IDSVSEGNAGLYRCLYYKPPGWSEHSDFLEL
+>P00709_PF00062_20 <unknown description>
+KQFTKCELSQLLKDIDGYGGIALPELICTMFHTSGYDTQAIVENNESTEYGLFQISNKLW
+CKSSQVPQSRNICDISCDKFLDDDITDDIMCAKKILDIKGIDYWLAHKALCTEKLEQWLC
+>P25391_PF00055_23 <unknown description>
+FPAILNLASNAHISTNATCGEKGPEMFCKLVEHVPGRPVRNPQCRICDGNSANPRERHPI
+SHAIDGTNNWWQSPSIQNGREYHWVTITLDLRQVFQVAYVIIKAANAPRPGNWILERSLD
+GTTFSPWQYYAVSDSECLSRYNITPRRGPPTYRADDEVICTSYYSRLVPLEHGEIHTSLI
+NGRPSADDLSPKLLEFTSARYIRLRLQRIRTLNADLMTLSHREPKELDPIVTRRYYYSIK
+DISVGG
+>P25391_PF00053_270 <unknown description>
+CICYGHASSCPWDETTKKLQCQCEHNTCGESCNRCCPGYHQQPWRPGTVS
+>P25391_PF00053_327 <unknown description>
+CNCHNKAKDCYYDESVAKQKKSLNTAGQFRGGGVCINCLQNTMGINCETCIDGYYRPHK
+>P25391_PF00053_397 <unknown description>
+CNCDPVGSLSSVCIKDDLHSDLHNGKQPGQCPCKEGYTGEKCDRCQLGYKDYP
+>P25391_PF00053_454 <unknown description>
+CGCNPVGSASDEPCTGPCVCKENVEGKACDRCKPGFYNLKEKNPRGC
+>P25391_PF00052_568 <unknown description>
+YWAAPEAYLGNKLTAFGGFLKYTVSYDIPVETVDSNLMSHADVIIKGNGLTLSTQAEGLS
+LQPYEEYLNVVRLVPENFQDFHSKRQIDRDQLMTVLANVTHLLIRANYNSAKMALYRLES
+VSLDIASSNAIDLVVAADVE
+>P25391_PF00053_708 <unknown description>
+HCECPQGYTGTSCESCLSGYYR
+>P25391_PF00053_742 <unknown description>
+CECHGHAAECNVHGVCIACAHNTTGVHCEQCLPGFYGEPSRGTPGDC
+>P25391_PF00053_791 <unknown description>
+CACPLTIASNNFSPTCHLNDGDEVVCDWCAPGYSGAWCERCADGYYGNPTVPGESC
+>P25391_PF00053_849 <unknown description>
+CDCSGNVDPSEAGHCDSVTGECLKCLGNTDGAHCERCADGFYGDAVTAKNC
+>P25391_PF00053_902 <unknown description>
+CECHVKGSHSAVCHLETGLCDCKPNVTGQQCDQCLHGYYGLDSGHGC
+>P25391_PF00053_951 <unknown description>
+CNCSVAGSVSDGCTDEGQCHCVPGVAGKRCDRCAHGFYAYQD
+>P25391_PF00053_998 <unknown description>
+CDCPHTQNTCDPETGECVCPPHTQGVKCEECEDGHWGYDAEVGC
+>P25391_PF00053_1044 <unknown description>
+CNCSLVGSTHHRCDVVTGHCQCKSKFGGRACDQCSLGYRDFPD
+>P25391_PF00053_1090 <unknown description>
+CDCDLRGTSGDACNLEQGLCGCVEETGACPCKENVFGPQCNECREGTFALRADNPLGC
+>P25391_PF00052_1218 <unknown description>
+YWRLPQQFQGDQLMAYGGKLKYSVAFYSLDGVGTSNFEPQVLIKGGRIRKQVIYMDAPAP
+ENGVRQEQEVAMRENFWKYFNSVSEKPVTREDFMSVLSDIEYILIKASYGQGLQQSRISD
+ISMEVGRKAEKL
+>P25391_PF00053_1361 <unknown description>
+NCVCPPGTVGFSCQDCAPGYHRG
+>P25391_PF00053_1403 <unknown description>
+CSCNNHSDTCDPNTGKCLNCGDNTAGDHCDVCTSGYYGKVTGSASDC
+>P25391_PF00053_1452 <unknown description>
+CACPHSPPASFSPTCVLEGDHDFRCDACLLGYEGKHCERCSSSYYGNPQTPGGSC
+>P25391_PF00053_1509 <unknown description>
+CDCNPHGSVHGDCDRTSGQCVCRLGASGLRCDECEPRHILMET
+>P25391_PF06008_1575 <unknown description>
+VLSLNLTGIIPVPYGILSNLENTTKYLQESLLKENMQKDLGKIKLEGVAEETDNLQKKLT
+RMLASTQKVNRATERIFKESQDLAIAIERLQMSITEIMEKTTLNQTLDEDFLLPNSTLQN
+MQQNGTSLLEIMQIRDFTQLHQNATLELKAAEDLLSQIQENYQKPLEELEVLKEAASHVL
+SKHNNELKAAEALVREAEAKMQESNHLLLMVNANLREFSDKKLHVQEEQNLTSELIVQGR
+GLIDAAAAQTDAVQDA
+>P25391_PF06009_2011 <unknown description>
+TKELATSASQSAVSTLRDVAGLSQELLNTSASLSRVNTTLRETHQLLQDSTMATLLAGRK
+VKDVEIQANLLFDRLKPLKMLEENLSRNLSEIKLLISQARKQAASIKVAVSADRDCIRAY
+QPQISSTNYNTLTL
+>P25391_PF00054_2146 <unknown description>
+VKTQEPDNLLFYLGSSTASDFLAVEMRRGRVAFLWDLGSGSTRLEFPDFPIDDNRWHSIH
+VARFGNIGSLSVKEMSSNQKSPTKTSKSPGTANVLDVNNSTLMFVGGLGGQIKKSPAVKV
+THFKGCLGEAFLNGKSI
+>P25391_PF00054_2333 <unknown description>
+FNTFSPNGLLLYLGSYGTKDFLSIELFRGRVKVMTDLGSGPITLLTDRRYNNGTWYKIAF
+QRNRKQGVLAVIDAYNTSNKETKQGETPGASSDLNRLDKDPIYVGGLPRSRVVRRGVTTK
+SFVGCIKNLEISRS
+>P25391_PF00054_2514 <unknown description>
+FATTNSSGIILAALGGDVEKRGDREEAHVPFFSVMLIGGNIEVHVNPGDGTGLRKALLHA
+PTGTCSDGQAHSISLVRNRRIITVQLDENNPVEMKLGTLVESRTINVSNLYVGGIPEGEG
+TSLLTMRRSFHGCIKNLIFNLELL
+>P25391_PF00054_2743 <unknown description>
+IRTFASSGLIYYMAHQNQADYAVLQLHGGRLHFMFDLGKGRTKVSHPALLSDGKWHTVKT
+DYVKRKGFITVDGRESPMVTVVGDGTMLDVEGLFYLGGLPSQYQARKIGNITHSIPACIG
+DVTVNSKQLD
+>P25391_PF00054_2920 <unknown description>
+FRTSSQNGVLLGISTAKVDAIGLELVDGKVLFHVNNGAGRITAAYEPKTATVLCDGKWHT
+LQANKSKHRITLIVDGNAVGAESPHTQSTSVDTNNPIYVGGYPAGVKQKCLRSQTSFRGC
+LRKLALIKSP
+>P24043_PF00055_40 <unknown description>
+FPAVLNLASNALITTNATCGEKGPEMYCKLVEHVPGQPVRNPQCRICNQNSSNPNQRHPI
+TNAIDGKNTWWQSPSIKNGIEYHYVTITLDLQQVFQIAYVIVKAANSPRPGNWILERSLD
+DVEYKPWQYHAVTDTECLTLYNIYPRTGPPSYAKDDEVICTSFYSKIHPLENGEIHISLI
+NGRPSADDPSPELLEFTSARYIRLRFQRIRTLNADLMMFAHKDPREIDPIVTRRYYYSVK
+DISVGG
+>P24043_PF00053_287 <unknown description>
+CICYGHARACPLDPATNKSRCECEHNTCGDSCDQCCPGFHQKPWRA
+>P24043_PF00053_344 <unknown description>
+CNCHGKAEECYYDENVARRNLSLNIRGKYIGGGVCINCTQNTAGINCETCTDGFFRPKG
+>P24043_PF00053_414 <unknown description>
+CHCDPIGSLNEVCVKDEKHARRGLAPGSCHCKTGFGGVSCDRCARGYTGYPD
+>P24043_PF00053_469 <unknown description>
+CNCSGLGSKNEDPCFGPCICKENVEGGDCSRCKSGFFNLQEDNWKGC
+>P24043_PF00052_583 <unknown description>
+YWSAPAPYLGNKLPAVGGQLTFTISYDLEEEEEDTERVLQLMIILEGNDLSISTAQDEVY
+LHPSEEHTNVLLLKEESFTIHGTHFPVRRKEFMTVLANLKRVLLQITYSFGMDAIFRLSS
+VNLESAVSYPTDGSIAAAVE
+>P24043_PF00053_723 <unknown description>
+VCQCPPGYTGSSCESCWPRHRR
+>P24043_PF00053_757 <unknown description>
+CQCFGHAESCDDVTGECLNCKDHTGGPYCDKCLPGFYGEPTKGTSEDC
+>P24043_PF00053_807 <unknown description>
+CACPLNIPSNNFSPTCHLDRSLGLICDGCPVGYTGPRCERCAEGYFGQPSVPGGSC
+>P24043_PF00053_865 <unknown description>
+CQCNDNLDFSIPGSCDSLSGSCLICKPGTTGRYCELCADGYFGDAVDAKNC
+>P24043_PF00053_918 <unknown description>
+CRCNAGGSFSEVCHSQTGQCECRANVQGQRCDKCKAGTFGLQSARGC
+>P24043_PF00053_967 <unknown description>
+CNCNSFGSKSFDCEESGQCWCQPGVTGKKCDRCAHGYFNFQEGGC
+>P24043_PF00053_1014 <unknown description>
+CECSHLGNNCDPKTGRCICPPNTIGEKCSKCAPNTWGHSITTGC
+>P24043_PF00053_1060 <unknown description>
+CNCSTVGSLDFQCNVNTGQCNCHPKFSGAKCTECSRGHWNYPRCNLCDC
+>P24043_PF00052_1234 <unknown description>
+YWKLPEQFEGKKLMAYGGKLKYAIYFEAREETGFSTYNPQVIIRGGTPTHARIIVRHMAA
+PLIGQLTRHEIEMTEKEWKYYGDDPRVHRTVTREDFLDILYDIHYILIKATYGNFMRQSR
+ISEISMEVAEQGRGTTMTPPADLIE
+>P24043_PF00053_1379 <unknown description>
+KCDCPLGYSGLSCEACLPGFYRLRSQP
+>P24043_PF00053_1420 <unknown description>
+CQCNGHSSLCDPETSICQNCQHHTAGDFCERCALGYYGIVKGLPNDC
+>P24043_PF00053_1469 <unknown description>
+CACPLISSSNNFSPSCVAEGLDDYRCTACPRGYEGQYCERCAPGYTGSPGNPGGSC
+>P24043_PF00053_1527 <unknown description>
+CECDPYGSLPVPCDPVTGFCTCRPGATGRKCDGCKHWHARE
+>P24043_PF06008_1593 <unknown description>
+VMSINLTGPLPAPYKMLYGLENMTQELKHLLSPQRAPERLIQLAEGNLNTLVTEMNELLT
+RATKVTADGEQTGQDAERTNTRAKSLGEFIKELARDAEAVNEKAIKLNETLGTRDEAFER
+NLEGLQKEIDQMIKELRRKNLETQKEIAEDELVAAEALLKKVKKLFGESRGENEEMEKDL
+REKLADYKNKVDDAWDLLREATDKIREANRLFAVNQKNMTALEKKKEAVESGKRQIENTL
+KEGNDILDEANRLADEINSI
+>P24043_PF06009_2038 <unknown description>
+KDKARQANDTAKDVLAQITELHQNLDGLKKNYNKLADSVAKTNAVVKDPSKNKIIADADA
+TVKNLEQEADRLIDKLKPIKELEDNLKKNISEIKELINQARKQANSIKVSVSSGGDCIRT
+YKPEIKKGSYNNIVV
+>P24043_PF00054_2174 <unknown description>
+VKTAVADNLLFYLGSAKFIDFLAIEMRKGKVSFLWDVGSGVGRVEYPDLTIDDSYWYRIV
+ASRTGRNGTISVRALDGPKASIVPSTHHSTSPPGYTILDVDANAMLFVGGLTGKLKKADA
+VRVITFTGCMGETYFDNKPI
+>P24043_PF00054_2368 <unknown description>
+FRTFSSSALLMYLATRDLRDFMSVELTDGHIKVSYDLGSGMASVVSNQNHNDGKWKSFTL
+SRIQKQANISIVDIDTNQEENIATSSSGNNFGLDLKADDKIYFGGLPTLRNLSMKARPEV
+NLKKYSGCLKDIEISRTPYN
+>P24043_PF00054_2554 <unknown description>
+FSTKNESGIILLGSGGTPAPPRRKRRQTGQAYYAILLNRGRLEVHLSTGARTMRKIVIRP
+EPNLFHDGREHSVHVERTRGIFTVQVDENRRYMQNLTVEQPIEVKKLFVGGAPPEFQPSP
+LRNIPPFEGCIWNLVINSVPMD
+>P24043_PF00054_2793 <unknown description>
+VRTEAESGLLFYMARINHADFATVQLRNGLPYFSYDLGSGDTHTMIPTKINDGQWHKIKI
+MRSKQEGILYVDGASNRTISPKKADILDVVGMLYVGGLPINYTTRRIGPVTYSIDGCVRN
+LHMAEAPA
+>P24043_PF02210_2968 <unknown description>
+FRTTTTTGVLLGISSQKMDGMGIEMIDEKLMFHVDNGAGRFTAVYDAGVPGHLCDGQWHK
+VTANKIKHRIELTVDGNQVEAQSPNPASTSADTNDPVFVGGFPDDLKQFGLTTSIPFRGC
+IRSLKL
+>Q16787_PF00053_78 <unknown description>
+CNCNGHSNQCQDGSGICVNCQHNTAGEHCERCQEGYYGNAVHGSC
+>Q16787_PF00053_125 <unknown description>
+CPCPHTNSFATGCVVNGGDVRCSCKAGYTGTQCERCAPGYFGNPQKFGGSC
+>Q16787_PF06008_238 <unknown description>
+LQGLSASAGLLEQMRHMETQAKDLRNQLLNYRSAISNHGSKIEGLERELTDLNQEFETLQ
+EKAQVNSRKAQTLNNNVNRATQSAKELDVKIKNVIRNVHILLKQISGTDGEGNNVPSGDF
+SREWAEAQRMMRELRNRNFGKHLREAEADKRESQLLLNRIRTWQKTHQGENNGLANSIRD
+SLNEYEAKLSDLRARLQEAAAQAKQANGLNQENERALGAIQRQVKEINSLQSDFTKYLTT
+ADSSLLQTNIALQLMEKSQ
+>Q16787_PF06009_679 <unknown description>
+AKSMVRKANDITDEVLDGLNPIQTDVERIKDTYGRTQNEDFKKALTDADNSVNKLTNKLP
+DLWRKIESINQQLLPLGNISDNMDRIRELIQQARDAASKVAVPMRFNGKSGVEVRLPNDL
+EDLKGYTSL
+>Q16787_PF00054_826 <unknown description>
+FVMYLGNKDASRDYIGMAVVDGQLTCVYNLGDREAELQVDQILTKSETKEAVMDRVKFQR
+IYQFARLNYTKGATSSKPETPGVYDMDGRNSNTLLNLDPENVVFYVGGYPPDFKLPSRLS
+FPPYKGCIELDDLNEN
+>Q16787_PF02210_1018 <unknown description>
+QTTVDRGLLFFAENGDRFISLNIEDGKLMVRYKLNSELPKERGVGDAINNGRDHSIQIKI
+GKLQKRMWINVDVQNTIIDGEVFDFSTYYLGGIPIAIRERFNISTPAFRGCMKNLK
+>Q16787_PF02210_1188 <unknown description>
+FQTFQPSGILLDHQTWTRNLQVTLEDGYIELSTSDSGGPIFKSPQTYMDGLLHYVSVISD
+NSGLRLLIDDQLLRNSKRLKHISSSRQSLRLGGSNFEGCISNVFV
+>Q16787_PF02210_1408 <unknown description>
+QTTSSRGLVFHTGTKNSFMALYLSKGRLVFALGTDGKKLRIKSKEKCNDGKWHTVVFGHD
+GEKGRLVVDGLRAREGSLPGNSTISIRAPVYLGSPPSGKPKSLPTNSFVGCLKNFQLDS
+>Q16787_PF02210_1577 <unknown description>
+IRPRSLTGILIHIGSQPGKHLCVYLEAGKVTASMDSGAGGTSTSVTPKQSLCDGQWHSVA
+VTIKQHILHLELDTDSSYTAGQIPFPPASTQEPLHLGGAPANLTTLRIPVWKSFFGCLRN
+IHVNH
+>O15230_PF00055_46 <unknown description>
+HPPYFNLAEGARIAASATCGEEAPARGSPRPTEDLYCKLVGGPVAGGDPNQTIRGQYCDI
+CTAANSNKAHPASNAIDGTERWWQSPPLSRGLEYNEVNVTLDLGQVFHVAYVLIKFANSP
+RPDLWVLERSMDFGRTYQPWQFFASSKRDCLERFGPQTLERITRDDAAICTTEYSRIVPL
+ENGEIVVSLVNGRPGAMNFSYSPLLREFTKATNVRLRFLRTNTLLGHLMGKALRDPTVTR
+RYYYSIKDISIGG
+>O15230_PF00053_300 <unknown description>
+CVCHGHADACDAKDPTDPFRLQCTCQHNTCGGTCDRCCPGFNQQPWKPATAN
+>O15230_PF00053_359 <unknown description>
+CNCYGHATDCYYDPEVDRRRASQSLDGTYQGGGVCIDCQHHTTGVNCERCLPGFYRSPNH
+PLDSPHVC
+>O15230_PF00053_429 <unknown description>
+CNCESDFTDGTCEDLTGRCYCRPNFSGERCDVCAEGFTGFPSCY
+>O15230_PF00053_494 <unknown description>
+CDCSAAGTQGNACRKDPRVGRCLCKPNFQGTHCELCAPGFYG
+>O15230_PF00053_541 <unknown description>
+CQCSSPGVADDRCDPDTGQCRCRVGFEGATCDRCAPGYFHFP
+>O15230_PF00053_587 <unknown description>
+CGCSPAGTLPEGCDEAGRCLCQPEFAGPHCDRCRPGYHGFPNC
+>O15230_PF00053_632 <unknown description>
+CTCDPRGALDQLCGAGGLCRCRPGYTGTACQECSPGFHGFPS
+>O15230_PF00053_677 <unknown description>
+CHCSAEGSLHAACDPRSGQCSCRPRVTGLRCDTCVPGAYNFPYCEAGSC
+>O15230_PF00053_776 <unknown description>
+CSCDLRGTLGGVAECQPGTGQCFCKPHVCGQACASCKDGFFGLDQADYFGC
+>O15230_PF00053_829 <unknown description>
+CRCDIGGALGQSCEPRTGVCRCRPNTQGPTCSEPARDHY
+>O15230_PF00053_1438 <unknown description>
+CGCHEVGATGPTCEPFGGQCPCHAHVIGRDCSRCATGYWGFPNCRPCDC
+>O15230_PF00053_1528 <unknown description>
+CNCSGPGIQELTDPTCDTDSGQCKCRPNVTGRRCDTCSPGFHGYPR
+>O15230_PF00053_1577 <unknown description>
+CDCHEAGTAPGVCDPLTGQCYCKENVQGPKCDQCSLGTFSLDAANPKGC
+>O15230_PF00052_1693 <unknown description>
+YWQAPPSYLGDRVSSYGGTLRYELHSETQRGDVFVPMESRPDVVLQGNQMSITFLEPAYP
+TPGHVHRGQLQLVEGNFRHTETRNTVSREELMMVLASLEQLQIRALFSQISSAVFLRRVA
+LEVASPAGQGALASNVE
+>O15230_PF00053_1830 <unknown description>
+LCLCPASYRGDSCQECAPGFYRD
+>O15230_PF00053_1864 <unknown description>
+CQCHGHSDRCLPGSGVCVDCQHNTEGAHCERCQAGFVSSRDDPSAPC
+>O15230_PF00053_1913 <unknown description>
+CPCPLSVPSNNFAEGCVLRGGRTQCLCKPGYAGASCERCAPGFFGNPLVLGSSC
+>O15230_PF00053_1969 <unknown description>
+CDCSGNGDPNLLFSDCDPLTGACRGCLRHTTGPRCEICAPGFYGNALLPGNC
+>O15230_PF00053_2023 <unknown description>
+CDCTPCGTEACDPHSGHCLCKAGVTGRRCDRCQEGHFGFDG
+>O15230_PF00053_2070 <unknown description>
+CACGPAAEGSECHPQSGQCHCRPGTMGPQCRECAPGYWGLPEQGCRRC
+>O15230_PF06008_2192 <unknown description>
+LRGINASSMAWARLHRLNASIADLQSQLRSPLGPRHETAQQLEVLEQQSTSLGQDARRLG
+GQAVGTRDQASQLLAGTEATLGHAKTLLAAIRAVDRTLSELMSQTGHLGLANASAPSGEQ
+LLRTLAEVERLLWEMRARDLGAPQAAAEAELAAAQRLLARVQEQLSSLWEENQALATQTR
+DRLAQHEAGLMDLREALNRAVDATREAQELNSRNQERLEEALQRKQELSRDNATLQATLH
+AARDTLASVFRLLHSLDQA
+>O15230_PF06009_2632 <unknown description>
+AKAVAAEAQDTATRVQSQLQAMQENVERWQGQYEGLRGQDLGQAVLDAGHSVSTLEKTLP
+QLLAKLSILENRGVHNASLALSASIGRVRELIAQARGAASKVKVPMKFNGRSGVQLRTPR
+DLADLAAYTAL
+>O15230_PF02210_2782 <unknown description>
+VMYMGSRQATGDYMGVSLRDKKVHWVYQLGEAGPAVLSIDEDIGEQFAAVSLDRTLQFGH
+MSVTVERQMIQETKGDTVAPGAEGLLNLRPDDFVFYVGGYPSTFTPPPLLRFPGYRGCIE
+MD
+>O15230_PF02210_2971 <unknown description>
+RLVSYSGVLFFLKQQSQFLCLAVQEGSLVLLYDFGAGLKKAVPLQPPPPLTSASKAIQVF
+LLGGSRKRVLVRVERATVYSVEQDNDLELADAYYLGGVPPDQLPPSLRRLFPTGGSVRGC
+VKGIKA
+>O15230_PF02210_3372 <unknown description>
+PRSSRGLLLFTARLRPGSPSLALFLSNGHFVAQMEGLGTRLRAQSRQRSRPGRWHKVSVR
+WEKNRILLVTDGARAWSQEGPHRQHQGAEHPQPHTLFVGGLPASSHSSKLPVTVGFSGCV
+KRLRLHG
+>O15230_PF02210_3550 <unknown description>
+RPLAVTGLIFHLGQARTPPYLQLQVTEKQVLLRADDGAGEFSTSVTRPSVLCDGQWHRLA
+VMKSGNVLRLEVDAQSNHTVGPLLAAAAGAPAPLYLGGLPEPMAVQPWPPAYCGCMRRLA
+VN
+>P07942_PF00055_35 <unknown description>
+CYPATGDLLIGRAQKLSVTSTCGLHKPEPYCIVSHLQEDKKCFICNSQDPYHETLNPDSH
+LIENVVTTFAPNRLKIWWQSENGVENVTIQLDLEAEFHFTHLIMTFKTFRPAAMLIERSS
+DFGKTWGVYRYFAYDCEASFPGISTGPMKKVDDIICDSRYSDIEPSTEGEVIFRALDPAF
+KIEDPYSPRIQNLLKITNLRIKFVKLHTLGDNLLDSRMEIREKYYYAVYDMVVRG
+>P07942_PF00053_271 <unknown description>
+CFCYGHASECAPVDGFNEEVEGMVHGHCMCRHNTKGLNCELCMDFYHDLPWRPA
+>P07942_PF00053_335 <unknown description>
+CNCNEHSISCHFDMAVYLATGNVSGGVCDDCQHNTMGRNCEQCKPFYYQHPERDIRD
+>P07942_PF00053_398 <unknown description>
+CTCDPAGSQNEGICDSYTDFSTGLIAGQCRCKLNVEGEHCDVCKEGFYDLSSEDPFGC
+>P07942_PF00053_458 <unknown description>
+CACNPLGTIPGGNPCDSETGHCYCKRLVTGQHCDQCLPEHWGLSNDLDGC
+>P07942_PF00053_510 <unknown description>
+CDCDLGGALNNSCFAESGQCSCRPHMIGRQCNEVEPGYY
+>P07942_PF00053_773 <unknown description>
+CECDPQGSLSSVCDPNGGQCQCRPNVVGRTCNRCAPGTFGFGPSGC
+>P07942_PF00053_821 <unknown description>
+CECHLQGSVNAFCNPVTGQCHCFQGVYARQCDRCLPGHWGFPSCQ
+>P07942_PF00053_867 <unknown description>
+CQCNGHADDCDPVTGECLNCQDYTMGHNCERCLAGYYGDPIIGSGDHC
+>P07942_PF00053_917 <unknown description>
+CPCPDGPDSGRQFARSCYQDPVTLQLACVCDPGYIGSRCDDCASGYFGNPSEVGGSC
+>P07942_PF00053_976 <unknown description>
+CQCHNNIDTTDPEACDKETGRCLKCLYHTEGEHCQFCRFGYYGDALQQDC
+>P07942_PF00053_1028 <unknown description>
+CVCNYLGTVQEHCNGSDCQCDKATGQCLCLPNVIGQNCDRCAPNTWQLASGTGC
+>P07942_PF00053_1084 <unknown description>
+CNCNAAHSFGPSCNEFTGQCQCMPGFGGRTCSECQELFWGDPD
+>P07942_PF00053_1132 <unknown description>
+CDCDPRGIETPQCDQSTGQCVCVEGVEGPRCDKCTRGYSGV
+>P55268_PF00055_47 <unknown description>
+CYPATGDLLVGRADRLTASSTCGLNGPQPYCIVSHLQDEKKCFLCDSRRPFSARDNPHSH
+RIQNVVTSFAPQRRAAWWQSENGIPAVTIQLDLEAEFHFTHLIMTFKTFRPAAMLVERSA
+DFGRTWHVYRYFSYDCGADFPGVPLAPPRHWDDVVCESRYSEIEPSTEGEVIYRVLDPAI
+PIPDPYSSRIQNLLKITNLRVNLTRLHTLGDNLLDPRREIREKYYYALYELVVRG
+>P55268_PF00053_283 <unknown description>
+CFCYGHASECAPAPGAPAHAEGMVHGACICKHNTRGLNCEQCQDFYRDLPWRPA
+>P55268_PF00053_347 <unknown description>
+CECHGHTHSCHFDMAVYLASGNVSGGVCDGCQHNTAGRHCELCRPFFYRDPTKDLR
+>P55268_PF00053_410 <unknown description>
+CDCDPMGSQDGGRCDSHDDPALGLVSGQCRCKEHVVGTRCQQCRDGFFGLSISDRLGC
+>P55268_PF00053_470 <unknown description>
+CQCNARGTVPGSTPCDPNSGSCYCKRLVTGRGCDRCLPGHWGLSHDL
+>P55268_PF00053_522 <unknown description>
+CDCDVGGALDPQCDEGTGQCHCRQHMVGRRCEQVQPGYFR
+>P55268_PF00053_783 <unknown description>
+CQCNPQGSLSSECNPHGGQCLCKPGVVGRRCDLCAPGYYGFGPTGC
+>P55268_PF00053_831 <unknown description>
+CQCSHEGALSSLCEKTSGQCLCRTGAFGLRCDRCQRGQWGFPSC
+>P55268_PF00053_877 <unknown description>
+CVCNGHADECNTHTGACLGCRDHTGGEHCERCIAGFHGDPRLPYGGQC
+>P55268_PF00053_927 <unknown description>
+CPCPEGPGSQRHFATSCHQDEYSQQIVCHCRAGYTGLRCEACAPGHFGDPSRPGGRC
+>P55268_PF00053_986 <unknown description>
+CECSGNIDPMDPDACDPHTGQCLRCLHHTEGPHCAHCKPGFHGQAARQSC
+>P55268_PF00053_1038 <unknown description>
+CTCNLLGTNPQQCPSPDQCHCDPSSGQCPCLPNVQGPSCDRCAPNFWNLTSGHGC
+>P55268_PF00053_1095 <unknown description>
+CACHPSRARGPTCNEFTGQCHCRAGFGGRTCSECQELHWGDP
+>P55268_PF00053_1143 <unknown description>
+CDCDSRGIDTPQCHRFTGHCSCRPGVSGVRCDQCARGFSGI
+>Q13751_PF00055_26 <unknown description>
+CYPPVGDLLVGRTRFLRASSTCGLTKPETYCTQYGEWQMKCCKCDSRQPHNYYSHRVENV
+ASSSGPMRWWQSQNDVNPVSLQLDLDRRFQLQEVMMEFQGPMPAGMLIERSSDFGKTWRV
+YQYLAADCTSTFPRVRQGRPQSWQDVRCQSLPQRPNARLNGGKVQLNLMDLVSGIPATQS
+QKIQEVGEITNLRVNFTRLAPVPQRGYHPPSAYYAVSQLRLQG
+>Q13751_PF00053_250 <unknown description>
+CFCHGHADRCAPKPGASAGPSTAVQVHDVCVCQHNTAGPNCERCAPFYNNRPWRPA
+>Q13751_PF00053_316 <unknown description>
+CDCNGHSETCHFDPAVFAASQGAYGGVCDNCRDHTEGKNCERCQLHYFRNRR
+>Q13751_PF00053_379 <unknown description>
+CECDPDGAVPGAPCDPVTGQCVCKEHVQGERCDLCKPGFTGLTYANPQGC
+>Q13751_PF00053_431 <unknown description>
+CDCNILGSRRDMPCDEESGRCLCLPNVVGPKCDQCAPYHWKLASGQGC
+>Q13751_PF00053_481 <unknown description>
+CACDPHNSLSPQCNQFTGQCPCREGFGGLMCSAAAIRQCPDRTYGD
+>Q13751_PF00053_534 <unknown description>
+CDCDFRGTEGPGCDKASGRCLCRPGLTGPRCDQCQRGYCNR
+>A4D0S4_PF00055_28 <unknown description>
+CHPTTGDLLVGRNTQLMASSTCGLSRAQKYCILSYLEGEQKCFICDSRFPYDPYDQPNSH
+TIENVIVSFEPDREKKWWQSENGLDHVSIRLDLEALFRFSHLILTFKTFRPAAMLVERST
+DYGHNWKVFKYFAKDCATSFPNITSGQAQGVGDIVCDSKYSDIEPSTGGEVVLKVLDPSF
+EIENPYSPYIQDLVTLTNLRINFTKLHTLGDALLGRRQNDSLDKYYYALYEMIVRG
+>A4D0S4_PF00053_265 <unknown description>
+CFCNGHASECRPMQKMRGDVFSPPGMVHGQCVCQHNTDGPNCERCKDFFQDAPWRP
+>A4D0S4_PF00053_332 <unknown description>
+CSCNSHSSRCHFDMTTYLASGGLSGGVCEDCQHNTEGQHCDRCRPLFYRDPL
+>A4D0S4_PF00053_395 <unknown description>
+CECDPDGTISGGICVSHSDPALGSVAGQCLCKENVEGAKCDQCKPNHYGLSATDPLGC
+>A4D0S4_PF00053_455 <unknown description>
+CDCNPLGSLPFLTCDVDTGQCLCLSYVTGAHCEECTVGYWGLGNHLHGC
+>A4D0S4_PF00053_506 <unknown description>
+CDCDIGGAYSNVCSPKNGQCECRPHVTGRSCSEPAPGYF
+>A4D0S4_PF00053_769 <unknown description>
+CKCHPQGSVGSSCSRLGGQCQCKPLVVGRCCDRCSTGSYDLGHHGC
+>A4D0S4_PF00053_817 <unknown description>
+CHCHPQGSKDTVCDQVTGQCPCHGEVSGRRCDRCLAGYFGFPSCHPCPC
+>A4D0S4_PF00053_911 <unknown description>
+CLCPDDPSSNQYFAHSCYQNLWSSDVICNCLQGYTGTQCGECSTGFYGNPRISGAPC
+>A4D0S4_PF00053_970 <unknown description>
+CACNNNIDVTDPESCSRVTGECLRCLHNTQGANCQLCKPGHYGSALNQTC
+>A4D0S4_PF00053_1022 <unknown description>
+CSCHASGVSPMECPPGGGACLCDPVTGACPCLPNVTGLACDRCADGYWNLVPGRGC
+>A4D0S4_PF00053_1080 <unknown description>
+CDCDPRTSQSSHCDQLTGQCPCKLGYGGKRCSECQENYYGDP
+>A4D0S4_PF00053_1128 <unknown description>
+CDCNRAGTQKPICDPDTGMCRCREGVSGQRCDRCARGHSQE
+>P11047_PF00055_50 <unknown description>
+CMPEFVNAAFNVTVVATNTCGTPPEEYCVQTGVTGVTKSCHLCDAGQPHLQHGAAFLTDY
+NNQADTTWWQSQTMLAGVQYPSSINLTLHLGKAFDITYVRLKFHTSRPESFAIYKRTRED
+GPWIPYQYYSGSCENTYSKANRGFIRTGGDEQQALCTDEFSDISPLTGGNVAFSTLEGRP
+SAYNFDNSPVLQEWVTATDIRVTLNRLNTFGDEVFNDPKVLKSYYYAISDFAVGG
+>P11047_PF00053_286 <unknown description>
+CKCNGHASECMKNEFDKLVCNCKHNTYGVDCEKCLPFFNDRPWRRAT
+>P11047_PF00053_342 <unknown description>
+CDCNGRSQECYFDPELYRSTGHGGHCTNCQDNTDGAHCERCRENFFRLGNNEAC
+>P11047_PF00053_398 <unknown description>
+CHCSPVGSLSTQCDSYGRCSCKPGVMGDKCDRCQPGFHSLTEAGC
+>P11047_PF00053_445 <unknown description>
+CSCDPSGSIDECNIETGRCVCKDNVEGFNCERCKPGFFNLESSNPRGC
+>P11047_PF00052_558 <unknown description>
+YFIAPAKFLGKQVLSYGQNLSFSFRVDRRDTRLSAEDLVLEGAGLRVSVPLIAQGNSYPS
+ETTVKYVFRLHEATDYPWRPALTPFEFQKLLNNLTSIKIRGTYSERSAGYLDDVTLASAR
+PGPGVPATWVE
+>P11047_PF00053_724 <unknown description>
+CACNGHSETCDPETGVCNCRDNTAGPHCEKCSDGYYGDSTAGTSSDC
+>P11047_PF00053_773 <unknown description>
+CPCPGGSSCAVVPKTKEVVCTNCPTGTTGKRCELCDDGYFGDPL
+>P11047_PF00053_828 <unknown description>
+CQCSDNIDPNAVGNCNRLTGECLKCIYNTAGFYCDRCKDGFFGNPLAPNPADKC
+>P11047_PF00053_884 <unknown description>
+CNCNLYGTMKQQSSCNPVTGQCECLPHVTGQDCGACDPGFYNLQSGQGC
+>P11047_PF00053_935 <unknown description>
+CDCHALGSTNGQCDIRTGQCECQPGITGQHCERCEVNHFGFGPEGC
+>P11047_PF00053_983 <unknown description>
+CDCHPEGSLSLQCKDDGRCECREGFVGNRCDQCEENYFYNRSWPGC
+>Q13753_PF00053_28 <unknown description>
+CDCNGKSRQCIFDRELHRQTGNGFRCLNCNDNTDGIHCEKCKNGFYRHRERDRC
+>Q13753_PF00053_84 <unknown description>
+CNCNSKGSLSARCDNSGRCSCKPGVTGARCDRCLPGFHMLTDAGC
+>Q13753_PF00053_139 <unknown description>
+CDCDPAGIAGPCDAGRCVCKPAVTGERCDRCRSGYYNLDGGNPEGC
+>Q13753_PF00052_250 <unknown description>
+YFVAPAKFLGNQQVSYGQSLSFDYRVDRGGRHPSAHDVILEGAGLRITAPLMPLGKTLPC
+GLTKTYTFRLNEHPSNNWSPQLSYFEYRRLLRNLTALRIRATYGEYSTGYIDNVTLISAR
+PVSGAPAPWVE
+>Q13753_PF00053_381 <unknown description>
+QCICPVGYKGQFCQDCASGYKRDSA
+>Q13753_PF00053_462 <unknown description>
+CPCHNGFSCSVMPETEEVVCNNCPPGVTGARCELCADGYFGDP
+>Q13753_PF00053_517 <unknown description>
+CQCNNNVDPSASGNCDRLTGRCLKCIHNTAGIYCDQCKAGYFGDPLAPNPADKC
+>Q13753_PF00053_573 <unknown description>
+CNCNPMGSEPVGCRSDGTCVCKPGFGGPNCEH
+>Q9Y6N6_PF00055_35 <unknown description>
+CLPVFENAAFGRLAQASHTCGSPPEDFCPHVGAAGAGAHCQRCDAADPQRHHNASYLTDF
+HSQDESTWWQSPSMAFGVQYPTSVNITLRLGKAYEITYVRLKFHTSRPESFAIYKRSRAD
+GPWEPYQFYSASCQKTYGRPEGQYLRPGEDERVAFCTSEFSDISPLSGGNVAFSTLEGRP
+SAYNFEESPGLQEWVTSTELLISLDRLNTFGDDIFKDPKVLQSYYYAVSDFSVGG
+>Q9Y6N6_PF00053_271 <unknown description>
+CKCNGHASECGPDVAGQLACRCQHNTTGTDCERCLPFFQDRPWA
+>Q9Y6N6_PF00053_327 <unknown description>
+CNCSGRSEECTFDRELFRSTGHGGRCHHCRDHTAGPHCERCQENFYHWDPR
+>Q9Y6N6_PF00053_383 <unknown description>
+CDCQSAGSLHLQCDDTGTCACKPTVTGWKCDRCLPGFHSLSEGGC
+>Q9Y6N6_PF00053_430 <unknown description>
+CTCNPAGSLDTCDPRSGRCPCKENVEGNLCDRCRPGTFNLQPHNPAGC
+>Q9Y6N6_PF00052_540 <unknown description>
+LTAPEKFLGDQRFSYGQPLILTFRVPPGDSPLPVQLRLEGTGLALSLRHSSLSGPQDAGH
+PREVELRFHLQETSEDVAPPLPPFHFQRLLANLTSLRLRVSPGPSPAGPVFLTEVRLTSA
+RPGLSPPASWVE
+>Q9Y6N6_PF00053_673 <unknown description>
+CSCPTGYTGQFCESCAPGYKRE
+>Q9Y6N6_PF00053_707 <unknown description>
+CTCNQHGTCDPNTGICVCSHHTEGPSCERCLPGFYGNPFAGQADDC
+>Q9Y6N6_PF00053_755 <unknown description>
+CPCPGQSACTTIPESREVVCTHCPPGQRGRRCEVCDDGFFGDPLGLFGHP
+>Q9Y6N6_PF00053_810 <unknown description>
+CQCSGNVDPNAVGNCDPLSGHCLRCLHNTTGDHCEHCQEGFYGSALAPR
+>Q9Y6N6_PF00053_866 <unknown description>
+CSCHPQGSVSEQMPCDPVTGQCSCLPHVTARDCSRCYPGFFDLQPGRGC
+>Q9Y6N6_PF00053_917 <unknown description>
+CKCHPLGSQEDQCHPKTGQCTCRPGVTGQACDRCQLGFFGFS
+>Q9Y6N6_PF00053_965 <unknown description>
+CRCSPLGAASAQCHENGTCVCRPGFEGYKCDRCHDNFFLTADGTHC
+>P11279_PF01299_217 <unknown description>
+PSVDKYNVSGTNGTCLLASMGLQLNLTYERKDNTTVTRLLNINPNKTSASGSCGAHLVTL
+ELHSEGTTVLLFQFGMNASSSRFFLQGIQLNTILPDARDPAFKAANGSLRALQATVGNSY
+KCNAEEHVRVTKAFSVNIFKVWVQAFKVE
+>P13473_PF01299_218 <unknown description>
+PEAGTYSVNNGNDTCLLATMGLQLNITQDKVASVININPNTTHSTGSCRSHTALLRLNSS
+TIKYLDFVFAVKNENRFYLKEVNISMYLVNGSVFSIANNNLSYWDAPLGSSYMCNKEQTV
+SVSGAFQINTFDLRVQPFNV
+>Q9UQV4_PF01299_224 <unknown description>
+KTGIYQVLNGSRLCIKAEMGIQLIVQDKESVFSPRRYFNIDPNATQASGNCGTRKSNLLL
+NFQGGFVNLTFTKDEESYYISEVGAYLTVSDPETIYQGIKHAVVMFQTAVGHSFKCVSEQ
+SLQLSAHLQVKTTDVQLQAFDFE
+>Q9UJQ1_PF01299_43 <unknown description>
+PEKDIFVVRENGTTCLMAEFAAKFIVPYDVWASNYVDLITEQADIALTRGAEVKGRCGHS
+QSELQVFWVDRAYALKMLFVKESHNMSKGPEATWRLSKVQFVYDSSEKTHFKDAVSAGKH
+TANSHHLSALVTPAGKSYECQAQQTISLASSDPQKTVTMILSAVHIQPFDI
+>O43813_PF05147_56 <unknown description>
+PRDGTGYTGWAGIAVLYLHLYDVFGDPAYLQLAHGYVKQSLNCLTKRSITFLCGDAGPLA
+VAAVLYHKMNNEKQAEDCITRLIHLNKIDPHAPNEMLYGRIGYIYALLFVNKNFGVEKIP
+QSHIQQICETILTSGENLARKRNFTAKSPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQV
+SQGKLHSLVKPSVDYVCQLKFPSGNYPPCIGDNRDLLVHWCHGAPGVIYMLIQAYKVFRE
+EKYLCDAYQCADVIWQYGLLKKGYGLCHGSAGNAYAFLTLYNLTQDMKYLYRACKFAEWC
+LEYGEHGCRTPDTPFSLFEGMAGTIYFLADLLVPTKARFPAFEL
+>Q9NS86_PF05147_97 <unknown description>
+PHDCSAYTGWTGIALLYLQLYRVTCDQTYLLRSLDYVKRTLRNLNGRRVTFLCGDAGPLA
+VGAVIYHKLRSDCESQECVTKLLQLQRSVVCQESDLPDELLYGRAGYLYALLYLNTEIGP
+GTVCESAIKEVVNAIIESGKTLSREERKTERCPLLYQWHRKQYVGAAHGMAGIYYMLMQP
+AAKVDQETLTEMVKPSIDYVRHKKFRSGNYPSSLSNETDRLVHWCHGAPGVIHMLMQAYK
+VFKEEKYLKEAMECSDVIWQRGLLRKGYGICHGTAGNGYSFLSLYRLTQDKKYLYRACKF
+AEWCLDYGAHGCRIPDRPYSLFEGMAGAIHFLSDVLGPETSRFPAFEL
+>Q6ZV70_PF05147_64 <unknown description>
+GLYGGVAGVAYMLYHVSQSPLFATARERYLRSAKRLIDACARAEEWGEPDADTRAAFLLG
+GAGVYAVATLVYHALGRSDYVQPLGKFRALCAVCAPVSFLECGSDELFVGRAGYLCAALV
+LKQKLAQEVLTPAQIKSICQAILDSGKQYAIKKRKPFPLMYSYYGTEYLGAAHGLSSILQ
+MLLSYHEHLKPSDRELVWQSVDFLMEQEQNCNWPPELGETIERENELVHWCHGAPGIAYL
+FAKAYLVSKKPQYLDTCIRCGELTWQKGLLKKGPGICHGVAGSAYVFLLLYRLTGNSKYI
+YRAQRFAQFLFTEEFKAGSRVLESIYSLYEGFSGTVCFLIDLLQPNQAEFPL
+>P42167_PF08198_2 <unknown description>
+PEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARN
+>P42167_PF03020_111 <unknown description>
+DVTELTNEDLLDQLVKYGVNPGPIVGTTRKLYEKKLLKLR
+>Q15012_PF03821_71 <unknown description>
+YSSERMADNACVLFAVSVLMFIISSMLVYGAISYQVGWLIPFFCYRLFDFVLSCLVAISS
+LTYLPRIKEY
+>Q15012_PF03821_162 <unknown description>
+LFIVLVFFALFIIFKAYLINCVWNCYKYINNRNVPEIAVYPAFEAPPQYVLPTYEMAVKM
+PEKEPPPPYL
+>Q86VI4_PF03821_152 <unknown description>
+TCLVLIILLFISIILTFKGYLISCVWNCYRYINGRNSSDVLVYVTSNDTTVLLPPYDDAT
+VNGAAKEPPPPYV
+>Q13571_PF03821_30 <unknown description>
+IMSVLLFIEHSVEVAHGKASCKLSQMGYLRIADLISSFLLITMLFIISLSLLIGVVKNRE
+KYLLPFLSLQIMDYLLCLLTLLGSYIELPAYLKLASRSRASSSKFPLMTLQLLDFCLSIL
+TLCSSYMEVPTYLNFKSMNHMNYLPSQEDMPHNQFIKMMIIFSIAFITVLIFKVYMFKCV
+WRCYRLIKCMNSVEEKRNSKMLQKVVLPSYEEALSLPSKTPEGGPAPPPYSE
+>Q659C4_PF05383_219 <unknown description>
+IKRQIEYYFSVENLERDFFLRGKMDEQGFLPISLIAGFQRVQALTTNLNLILEALKD
+>Q92615_PF05383_161 <unknown description>
+KKTLEFCLSRENLASDMYLISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLR
+>O95461_PF01501_152 <unknown description>
+DVVTLVKSVLFHRRNPLHFHLIADSIAEQILATLFQTWMVPAVRVDFYNADELKSEVSWI
+PNKHYSGIYGLMKLVLTKTLPANLERVIVLDTDITFATDIAELWAVFHKFKGQQVLGLVE
+NQSDWYLGNLWKNHRPWPALGRGYNTGVILLLLDKLRKMKWEQMWRLTAERELMGMLSTS
+LADQDIFNAVIKQNPFLVYQLPCFWNVQLSDHTRSEQCYRDVSDLKVIHWNSPKK
+>O95461_PF13896_473 <unknown description>
+DVTLVAQLSMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRHNVGYH
+IVYKE
+>O95461_PF13896_538 <unknown description>
+GQFYPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAF
+ETLRYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYRVEWEADF
+EPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDVQEYEFIVLPNAYMIHMPHAPSFDITKF
+RSNKQYRICLKTLKEEFQQDMSRRY
+>Q8N3Y3_PF01501_127 <unknown description>
+LHLHLVTDAVARNILETLFHTWMVPAVRVSFYHADQLKPQVSWIPNKHYSGLYGLMKLVL
+PSALPAELARVIVLDTDVTFASDISELWALFAHFSDTQAIGLVENQSDWYLGNLWKNHRP
+WPALGRGFNTGVILLRLDRLRQAGWEQMWRLTARRELLSLPATSLADQDIFNAVIKEHPG
+LVQRLPCVWNVQLSDHTLAERCYSEASDLKVIHWNSPKK
+>Q8N3Y3_PF13896_431 <unknown description>
+DVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDAEAQQFLHFVEASPVLAARQDVAYH
+VVYRE
+>Q8N3Y3_PF13896_497 <unknown description>
+PLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLGLGSRRKAALVVPAF
+ETLRYRFSFPHSKVELLALLDAGTLYTFRYHEWPRGHAPTDYARWREAQAPYRVQWAANY
+EPYVVVPRDCPRYDPRFVGFGWNKVAHIVELDAQEYELLVLPEAFTIH
+>Q569H4_PF15252_53 <unknown description>
+EVVDQIDTLTSDLQLEDEMTDSSKTDTLNSSSSGTTASSLEKIKVQANAPLIKPPAHPSA
+ILTVLRKPNPPPPPPRLTPVKCEDPKRVVPTANPVKTNGTLLRNGGLPGGPNKIPNGDIC
+CIPNSNLDKAPVQLLMHRPEKDRCPQAGPRERVRFNEKVQYHGYCPDCDTRYNIKNREVH
+LHSEPVHPPGKIPHQGPPLPPTPHLPPFPLENGGMGISHSNSFPPIRPATVPPPTAPKPQ
+KTILRKSTTTTV
+>Q6PKG0_PF05383_407 <unknown description>
+IKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFHRVQALTTDISLIFAALKD
+>Q71RC2_PF05383_123 <unknown description>
+LKKQLEFCFSRENLSKDLYLISQMDSDQFIPIWTVANMEEIKKLTTDPDLILEVLR
+>Q9BRS8_PF05383_97 <unknown description>
+VDQIEFYFSDENLEKDAFLLKHVRRNKLGYVSVKLLTSFKKVKHLTRDWRTTAHALK
+>Q9BRS8_PF12901_452 <unknown description>
+PLLSRKMQTADGLPVGVLRLPRGPDNTRGFHG
+>Q4G0J3_PF05383_38 <unknown description>
+IAKQVDFWFGDANLHKDRFLREQIEKSRDGYVDISLLVSFNKMKKLTTDGKLIARALR
+>Q4G0J3_PF00076_127 <unknown description>
+VYVELLPKNVNHSWIERVFGKCGNVVYISIPHYKSTGDPKGFAFVEFETKEQAAKAIEFL
+NN
+>Q4G0J3_PF08777_454 <unknown description>
+GVIVKIISTEPLPGRKQVRDTLAAISEVLYVDLLEGDTECHARFKTPEDAQAVINAYTEI
+NKKHCWKLEILSGDHEQRYWQKILVDRQAKLNQPREKKR
+>Q9Y4W2_PF04031_43 <unknown description>
+VAWLSRAEWDQVTVYLFCDDHKLQRYALNRITVWRSRSGNELPLAVASTADLIRCKLLDV
+TGGLGTDELRLLYGMALVRFVNLISERKTKFAKVPLKCLAQEVNIPDWIVDLRHELTHKK
+MPHINDCRRGCYFVLDWLQKTYWC
+>Q8IYD9_PF15792_161 <unknown description>
+SLDIEKNPHFQGPYTSMGKDNFVTPVIRSNINGKQCGRLKNPKLMNRTNNCISESSLSFP
+KKSSFKDSSEHSLEK
+>Q8IYD9_PF15792_328 <unknown description>
+LVNEYKCDFEHSQCQCENPLLPGQSTKPFSGDKIELLILKAKRNLEQCTEELPKSMKKDD
+SPCSLDK
+>Q14847_PF00412_5 <unknown description>
+CARCGKIVYPTEKVNCLDKFWHKACFHCETCKMTLNMKNYKGYEKKPYCNAHYPK
+>Q14847_PF00880_67 <unknown description>
+DTPENLRLKQQSELQSQVRYKEEFEKNK
+>Q14847_PF00880_103 <unknown description>
+DTPELQRIKKTQDQISNIKYHEEFEKS
+>Q14847_PF14604_209 <unknown description>
+AVYDYSAADEDEVSFQDGDTIVNVQQIDDGWMYGTVERTGDTGMLPANYVE
+>Q01650_PF13520_51 <unknown description>
+TLLNGVAIIVGTIIGSGIFVTPTGVLKEAGSPGLALVVWAACGVFSIVGALCYAELGTTI
+SKSGGDYAYMLEVYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPLFPTCPVPEEA
+AKLVACLCVLLLTAVNCYSVKAATRVQDAFAAAKLLALALIILLGFVQIGKGDVSNLDPN
+FSFEGTKLDVGNIVLALYSGLFAYGGWNYLNFVTEEMINPYRNLPLAIIISLPIVTLVYV
+LTNLAYFTTLSTEQMLSSEAVAVDFGNYHLGVMSWIIPVFVGLSCFGSVNGSLFTSSRLF
+FVGSREGHLPSILSMIHPQLLTPVPSLVFTCVMTLLYAFSKDIFSVINFFSFFNWLCVAL
+AIIGMIWLRHRKPELERPIKVNLALPVFFILACLFLIAVSFWKTPVECGIGFTIILS
+>Q9UHI5_PF13520_40 <unknown description>
+IGLVSACGIIVGNIIGSGIFVSPKGVLENAGSVGLALIVWIVTGFITVVGALCYAELGVT
+IPKSGGDYSYVKDIFGGLAGFLRLWIAVLVIYPTNQAVIALTFSNYVLQPLFPTCFPPES
+GLRLLAAICLLLLTWVNCSSVRWATRVQDIFTAGKLLALALIIIMGIVQICKGEYFWLEP
+KNAFENFQEPDIGLVALAFLQGSFAYGGWNFLNYVTEELVDPYKNLPRAIFISIPLVTFV
+YVFANVAYVTAMSPQELLASNAVAVTFGEKLLGVMAWIMPISVALSTFGGVNGSLFTSSR
+LFFAGAREGHLPSVLAMIHVKRCTPIPALLFTCISTLLMLVTSDMYTLINYVGFINYLFY
+GVTVAGQIVLRWKKPDIPRPIKINLLFPIIYLLFWAFLLVFSL
+>O75387_PF07690_76 <unknown description>
+LNLGFTIGSFVLSATTLPLGILMDRFGPRPVRLVGSACFTASCTLMALASRDVEALSPLI
+FLALSLNGFGGICLTFTSLTLPNMFGNLRSTLMALMIGSYASSAITFPGIKLIYDAGVAF
+VVIMFTWSGLACLIFLNCTLNWPIEAFPAPEEVNYTKKIKLSGLALDHKVTGDLFYTHVT
+TMGQRLSQKAPSLEDGSDAFMSPQDVRGTSENLPERSVPLRKSLCSPTFLWSLLTMGMTQ
+LRIIFYMAAVNKMLEYLVTGGQEHETNEQQQKVAETVGFYSSVFGAMQLLCLLTCPLIGY
+IMDWRIKDCVDAPTQGTVLGDARDGVATKSIRPRYCKIQKLTNAISAFTLTNLLLVGFGI
+TCLINNLHLQFVTFVLHTIVRGFFHSACGSLYAAVFPSNHFGTLTGLQSLISAVFAL
+>Q8N370_PF07690_87 <unknown description>
+MLNLAFTVGSFLLSAITLPLGIVMDKYGPRKLRLLGSACFAVSCLLIAYGASKPNALSVL
+IFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFIALMIGSYASSAVTFPGIKLIYDAGVS
+FIVVLVVWAGCSGLVFLNCFFNWPLEPFPGPEDMDYSVKIKFSWLGFDHKITGKQFYKQV
+TTVGRRLSVGSSMRSAKEQVALQEGHKLCLSTVDLEVKCQPDAAVAPSFMHSVFSPILLL
+SLVTMCVTQLRLIFYMGAMNNILKFLVSGDQKTVGLYTSIFGVLQLLCLLTAPVIGYIMD
+W
+>O95835_PF00627_102 <unknown description>
+NPQMLQDLQAAGFDEDMVIQALQKTNNRSIEAAIEFI
+>O95835_PF00069_706 <unknown description>
+VKIKTLGIGAFGEVCLARKVDTKALYATKTLRKKDVLLRNQVAHVKAERDILAEADNEWV
+VRLYYSFQDKDNLYFVMDYIPGGDMMSLLIRMGIFPESLARFYIAELTCAVESVHKMGFI
+HRDIKPDNILIDRDGHIKLTDFGLCTGFRWTHDSKYYQSGDHPRQDSMDFSNEWGDPSSC
+RCGDRLKPLERRAARQHQRCLAHSLVGTPNYIAPEVLLRTGYTQLCDWWSVGVILFEMLV
+GQPPFLAQTPLETQMKVINWQTSLHIPPQAKLSPEASDLIIKLCRGPEDRLGKNGADEIK
+AHPFF
+>Q9NRM7_PF00069_669 <unknown description>
+VKIKTLGIGAFGEVCLACKVDTHALYAMKTLRKKDVLNRNQVAHVKAERDILAEADNEWV
+VKLYYSFQDKDSLYFVMDYIPGGDMMSLLIRMEVFPEHLARFYIAELTLAIESVHKMGFI
+HRDIKPDNILIDLDGHIKLTDFGLCTGFRWTHNSKYYQKGSHVRQDSMEPSDLWDDVSNC
+RCGDRLKTLEQRARKQHQRCLAHSLVGTPNYIAPEVLLRKGYTQLCDWWSVGVILFEMLV
+GQPPFLAPTPTETQLKVINWENTLHIPAQVKLSPEARDLITKLCCSADHRLGRNGADDLK
+AHPFF
+>Q9NRM7_PF00433_993 <unknown description>
+ISHPMDTSNFDPVDEESPWNDASEGSTKAWDTLTSPNNKHPEHAFYEFTF
+>O43561_PF15234_1 <unknown description>
+MEEAILVPCVLGLLLLPILAMLMALCVHCHRLPGSYDSTSSDSLYPRGIQFKRPHTVAPW
+PPAYPPVTSYPPLSQPDLLPIPRSPQPLGGSHRTPSSRRDSDGANSVASYENEEPACEDA
+DEDEDDYHNPGYLVVLPDSTPATSTAAPSAPALSTPGIRDSAFSMESIDDYVNVPESGES
+AEASLDGSREYVNVSQELHPGAAKTEPAALSSQEAEEVEEEGAPDYENL
+>Q8IWV1_PF15681_29 <unknown description>
+DRNKDQITNIFSGFAGLLAILLVVAVFCILWNWNKRKKRQVPYLRVTVMPLLTLPQTRQR
+AKNIYDILPWRQEDLGRHESRSMRIFSTESLLSRNSESPEHVPSQAGNAFQEHTAHIHAT
+EYAVGIYDNAMVPQMCGNLTPSAHCINVRASRDCASISSEDSHDYVNVPTAEEIAETLAS
+TKSPSRNLFVLPSTQKLEFTEERDEGCGDAGDCTSLYSPGAEDSDSLSNGEGSSQISNDY
+VNMTGLDLSAIQERQLWVAFQCCRDYENVPAADPSGSQQQAEKDVPSSNIGHVEDKTDDP
+GTHVQCVKRTFLASGDYADFQPFTQSEDSQMKHREEMSNEDSSDYENV
+>Q6UX15_PF00059_54 <unknown description>
+LNFEEAKEACRRDGGQLVSIESEDEQKLIEKFIENLLPSDGDFWIGLRRREEKQSNSTAC
+QDLYAWTDGSISQFRNWYVDEPSCGSEVCVVMYHQPSAPAGIGGPYMFQWNDDRCNMKNN
+FICKY
+>P05455_PF05383_17 <unknown description>
+ICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLEIMIKFNRLNRLTTDFNVIVEALS
+>P05455_PF00076_113 <unknown description>
+VYIKGFPTDATLDDIKEWLEDKGQVLNIQMRRTLHKAFKGSIFVVFDSIESAKKFVETPG
+QKYKET
+>P05455_PF08777_231 <unknown description>
+GCLLKFSGDLDDQTCREDLHILFSNHGEIKWIDFVRGAKEGIILFKEKAKEALGKAKDAN
+NGNLQLRNKEVTWEVLEGEVEKEALKKIIEDQQESLNKWKSKGR
+>Q9BQE6_PF15317_1 <unknown description>
+MALVPGRSKEDGLWTRNSPGSSQHPESPRLPNPLWDRGKIGKVEGHQHIQDFSQKSHLPS
+IVVESSEVNEESGDLHLPHEELLLLTDGEEEDAEAFFQDQSE
+>A0A0U1RRK4_PF15317_37 <unknown description>
+QRLPSIVVEPSEADPVESGELRWPLE
+>Q53QV2_PF15317_18 <unknown description>
+MTEVMMNTQPMEEIGLSPRKDGLSYQIFPDPSDFDRCCKLKDRLPSIVVEPTEGEVESGE
+LRWPPEEFLVQEDEQDNCEETAKENKE
+>Q86UK5_PF12297_237 <unknown description>
+GDAFAVSYAATLQAGDLGNGESLKLPAQLTFQSSSRNRTQLKVLFSITAEENVTVLPHHG
+LHAAGFFIAFLLSLVLTWAALFLMVRYQCLKGNMLTRHRVWQYESKLEPLPFTSADGVNE
+DLSLNDQMIDILSSEDPGSMLQALEELEIATLNRADADLEACRTQISKDIIALLLKNLTS
+SGHLSPQVERKMSAVFKKQFLLLENEIQEEYDRKMVALTAECDLETRKKMENQYQREMMA
+MEEAEELLKRAGERSAVECSNLLRTLHGLEQEHLRKSLALQQEEDFAKAHRQLAVFQRNE
+LHSIFFTQIKSAIFKGELKPEAAKMLLQNYSKIQENVEELMDFFQASKRYHLSKRFGHRE
+YLVQNLQSSETRVQGLLSTAAAQLTHLIQKHERAGYLDEDQMEMLLERAQTEVFSIKQKL
+DNDL
+>P18428_PF01273_38 <unknown description>
+GLQYAAQEGLLALQSELLRITLPDFTGDLRIPHVGRGRYEFHSLNIHSCELLHSALRPVP
+GQGLSLSISDSSIRVQGRWKVRKSFFKLQGSFDVSVKGISISVNLLLGSESSGRPTVTAS
+SCSSDIADVEVDMSGDLGWLLNLFHNQIESKFQKVLESRICEMIQKSVS
+>P18428_PF02886_241 <unknown description>
+QMLEVMFKGEIFHRNHRSPVTLLAAVMSLPEEHNKMVYFAISDYVFNTASLVYHEEGYLN
+FSITDDMIPPDSNIRLTTKSFRPFVPRLARLYPNMNLELQGSVPSAPLLNFSPGNLSVDP
+YMEIDAFVLLPSSSKEPVFRLSVATNVSATLTFNTSKITGFLKPGKVKVELKESKVGLFN
+AELLEALLNYYILNTFYPKFNDKLAEGFPLPLLKRVQLYDLGLQIHKDFLFLGANVQY
+>Q14739_PF09465_1 <unknown description>
+MPSRKFADGEVVRGRWPGSSLYYEVEILSHDSTSQLYTVKYKDGTELELKENDIK
+>Q14739_PF01222_201 <unknown description>
+PIRAKDLEFGGVPGVFLIMFGLPVFLFLLLLMCKQKDPSLLNFPPPLPALYELWETRVFG
+VYLLWFLIQVLFYLLPIGKVVEGTPLIDGRRLKYRLNGFYAFILTSAVIGTSLFQGVEFH
+YVYSHFLQFALAATVFCVVLSVYLYMRSLKAPRNDLSPASSGNAVYDFFIGRELNPRIGT
+FDLKYFCELRPGLIGWVVINLVMLLAEMKIQDRAVPSLAMILVNSFQLLYVVDALWNEEA
+LLTTMDIIHDGFGFMLAFGDLVWVPFIYSFQAFYLVSHPNEVSWPMASLIIVLKLCGYVI
+FRGANSQKNAFRKNPSDPKLAHLKTIHTSTGKNLLVSGWWGFVRHPNYLGDLIMALAWSL
+PCGFNHILPYFYIIYFTMLLVHREARDEYHCKKKYGVAWEKYCQRVPYRIFPYIY
+>P52954_PF00046_126 <unknown description>
+RKSRTAFTNHQIYELEKRFLYQKYLSPADRDQIAQQLGLTNAQVITWFQNRRAKLKR
+>Q6XYB7_PF00046_86 <unknown description>
+RKSRTAFTAQQVLELERRFVFQKYLAPSERDGLATRLGLANAQVVTWFQNRRAKLKR
+>Q9Y383_PF03194_6 <unknown description>
+QMRAMLDQLMGTSRDGDTTRQRIKFSDDRVCKSHLLNCCPHDVLSGTRMDLGECLKVHDL
+ALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVAKKRLAETQEEISAEVAAKAER
+VHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVYRNSMPASSFQQQ
+KLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVAEKQEKRNQERL
+>O95232_PF03194_4 <unknown description>
+AAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEKIHD
+ENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAGPTG
+KNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIESFA
+AQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEEPDR
+DE
+>O95447_PF15619_140 <unknown description>
+AHRILSARLHKIKGLKNELADMHHKLEAILTENQFLKQLQLRHLKAIGKYENSQNNLPQI
+MAKHQNEVKNLRQLLRKSQEKERTLSRKLRETDSQLLKTKDILQALQKLSEDKNLAEREE
+LTHKLSIITTKMDANDKKIQSLEKQLRLNCRAFSRQLAIETRKTLAAQTATKTLQVEVKH
+LQQKLKEKDREL
+>Q86VQ0_PF15619_100 <unknown description>
+VTKRILSARLLKINELQNEVSELQVKLAELLKENKSLKRLQYRQEKALNKFEDAENEISQ
+LIFRHNNEITALKERLRKSQEKERATEKRVKDTESELFRTKFSLQKLKEISEARHLPERD
+DLAKKLVSAELKLDDTERRIKELSKNLELSTNSFQRQLLAERKRAYEAHDENKVLQKEVQ
+RLYHKLKEKEREL
+>Q9UIQ6_PF17900_173 <unknown description>
+VPLRYELSLHPNLTSMTFRGSVTISVQALQVTWNIILHSTGHNISRVTFMSAVSSQEKQA
+EILEYAYHGQIAIVAPEALLAGHNYTLKIEYSANISSSYYGFYGFSYTDESNEKKYFAAT
+QFEPLAARSAFPCFDEPAFKATFIIKIIRDEQYTALSNMPKKSSVVLDDGLVQDEFSESV
+KMSTYL
+>Q9UIQ6_PF01433_392 <unknown description>
+YALETTVKLLEFFQNYFEIQYPLKKLDLVAIPDFEAGAMENWGLLTFREETLLYDSNTSS
+MADRKLVTKIIAHELAHQWFGNLVTMKWWNDLWLNEGFATFMEYFSLEKIFKELSSYEDF
+LDARFKTMKKDSLNSSHPISSSVQSSEQIEEMFDSLSYFKGSSLLLMLKTYLSEDVFQHA
+VVLYLHNHSYASIQSDDLWDSFNEVTNQTLDVKRMMKTW
+>Q9UIQ6_PF11838_689 <unknown description>
+WVKVNINMNGYYIVHYADDDWEALIHQLKINPYVLSDKDRANLINNIFELAGLGKVPLKR
+AFDLINYLGNENHTAPITEALFQTDLIYNLLEKLGYMDLASRLVTRVFKLLQNQIQQQTW
+TDEGTPSMRELRSALLEFACTHNLGNCSTTAMKLFDDWMASNGTQSLPTDVMTTVFKVGA
+KTDKGWSFLLGKYISIGSEAEKNKILEALASSEDVRKLYWLMKSSLNGDNFRTQKLSFII
+RTVGRHFPGHLLAWDFVKENWNKLVQKFPLGSYTIQNIVAGSTYLFSTKTHLSEVQAFFE
+NQSEATFRLRCVQEALEVIQ
+>P04180_PF02450_81 <unknown description>
+FFTIWLDLNMFLPLGVDCWIDNTRVVYNRSSGLVSNAPGVQIRVPGFGKTYSVEYLDSSK
+LAGYLHTLVQNLVNNGYVRDETVRAAPYDWRLEPGQQEEYYRKLAGLVEEMHAAYGKPVF
+LIGHSLGCLHLLYFLLRQPQAWKDRFIDGFISLGAPWGGSIKPMLVLASGDNQGIPIMSS
+IKLKEEQRITTTSPWMFPSRMAWPEDHVFISTPSFNYTGRDFQRFFADLHFEEGWYMWLQ
+SRDLLAGLPAPGVEVYCLYGVGLPTPRTYIYDHGFPYTDPVGVLYEDGDDTVATRSTELC
+GLWQGRQPQPVHLLPLHGIQHLNMVFSN
+>Q5T7P2_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCPPKCPT
+>Q5T7P2_PF14672_25 <unknown description>
+PTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGGCSSGGGGCCLSHHRRHRSHRHRLQS
+SGCCSQPSGGSSCCGGDSGQHSGGCC
+>Q5T7P3_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCIPKCPPKCLTPRCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSC
+>Q5T7P3_PF14672_56 <unknown description>
+SGGSCGSSSGGCCSSGGGGCCLSHHRRRRSHCHRPQSSGCCSQPSGGSSCCGGGSGQHSG
+GCC
+>Q5T751_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCPPKCPT
+>Q5T751_PF14672_21 <unknown description>
+PPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSC
+>Q5T751_PF14672_56 <unknown description>
+SGGSCGSSSGGCCSSGGGGCCLSHHRRRRSHCHRPQSSGCCSQPSGGSSCCGGGSGQHSG
+GCC
+>Q5T752_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCTPKCPAPKCPPKCPPVSSCCSVSSGGCCGSSSGGGC
+>Q5T752_PF14672_52 <unknown description>
+SGGGCGSNSGGCCSSGGGGCCLSHHRRHRSHRRRPQSSDCCSQPSGGSSCCGGGSSQHSG
+GCC
+>Q5T753_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCPPKCPT
+>Q5T753_PF14672_21 <unknown description>
+PPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSC
+>Q5T753_PF14672_56 <unknown description>
+SGGSCGSSSGGCCSSGGGGCCLSHHRHHRSHRHRPQSSDCCSQPSGGSSCCGGGSGQHSG
+GCC
+>Q5T754_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCPPKCPT
+>Q5T754_PF14672_21 <unknown description>
+PPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGCCSSGGGGCCSSGGGGCCLSHH
+RRRRSHRHRPQSSDCCSQPSAGSSCCGGGSGQHSGGCC
+>Q5TA79_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPPKCPPKCPP
+>Q5TA79_PF14672_21 <unknown description>
+PPKCPPKCRPQCPAPCPPPVSSCCGPSSGGCCGSSSGGCCSSGGGGCCLSHHRPRLFHRH
+RHQSPDCCECEPSGGSGCCHSSGDCC
+>O14633_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPPKCTPKCPP
+>O14633_PF14672_25 <unknown description>
+PPKCPPKCLPQCPAPCSPAVSSCCGPISGGCCGPSS
+>O14633_PF14672_50 <unknown description>
+PISGGCCGPSSGGCCNSGAGGCCLSHHRPRLFHRRRHQSPDCCESEPSGGSGCCHSSGGC
+C
+>Q5TA81_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPPKCTPKCPPK
+>Q5TA81_PF14672_25 <unknown description>
+PPKCPPKCPPQCPAPCFPAVSSCCGPSSGSCCGPSSGGCCSSGAGGCSLSHHRPRLFHRR
+RHQSPDCCESEPSGGSGCCHSSGGCC
+>Q5TA82_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPPKCTPKCPPK
+>Q5TA82_PF14672_25 <unknown description>
+PPKCPPKCPPQCPAPCSPAVSSCCGPSSGSCCGPSSGGCCSSGGGGCCLSHHRPRLFHRR
+RHQSPDCCESEPSGASGCCHSSGGCC
+>Q5TA76_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPAKSPAQCLPPASSSCAPSSGGCGPSSERSCCLSHHRCRRSHRC
+RCQSSNSCDRGSGQQGGSSSCGHSSAGCC
+>Q5TA77_PF14672_1 <unknown description>
+MSCQQNQQQCQPLPKCPSPKCPPKSSAQCLPPASSCCAPRPGCCGGPSSEGGCCLSHHRC
+CRSHRCRRQSSNSCDRGSGQQDGASDCGYGSGGCC
+>Q5T5A8_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPSCPSPKCPPKSPAQCLPPPSSDCALSSGGCGPSSESGCCLSHHRHF
+RSHQCRRQRSNSCDRGSGQQGGGSCRGHGSGGCC
+>Q9BYE3_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPSPKCPPKSPVQCLPPASSGCAPSSGGCGPSSEGGCFLNHHRRH
+HRCRRQRPNSCDRGSGQQGGGSGCGHGSGGCC
+>Q5T5B0_PF14672_1 <unknown description>
+MSCQQNQKQCQPPPKCPSPKCPPKNPVQCLPPASSGCAPSSGGCGPSSEGGCFLNHHRRH
+HRCRRQRSNSCDRGSGQQGGGSGCCHGSGGCC
+>Q5TA78_PF14672_1 <unknown description>
+MSCQQNQQQCQPPPKCPIPKYPPKCPSKCASSCPPPISSCCGSSSGGCGCCSSEGGGCCL
+SHHRHHRSHCHRPKSSNCYGSGSGQQSGGSGCCSGGGCC
+>Q5TCM9_PF14672_1 <unknown description>
+MSCQQSQQQCQPPPKCTPKCPPKCTPKCPP
+>Q5TCM9_PF14672_28 <unknown description>
+CPPKCPPKCPPQCSAPCPPPVSSCCGSSSGGCCSSEGGGCCLSHHRPRQSLRRRPQSSSC
+CGSGSGQQSGGSSCCHSSGG
+>A0A183_PF15858_1 <unknown description>
+MSQQKQQSWKPPNVPKCSPPQRSNPCLAPYSTPCGAPHSEGCHSSSQRPEVQKPRRARQK
+LRCLSRGTTYHCKEEEC
+>P0DV60_PF14672_1 <unknown description>
+MSYQKHQQKWQLPAKCLPKYPSKWTPQAPASCPAPCPPPAPSCCVSSCCISGFGGHCSLV
+SLRFPRFYLRQPQHSDCCEHESSRCSTCYSSGDCS
+>Q96L11_PF15838_32 <unknown description>
+PKDGSQTEKTPSADQNQEQFEEHFVASSVGEMWQVVDMAQQEEDQSSKTAAVHKHSFHLS
+FCFSLASVMVF
+>P06239_PF00018_67 <unknown description>
+IALHSYEPSHDGDLGFEKGEQLRILEQSGEWWKAQSLTTGQEGFIP
+>P06239_PF00017_127 <unknown description>
+WFFKNLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRDFDQNQGEVVKHYKIRNL
+DNGGFYISPRITFPGLHELVRHY
+>P06239_PF07714_245 <unknown description>
+LKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHQRLVRLY
+AVVTQEPIYIITEYMENGSLVDFLKTPSGIKLTINKLLDMAAQIAEGMAFIEERNYIHRD
+LRAANILVSDTLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIKSDVW
+SFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEELYQLMRLCWKERPEDR
+PTFDYLRSV
+>Q6UWP7_PF01553_66 <unknown description>
+VIITGDAFVPGERSVIIMNHRTRMDWMFLWNCLMRYSYLRLEKICLKASLKGVPGFGWAM
+QAAAYIFIHRKWKDDKSHFEDMIDYFCDIHEPLQLLIFPEGTDLTENSKSRSNAFAEKNG
+LQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAY
+>Q6UWP7_PF16076_233 <unknown description>
+HLLQGDFPREIHFHVHRYPIDTLPTSKEDLQLWCHKRWEEKEERLRSFYQGEKNFYFTGQ
+SVIPPCKSELRV
+>Q9UIC8_PF04072_27 <unknown description>
+RGTCEDASLCKRFAVSIGYWHDPYIQHFVRLSKERKAPEINRGYFARVHGVSQLIKAFLR
+KTECHCQIVNLGAGMDTTFWRLKDEDLLPSKYFEVDFPMIVTRKLHSIKCKPPLSSPILE
+LHSEDTLQMDGHILDSKRYAVIGADLRDLSELEEKLKKCNMNTQLPTLLIAECVLVYMTP
+EQSANLLKWA
+>Q6JVE5_PF00061_40 <unknown description>
+QGEWFVLGLAGNSFRPEHRALLNAFTATFELSDDGRFEVWNAMTRGQHCDTWSYVLIPAA
+QPGQFTVDHGVEPGADREETRVVDSDYTQFALMLSRRHTSRLAVLRISLLGRSWLLPPGT
+LDQFICLGRAQGLSDDNIVFPDVT
+>Q6UWW0_PF00061_34 <unknown description>
+SGLWYVVSMASDCRVFLGKKDHLSMSTRAIRPTEEGGLHVHMEFPGADGCNQVDAEYLKV
+GSEGHFRVPALGYLDVRIVDTDYSSFAVLYIYKELEGALSTMVQLYSRTQDVSPQALKSF
+QDFYPTLGLPKDMMVMLPQSD
+>P31025_PF00061_32 <unknown description>
+SGTWYLKAMTVDREFPEMNLESVTPMTLTTLEGGNLEAKVTMLISGRCQEVKAVLEKTDE
+PGKYTADGGKHVAYIIRSHVKDHYIFYCEGELHGKPVRGVKLVGRDPKNNLEALEDFEKA
+AGARGLSTESILIPRQSE
+>P62502_PF00061_33 <unknown description>
+GPWYVLAVASREKGFAMEKDMKNVVGVVVTLTPENNLRTLSSQHGLGGCDQSVMDLIKRN
+SGWVFENPSIGVLELWVLATNFRDYAIIFTQLEFGDEPFNTVELYSLTETASQEAMGLFT
+KWSRSLGF
+>Q6JVE9_PF00061_11 <unknown description>
+GFWREVGVASDQSLVLTAPKRVEGLFLTLSGSNLTVKVAYNSSGSCEIEKIVGSEIDSTG
+KFAFPGHREIHVLDTDYEGYAILRVSLMWRGRNFRVLKYFTRSLEDKDRLGFWKFRELTA
+D
+>Q6ZST4_PF00061_2 <unknown description>
+VGVVSDDQDFLDSKDTMKMAVVLVTPLGNGDLALKFGYPTPHGGCQKMDTTFTEGAVPGQ
+FSNPAMALSDIRVAFSDYQHFALLYLEMRKGGLRNQWLQLYGGR
+>Q96JN0_PF15090_1089 <unknown description>
+DTVVDEQPKFMEWCAEEENQELIANFNAQYMKVQKGWIQLEKEGQPTPRARNKSDKLKEI
+WKSKKRSRKCRSSLESQKCSPVQMLFMTNFKLSNVCKWFLETTETRSLVIVKKLNTRLPG
+DVPPVKHPLQKYAPSSLYPSSLQAERLKKHLKKFPGATPAKNNWKMQKLWAKFRENPDQV
+EPEDGSDVSPGPNSEDSIEEVKEDRNSHPPANLPTPASTRILRKYSNIRGKLRAQQRLIK
+NEKMECPDALAVESKPSRKSVCINPLMSPKLALQVDADGFPVKPKSTEGMKGRKGKQVSE
+ILPKAEVQSKRKRTEGSSPPDSKNKGPTVKASKEKHADGATKTPAAKRPAARDRSSQPPK
+KTSLKENKVKIPKKSAGKSCPPSRKEKENTNKRPSQSIASETLTKPAKQKGAGESSSRPQ
+KATNRKQSSGKTRARPSTKTPESSAAQRKRKLKAKLDCSHSKRRRLDA
+>Q13094_PF07647_14 <unknown description>
+GWDPDSLADYFKKLNYKDCEKAVKKYHIDGARFLNLTENDIQKFPKLRVPILSKLSQEIN
+K
+>Q13094_PF00017_422 <unknown description>
+WYVSYITRPEAEAALRKINQDGTFLVRDSSKKTTTNPYVLMVLYKDKVYNIQIRYQKESQ
+VYLLGTGLRGKEDFLSVSDIIDYF
+>Q6UWM7_PF00232_35 <unknown description>
+GTFPLGFSWGVGSSAYQTEGAWDQDGKGPSIWDVFTHSGKGKVLGNETADVACDGYYKVQ
+EDIILLRELHVNHYRFSLSWPRLLPTGIRAEQVNKKGIEFYSDLIDALLSSNITPIVTLH
+HWDLPQLLQVKYGGWQNVSMANYFRDYANLCFEAFGDRVKHWITFSDPRAMAEKGYETGH
+HAPGLKLRGTGLYKAAHHIIKAHAKAWHSYNTTWRSKQQGLVGISLNCDWGEPVDISNPK
+DLEAAERYLQFCLGWFANPIYAGDYPQVMKDYIGRKSAEQGLEMSRLPVFSLQEKSYIKG
+TSDFLGLGHFTTRYITERNYPSRQGPSYQNDRDLIELVDPNWPDLGSKWLYSVPWGFRRL
+LNFAQTQYGDPPIYVMENGASQKFHCTQLCDEWRIQYLKGYINEMLKAIKDGANIKGYTS
+WSLLDKFEWEKGYSDRYGFYYVEFNDRNKPRYPKASVQYYKKIIIANG
+>Q96B96_PF16015_20 <unknown description>
+LSLLIDSFQNNSKVVAFMKSPVGQYLDSHPFLAFTLLVFIVMSAVPVGFFLLIVVLTTLA
+ALLGVIILEGLVISVGGFSLLCILCGLGFVSLAMSGMMIASYVVVSSL
+>Q9H6V9_PF10230_44 <unknown description>
+KLLIFIIPGNPGFSAFYVPFAKALYSLTNRRFPVWTISHAGHALAPKDKKILTTSEDSNA
+QEIKDIYGLNGQIEHKLAFLRTHVPKDMKLVLIGHSIGSYFTLQMLKRVPELPVIRAFLL
+FPTIERMSESPNGRIATPLLCWFRYVLYVTGYLLLKPCPETIKSLLIRRGLQVMNLENEF
+SPLNILEPFCLANAAYLGGQEMMEVVKRDDETIKEHLCKLTFYYGTIDPWCPKEYYEDIK
+KDFPEGDIRLCEKNIPHAFI
+>Q86U70_PF01803_69 <unknown description>
+YRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIGRTLIPRYF
+RSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMF
+DDMMRIKTWHFSIRQHRELIPRSILAMHAQ
+>Q86U70_PF01803_220 <unknown description>
+PQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLK
+>Q86U70_PF17916_336 <unknown description>
+DVMVVGEPTLMGGEFGDEDERLITRLENT
+>O43679_PF01803_30 <unknown description>
+YRIYEMNKRLQSRTEDSDNLWWDAFATEFFEDDATLTLSFCLEDGPKRYTIGRTLIPRYF
+STVFEGGVTDLYYILKHSKESYHNSSITVDCDQCTMVTQHGKPMFTKVCTEGRLILEFTF
+DDLMRIKTWHFTIRQYRELVPRSILAMHAQ
+>O43679_PF01803_182 <unknown description>
+QVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLK
+>O43679_PF17916_298 <unknown description>
+DVMVVGEPTLMGGEFGDEDERLITRLENT
+>O75112_PF00595_9 <unknown description>
+GPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTMTHLEAQNK
+IKSASYNLSLTLQ
+>O75112_PF15936_149 <unknown description>
+IIHAQYNTPISMYSQDAIMDAIAGQAQAQGSDFSGSLPIKDLAVDSASPVYQAVIKSQNK
+PEDEADEWARRSSNLQSRSFRILAQMTGTEFMQDPDEEALR
+>Q6ZMR3_PF00056_22 <unknown description>
+KISIVGTGSVGVACAISILLKGLSDELVLVDVDEGKLKGETMDLQHGSPFMKMPNIVSSK
+DYLVTANSNLVIITAGARQKKGETRLDLVQRNVSIFKLMIPNITQYSPHCKLLIVTNPVD
+ILTYVAWKLSGFPKNRVIG
+>Q6ZMR3_PF02866_164 <unknown description>
+NLDSARFRYFIGQRLGIHSESCHGLILGEHGDSSVPVWSGVNIAGVPLKDLNPDIGTDKD
+PEQWENVHKKVISSGYEMVKMKGYTSWGISLSVADLTESILKNLRRVHPVSTLSKGLYGI
+NEDIFLSVPCILGENGITDLIKVKLTLEEEACLQKSAETLWEIQK
+>Q9BYZ2_PF00056_71 <unknown description>
+KVSIIGTGSVGMACAISILLKGLSDELALVDLDEDKLKGETMDLQHGSPFTKMPNIVCSK
+DYFVTANSNLVIITAGARQEKGETRLNLVQRNVAIFKLMISSIVQYSPHCKLIIVSNPVD
+ILTYVAWKLSAFPKNRIIG
+>Q9BYZ2_PF02866_213 <unknown description>
+NLDTARFRFLIGQKLGIHSESCHGWILGEHGDSSVPVWSGVNIAGVPLKDLNSDIGTDKD
+PEQWKNVHKEVTATAYEIIKMKGYTSWAIGLSVADLTESILKNLRRIHPVSTIIKGLYGI
+DEEVFLSIPCILGENGITNLIKIKLTPEEEAHLKKSAKTLWEIQNK
+>P00338_PF00056_22 <unknown description>
+KITVVGVGAVGMACAISILMKDLADELALVDVIEDKLKGEMMDLQHGSLFLRTPKIVSGK
+DYNVTANSKLVIITAGARQQEGESRLNLVQRNVNIFKFIIPNVVKYSPNCKLLIVSNPVD
+ILTYVAWKISGFPKNRVIG
+>P00338_PF02866_164 <unknown description>
+NLDSARFRYLMGERLGVHPLSCHGWVLGEHGDSSVPVWSGMNVAGVSLKTLHPDLGTDKD
+KEQWKEVHKQVVESAYEVIKLKGYTSWAIGLSVADLAESIMKNLRRVHPVSTMIKGLYGI
+KDDVFLSVPCILGQNGISDLVKVTLTSEEEARLKKSADTLW
+>P07195_PF00056_23 <unknown description>
+KITVVGVGQVGMACAISILGKSLADELALVDVLEDKLKGEMMDLQHGSLFLQTPKIVADK
+DYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKFIIPQIVKYSPDCIIIVVSNPVD
+ILTYVTWKLSGLPKHRVIG
+>P07195_PF02866_165 <unknown description>
+NLDSARFRYLMAEKLGIHPSSCHGWILGEHGDSSVAVWSGVNVAGVSLQELNPEMGTDND
+SENWKEVHKMVVESAYEVIKLKGYTNWAIGLSVADLIESMLKNLSRIHPVSTMVKGMYGI
+ENEVFLSLPCILNARGLTSVINQKLKDDEVAQLKKSADTLWDIQKD
+>P07864_PF00056_21 <unknown description>
+CKITIVGTGAVGMACAISILLKDLADELALVDVALDKLKGEMMDLQHGSLFFSTSKITSG
+KDYSVSANSRIVIVTAGARQQEGETRLALVQRNVAIMKSIIPAIVHYSPDCKILVVSNPV
+DILTYIVWKISGLPVTRVIG
+>P07864_PF02866_164 <unknown description>
+NLDSARFRYLIGEKLGVHPTSCHGWIIGEHGDSSVPLWSGVNVAGVALKTLDPKLGTDSD
+KEHWKNIHKQVIQSAYEIIKLKGYTSWAIGLSVMDLVGSILKNLRRVHPVSTMVKGLYGI
+KEELFLSIPCVLGRNGVSDVVKINLNSEEEALFKKSAETLWNIQ
+>Q86WU2_PF01565_66 <unknown description>
+PDAVVWPQNVEQVSRLAALCYRQGVPIIPFGTGTGLEGGVCAVQGGVCVNLTHMDRILEL
+NQEDFSVVVEPGVTRKALNAHLRDSGLWFPVDPGADASLCGMAATGASGTNAVRYGTMRD
+NVLNLEVVLPDGRLLHT
+>Q86WU2_PF02913_243 <unknown description>
+PEATVAATCAFPSVQAAVDSTVHILQAAVPVARIEFLDEVMMDACNRYSKLNCLVAPTLF
+LEFHGSQQALEEQLQRTEEIVQQNGASDFSWAKEAEERSRLWTARHNAWYAALATRPGCK
+GYSTDVCVPISRLPEIVVQTKEDLNASGLTGSIVGHVGDGNFHCILLVNPDDAEELGRVK
+AFAEQLGRRALALHGTCTGEHGIGMGKRQLLQEEVGAVGVETMRQLKAVLDPQGLMNPGK
+V
+>P01130_PF00057_26 <unknown description>
+RCERNEFQCQDGKCISYKWVCDGSAECQDGSDESQETC
+>P01130_PF00057_67 <unknown description>
+TCKSGDFSCGGRVNRCIPQFWRCDGQVDCDNGSDEQGC
+>P01130_PF00057_107 <unknown description>
+KTCSQDEFRCHDGKCISRQFVCDSDRDCLDGSDEASC
+>P01130_PF00057_147 <unknown description>
+TCGPASFQCNSSTCIPQLWACDNDPDCEDGSDEWPQRC
+>P01130_PF00057_196 <unknown description>
+PCSAFEFHCLSGECIHSSWRCDGGPDCKDKSDEENC
+>P01130_PF00057_235 <unknown description>
+TCRPDEFQCSDGNCIHGSRQCDREYDCKDMSDEVGC
+>P01130_PF00057_276 <unknown description>
+CEGPNKFKCHSGECITLDKVCNMARDCRDWSDEPIKEC
+>P01130_PF14670_318 <unknown description>
+CLDNNGGCSHVCNDLKIGYECLCPDGFQLVA
+>P01130_PF07645_354 <unknown description>
+DIDECQDPDTCSQLCVNLEGGYKCQCEEGFQLDPHTKAC
+>P01130_PF00058_439 <unknown description>
+NRIYWSDLSQRMICSTQLDRAHGVSSYDTVISRDIQAPDGLAVDW
+>P01130_PF00058_487 <unknown description>
+NIYWTDSVLGTVSVADTKGVKRKTLFRENGSKPRAIVVDP
+>P01130_PF00058_529 <unknown description>
+GFMYWTDWGTPAKIKKGGLNGVDIYSLVTENIQWPNGITLD
+>P01130_PF00058_573 <unknown description>
+GRLYWVDSKLHSISSIDVNGGNRKTILEDEKRLAHPFSLAVF
+>P01130_PF00058_616 <unknown description>
+DKVFWTDIINEAIFSANRLTGSDVNLLAENLLSPEDMVLFH
+>P01130_PF14670_673 <unknown description>
+SNGGCQYLCLPAPQINPHSPKFTCACPDGMLLARDMRSC
+>O95751_PF16297_25 <unknown description>
+QLMEQLRLLVCERASLLRQVRPPSCPVPFPETFNGESSRLPEFIVQTASYMLVNENRFCN
+DAMKVAFLISLLTGEAEEWVVPYIEMDSPILGDYRAFLDEMKQCFGWDDDEDD
+>Q969E1_PF07359_1 <unknown description>
+MWHLKLCAVLMIFLLLLGQIDGSPIPEVSSAKRRPRRMTPFWRGVSLRPIGASCRDDSEC
+ITRLCRKRRCSLSVAQE
+>O14960_PF01551_52 <unknown description>
+PHQGVDILCSAGSTVYAPFTGMIVGQEKPYQNKNAINNGVRISGRGFCVKMFYIKPIKYK
+GPIKKGEKLGTLLPLQKVYPGIQSHVHIE
+>Q9UJU2_PF08347_9 <unknown description>
+GGGGGDPELCATDEMIPFKDEGDPQKEKIFAEISHPEEEGDLADIKSSLVNESEIIPASN
+GHEVARQAQTSQEPYHDKAREHPDDGKHPDGGLYNKGPSYSSYSGYIMMPNMNNDPYMSN
+GSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQGMSRHP
+PAPDIPTFYPLSPGGVGQITPPLGW
+>Q9UJU2_PF00505_299 <unknown description>
+IKKPLNAFMLYMKEMRANVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQL
+HMQLYPGW
+>Q05315_PF00337_12 <unknown description>
+ASLSTGSTVTIKGRPLACFLNEPYLQVDFHTEMKEESDIVFHFQVCFGRRVVMNSREYGA
+WKQQVESKNMPFQDGQEFELSISVLPDKYQVMVNGQSSYTFDHRIKPEAVKMVQVWRDIS
+LTKFN
+>Q96DT0_PF00337_26 <unknown description>
+PYVTTIFGGLHAGKMVMLQGVVPLDAHRFQVDFQCGCSLCPRPDIAFHFNPRFHTTKPHV
+ICNTLHGGRWQREARWPHLALRRGSSFLILFLFGNEEVKVSVNGQHFLHFRYRLPLSHVD
+TLGIFGDILVEAV
+>Q96DT0_PF00337_192 <unknown description>
+HALPQGLSPGQVIIVRGLVLQEPKHFTVSLRDQAAHAPVTLRASFADRTLAWISRWGQKK
+LISAPFLFYPQRFFEVLLLFQEGGLKLALNGQGLGATSMNQQALEQLRELRISGSVQLYC
+VH
+>A8MUM7_PF00337_8 <unknown description>
+YKLPVSLSVGSCVIIKGTLIDSSINEPQLQVDFYTEMNEDSEIAFHLRVHLGRRVVMNSR
+EFGIWMLEENLHYVPFEDGKPFDLRIYVCHNEYEVKVNGEYIYAFVHRIPPSYVKMIQVW
+RDVSLDSVL
+>Q6P5S2_PF05612_30 <unknown description>
+PPLWKESPGQLSDYRVENSMYIINPWVYLERMGMYKIILNQTARYFAKFAPDNEQNILWG
+LPLQYGWQYRTGRLADPTRRTNCGYESGDHMCISVDSWWADLNYFLSSLPFLAAVDSGVM
+GISSDQVRLLPPPKNERKFCYDVSSCRSSFPETMNKWNTFYQYLQSPFSKFDDLLKYLWA
+AHTSTLADNIKSFEDRYDYYSKAEAHFERSWVLAVDHLAAVLFPTTLIRSYKFQKGMPPR
+ILLNTDVAPFISDFTAFQNVVLVLLNMLDNVDKSI
+>P09382_PF00337_10 <unknown description>
+LNLKPGECLRVRGEVAPDAKSFVLNLGKDSNNLCLHFNPRFNAHGDANTIVCNSKDGGAW
+GTEQREAVFPFQPGSVAEVCITFDQANLTVKLPDGYEFKFPNRLNLEAINYMAADGDFKI
+KCVA
+>P05162_PF00337_9 <unknown description>
+NMDMKPGSTLKITGSIADGTDGFVINLGQGTDKLNLHFNPRFSESTIVCNSLDGSNWGQE
+QREDHLCFSPGSEVKFTVTFESDKFKVKLPDGHELTFPNRLGHSHLSYLSVRGGFNMSSF
+>P17931_PF00337_117 <unknown description>
+PYNLPLPGGVVPRMLITILGTVKPNANRIALDFQRGNDVAFHFNPRFNENNRRVIVCNTK
+LDNNWGREERQSVFPFESGKPFKIQVLVEPDHFKVAVNDAHLLQYNHRVKKLNEISKLGI
+SGDIDLTSA
+>P56470_PF00337_18 <unknown description>
+PYYQPIPGGLNVGMSVYIQGVASEHMKRFFVNFVVGQDPGSDVAFHFNPRFDGWDKVVFN
+TLQGGKWGSEERKRSMPFKKGAAFELVFIVLAEHYKVVVNGNPFYEYGHRLPLQMVTHLQ
+VDGDLQLQSINF
+>P56470_PF00337_193 <unknown description>
+PYFGRLQGGLTARRTIIIKGYVPPTGKSFAINFKVGSSGDIALHINPRMGNGTVVRNSLL
+NGSWGSEEKKITHNPFGPGQFFDLSIRCGLDRFKVYANGQHLFDFAHRLSAFQRVDTLEI
+QGDVTLSYVQ
+>P47929_PF00337_7 <unknown description>
+KSSLPEGIRPGTVLRIRGLVPPNASRFHVNLLCGEEQGSDAALHFNPRLDTSEVVFNSKE
+QGSWGREERGPGVPFQRGQPFEVLIIASDDGFKAVVGDAQYHHFRHRLPLARVRLVEVGG
+DVQLDSVR
+>O00214_PF00337_18 <unknown description>
+PFVGTIPDQLDPGTLIVIRGHVPSDADRFQVDLQNGSSMKPRADVAFHFNPRFKRAGCIV
+CNTLINEKWGREEITYDTPFKREKSFEIVIMVLKDKFQVAVNGKHTLLYGHRIGPEKIDT
+LGIYGKVNIHSIG
+>O00214_PF00337_186 <unknown description>
+PFAARLNTPMGPGRTVVVKGEVNANAKSFNVDLLAGKSKDIALHLNPRLNIKAFVRNSFL
+QESWGEEERNITSFPFSPGMYFEMIIYCDVREFKVAVNGVHSLEYKHRFKELSSIDTLEI
+NGDIHLLEV
+>Q3B8N2_PF00337_16 <unknown description>
+PFSGTIQGGLQDGFQITVNGAVLSSSGTRFAVDFQTGFSGNDIAFHFNPRFEDGGYVVCN
+TRQKGRWGPEERKMHMPFQKGMPFDLCFLVQSSDFKVMVNGSLFVQYFHRVPFHRVDTIS
+VNGSVQLSYIS
+>Q3B8N2_PF00337_227 <unknown description>
+PFITTIPGGLYPSKSIILSGTVLPSAQRFHINLCSGSHIAFHMNPRFDENAVVRNTQINN
+SWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHVFEYYHRLRNLPTINKLEVG
+GDIQLTHVQ
+>Q6DKI2_PF00337_16 <unknown description>
+PFSGTIQGGLQDGFQITVNGAVLSCSGTRFAVDFQTGFSGNDIAFHFNPRFEDGGYVVCN
+TRQKGTWGPEERKMHMPFQKGMPFDLCFLVQSSDFKVMVNGSLFVQYFHRVPFHRVDTIS
+VNGSVQLSYIS
+>Q6DKI2_PF00337_227 <unknown description>
+PFITTIPGGLYPSKSIILSGTVLPSAQRFHINLCSGSHIAFHMNPRFDENAVVRNTQINN
+SWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHVFEYYHRLRNLPTINKLEVG
+GDIQLTHVQ
+>O00182_PF00337_16 <unknown description>
+PFSGTIQGGLQDGLQITVNGTVLSSSGTRFAVNFQTGFSGNDIAFHFNPRFEDGGYVVCN
+TRQNGSWGPEERKTHMPFQKGMPFDLCFLVQSSDFKVMVNGILFVQYFHRVPFHRVDTIS
+VNGSVQLSYIS
+>O00182_PF00337_226 <unknown description>
+PFITTILGGLYPSKSILLSGTVLPSAQRFHINLCSGNHIAFHLNPRFDENAVVRNTQIDN
+SWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHLFEYYHRLRNLPTINRLEVG
+GDIQLTHVQ
+>Q3ZCW2_PF00337_39 <unknown description>
+PFCGHIKGGMRPGKKVLVMGIVDLNPESFAISLTCGDSEDPPADVAIELKAVFTDRQLLR
+NSCISGERGEEQSAIPYFPFIPDQPFRVEILCEHPRFRVFVDGHQLFDFYHRIQTLSAID
+TIKINGDLQITK
+>Q5T871_PF15042_1 <unknown description>
+MSSDDKSKSNDPKTEPKNCDPKCEQKCESKCQPSCLKKLLQRCFEKCPWEKCPAPPKCLP
+CPSQSPSSCPPQPCTKPCPPKCPSSCPHACPPPCPP
+>Q68G75_PF03020_3 <unknown description>
+DVKCLSDCKLQNQLEKLGFSPGPILPSTRKLYEKKLVQLL
+>Q8NC56_PF03020_2 <unknown description>
+AGLSDLELRRELQALGFQPGPITDTTRDVYRNKLRRLR
+>Q8NC56_PF09402_264 <unknown description>
+KQKAALLELLHELYNFLAIQAGNFECGNPENLKSKCIPVMEAQEYIANVTSSSSAKFEAA
+LTWILSSNKDVGIWLKGEDQSELVTTVDKVVCLESAHPRMGVGCRLSRALLTAVTNVLIF
+FWCLAFLWGLLILLKYRWRKLEEEEQAMYEMVKKIIDVVQDHYVDWEQDMERYPYVGILH
+VRDSLIPPQSRRRMKRVWDRAVEFLASNESRIQTESHRVAGEDMLVWRWT
+>Q9Y5L5_PF15221_1 <unknown description>
+MQPRTQPLAQTLPFFLGGAPRDTGLRVPVIKMGTGWEGFQRTLKEVAYILLCCWCIKELL
+D
+>Q96BZ8_PF10197_8 <unknown description>
+SWHVRNKDNVARVRRDEAQAREEEKERERRVLLAQQE
+>Q96PV6_PF03399_565 <unknown description>
+TCAPDPSTVRPVAVLKKSLCMVKCHWKEKQDYAFACEQMKSIRQDLTVQGIRTEFTVEVY
+ETHARIALEKGDHEEFNQCQTQLKSLYAENLPGNVGEFTAYRILYYIFTKNSGDITTELA
+YLTRELKADPCVAHALALRTAWALGNYHRFFRLYCHAPCMSGYLVDKFADRERKVALKAM
+IKTFRPALPVSYLQAELAFEGEAACRAFLEPLGLAY
+>Q8WVC0_PF04004_374 <unknown description>
+YFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVENTIRWRIRRDEEGNEI
+KESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTGLQGQAVFKTKLTFRP
+HSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEM
+>P48357_PF18589_126 <unknown description>
+NWNIQCWLKGDLKLFICYVESLFKNLFRNYNYKVHLLYVLPEVLEDSPLVPQKGSFQMVH
+CNCSVHECCECLVPVPTAKLNDTLLMCLKITSGGVIFQSPLMSVQPIN
+>P48357_PF06328_330 <unknown description>
+TQDVIYFPPKILTSVGSNVSFHCIYKKENKIVPSKEIVWWMNLAEKIPQSQYDVVSDHVS
+KVTFFNLNETKPRGKFTYDAVYCCNEHECHH
+>P48357_PF18589_431 <unknown description>
+NINISCETDGYLTKMTCRWSTSTIQSLAESTLQLRYHRSSLYCSDIPSIHPISEPKDCYL
+QSDGFYECIFQPIFLLSGYTMWIRINHSLGSLDSPPTCVLPD
+>P41159_PF02024_23 <unknown description>
+PIQKVQDDTKTLIKTIVTRINDISHTQSVSSKQKVTGLDFIPGLHPILTLSKMDQTLAVY
+QQILTSMPSRNVIQISNDLENLRDLLHVLAFSKSCHLPWASGLETLDSLGGVLEASGYST
+EVVALSRLQGSLQDMLWQLDLSPGC
+>O95214_PF04133_7 <unknown description>
+LISLSFGGAIGLMFLMLGCALPIYNKYWPLFVLFFYILSPIPYCIARRLVDDTDAMSNAC
+KELAIFLTTGIVVSAFGLPIVFARAHLIEWGACALVLTGNTVIFATILGFFLVFGSN
+>O95202_PF07766_155 <unknown description>
+QRVLDELKHYYHGFRLLWIDTKIAARMLWRILNGHSLTRRERRQFLRICADLFRLVPFLV
+FVVVPFMEFLLPVAVKLFPNMLPSTFETQSLKEERLKKELRVKLELAKFLQDTIEEMALK
+NKAAKGSATKDFSVFFQKIRETGERPSNEEIMRFSKLFEDELTLDNLTRPQLVALCKLLE
+LQSIGTNNFLRFQLTMRLRSIKADDKLIAEEGVDSLNVKELQAACRARGMRALGVTEDRL
+RGQLKQWLDLHLHQEIPTSLLILS
+>Q2VYF4_PF19324_1 <unknown description>
+MAFYSYNSVLAIARTRFPSHFVHPTCSSYSPSCAFLHLPDSHLNKTCMKNYESKKYSDPS
+QPGNTVLHPGTRLIQKLHTSTCWLQEVPGKPQLEQATKHPQVTSPQATKET
+>Q2VYF4_PF07766_124 <unknown description>
+QKIMDELKYYYNGFYLLWIDAKVAARMVWRLLHGQVLTRRERRRLLRTCVDFFRLVPFMV
+FLIVPFMEFLLPVFLKLFPEMLPSTFESESKKEEKQKKKMAVKLELAKFLQETMTEMARR
+NRAKMGDASTQLSSYVKQVQTGHKPSTKEIVRFSKLFEDQLALEHLDRPQLVALCKLLEL
+QTFGTNNLLRFQLLMKLKSIKADDEIIAKEGVTALSVSELQAACRARGMRSLGLTEEQLR
+QQLTEWQDLHLKENVPPSLLLLS
+>Q6UYE1_PF15760_28 <unknown description>
+WGWGDGPVAPGNPRDPDHVSTAPARRSGPPRARPGPGREERGGGVGTRSRRTAARANSPE
+EEVVRGAEGGAELLPFPRDRGPCTLAQMAMRSALARVVDSTSELVSVEQTLLGPLQQERS
+FPIHLKDSVEFRNICSHLALQIEGQQFDRDLNAAHQCLKTIVKKLIQSLANFPSDAHMVA
+CASLRQILQNLPDI
+>A8MZ59_PF00046_9 <unknown description>
+RRPRTRFLSKQLTALRELLEKTMHPSLATMGKLASKLQLDLSVVKIWFKNQRAKWKR
+>Q7Z429_PF01027_159 <unknown description>
+QAFIRKVFLVLTLQLSVTLSTVSVFTFVAEVKGFVRENVWTYYVSYAVFFISLIVLSCCG
+DFRRKHPWNLVALSVLTASLSYMVGMIASFYNTEAVIMAVGITTAVCFTVVIFSMQTRYD
+FTSCMGVLLVSMVVLFIFAILCIFIRNRILEIVYASLGALLFTCFLAVDTQLLLGNKQLS
+LSPEEYVFAALNLYTDIINIFLYILTI
+>Q9BWQ8_PF01027_101 <unknown description>
+VFVRKVYTILLIQLLVTLAVVALFTFCDPVKDYVQANPGWYWASYAVFFATYLTLACCSG
+PRRHFPWNLILLTVFTLSMAYLTGMLSSYYNTTSVLLCLGITALVCLSVTVFSFQTKFDF
+TSCQGVLFVLLMTLFFSGLILAILLPFQYVPWLHAVYAALGAGVFTLFLALDTQLLMGNR
+RHSLSPEEYIFGALNIYLDIIYIFTFFLQLF
+>Q969X1_PF01027_97 <unknown description>
+TFIRKVYSIISVQLLITVAIIAIFTFVEPVSAFVRRNVAVYYVSYAVFVVTYLILACCQG
+PRRRFPWNIILLTLFTFAMGFMTGTISSMYQTKAVIIAMIITAVVSISVTIFCFQTKVDF
+TSCTGLFCVLGIVLLVTGIVTSIVLYFQYVYWLHMLYAALGAICFTLFLAYDTQLVLGNR
+KHTISPEDYITGALQIYTDIIYIFTFVLQLM
+>Q9HC24_PF01027_33 <unknown description>
+AFLRKVYSILSLQVLLTTVTSTVFLYFESVRTFVHESPALILLFALGSLGLIFALILNRH
+KYPLNLYLLFGFTLLEALTVAVVVTFYDVYIILQAFILTTTVFFGLTVYTLQSKKDFSKF
+GAGLFALLWILCLSGFLKFFFYSEIMELVLAAAGALLFCGFIIYDTHSLMHKLSPEEYVL
+AAISLYLDIINLFLHLLRFL
+>Q8NES3_PF02434_110 <unknown description>
+LAPRDVFIAVKTTKKFHRARLDLLLETWISRHKEMTFIFTDGEDEALARHTGNVVITNCS
+AAHSRQALSCKMAVEYDRFIESGRKWFCHVDDDNYVNLRALLRLLASYPHTRDVYVGKPS
+LDRPIQAMERVSENKVRPVHFWFATGGAGFCISRGLALKMSPWASGGHFMNTAERIRLPD
+DCTIGYIVEALLGVPLIRSGLFHSHLENLQQVPTSELHEQVTLSYGMFENKRNAVHVKGP
+FSVEADPSR
+>O75610_PF00688_29 <unknown description>
+GSLLRQLQLKEVPTLDRADMEELVIPTHVRAQYVALLQRSHGDRSRGKRFSQSFREVAGR
+FLALEASTHLLVFGMEQRLPPNSELVQAVLRLFQEPVPKAALHRHGRLSPRSARARVTVE
+WLRVRDDGSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQ
+>O75610_PF00019_262 <unknown description>
+RCCRQEMYIDLQGMKWAENWVLEPPGFLAYECVGTCRQPPEALAFKWPFLGPRQCIASET
+DSLPMIVSIKEGGRTRPQVVSLPNMRVQKCSC
+>O00292_PF00688_96 <unknown description>
+THLLVFGMEQRLPPNSELVQAVLRLFQEPVPKAALHRHGRLSPRSAQARVTVEWLRVRDD
+GSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQ
+>O00292_PF00019_262 <unknown description>
+RCCRQEMYIDLQGMKWAKNWVLEPPGFLAYECVGTCQQPPEALAFNWPFLGPRQCIASET
+ASLPMIVSIKEGGRTRPQVVSLPNMRVQKCSC
+>Q08380_PF00530_28 <unknown description>
+DGGATNQGRVEIFYRGQWGTVCDNLWDLTDASVVCRALGFENATQALGRAAFGQGSGPIM
+LDEVQCTGTEASLADCKSLGWLKSNCRHERDAGVVCT
+>Q08380_PF07707_261 <unknown description>
+LDLYAYAVATGDALLEKLCLQFLAWNFEALTQAEAWPSVPTDLLQLLLPRSDLAVPSELA
+LLKAVDTWSWGERASHEEVEGLVEKIRFPMMLPEELFE
+>Q92604_PF01553_92 <unknown description>
+KDEAVMLVNHQATGDVCTLMMCLQDKGLVVAQMMWLMDHIFKYTNFGIVSLVHGDFFIRQ
+GRSYRDQQLLLLKKHLENNYRSRDRKWIVLFPEGGFLRKRRETSQAFAKK
+>Q92604_PF16076_275 <unknown description>
+RKPTVTHVHYRIFPIKDVPLETDDLTTWLYQRFVEKEDLLSHFYETGAFPPSKGHKEAVS
+REMTLSNLW
+>O95970_PF13855_115 <unknown description>
+PHLEYLFIENNNIKSISRHTFRGLKSLIHLSLANNNLQTLPKDIFKGLDSLTNVDLRGNS
+>O95970_PF03736_226 <unknown description>
+FAKSQDLPYQSLSIDTFSYLNDEYVVIAQPFTGKCIFLEWD
+>O95970_PF03736_272 <unknown description>
+FRNYDNITGTSTVVCKPIVIETQLYVIVAQLFGGSHIYKRD
+>O95970_PF03736_317 <unknown description>
+KFIKIQDIEILKIRKPNDIETFKIENNWYFVVADSSKAGFTTIYKWN
+>O95970_PF03736_366 <unknown description>
+GFYSHQSLHAWYRDTDVEYLEIVRTPQTLRTPHLILSSSSQRPVIYQWN
+>O95970_PF03736_420 <unknown description>
+FTNQTDIPNMEDVYAVKHFSVKGDVYICLTRFIGDSKVMKW
+>O95970_PF03736_465 <unknown description>
+FQDIQRMPSRGSMVFQPLQINNYQYAILGSDYSFTQVYNWD
+>O95970_PF03736_510 <unknown description>
+KFVKFQELNVQAPRSFTHVSINKRNFLFASSFKGNTQIYKH
+>Q8N0V4_PF13855_110 <unknown description>
+HLEYLFIEGNKIETISRNAFRGLRDLTHLSLANNHIKALPRDVFSDLDSLIELDLRGNKF
+>Q8N0V4_PF03736_219 <unknown description>
+DFVVHQTLPYQSVSVDTFNSKNDVYVAIAQPSMENCMVLEWD
+>Q8N0V4_PF03736_266 <unknown description>
+FRSYDNITGQSIVGCKAILIDDQVFVVVAQLFGGSHIYKYD
+>Q8N0V4_PF03736_311 <unknown description>
+KFVKFQDIEVSRISKPNDIELFQIDDETFFVIADSSKAGLSTVYKWN
+>Q8N0V4_PF03736_360 <unknown description>
+GFYSYQSLHEWFRDTDAEFVDIDGKSHLILSSRSQVPIILQWN
+>Q8N0V4_PF03736_407 <unknown description>
+KFVPHGDIPNMEDVLAVKSFRMQNTLYLSLTRFIGDSRVMRWN
+>Q8N0V4_PF03736_452 <unknown description>
+QFVEIQALPSRGAMTLQPFSFKDNHYLALGSDYTFSQIYQWD
+>Q8N145_PF13855_113 <unknown description>
+HLQYLFIENNDIWALSKFTFRGLKSLTHLSLANNNLQTLPRDIFRPLDILNDLDLRGNSL
+>Q8N145_PF03736_222 <unknown description>
+DFVLYQTLAFPAVSAEPFLYSSDLYLALAQPGVSACTILKWD
+>Q8N145_PF03736_270 <unknown description>
+RDYDRIPAPSAVHCKPMVVDSQLYVVVAQLFGGSYIYHWD
+>Q8N145_PF03736_314 <unknown description>
+RFTRLQDIDPQRVRKPNDLEAFRIDGDWYFAVADSSKAGATSLYRW
+>Q8N145_PF03736_363 <unknown description>
+GFYSHQALHPWHRDTDLEFVDGEGKPRLIVSSSSQAPVIYQWS
+>Q8N145_PF03736_411 <unknown description>
+FVAQGEVTQVPDAQAVKHFRAGRDSYLCLSRYIGDSKILRWE
+>Q8N145_PF03736_455 <unknown description>
+RFSEVQALPSRGSLALQPFLVGGRRYLALGSDFSFTQIYQWD
+>Q8N145_PF03736_501 <unknown description>
+KFVRFQELAVQAPRAFCYMPAGDAQLLLAPSFKGQTLVYRH
+>Q8N135_PF13855_101 <unknown description>
+HLQYLFIEDNEIGSISKNALRGLRSLTHLSLANNHLETLPRFLFRGLDTLTHVDLRGNP
+>Q8N135_PF03736_214 <unknown description>
+FQTVGESALSVEPFSYQGEPHIVLAQPFAGRCLILSWD
+>Q8N135_PF03736_351 <unknown description>
+GFYPHQSLHAWHRDTDAEALELDGRPHLLLASASQRPVLFHW
+>Q8N135_PF03736_396 <unknown description>
+RFERRTDIPEAEDVYATRHFQAGGDVFLCLTRYIGDSMVMRWD
+>Q99538_PF01650_29 <unknown description>
+HWVVIVAGSNGWYNYRHQADACHAYQIIHRNGIPDEQIVVMMYDDIAYSEDNPTPGIVIN
+RPNGTDVYQGVPKDYTGEDVTPQNFLAVLRGDAEAVKGIGSGKVLKSGPQDHVFIYFTDH
+GSTGILVFPNEDLHVKDLNETIHYMYKHKMYRKMVFYIEACESGSMMNHLPDNINVYATT
+AANPRESSYACYYDEKRSTYLGDWYSVNWMEDSDVEDLTKETLHKQYHLVKSHTNTSHVM
+QYGNKTISTMKVMQFQG
+>Q9BXB1_PF01462_29 <unknown description>
+CAAPCSCDGDRRVDCSGKGLTAVPEGL
+>Q9BXB1_PF13855_106 <unknown description>
+ELKVLTLQNNQLKTVPSEAIRGLSALQSLRLDANHITSVPEDSFEGLVQLRHLWLDDNSL
+>Q9BXB1_PF13855_177 <unknown description>
+PTLQALTLALNKISSIPDFAFTNLSSLVVLHLHNNKIRSLSQHCFDGLDNLETLDLNYNN
+L
+>Q9BXB1_PF13855_248 <unknown description>
+PSLKELGFHSNSISVIPDGAFDGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHSLVIRG
+>Q9BXB1_PF13855_367 <unknown description>
+LEEISLQRNQIYQIKEGTFQGLISLRILDLSRNLIHEIHSRAFATLGPITNLDVSFNEL
+>Q9BXB1_PF00001_556 <unknown description>
+NLLVILTTFASCTSLPSSKLFIGLISVSNLFMGIYTGILTFLDAVSWGRFAEFGIWWETG
+SGCKVAGFLAVFSSESAIFLLMLATVERSLSAKDIMKNGKSNHLKQFRVAALLAFLGATV
+AGCFPLFHRGEYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLLMAVIYTKLYCNLEKE
+DLSENSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPEIMKSVTLIFFPLPAC
+LNPVLY
+>O75473_PF01462_33 <unknown description>
+GCPTHCHCEPDGRMLLRVDCSDLGLSELPSNL
+>O75473_PF13855_92 <unknown description>
+LEELRLAGNALTYIPKGAFTGLYSLKVLMLQNNQLRHVPTEALQNLRSLQSLRLDANHI
+>O75473_PF13855_188 <unknown description>
+LQAMTLALNKIHHIPDYAFGNLSSLVVLHLHNNRIHSLGKKCFDGLHSLETLDLNYNNL
+>O75473_PF13855_257 <unknown description>
+SNLKELGFHSNNIRSIPEKAFVGNPSLITIHFYDNPIQFVGRSAFQHLPELRTLTLNG
+>O75473_PF00560_353 <unknown description>
+NLQVLDLSYNLLEDLPSFS
+>O75473_PF13855_375 <unknown description>
+KLQKIDLRHNEIYEIKVDTFQQLLSLRSLNLAWNKIAIIHPNAFSTLPSLIKLDLSSNLL
+>Q9HBX8_PF13855_92 <unknown description>
+LEELRLSGNHLSHIPGQAFSGLYSLKILMLQNNQLGGIPAEALWELPSLQSLRLDANLI
+>Q9HBX8_PF13855_188 <unknown description>
+LQAMTLALNRISHIPDYAFQNLTSLVVLHLHNNRIQHLGTHSFEGLHNLETLDLNYNKL
+>Q9HBX8_PF13855_258 <unknown description>
+RLQELGFHNNNIKAIPEKAFMGNPLLQTIHFYDNPIQFVGRSAFQYLPKLHTLSLNG
+>Q9HBX8_PF13855_375 <unknown description>
+KLEEIGLQHNRIWEIGADTFSQLSSLQALDLSWNAIRSIHPEAFSTLHSLVKLDLTDNQL
+>Q5TDP6_PF00120_182 <unknown description>
+TSPRYIAKRQLSHLQASGFSLLSAFIYDFCIFGVPEILNSKIISFPALTFLNNHDQPFMQ
+ELVDGLYHTGANVESFSSSTRPGQMEISFLPEFGISSADNAFTLRTGVKEVARKYNYIAS
+FFIETGFCDSGILSHSLWDVDRKKNMFCSTSGTEQLTITGKKWLAGLLKHSAALSCLMAP
+SVSCRKRYSKDRKDLKKSVPTTWGYNDNSCIFNIKCHGEKGTRIENKLGSATANPYLVLA
+ATVAAGLDGLHSSNEVLAGPDESTDFYQVEPSEIPLKLEDALVALEEDQCLRQALGETFI
+RYFVAMKKYELENEEIAAERNKFLEY
+>Q04760_PF00903_33 <unknown description>
+QQTMLRVKDPKKSLDFYTRVLGMTLIQKCDFPIMKFSLYFLAYEDKNDIPKEKDEKIAWA
+LSRKATLELTHNWGTEDDETQSYHNGNSDPRGFGHIGIAVPDVYSACKRFEELGVKFVKK
+PDDGKMKGLAFIQDPDGYWIEI
+>Q86WI0_PF10242_8 <unknown description>
+VGTLWAFLSLVTAVTSSTSYFLPYWLFGSQMGKPVSFSTFRRCNYPVRGEGHSLIMVEEC
+GRYASFNAIPSLAWQMCTVVTGAGCALLLLVALAAVLGCCMEELISRMMGRCMGAAQFVG
+GLLISSGCALYPLGWNSPEIMQTCGNVSNQFQLGTCRLGWAYYCAGGGAAAAMLICTWLS
+CFAGR
+>Q6ZUX7_PF10242_9 <unknown description>
+RSMLWTLLSIVVAFAELIAFMSADWLIGKARSRGGVEPAGPGGGSPEPYHPTLGIYARCI
+RNPGVQHFQRDTLCGPYAESFGEIASGFWQATAIFLAVGIFILCMVALVSVFTMCVQSIM
+KKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIDYCGHYASAYKPGDCSLGWAFYTA
+IGGTVLTFICAVFSAQ
+>Q86UP9_PF10242_36 <unknown description>
+IGVLWAIFTICFAIVNVVCFIQPYWIGDGVDTPQAGYFGLFHYCIGNGFSRELTCRGSFT
+DFSTLPSGAFKAASFFIGLSMMLIIACIICFTLFFFCNTATVYKICAWMQLTSAACLVLG
+CMIFPDGWDSDEVKRMCGEKTDKYTLGACSVRWAYILAIIGILDALILSFLAFVLGNR
+>Q7Z7J7_PF10242_22 <unknown description>
+IGVLWAIFTICFAIINVVVFIQPYWVGDSVSTPKPGYFGLFHYCVGSGLAGRELTCRGSF
+TDFSTIPSSAFKAAAFFVLLSMVLILGCITCFSLFFFCNTATVYKICAWMQLLAALCLVL
+GCMIFPDGWDAETIRDMCGAKTGKYSLGDCSVRWAYILAIIGILNALILSFLAFVLGNR
+>Q8TAF8_PF10242_25 <unknown description>
+VGVMWGTLTICFSVLVMALFIQPYWIGDSVNTPQAGYFGLFSYCVGNVLSSELICKGGPL
+DFSSIPSRAFKTAMFFVALGMFLIIGSIICFSLFFICNTATVYKICAWMQLAAATGLMIG
+CLVYPDGWDSSEVRRMCGEQTGKYTLGHCTIRWAFMLAILSIGDALILSFLAFVLGYR
+>Q9Y693_PF10242_9 <unknown description>
+GVIWALLSFLCAATSCVGFFMPYWLWGSQLGKPVSFGTFRRCSYPVHDESRQMMVMVEEC
+GRYASFQGIPSAEWRICTIVTGLGCGLLLLVALTALMGCCVSDLISRTVGRVAGGIQFLG
+GLLIGAGCALYPLGWDSEEVRQTCGYTSGQFDLGKCEIGWAYYCTGAGATAAMLLCTWLA
+CFSGK
+>Q6ICI0_PF10242_3 <unknown description>
+SSVWVALGLSLTCTSAFSLISPAWFQTPTFSFGILTYCSWPQGNSWNQSCVTFSSLEDIP
+DFAWKVSAVMLLGGWLLLAFNAIFLLSWAVAPKGLCPRRSSVPMPGVQAVAATAMIVGLL
+IFPIGLASPFIKEVCEASSMYYGGKCRLGWGYMTAILNAVLASLLP
+>Q9H008_PF13344_14 <unknown description>
+VLLDISGVLYDSGAGGGTAIAGSVEAVARLKRSRLKVRFCTNESQKSRAELVGQLQRLGF
+DISEQEVTAPAPAACQILKEQGLRPYLLIHDGVRSEFDQ
+>Q9H008_PF13242_187 <unknown description>
+VGKPSPEFFKSALQAIGVEAHQAVMIGDDIVGDVGGAQRCGMRALQVRTGKFRPSDEHHP
+EVKADGYVDNLAEA
+>P48742_PF00412_4 <unknown description>
+CAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRC
+>P48742_PF00412_63 <unknown description>
+CAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLS
+>P48742_PF00046_181 <unknown description>
+RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERR
+>P50458_PF00412_53 <unknown description>
+CAGCGGKISDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIYCKEDYYRRF
+>P50458_PF00412_115 <unknown description>
+CARCHLGISASEMVMRARDLVYHLNCFTCTTCNKMLTTGDHFGMKDSLVYCRLHFE
+>P50458_PF00046_267 <unknown description>
+KRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRR
+>Q9UBR4_PF00412_31 <unknown description>
+CAGCDQHILDRFILKALDRHWHSKCLKCSDCHTPLAERCFSRGESVYCKDDFFKRF
+>Q9UBR4_PF00412_90 <unknown description>
+CAACQLGIPPTQVVRRAQDFVYHLHCFACVVCKRQLATGDEFYLMEDSRLVCKADYET
+>Q9UBR4_PF00046_158 <unknown description>
+KRPRTTITAKQLETLKSAYNTSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKR
+>Q969G2_PF00412_30 <unknown description>
+CAGCNQHILDKFILKVLDRHWHSSCLKCADCQMQLADRCFSRAGSVYCKEDFFKRF
+>Q969G2_PF00412_89 <unknown description>
+CTACQQGIPPTQVVRKAQDFVYHLHCFACIICNRQLATGDEFYLMEDGRLVCKEDYET
+>Q969G2_PF00046_158 <unknown description>
+KRPRTTITAKQLETLKNAYKNSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKR
+>Q9H2C1_PF00412_5 <unknown description>
+CAGCERPILDRFLLNVLDRAWHIKCVQCCECKTNLSEKCFSREGKLYCKNDFFRRF
+>Q9H2C1_PF00412_64 <unknown description>
+CAGCAQGISPSDLVRKARSKVFHLNCFTCMVCNKQLSTGEELYVIDENKFVCKDDYLS
+>Q9H2C1_PF00046_181 <unknown description>
+RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERR
+>Q9UPM6_PF00412_99 <unknown description>
+CSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIFCKMDYFSRF
+>Q9UPM6_PF00412_160 <unknown description>
+CARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVLCRIHY
+>Q9UPM6_PF00046_249 <unknown description>
+KRARTSFTAEQLQVMQAQFAQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKK
+>Q68G74_PF00412_65 <unknown description>
+CNSCGLEIVDKYLLKVNDLCWHVRCLSCSVCRTSLGRHTSCYIKDKDIFCKLDYFRR
+>Q68G74_PF00412_126 <unknown description>
+CSRCGRHIHSTDWVRRAKGNVYHLACFACFSCKRQLSTGEEFALVEEKVLCRVHY
+>Q68G74_PF00046_216 <unknown description>
+KRARTSFTADQLQVMQAQFAQDNNPDAQTLQKLAERTGLSRRVIQVWFQNCRARHKK
+>Q9NQ69_PF00412_71 <unknown description>
+CAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRF
+>Q9NQ69_PF00412_133 <unknown description>
+CARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFE
+>Q9NQ69_PF00046_268 <unknown description>
+KRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRR
+>O43766_PF16881_4 <unknown description>
+RCGDAARTLGPRVFGRYFCSPVRPLSSLPDKKKELLQNGPDLQDFVSGDLADRSTWDEYK
+GNLKRQKGERLRLPPWLKTEIPMGKNYNKLKNTLRNLNLHTVCEEARC
+>O43766_PF04055_135 <unknown description>
+DTCTRGCRFCSVKTARNPPPLDASEPYNTAKAIAEWGLDYVVLTSVDRDDMPDGGAEHIA
+KTVSYLKERNPKILVECLTPDFRGDLKAIEKVALSGLDVYAHNVETVPELQSKVRDPRAN
+FDQSLRVLKHAKKVQPDVISKTSIMLGLGENDEQVYATMKA
+>P38571_PF00561_80 <unknown description>
+PVVFLQHGLLADSSNWVTNLANSSLGFILADAGFDVWMGNSRGNTWSRKHKTLSVSQDEF
+WAFSYDEMAKYDLPASINFILNKTGQEQVYYVGHSQGTTIGFIAFSQIPELAKRIKMFFA
+LGPVASVAFCTSPMAKLGRLPDHLIKDLFGDKEFLPQSAFLKWLGTHVCTHVILKELCGN
+LCFLLCGFNERNLNMSRVDVYTTHSPAGTSVQNMLHWSQAVKFQKFQAFDWGSSAKNYFH
+YNQSYPPTYNVKDMLVPTAVWSGGHDWLADVYDVNILLTQITNLVFHESIPEWEHLDFIW
+G
+>P42702_PF18207_51 <unknown description>
+HDLKCVTNNLQVWNCSWKAPSGTGRGTDYEVCIENRSRSCYQLEKTSIKIPALSHGDYEI
+TINSLHDFGSSTSKFTLNE
+>P42702_PF17971_131 <unknown description>
+NVSLIPDTPEILNLSADFSTSTLYLKWNDRGSVFPHRSNVIWEIKVLRKESMELVKLVTH
+NTTLNGKDTLHHWSWASDMPLECAIHFVEIRCYIDNLHFSGLEEWSDWSPVKNI
+>P42702_PF00041_436 <unknown description>
+PTSFKVKDINSTAVKLSWHLPGNFAKINFLCEIEIKKSNSVQEQRNVTIKGVENSSYLVA
+LDKLNPYTLYTFRIRCSTE
+>P15018_PF01291_43 <unknown description>
+NLMNQIRSQLAQLNGSANALFILYYTAQGEPFPNNLDKLCGPNVTDFPPFHANGTEKAKL
+VELYRIVVYLGTSLGNITRDQKILNPSALSLHSKLNATADILRGLLSNVLCRLCSKYHVG
+HVDVTYGPDTSGKDVFQKKKLGCQLLGKYKQIIAVLAQAF
+>Q96FE5_PF13855_95 <unknown description>
+PHLEELELNENIVSAVEPGAFNNLFNLRTLGLRSNRLKLIPLGVFTGLSNLTKLDISENK
+I
+>Q96FE5_PF13306_160 <unknown description>
+DYMFQDLYNLKSLEVGDNDLVYISHRAFSGLNSLEQLTLEKCNLTSIPTEALSHLHGLIV
+LRLRHLNINAIRDYSFKRLYRLKVLEISHWPYLDTMTPNCLYGLNLTSLSITHCNLTAV
+>Q96FE5_PF13855_312 <unknown description>
+RLQEIQLVGGQLAVVEPYAFRGLNYLRVLNVSGNQLTTLEESVFHSVGNLETLILDSNPL
+>Q96FE5_PF07679_429 <unknown description>
+RKAQQVFVDEGHTVQFVCRADGDPPPAILWLSPRKHLVSAKSNGRLTVFPDGTLEVRYAQ
+VQDNGTYLCIAANAGGNDSMPAHLHV
+>Q7L985_PF13855_82 <unknown description>
+LLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLVPLGVFTGLSNLTKLDISENKI
+>Q7L985_PF13855_250 <unknown description>
+NLTSLSVTNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQELHIVGA
+>Q7L985_PF07679_415 <unknown description>
+KKLQHLLVDEGQTVQLECSADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQ
+DQDSGMYVCIASNAAGNDTFTASLTV
+>P0C6S8_PF13855_57 <unknown description>
+RLLELSRNRIRCLNPGDLAALPALEELDLSENAIAHVEPGAFANLPRLRVLRLRGNQL
+>P0C6S8_PF13855_151 <unknown description>
+SLRRLEVGDNDLVFVSRRAFAGLLALEELTLERCNLTALSGESLGHLRSLGALRLRH
+>P0C6S8_PF13855_247 <unknown description>
+NLTSLSVTHTNITAVPAAALRHQAHLTCLNLSHNPISTVPRGSFRDLVRLRELHLAG
+>P0C6S8_PF07679_412 <unknown description>
+RRLQRVTATAGEDVRFLCRAEGEPAPTVAWVTPQHRPVTATSAGRARVLPGGTLEIQDAR
+PQDSGTYTCVASNAGGNDTYFATLTV
+>Q6UY18_PF13855_65 <unknown description>
+LDLSGNRLWGLQQGMLSRLSLLQELDLSYNQLSTLEPGAFHGLQSLLTLRLQGNRL
+>Q6UY18_PF13855_157 <unknown description>
+SLQKLEVGDNHLVFVAPGAFAGLAKLSTLTLERCNLSTVPGLALARLPALVALRLR
+>Q6UY18_PF13855_253 <unknown description>
+NLSSLAITRCNLSSVPFQALYHLSFLRVLDLSQNPISAIPARRLSPLVRLQELRLSG
+>Q6UY18_PF13855_312 <unknown description>
+LTSIAAHAFHGLTAFHLLDVADNALQTLEETAFPSPDKLVTLRLSGNPL
+>Q6UY18_PF07679_420 <unknown description>
+PRWVIAEEGGHAVFSCSGDGDPAPTVSWMRPHGAWLGRAGRVRVLEDGTLEIRSVQLRDR
+GAYVCVVSNVAGNDSLRTWLEV
+>Q9UHB6_PF00412_390 <unknown description>
+CVECQKTVYPMERLLANQQVFHISCFRCSYCNNKLSLGTYASLHGRIYCKPHFNQL
+>Q9UGP4_PF00412_472 <unknown description>
+CVKCSKGVFGAGQACQAMGNLYHDTCFTCAACSRKLRGKAFYFVNGKVFCEEDFL
+>Q9UGP4_PF00412_537 <unknown description>
+CFLCGHLIMDMILQALGKSYHPGCFRCVICNECLDGVPFTVDSENKIYCVRDYHK
+>Q9UGP4_PF00412_597 <unknown description>
+CAACGLPILPPEGSDETIRVVSMDRDYHVECYHCEDCGLELNDEDGHRCYPLEDHLFCHS
+CHVKR
+>Q9BT23_PF00412_40 <unknown description>
+CAACQKTVYPMERLVADKLIFHNSCFCCKHCHTKLSLGSYAALHGEFYCKPHFQQL
+>Q9H400_PF15332_27 <unknown description>
+LCTACRRPEDAVAPRKRARRQRARLQGSATAAEASLLRRTHLCSLSKSDTRLHELHRGPR
+SSRALRPASMDLLRPHWLEVSRDITGPQAAPSAFPHQELPRALPAAAATAGCAGLEATYS
+NVGLAALPGVSLAASPVVAEYARVQKRKGTHRSPQEPQQGKTEVTPAAQVDVLYSRVCKP
+KRRDPGPTTDPLDPKGQGAILALAGDLAYQTLPLRALDVDSGPLENVYESIRE
+>P53667_PF00412_25 <unknown description>
+CASCGQRIYDGQYLQALNADWHADCFRCCDCSASLSHQYYEKDGQLFCKKDYWAR
+>P53667_PF00412_84 <unknown description>
+CHGCSEQITKGLVMVAGELKYHPECFICLTCGTFIGDGDTYTLVEHSKLYCGHCYYQT
+>P53667_PF00595_166 <unknown description>
+VSIPASSHGKRGLSVSIDPPHGPPGCGTEHSHTVRVQGVDPGCMSPDVKNSIHVGDRILE
+INGTPIRNVPLDEIDLLIQETSRLLQLTL
+>P53667_PF07714_341 <unknown description>
+HGEVLGKGCFGQAIKVTHRETGEVMVMKELIRFDEETQRTFLKEVKVMRCLEHPNVLKFI
+GVLYKDKRLNFITEYIKGGTLRGIIKSMDSQYPWSQRVSFAKDIASGMAYLHSMNIIHRD
+LNSHNCLVRENKNVVVADFGLARLMVDEKTQPEGLRSLKKPDRKKRYTVVGNPYWMAPEM
+INGRSYDEKVDVFSFGIVLCEIIGRVNADPDYLPRTMDFGLNVRGFLDRYCPPNCPPSFF
+PITVRCCDLDPEKRPSFVKLEH
+>P53671_PF00412_12 <unknown description>
+CPGCGDHIAPSQIWYRTVNETWHGSCFRCSECQDSLTNWYYEKDGKLYCPKDYWGK
+>P53671_PF00412_72 <unknown description>
+CHGCSLLMTGPFMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLYCGKCHN
+>P53671_PF00595_153 <unknown description>
+ISMPATTEGRRGFSVSVESACSNYATTVQVKEVNRMHISPNNRNAIHPGDRILEINGTPV
+RTLRVEEVEDAISQTSQTLQLLIE
+>P53671_PF07714_333 <unknown description>
+HGEVLGKGFFGQAIKVTHKATGKVMVMKELIRCDEETQKTFLTEVKVMRSLDHPNVLKFI
+GVLYKDKKLNLLTEYIEGGTLKDFLRSMDPFPWQQKVRFAKGIASGMAYLHSMCIIHRDL
+NSHNCLIKLDKTVVVADFGLSRLIVEERKRAPMEKATTKKRTLRKNDRKKRYTVVGNPYW
+MAPEMLNGKSYDETVDIFSFGIVLCEIIGQVYADPDCLPRTLDFGLNVKLFWEKFVPTDC
+PPAFFPLAAICCRLEPESRPAFSKLED
+>P48059_PF00412_22 <unknown description>
+CERCKGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEGLFYEFEGRKYCEHDFQM
+>P48059_PF00412_83 <unknown description>
+CHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIGFVKNAGRHLCRPCHNRE
+>P48059_PF00412_147 <unknown description>
+CQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKELTADARELKGELYCLPCHD
+>P48059_PF00412_205 <unknown description>
+CGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLGHRHYERKGLAYCETHYNQL
+>P48059_PF00412_264 <unknown description>
+CFHCNRVIEGDVVSALNKAWCVNCFACSTCNTKLTLKNKFVEFDMKPVCKKCYEK
+>Q7Z4I7_PF00412_15 <unknown description>
+CQRCQARFSPAERIVNSNGELYHEHCFVCAQCFRPFPEGLFYEFEGRKYCEHDFQM
+>Q7Z4I7_PF00412_76 <unknown description>
+CGSCGEFIIGRVIKAMNNNWHPGCFRCELCDVELADLGFVKNAGRHLCRPCHNRE
+>Q7Z4I7_PF00412_140 <unknown description>
+CQRCHLVIDEQPLMFRSDAYHPDHFNCTHCGKELTAEARELKGELYCLPCHD
+>Q7Z4I7_PF00412_198 <unknown description>
+CGACRRPIEGRVVNALGKQWHVEHFVCAKCEKPFLGHRHYEKKGLAYCETHYNQL
+>Q7Z4I7_PF00412_257 <unknown description>
+CYNCSHVIEGDVVSALNKAWCVSCFSCSTCNSKLTLKNKFVEFDMKPVCKRCYEK
+>Q96GY3_PF15306_89 <unknown description>
+PQRSNTYVIKLFDRSVDLAQFSENTPLYPICRAWMRNSPSVRERECSPSSPLPPLPEDEE
+GSEVTNSKSRDVYKLPPPTPPGPPGDACRSRIPSPLQPEMQGTPDDEPSEPEPSPSTLIY
+RNMQRWKRIRQRWKEASHRNQLRYSESMKILR
+>Q2Q1W2_PF00643_276 <unknown description>
+GFCQHHDDEVLHLYCDTCSVPICRECTMGRHGGHSFI
+>Q2Q1W2_PF00630_487 <unknown description>
+TKATGDGLKRALQGKVASFTVIGYDHDGEPRLSGGDLMSAVVLGPDGNLFGAEVSDQQNG
+TYVVSYRPQLEGEHLVSVTLCNQHIENSPFK
+>Q2Q1W2_PF01436_606 <unknown description>
+LCRPWGVSVDKEGYIIVADRSNNRIQVF
+>Q2Q1W2_PF01436_653 <unknown description>
+FDRPAGVACDASRRIVVADKDNHRIQIF
+>Q2Q1W2_PF01436_700 <unknown description>
+FNYPWDVAVNSEGKILVSDTRNHRIQ
+>Q2Q1W2_PF01436_747 <unknown description>
+FDSPRGVAFNHEGHLVVTDFNNHRLLV
+>Q2Q1W2_PF01436_794 <unknown description>
+FLRPQGVAVDQEGRIIVADSRNHRVQMF
+>Q2Q1W2_PF01436_843 <unknown description>
+RPSGIAITPDGMIVVVDFGNNRILVF
+>Q6MZP7_PF03638_523 <unknown description>
+KPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNN
+>Q6MZP7_PF03638_597 <unknown description>
+KGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKN
+>O14910_PF02828_29 <unknown description>
+VARAIELLEKLQESGEVPVHKLQSLKKVLQSEFCTAIREVYQYMHETITVNGCP
+>O14910_PF00595_109 <unknown description>
+VELPKTDEGLGFNVMGGKEQNSPIYISRIIPGGVAERHGGLKRGDQLLSVNGVSVEGEHH
+EKAVELLKAAKDSVKLVVR
+>Q9HAP6_PF02828_14 <unknown description>
+VSRAVELLERLQRSGELPPQKLQALQRVLQSRFCSAIREVYEQLYDTLDITGS
+>Q9HAP6_PF00595_94 <unknown description>
+VELPKTDEGLGFNIMGGKEQNSPIYISRVIPGGVADRHGGLKRGDQLLSVNGVSVEGEQH
+EKAVELLKAAQGSVKLVVR
+>Q9NUP9_PF02828_14 <unknown description>
+ICRAIELLEKLQRSGEVPPQKLQALQRVLQSEFCNAVREVYEHVYETVDISSSP
+>Q9NUP9_PF00595_94 <unknown description>
+VELPKTEEGLGFNIMGGKEQNSPIYISRIIPGGIADRHGGLKRGDQLLSVNGVSVEGEHH
+EKAVELLKAAQGKVKLVVR
+>Q5TKA1_PF06584_126 <unknown description>
+WFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAFFEEER
+SALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLR
+>Q5TKA1_PF19438_267 <unknown description>
+PDYEVLSNEPHETMPIAAFGQKQRPSRFFMTPPRLHYTPPLQSPIIDNDPLLGQSPWRSK
+ISGSDTETLGGFPVEFLIQVTRLSKILMIKKEHIKKLREMNTEAEKLKSYSMPISIEFQR
+RYATIVLELEQLNKDLNKVLHKVQQYCYELAPDQGLQPADQPTDMRRRCEEEAQEIVRHA
+NSSTGQPCVENENLTDLISRLTAILLQIKCLAEGGDLNSFEFKSLTDSLNDIKSTIDASN
+ISCFQNNVEIHVAHIQSGLSQMGNLHAFAANNTN
+>Q8NG48_PF14694_193 <unknown description>
+TLTAIIKEIFKDSCSQKTEILKQFLTHFDTIFEVFYNSLFSQHFENCRDTSKIVNILMCF
+LDLLELLIASRIHLKLHFTCQRILFLKPSCMLEVITWPIQAFVKRKVIIFLKKCLLCKVG
+EDLCRGSVPALMPPDHHVAVDMLALANAVLQAVNSGLLKTLSVYEKHSFFGGDEVQPECE
+LITSPDHVILRAASLVIMKSLEIKFQNYSSASEVKVDLQRFMSELLTFLKPHLQPSLQLH
+NPCKWLSRVFIEQDDDMLEAAKASLGIYLTLTRGCEATESLTQGKEMWDHHTHENGYNPH
+CIFLFFLKNIGFDSTVLLDFLISSETCFLEYFVRYLKLLQKDWDNFFTIC
+>Q8NG48_PF14695_713 <unknown description>
+VKCFQELQDAICRLQKKNLFPYNPTALLKLLKYIE
+>Q13136_PF00536_877 <unknown description>
+QWDGPTVVVWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRL
+AIQE
+>Q13136_PF00536_963 <unknown description>
+NHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRGQLKMVDSFHRNSFQCGIM
+CLR
+>Q13136_PF07647_1048 <unknown description>
+VLVWSNDRVIRWILSIGLKEYANNLIESGVHGALLALDETFDFSALALLLQIPTQNTQAR
+AVLEREFNNL
+>O75334_PF00536_897 <unknown description>
+QWDGPTVVAWLELWLGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRL
+AIQE
+>O75334_PF00536_1020 <unknown description>
+NHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIM
+CLK
+>O75334_PF07647_1105 <unknown description>
+VLVWSNDRVIRWIQAIGLREYANNILESGVHGSLIALDENFDYSSLALLLQIPTQNTQAR
+QILEREYNN
+>O75145_PF00536_838 <unknown description>
+WDGPTVVSWLELWVGMPAWYVAACRANVKSGAIMANLSDTEIQREIGISNPLHRLKLRLA
+IQE
+>O75145_PF00536_953 <unknown description>
+NHEWVGNDWLPSLGLPQYRSYFMESLVDARMLDHLNKKELRGQLKMVDSFHRVSLHYGIM
+CLK
+>O75145_PF07647_1040 <unknown description>
+VWSNERVMGWVSGLGLKEFATNLTESGVHGALLALDETFDYSDLALLLQIPTQNAQARQL
+LEKEFSNL
+>O75335_PF00536_850 <unknown description>
+QWDGPTVVSWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNALHRLKLRL
+AIQE
+>O75335_PF00536_966 <unknown description>
+NHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIM
+CLK
+>O75335_PF07647_1051 <unknown description>
+VLVWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHNTLALILQIPTQNTQAR
+QVMEREFNNL
+>Q86W92_PF00536_640 <unknown description>
+KWTKEQVCNWLMEQGLGSYLNSGKHWIASGQTLLQASQQDLEKELGIKHSLHRKKLQLAL
+QAL
+>Q86W92_PF00536_715 <unknown description>
+FNWVTRWLDDIGLPQYKTQFDEGRVDGRMLHYMTVDDLLSLKVVSVLHHLSIKRAIQVLR
+>Q86W92_PF07647_801 <unknown description>
+WTNHRVMEWLRSVDLAEYAPNLRGSGVHGGLMVLEPRFNVETMAQLLNIPPNKTLLRRHL
+ATHFNLL
+>Q8ND30_PF00536_557 <unknown description>
+QWSTERVCAWLEDFGLAQYVIFARQWVSSGHTLLTATPQDMEKELGIKHPLHRKKLVLAV
+KA
+>Q8ND30_PF00536_634 <unknown description>
+WVTRWLDDIGLPQYKDQFHESRVDRRMLQYLTVNDLLFLKVTSQLHHLSIKCAIHVL
+>Q8ND30_PF07647_715 <unknown description>
+VVQWSNHRVMEWLRSVDLAEYAPNLRGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLR
+RHLTTKFNAL
+>P11150_PF00151_21 <unknown description>
+SALGQSLKPEPFGRRAQAVETNKTLHEMKTRFLLFGETNQGCQIRINHPDTLQECGFNSS
+LPLVMIIHGWSVDGVLENWIWQMVAALKSQPAQPVNVGLVDWITLAHDHYTIAVRNTRLV
+GKEVAALLRWLEESVQLSRSHVHLIGYSLGAHVSGFAGSSIGGTHKIGRITGLDAAGPLF
+EGSAPSNRLSPDDANFVDAIHTFTREHMGLSVGIKQPIGHYDFYPNGGSFQPGCHFLELY
+RHIAQHGFNAITQTIKCSHERSVHLFIDSLLHAGTQSMAYPCGDMNSFSQGLCLSCKKGR
+CNTLGYHVRQEPRSKSKRLFLVTRAQSPF
+>P11150_PF01477_354 <unknown description>
+YQFKIQFINQTETPIQTTFTMSLLGTKEKMQKIPITLGKGIASNKTYSFLITLDVDIGEL
+IMIKFKWENSAVWANVWDTVQTIIPWSTGPRHSGLVLKTIRVKAGETQQRMTFCSENTDD
+LLLRPTQEKIFV
+>Q9Y5X9_PF00151_34 <unknown description>
+KLHKPKATQTEVKPSVRFNLRTSKDPEHEGCYLSVGHSQPLEDCSFNMTAKTFFIIHGWT
+MSGIFENWLHKLVSALHTREKDANVVVVDWLPLAHQLYTDAVNNTRVVGHSIARMLDWLQ
+EKDDFSLGNVHLIGYSLGAHVAGYAGNFVKGTVGRITGLDPAGPMFEGADIHKRLSPDDA
+DFVDVLHTYTRSFGLSIGIQMPVGHIDIYPNGGDFQPGCGLNDVLGSIAYGTITEVVKCE
+HERAVHLFVDSLVNQDKPSFAFQCTDSNRFKKGICLSCRKNRCNSIGYNAKKMRNKRNSK
+MYLKTRAGMPF
+>Q9Y5X9_PF01477_349 <unknown description>
+YQMKIHVFSYKNMGEIEPTFYVTLYGTNADSQTLPLEIVERIEQNATNTFLVYTEEDLGD
+LLKIQLTWEGASQSWYNLWKEFRSYLSQPRNPGRELNIRRIRVKSGETQRKLTFCTEDPE
+NTSISPGRELWFR
+>P07098_PF00561_78 <unknown description>
+PVVFLQHGLLASATNWISNLPNNSLAFILADAGYDVWLGNSRGNTWARRNLYYSPDSVEF
+WAFSFDEMAKYDLPATIDFIVKKTGQKQLHYVGHSQGTTIGFIAFSTNPSLAKRIKTFYA
+LAPVATVKYTKSLINKLRFVPQSLFKFIFGDKIFYPHNFFDQFLATEVCSREMLNLLCSN
+ALFIICGFDSKNFNTSRLDVYLSHNPAGTSVQNMFHWTQAVKSGKFQAYDWGSPVQNRMH
+YDQSQPPYYNVTAMNVPIAVWNGGKDLLADPQDVGLLLPKLPNLIYHKEIPFYNHLDFIW
+>Q8WWY8_PF00151_34 <unknown description>
+VVGTGLNVRLMLYTRKNLTCAQTINSSAFGNLNVTKKTTFIVHGFRPTGSPPVWMDDLVK
+GLLSVEDMNVVVVDWNRGATTLIYTHASSKTRKVAMVLKEFIDQMLAEGASLDDIYMIGV
+SLGAHISGFVGEMYDGWLGRITGLDPAGPLFNGKPHQDRLDPSDAQFVDVIHSDTDALGY
+KEPLGNIDFYPNGGLDQPGCPKTILGGFQYFKCDHQRSVYLYLSSLRESCTITAYPCDSY
+QDYRNGKCVSCGTSQKESCPLLGYYADNWKDHLRGKDPPMTKAFFDTAEESPF
+>Q6XZB0_PF00151_38 <unknown description>
+IPRIETILMMYTRNNLNCAEPLFEQNNSLNVNFNTQKKTVWLIHGYRPVGSIPLWLQNFV
+RILLNEEDMNVIVVDWSRGATTFIYNRAVKNTRKVAVSLSVHIKNLLKHGASLDNFHFIG
+VSLGAHISGFVGKIFHGQLGRITGLDPAGPRFSRKPPYSRLDYTDAKFVDVIHSDSNGLG
+IQEPLGHIDFYPNGGNKQPGCPKSIFSGIQFIKCNHQRAVHLFMASLETNCNFISFPCRS
+YKDYKTSLCVDCDCFKEKSCPRLGYQAKLFKGVLKERMEGRPLRTTVFLDTSGTYP
+>Q5W064_PF04083_3 <unknown description>
+ISQIISYWGYPDEEYDIVTEDGYILGLYRIPYWRTDNNKNLAQRVVVYLQHGLLTSASSW
+ISN
+>Q5VXJ0_PF00561_78 <unknown description>
+PAVYLQHGLIASASNWICNLPNNSLAFLLADSGYDVWLGNSRGNTWSRKHLKLSPKSPEY
+WAFSLDEMAKYDLPATINFIIEKTGQKRLYYVGHSQGTTIAFIAFSTNPELAKKIKIFFA
+LAPVVTVKYTQSPMKKLTTLSRRVVKVLFGDKMFHPHTLFDQFIATKVCNRKLFRRICSN
+FLFTLSGFDPQNLNMSRLDVYLSHNPAGTSVQNMLHWAQAVNSGQLQAFDWGNSDQNMMH
+FHQLTPPLYNITKMEVPTAIWNGGQDIVADPKDVENLLPQIANLIYYKLIPHYNHVDFYL
+G
+>P06858_PF00151_30 <unknown description>
+QRRDFIDIESKFALRTPEDTAEDTCHLIPGVAESVATCHFNHSSKTFMVIHGWTVTGMYE
+SWVPKLVAALYKREPDSNVIVVDWLSRAQEHYPVSAGYTKLVGQDVARFINWMEEEFNYP
+LDNVHLLGYSLGAHAAGIAGSLTNKKVNRITGLDPAGPNFEYAEAPSRLSPDDADFVDVL
+HTFTRGSPGRSIGIQKPVGHVDIYPNGGTFQPGCNIGEAIRVIAERGLGDVDQLVKCSHE
+RSIHLFIDSLLNEENPSKAYRCSSKEAFEKGLCLSCRKNRCNNLGYEINKVRAKRSSKMY
+LKTRSQMPY
+>P06858_PF01477_343 <unknown description>
+YQVKIHFSGTESETHTNQAFEISLYGTVAESENIPFTLPEVSTNKTYSFLIYTEVDIGEL
+LMLKLKWKSDSYFSWSDWWSSPGFAIQKIRVKAGETQKKVIFCSREKVSHLQKGKAPAVF
+>Q5VYY2_PF00561_92 <unknown description>
+PVVLLQHGLVGGASNWISNLPNNSLGFILADAGFDVWMGNSRGNAWSRKHKTLSIDQDEF
+WAFSYDEMARFDLPAVINFILQKTGQEKIYYVGYSQGTTMGFIAFSTMPELAQKIKMYFA
+LAPIATVKHAKSPGTKFLLLPDMMIKGLFGKKEFLYQTRFLRQLVIYLCGQVILDQICSN
+IMLLLGGFNTNNMNMSRASVYAAHTLAGTSVQNILHWSQAVNSGELRAFDWGSETKNLEK
+CNQPTPVRYRVRDMTVPTAMWTGGQDWLSNPEDVKMLLSEVTNLIYHKNIPEWAHVDFIW
+G
+>Q5VXI9_PF00561_79 <unknown description>
+PVVYMQHALFADNAYWLENYANGSLGFLLADAGYDVWMGNSRGNTWSRRHKTLSETDEKF
+WAFSFDEMAKYDLPGVIDFIVNKTGQEKLYFIGHSLGTTIGFVAFSTMPELAQRIKMNFA
+LGPTISFKYPTGIFTRFFLLPNSIIKAVFGTKGFFLEDKKTKIASTKICNNKILWLICSE
+FMSLWAGSNKKNMNQSRMDVYMSHAPTGSSVHNILHIKQLYHSDEFRAYDWGNDADNMKH
+YNQSHPPIYDLTAMKVPTAIWAGGHDVLVTPQDVARILPQIKSLHYFKLLPDWNHFDFVW
+G
+>P16233_PF00151_17 <unknown description>
+KEVCYERLGCFSDDSPWSGITERPLHILPWSPKDVNTRFLLYTNENPNNFQEVAADSSSI
+SGSNFKTNRKTRFIIHGFIDKGEENWLANVCKNLFKVESVNCICVDWKGGSRTGYTQASQ
+NIRIVGAEVAYFVEFLQSAFGYSPSNVHVIGHSLGAHAAGEAGRRTNGTIGRITGLDPAE
+PCFQGTPELVRLDPSDAKFVDVIHTDGAPIVPNLGFGMSQVVGHLDFFPNGGVEMPGCKK
+NILSQIVDIDGIWEGTRDFAACNHLRSYKYYTDSIVNPDGFAGFPCASYNVFTANKCFPC
+PSGGCPQMGHYADRYPGKTNDVGQKFYLDTGDASNF
+>P16233_PF01477_357 <unknown description>
+YKVSVTLSGKKVTGHILVSLFGNKGNSKQYEIFKGTLKPDSTHSNEFDSDVDVGDLQMVK
+FIWYNNVINPTLPRVGASKIIVETNVGKQFNFCSPETVRE
+>P54315_PF00151_18 <unknown description>
+KEVCYEDLGCFSDTEPWGGTAIRPLKILPWSPEKIGTRFLLYTNENPNNFQILLLSDPST
+IEASNFQMDRKTRFIIHGFIDKGDESWVTDMCKKLFEVEEVNCICVDWKKGSQATYTQAA
+NNVRVVGAQVAQMLDILLTEYSYPPSKVHLIGHSLGAHVAGEAGSKTPGLSRITGLDPVE
+ASFESTPEEVRLDPSDADFVDVIHTDAAPLIPFLGFGTNQQMGHLDFFPNGGESMPGCKK
+NALSQIVDLDGIWAGTRDFVACNHLRSYKYYLESILNPDGFAAYPCTSYKSFESDKCFPC
+PDQGCPQMGHYADKFAGRTSEEQQKFFLNTGEASNF
+>P54315_PF01477_358 <unknown description>
+YGVSITLSGRTATGQIKVALFGNKGNTHQYSIFRGILKPGSTHSYEFDAKLDVGTIEKVK
+FLWNNNVINPTLPKVGATKITVQKGEEKTVYNFCSEDTVREDT
+>Q17RR3_PF00151_18 <unknown description>
+KEVCYERLGCFKDGLPWTRTFSTELVGLPWSPEKINTRFLLYTIHNPNAYQEISAVNSST
+IQASYFGTDKITRINIAGWKTDGKWQRDMCNVLLQLEDINCINLDWINGSREYIHAVNNL
+RVVGAEVAYFIDVLMKKFEYSPSKVHLIGHSLGAHLAGEAGSRIPGLGRITGLDPAGPFF
+HNTPKEVRLDPSDANFVDVIHTNAARILFELGVGTIDACGHLDFYPNGGKHMPGCEDLIT
+PLLKFNFNAYKKEMASFFDCNHARSYQFYAESILNPDAFIAYPCRSYTSFKAGNCFFCSK
+EGCPTMGHFADRFHFKNMKTNGSHYFLNTGSLSPF
+>Q17RR3_PF01477_360 <unknown description>
+SVKLSGSEVTQGTVFLRVGGAVRKTGEFAIVSGKLEPGMTYTKLIDADVNVGNITSVQFI
+WKKHLFEDSQNKLGAEMVINTSGKYGYKSTFCSQDIMGP
+>Q05469_PF06350_308 <unknown description>
+TQSLVTLAEDNIAFFSSQGPGETAQRLSGVFAGVREQALGLEPALGRLLGVAHLFDLDPE
+TPANGYRSLVHTARCCLAHLLHKSRYVASNRRSIFFRTSHNLAELEAYLAALTQLRALVY
+YAQRLLVTNRPGVLFFEGDEGLTADFLREYVTLHKGCFYGRCLGFQFTPAIRPFLQTISI
+GLVSFGEHYKRNETGLSVAASSLFTSGRFAIDPELRGAEFERITQNLDVHFWKAFWNITE
+MEVLSSLANMASATVRVSRLLSLPPEAFEMPLTADPTLTVTISPPLAHTGPGPVLVRLIS
+YDLREGQD
+>Q05469_PF07859_647 <unknown description>
+IVHFHGGGFVAQTSRSHEPYLKSWAQELGAPIISIDYSLAPEAPFPRALEECFFAYCWAI
+KHCALLGSTGERICLAGDSAGGNLCFTVALRAAAYGVRVPDGIMAAYPATMLQPAASPSR
+LLSLMDPLLPLSVLSKCVSAYAGAKTEDH
+>Q05469_PF07859_962 <unknown description>
+SPIVKNPFMSPLLAPDSMLKSLPPVHIVACALDPMLDDSVMLARRLRNLGQPVTLRVVED
+LPHGFL
+>O75019_PF13895_28 <unknown description>
+KPTLWAEPGSVITQGSPVTLWCQGILETQEYRLYREKKTAPWITRIPQEIVKKGQFPIPS
+ITWEHTGRYRCFYGSHTAGWSEPSDPLELVV
+>O75019_PF13895_326 <unknown description>
+PFISVHPGPTVASGENVTLLCQSWGPFHTFLLTKAGAADAPLRLRSIHEYPKYQAEFPMS
+PVTSAHSGTYRCYGSLSSNPYLLSHPSDSLELMV
+>Q8N149_PF13895_28 <unknown description>
+KPTLWAEPGSVIIQGSPVTLRCQGSLQAEEYHLYRENKSASWVRRIQEPGKNGQFPIPSI
+TWEHAGRYHCQYYSHNHSSEYSDPLELVV
+>Q8N149_PF00047_328 <unknown description>
+VQPVPTVAPGKNVTLLCQSRGQFHTFLLTKEGAGHPPLHLRSEHQAQQNQAEFRMGPVTS
+AHVGTYRCYSSLSSN
+>P59901_PF13895_28 <unknown description>
+KPILWAEPGPVITWHNPVTIWCQGTLEAQGYRLDKEGNSMSRHILKTLESENKVKLSIPS
+MMWEHAGRYHCYYQSPAGWSEPSDPLELVV
+>P59901_PF00047_127 <unknown description>
+ALPSPVVTSGVNVTLRCASRLGLGRFTLIEEGDHRLSWTLNSHQHNHGKFQALFPMGPLT
+FSNRGTFRCYGYENNTPYVWS
+>P59901_PF13895_324 <unknown description>
+PSLSVQPGPTVTSGEKVTLLCQSWDPMFTFLLTKEGAAHPPLRLRSMYGAHKYQAEFPMS
+PVTSAHAGTYRCYGSRSSNPYLLSHPSEPLELVV
+>A6NI73_PF13895_48 <unknown description>
+TLWAEPGSVISRGNSVTIRCQGTLEAQEYRLVKEGSPEPWDTQNPLEPKNKARFSIPSMT
+EHHAGRYRCYYYSPAGWSEPSDPLELVV
+>Q8N423_PF13895_28 <unknown description>
+KPTLWAEPDSVITQGSPVTLSCQGSLEAQEYRLYREKKSASWITRIRPELVKNGQFHIPS
+ITWEHTGRYGCQYYSRARWSELSDPL
+>O75023_PF13895_28 <unknown description>
+KPTLWAEPASVIARGKPVTLWCQGPLETEEYRLDKEGLPWARKRQNPLEPGAKAKFHIPS
+TVYDSAGRYRCYYETPAGWSEPSDPLEL
+>O75023_PF00047_229 <unknown description>
+PQGSVVARGGSLTLQCRSDVGYDIFVLYKEGEHDLVQGSGQQPQAGLSQANFTLGPVSRS
+HGGQYRCYGAHNLSP
+>O75023_PF00047_328 <unknown description>
+VQPGPKVASGENVTLLCQSWHQIDTFFLTKEGAAHPPLCLKSKYQSYRHQAEFSMSPVTS
+AQGGTYRCYSAIR
+>P43034_PF08513_9 <unknown description>
+DELNRAIADYLRSNGYEEAYSVFKKEA
+>P43034_PF00400_104 <unknown description>
+LSGHRSPVTRVIFHPVFSVMVSASEDATIKVWD
+>P43034_PF00400_143 <unknown description>
+ERTLKGHTDSVQDISFDHSGKLLASCSADMTIKLWD
+>P43034_PF00400_183 <unknown description>
+ECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMW
+>P43034_PF00400_225 <unknown description>
+YCVKTFTGHREWVRMVRPNQDGTLIASCSNDQTVRVW
+>P43034_PF00400_267 <unknown description>
+ECKAELREHEHVVECISWAPESSYSSISEATGSETKKSGKPGPFLLSGSRDKTIKMWD
+>P43034_PF00400_329 <unknown description>
+MCLMTLVGHDNWVRGVLFHSGGKFILSCADDKTLRVWD
+>P43034_PF00400_371 <unknown description>
+RCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVW
+>A0A1B0GVX0_PF10601_2 <unknown description>
+PVQAVCPYCGNRIITVTTFVPGALTWLLCTTLFLFGYVLGCCFLAFCIRSLMDVKHSCPV
+CQRELFYYH
+>Q99732_PF10601_90 <unknown description>
+RPIQMCCPSCNKMIVSQLSYNAGALTWLSCGSLCLLGCIAGCCFIPFCVDALQDVDHYCP
+NCRALLGTYK
+>Q5T7N2_PF17490_253 <unknown description>
+TLDISKQWSNVFNILRENDFEPKFLCEVKLAFKCDGEIKTFSDLQSLRKFASQKSSVKEL
+LKD
+>Q5T7N2_PF02994_700 <unknown description>
+CNIRLIGIPEKESYENRAEDIIKEIIDENFAELKKGSSLEIVSACRVPSKIDEKRLTPRH
+ILVKFWNSSDKEKIIRASRERREITYQGTRIRLTAD
+>Q5T7N2_PF17490_799 <unknown description>
+DTLDARSKWSNVFKVLLEKGFNPRILYPAKMAFDFRGKTKVFLSIEEFRDYVLHMPTLRE
+LL
+>Q8IVB5_PF14954_80 <unknown description>
+AVEAVVRSFAKHTQGYGRVNVVEALQEFWQMKQSRGADLKNGALVVYEMVPSNSPPYVCY
+VTLPGGSCFGSFQFCPTKAEARRSAAKIALMNSVFNEHPSRRITDEFIEKSVSEALASFN
+GNREEADNPNTGIGAFRFMLESNKGKSMLEFQELMTVFQLLHWNGSLKAMRERQCSRQEV
+LAHYSHRALDDDIRHQMALDWVSREQSVPGALSRELASTERELDEARLAGKELRFHKEKK
+DILVLAAGQ
+>Q8N485_PF14954_11 <unknown description>
+IIAQVLPHRDPALVFKDLNVVSMLQEFWESKQQQKAAFPSEGVVVYESLPAPGPPFVSYV
+TLPGGSCFGNFQCCLSRAEARRDAAKVALINSLFNELPSRRITKEFIMESVQEAVASTSG
+TLDDADDPSTSVGAYHYMLESNMGKTMLEFQELMTIFQLLHWNGSLKALRETKCSRQEVI
+SYYSQYSLDEKMRSHMALDWIMKERDSPGIVSQELRMALRQLEEARKAGQELRFYKEKKE
+ILSLALTQ
+>Q8TD35_PF15478_40 <unknown description>
+PKPGWALTPQGLAAMLPAQRHRHLLFGDLLEDVGAAASTFPCGSVEPGYRMPDPRPWTQS
+LELPAERQNRLLGVLKAAEARGRVRALRLRYTRMRAEEIALLIQRQKSARAAIRLELFLP
+PQLKPARIPDPLDRQE
+>P09960_PF17900_20 <unknown description>
+KHLHLRCSVDFTRRTLTGTAALTVQSQEDNLRSLVLDTKDLTIEKVVINGQEVKYALGER
+QSYKGSPMEISLPIALSKNQEIVIEISFETSPKSSALQWLTPEQTSGKEHPYLFSQCQAI
+HCRAILPCQDTPSVKLTYTAEVSVPKELVALMSAIRDGETPDPEDPSRKIYKFIQKVPIP
+CYL
+>P09960_PF01433_236 <unknown description>
+SETESMLKIAEDLGGPYVWGQYDLLVLPPSFPYGGMENPCLTFVTPTLLAGDKSLSNVIA
+HEISHSWTGNLVTNKTWDHFWLNEGHTVYLERHICGRLFGEKFRHFNALGGWGELQNSVK
+TFGETHPFTKLVVDLTDIDPDVAYSSVPYEKGFALLFYLEQLLGGPEIFLGFLKAYVEKF
+SYKSITTDDWKDFLYSYFKDKVDVL
+>P09960_PF09127_496 <unknown description>
+SSHQLNEFLAQTLQRAPLPLGHIKRMQEVYNFNAINNSEIRFRWLRLCIQSKWEDAIPLA
+LKMATEQGRMKFTRPLFKDLAAFDKSHDQAVRTYQEHKASMHPVTAMLVGKDL
+>Q9BRT6_PF10169_3 <unknown description>
+KSLRSKWKRKMRAEKRKKNAPKEASRLKSILKLDGDVLMKDVQEIATVVVPKPKHCQEKM
+QCEVKDEKDDMKMETDIKRNKKTLLDQHGQYPIWMNQRQRKRLKAKREKRKGKSKAK
+>Q96L50_PF12799_177 <unknown description>
+KSLRKLDLSHNHIKKLPATIGDLIHLQELNLNDNHLESF
+>Q9HAT1_PF03388_32 <unknown description>
+RFEYKLSFKGPRLALPGAGIPFWSHHGDAILGLEEVRLTPSMRNRSGAVWSRASVPFSAW
+EVEVQMRVTGLGRRGAQGMAVWYTRGRGHVGSVLGGLASWDGIGIFFDSPAEDTQDSPAI
+RVLASDGHIPSEQPGDGASQGLGSCHWDFRNRPHPFRARITYWGQRLRMSLNSGLTPSDP
+GEFCVDVGPLLLVPGGFFGVSAATGTLADDHDVLSFLTFSLS
+>Q9H0V9_PF03388_50 <unknown description>
+YLKREHSLSKPYQGVGTGSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLRDW
+ELQVHFKIHGQGKKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQQE
+RVFPYISAMVNNGSLSYDHERDGRPTELGGCTAIVRNLHYDTFLVIRYVKRHLTIMMDID
+GKHEWRDCIEVPGVRLPRGYYFGTSSITGDLSDNHDVISLKLFELT
+>P49257_PF03388_45 <unknown description>
+RFEYKYSFKGPHLVQSDGTVPFWAHAGNAIPSSDQIRVAPSLKSQRGSVWTKTKAAFENW
+EVEVTFRVTGRGRIGADGLAIWYAENQGLEGPVFGSADLWNGVGIFFDSFDNDGKKNNPA
+IVIIGNNGQIHYDHQNDGASQALASCQRDFRNKPYPVRAKITYYQNTLTVMINNGFTPDK
+NDYEFCAKVENMIIPAQGHFGISAATGGLADDHDVLSFLTFQLT
+>Q12907_PF03388_53 <unknown description>
+HLKREHSLIKPYQGVGSSSMPLWDFQGSTMLTSQYVRLTPDERSKEGSIWNHQPCFLKDW
+EMHVHFKVHGTGKKNLHGDGIALWYTRDRLVPGPVFGSKDNFHGLAIFLDTYPNDETTER
+VFPYISVMVNNGSLSYDHSKDGRWTELAGCTADFRNRDHDTFLAVRYSRGRLTVMTDLED
+KNEWKNCIDITGVRLPTGYYFGASAGTGDLSDNHDIISMKLFQLM
+>Q9NUN5_PF04791_17 <unknown description>
+IFGLLLLAILAFCWIYVRKYQSRRESEVVSTITAIFSLAIALITSALLPVDIFLVSYMKN
+QNGTFKDWANANVSRQIEDTVLYGYYTLYSVILFCVFFWIPFVYFYYEEKDDDDTSKCTQ
+IKTALKYTLGFVVICALLLLVGAFVPLNVPNNKNSTEWEKVKSLFEELGSSHGLAALSFS
+ISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSAAYERLENTEDIEEVEQHIQTIKSKSK
+DGRPLPARDKRALKQFEERLRTLKKRERHL
+>Q68DH5_PF04791_4 <unknown description>
+AALGLEIVFVFFLALFLLHRYGDFKKQHRLVIIGTLLAWYLCFLIVFILPLDVSTTIYNR
+CKHAAANSSPPENSNITGLYATANPVPSQHPCFKPWSYIPDGIMPIFWRVVYWTSQFLTW
+ILLPFMQSYARSGGFSITGKIKTALIENAIYYGTYLLIFGAFLIYVAVNPHLHLEWNQLQ
+TIGIAAANTWGLFLLVLLLGYGLVEIPRSYWNGAKRGYLLMKTYFKAAKLMTEKADAEEN
+LEDAMEEVRKVNESIKYNHPLRKCVDTILKKCPTEYQEKMGRNMDDYEDFDEKHSIYPSE
+KSLVKLHKQVIYSVQRHRRTQVQWQILLEQAFYLEDVAKNETSATHQFVHTFQSPEPENR
+FIQYFYNPTFEWYWECLLRPWFYKILAVVLSIFSVIVVWSECTFFSTTPVLSLFAVFIQL
+AEKTYNYIYIEIACFLSIFFLSICVYSTVFRIRVFNYYYLASHHQTDAYSLLFSGMLFCR
+LTPPLCLNFLGLTHMDSSISHKNTQPTAYTSIMGSMKVLSFIADGFYIYYPMLVVILCIA
+TYFSLG
+>Q969R5_PF02820_214 <unknown description>
+MKVEVLNSDAVLPSRVYWIASVIQTAGYRVLLRYEGFENDASHDFWCNLGTVDVHPIGWC
+AINSKILVPPRTI
+>Q969R5_PF02820_327 <unknown description>
+MRLEVVDKSQVSRTRMAVVDTVIGGRLRLLYEDGDSDDDFWCHMWSPLIHPVGWSRRVGH
+GIK
+>Q969R5_PF02820_432 <unknown description>
+MKLEAIDPLNLGNICVATVCKVLLDGYLMICVDGGPSTDGLDWFCYHASSHAIFPATFCQ
+KNDIELTPPKGYE
+>Q969R5_PF02820_540 <unknown description>
+MKLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDSEYDQWVDCESPDIYPVGWCELTGY
+QLQPPV
+>Q96JM7_PF02820_268 <unknown description>
+MKLEGVDPEHQSVYCVLTVAEVCGYRIKLHFDGYSDCYDFWVNADALDIHPVGWCEKTGH
+KLHPPKGYK
+>Q96JM7_PF02820_375 <unknown description>
+MKLEAVDKKNPSFICVATVTDMVDNRFLVHFDNWDESYDYWCEASSPHIHPVGWCKEHRR
+TLITPPGY
+>Q96JM7_PF02820_479 <unknown description>
+MKLEVVDKRNPMFIRVATVADTDDHRVKVHFDGWNNCYDYWIDADSPDIHPVGWCSKTGH
+PLQPPL
+>Q96JM7_PF00536_706 <unknown description>
+SKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDGEAFLLMTQTDIVKIMSIKLGPALKIFNS
+ILMFK
+>Q8WVP7_PF04791_22 <unknown description>
+TICFLLFAILYVVSYFIITRYKRKSDEQEDEDAIVNRISLFLSTFTLAVSAGAVLLLPFS
+IISNEILLSFPQNYYIQWLNGSLIHGLWNLASLFSNLCLFVLMPFAFFFLESEGFAGLKK
+GIRARILETLVMLLLLALLILGIVWVASALIDNDAASMESLYDLWEFYLPYLYSCISLMG
+CLLLLLCTPVGLSRMFTVMGQLLVKPTILEDLDEQIYIITLEEEALQRRLNGLSSSVEYN
+IMELEQELENVKTLKTKL
+>Q8WVP7_PF04791_276 <unknown description>
+KTKLERRKKASAWERNLVYPAVMVLLLIETSISVLLVACNILCLLVDETAMPKGTRGPGI
+GNASLSTFGFVGAALEIILIFYLMVSSVVGFYSLRFFGNFTPKKDDTTMTKIIGNCVSIL
+VLSSALPVMSRTLGITRFDLLGDFGRFNWLGNFYIVLSYNLLFAIVTTLCLVRKF
+>Q6UX01_PF04791_23 <unknown description>
+CIISTLLFATLYILCHIFLTRFKKPAEFTTVDDEDATVNKIALELCTFTLAIALGAVLLL
+PFSIISNEVLLSLPRNYYIQWLNGSLIHGLWNLVFLFSNLSLIFLMPFAYFFTESEGFAG
+SRKGVLGRVYETVVMLMLLTLLVLGMVWVASAIVDKNKANRESLYDFWEYYLPYLYSCIS
+FLGVLLLLVCTPLGLARMFSVTGKLLVKPRLLEDLEEQLYCSAFEEAALTR
+>Q6UX01_PF04791_279 <unknown description>
+TQRVLLEKRRKASAWQRNLGYPLAMLCLLVLTGLSVLIVAIHILELLIDEAAMPRGMQGT
+SLGQVSFSKLGSFGAVIQVVLIFYLMVSSVVGFYSSPLFRSLRPRWHDTAMTQIIGNCVC
+LLVLSSALPVFSRTLGLTRFDLLGDFGRFNWLGNFYIVFLYNAAFAGLTTLCLVKT
+>Q9NZU5_PF06297_117 <unknown description>
+TYEWAPPGVTQKLGLQYMELIPKEKQPVTGTEGAFYRRRQLMHQLPIYDQDPSRCRGLLE
+NELKLMEEFVKQYKSEALGVGEVA
+>Q9NZU5_PF00412_262 <unknown description>
+AGYNKQWHPTCFVCAKCSEPLVDLIYFWKDGAPWCGRHYCE
+>Q9NZU5_PF00412_308 <unknown description>
+CSGCDEIIFAEDYQRVEDLAWHRKHFVCEGCEQLLSGRAYIVTKGQLLCPTCSK
+>Q96S06_PF06762_169 <unknown description>
+YSFGWESQLLETGFLGIFLCPLWTLSRLPQHTPTSRIVLWGFRWLIFRIMLGAGLIKIRG
+DRCWRDLTCMDFHYETQPMPNPVAYYLHHSPWWFHRFETLSNHFIELLVPFFLFLGRRAC
+IIHGVLQILFQAVLIVSGNLSFLNWLTMVPSLACFDDATLGF
+>Q96S06_PF06762_382 <unknown description>
+VVLNLLSSRQVMNTHFNSLHIVNTYGAFGSITKERAEVILQGTASSNASAPDAMWEDYEF
+KCKPGDPSRRPCLISPYHYRLDWLMWFAAFQTYEHNDWIIHLAGKLLASDAEALSLLAHN
+PFAGRPPPRWVRGEHYRYKFSRPGGRHAAEGKWWVRKRIGAYFP
+>Q9BU23_PF06762_123 <unknown description>
+YFQWDSLLLETGFLAVLVAPLRPASHRKEAPQGRQAGALPHEDLPFWLVRWLLFRLMFAS
+GVVKLTSRCPAWWGLTALTYHYETQCLPTPAAWFAHHLPVWLHKLSVVATFLIEIAVPPL
+FFAPIRRLRLAAFYSQVLLQVLIIITGNYNFFNLMTLVLTTALLDDQHLAAEPGHGSRKK
+TATSWPKALLATLSLLLELAVYGLLAYGTVHYFGLEVDWQQRTIHSRTTFTFHQFSQWLK
+TLTLPTVWLGVASLVWELLSALWRWTQVRGWLRKLSAVVQLSLVGTATVALFLISLVPYS
+YVEPGTHGRLWTGAHRLFGAVEHLQLANSYGLFRRMTGLGGRPEVVLEGSYDGHHWTEIE
+FMYKPGNLSRPPPVVVPHQPRLDWQMWFAALGPHTHSPWFTSLVLRLLQGKEPVIRLVQS
+QVARYPFHKQPPTYVRAQRYKYWFSQPGEQGQWWRRQWVEEFFP
+>P55344_PF00822_1 <unknown description>
+MYSFMGGGLFCAWVGTILLVVAMATDHWMQYRLSGSFAHQGLWRYCLGNKCYLQTDSIAY
+WNATRAFMILSALCAISGIIMGIMAFAHQPTFSRISRPFSAGIMFFSSTLFVVLALAIYT
+GVTVSFLGRRFGDWRFSWSYILGWVAVLMTFFAGIFY
+>Q96KR4_PF01457_195 <unknown description>
+QEGISDADFVLYVGALATERCSHENIISYAAYCQQEANMDRPIAGYANLCPNMISTQPQE
+FVGMLSTVKHEVIHALGFSAGLFAF
+>Q96KR4_PF01457_307 <unknown description>
+QWSDKVVRKVERLWDVRDNKIVRHTVYLLVTPRVVEEARKHFDCPVLEGMELENQGGVGT
+ELNHWEKRLLENEAMTGSHTQNRVLSRITLALMEDTGWYKANYSMAEKLDWGRGMGCDFV
+RKSCKFWIDQQRQKRQMLSPYCDTLRSNPLQLTCRQDQRAVAVCNLQKFPKPLPQEYQYF
+DELSGIPAEDLPYYGGSVEIADYCPFSQEFSWHLSGEYQRSSDCRILENQPEIFKNYGAE
+KYGPHSVCLIQKSAFVMEKCERKLSYPDWGSGCYQVSCSPQGLKVWVQDTSYLCSRAGQV
+LPVSIQMNGWIHDGNLLCPSCWDFCE
+>P02545_PF00038_30 <unknown description>
+QEKEDLQELNDRLAVYIDRVRSLETENAGLRLRITESEEVVSREVSGIKAAYEAELGDAR
+KTLDSVAKERARLQLELSKVREEFKELKARNTKKEGDLIAAQARLKDLEALLNSKEAALS
+TALSEKRTLEGELHDLRGQVAKLEAALGEAKKQLQDEMLRRVDAENRLQTMKEELDFQKN
+IYSEELRETKRRHETRLVEIDNGKQREFESRLADALQELRAQHEDQVEQYKKELEKTYSA
+KLDNARQSAERNSNLVGAAHEELQQSRIRIDSLSAQLSQLQKQLAAKEAKLRDLEDSLAR
+ERDTSRRLLAEKEREMAEMRARMQQQLDEYQELLDIKLALDMEIHAYRKLLEGEEER
+>P02545_PF00932_435 <unknown description>
+RTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIKRQNGDDPLLTYRFPPKFTLKAGQV
+VTIWAAGAGATHSPPTDLVWKAQNTWGCGNSLRTALINSTGEEVAMR
+>P20700_PF00038_31 <unknown description>
+QEKEELRELNDRLAVYIDKVRSLETENSALQLQVTEREEVRGRELTGLKALYETELADAR
+RALDDTARERAKLQIELGKCKAEHDQLLLNYAKKESDLNGAQIKLREYEAALNSKDAALA
+TALGDKKSLEGDLEDLKDQIAQLEASLAAAKKQLADETLLKVDLENRCQSLTEDLEFRKS
+MYEEEINETRRKHETRLVEVDSGRQIEYEYKLAQALHEMREQHDAQVRLYKEELEQTYHA
+KLENARLSSEMNTSTVNSAREELMESRMRIESLSSQLSNLQKESRACLERIQELEDLLAK
+EKDNSRRMLTDKEREMAEIRDQMQQQLNDYEQLLDVKLALDMEISAYRKLLEGEEER
+>P20700_PF00932_436 <unknown description>
+ASATGNVCIEEIDVDGKFIRLKNTSEQDQPMGGWEMIRKIGDTSVSYKYTSRYVLKAGQT
+VTIWAANAGVTASPPTDLIWKNQNSWGTGEDVKVILKNSQGEEVAQRS
+>Q03252_PF00038_45 <unknown description>
+QEKEELRELNDRLAHYIDRVRALELENDRLLLKISEKEEVTTREVSGIKALYESELADAR
+RVLDETARERARLQIEIGKLRAELDEVNKSAKKREGELTVAQGRVKDLESLFHRSEVELA
+AALSDKRGLESDVAELRAQLAKAEDGHAVAKKQLEKETLMRVDLENRCQSLQEELDFRKS
+VFEEEVRETRRRHERRLVEVDSSRQQEYDFKMAQALEELRSQHDEQVRLYKLELEQTYQA
+KLDSAKLSSDQNDKAASAAREELKEARMRLESLSYQLSGLQKQASAAEDRIRELEEAMAG
+ERDKFRKMLDAKEQEMTEMRDVMQQQLAEYQELLDVKLALDMEINAYRKLLEGEEER
+>Q03252_PF00932_468 <unknown description>
+ASASGSVSIEEIDLEGKFVQLKNNSDKDQSLGNWRIKRQVLEGEEIAYKFTPKYILRAGQ
+MVTVWAAGAGVAHSPPSTLVWKGQSSWGTGESFRTVLVNADGEEVAMRTV
+>Q8TAP4_PF00412_13 <unknown description>
+CAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANLILCRRDYLRL
+>Q8TAP4_PF00412_77 <unknown description>
+CAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFLKNNMILCQTDYE
+>P61968_PF00412_23 <unknown description>
+CAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDIGTSCYTKSGMILCRNDYIRL
+>P61968_PF00412_87 <unknown description>
+CSACGQSIPASELVMRAQGNVYHLKCFTCSTCRNRLVPGDRFHYINGSLFCEHD
+>P29536_PF03250_13 <unknown description>
+EDPDIDSLLETLSPEEMEELEKELDVVDPDGSVPVGLRQRNQTEKQSTGVYNREAMLNFC
+EKETKKLMQREMSMD
+>P29536_PF02205_573 <unknown description>
+NSRDQLLAAIRSSNLKQLKKVEVPK
+>Q6P5Q4_PF03250_6 <unknown description>
+YRRGLSKYESIDEDELLASLSAEELKELERELEDIEPDRNLPVGLRQKSLTEKTPTGTFS
+REALMAYWEKESQKLLEKERLGECGKVAEDKE
+>Q0VAK6_PF03250_16 <unknown description>
+EEINEDEILANLSAEELKELQSEMEVMAPDPSLPVGMIQKDQTDKPPTGNFNHKSLVDYM
+YWEKASRRMLEEERVPVTFVKSEEKTQEEHEEIEKRNKNMAQYLKEKLN
+>Q8N9Z9_PF00932_163 <unknown description>
+SSSLGDVEIAEVNVKGLFVKLINSSLDKEMAIGDHILQQNVNGQTISLYRFLPNIVMQAN
+STVTVWAAASEAKHQPPSDFLWKEQDKFRASPDCITILCKPNGQAIAWYT
+>Q8IXW0_PF00932_371 <unknown description>
+EKFVRIFNPSQESTADLSGMVLKQLVRGFPERLYRFPPGTLLAPRHHVTVWGEATRSAKK
+PLRASSSREPVPLLSIRGCATLLLSPKGEVLSEH
+>Q6ZMQ8_PF07714_128 <unknown description>
+LKEIGRGWFGKVFLGEVNSGISSAQVVVKELQASASVQEQMQFLEEVQPYRALKHSNLLQ
+CLAQCAEVTPYLLVMEFCPLGDLKGYLRSCRVAESMAPDPRTLQRMACEVACGVLHLHRN
+NFVHSDLALRNCLLTADLTVKIGDYGLAHCKYREDYFVTADQLWVPLRWIAPELVDEVHS
+NLLVVDQTKSGNVWSLGVTIWELFELGTQPYPQHSDQQVLAYTVREQQLKLPKPQLQLTL
+SDRWYEVMQFCWLQPEQRPTAEEVH
+>Q8IWU2_PF07714_138 <unknown description>
+NYIQEIGNGWFGKVLLGEIYTGTSVARVIVKELKASANPKEQDTFLKNGEPYYILQHPNI
+LQCVGQCVEAIPYLLVFEFCDLGDLKAYLRSEQEHMRGDSQTMLLQRMACEVAAGLAAMH
+KLHFLHSDLALRNCFLTSDLNVKVGDYGIGFSRYKEDYIETDDKKVFPLRWTAPELVTSF
+QDRLLTADQTKYSNIWSLGVTLWELFDNAAQPYSNLSNLDVLNQVIRERDTKLPKPQLEQ
+PYSDRWYEVLQFCWLSPEKRPAAEDVHRL
+>Q96Q04_PF07714_134 <unknown description>
+SYLQEIGSGWFGKVILGEIFSDYTPAQVVVKELRASAGPLEQRKFISEAQPYRSLQHPNV
+LQCLGLCVETLPFLLIMEFCQLGDLKRYLRAQRPPEGLSPELPPRDLRTLQRMGLEIARG
+LAHLHSHNYVHSDLALRNCLLTSDLTVRIGDYGLAHSNYKEDYYLTPERLWIPLRWAAPE
+LLGELHGTFMVVDQSRESNIWSLGVTLWELFEFGAQPYRHLSDEEVLAFVVRQQHVKLAR
+PRLKLPYADYWYDILQSCWRPPAQRPSASDLQLQ
+>Q8TE12_PF00412_35 <unknown description>
+CEGCQRVILDRFLLRLNDSFWHEQCVQCASCKEPLETTCFYRDKKLYCKYDYEKL
+>Q8TE12_PF00412_94 <unknown description>
+CGGCFEAIAPNEFVMRAQKSVYHLSCFCCCVCERQLQKGDEFVLKEGQLLCKGDYEKE
+>Q8TE12_PF00046_196 <unknown description>
+KRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQVWFQNQRAKMKK
+>O60663_PF00412_56 <unknown description>
+CEGCQRPISDRFLMRVNESSWHEECLQCAACQQALTTSCYFRDRKLYCKQDYQQL
+>O60663_PF00412_115 <unknown description>
+CSGCMEKIAPTEFVMRALECVYHLGCFCCCVCERQLRKGDEFVLKEGQLLCKGDYEKE
+>O60663_PF00046_220 <unknown description>
+KRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQVWFQNQRAKMKK
+>Q9H9Z2_PF00313_42 <unknown description>
+GICKWFNVRMGFGFLSMTARAGVALDPPVDVFVHQSKLHMEGFRSLKEGEAVEFTFKKSA
+KGLESIRVTG
+>Q9H9Z2_PF00098_138 <unknown description>
+RCYNCGGLDHHAKECK
+>Q6ZN17_PF00313_31 <unknown description>
+TGHCKWFNVRMGFGFISMINREGSPLDIPVDVFVHQSKLFMEGFRSLKEGEPVEFTFKKS
+SKGLESIRVTG
+>Q6ZN17_PF00098_128 <unknown description>
+RCYNCGGLDHHAKECS
+>Q6ZN17_PF00098_149 <unknown description>
+KKCHYCQSIMHMVANCPH
+>A1A4G5_PF15419_7 <unknown description>
+DDDDVSFAKWMSSFWGHSWREEDQRGLRERHRLQATSHRKTSLPCPLPVLPRIPSSDCHP
+RRHSHEDQEFRCRSHVRDYRKYSEDGSFKEPLESKGRSHSKIEKFSESFERQLCFRTKRS
+ASLGPESRKERNERECLRMEIKSRKKVEEERSSRKEEHGEAHMAPLFEK
+>Q9C0E8_PF10058_256 <unknown description>
+LDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAYCFFLN
+>Q8TBB1_PF13920_38 <unknown description>
+DLICHICLQALLDPLDTPCGHTYCTLCLTNFLVEKDFCPMDRKP
+>Q8TBB1_PF00595_276 <unknown description>
+KINRVDPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNV
+PHNYAVRLLRQPCQVLWLTVM
+>Q8TBB1_PF00595_382 <unknown description>
+VILNKSSPEEQLGIKLVRKVDEPGVFIFNVLDGGVAYRHGQLEENDRVLAINGHDLRYGS
+PESAAHLIQASERRVHLVV
+>Q8TBB1_PF00595_508 <unknown description>
+VNIQKDPGESLGMTVAGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVDGVELT
+EVSRSEAVALLKRTSSSIVLK
+>Q8TBB1_PF00595_639 <unknown description>
+IVLRRNTAGSLGFCIVGGYEEYNGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTS
+GMIHACLARLLKELKGRITLTI
+>Q8N448_PF13923_50 <unknown description>
+CHICLQPLLQPLDTPCGHTFCYKCLRNFLQEKDFCPL
+>Q8N448_PF00595_236 <unknown description>
+IHRSNPYIQLGISIVGGNETPLINIVIQEVYRDGVIARDGRLLAGDQILQVNNYNISNVS
+HNYARAVLSQPCNTLHLTVL
+>Q8N448_PF00595_340 <unknown description>
+VALHKRDSGEQLGIKLVRRTDEPGVFILDLLEGGLAAQDGRLSSNDRVLAINGHDLKYGT
+PELAAQIIQASGERVNLTI
+>Q8N448_PF00595_469 <unknown description>
+ITVKKEPHESLGMTVAGGRGSKSGELPIFVTSVPPHGCLARDGRIKRGDVLLNINGIDLT
+NLSHSEAVAMLKASAASPAVA
+>Q8N448_PF00595_604 <unknown description>
+RRSYLGSWGFSIVGGYEENHTNQPFFIKTIVLGTPAYYDGRLKCGDMIVAVNGLSTVGMS
+HSALVPMLKEQRNKVTLTV
+>Q17RB8_PF13445_126 <unknown description>
+CRGFLSEPVTVPCGHSYCRRCLR
+>Q17RB8_PF13923_478 <unknown description>
+ECSLCMRLFFEPVTTPCGHSFCKNCLERCLDHAPYCPLC
+>Q17RB8_PF02190_568 <unknown description>
+VPIFVCTMAYPTVPCPLHVFEPRYRLMIRRSIQTGTKQFGMCVSDTQNSFADYGCMLQIR
+NVHFLPDGRSVVDTVGGKRFRVLKRGMKDGYCTADIEYLEDVKVENEDEIKNLRELHDLV
+YSQACSWFQNLRDRFRSQILQHFGSMPEREENLQAAPNGPAWCWWLLAVLPVDPRYQLSV
+LSMKSLKERLTKIQHIL
+>Q1L5Z9_PF13445_143 <unknown description>
+CPRCRRLLHKPVTLPCGLTVCKRCVE
+>Q1L5Z9_PF13923_448 <unknown description>
+ECALCMRLLFEPVTTPCGHTFCLKCLERCLDHAPHCPLC
+>Q1L5Z9_PF02190_538 <unknown description>
+VPIFVCAMAFPTVPCPLHVFEPRYRLMIRRCMETGTKRFGMCLSAEHAGLSEYGCMLEIK
+DVRTFPDGSSVVDAIGISRFRVLSHRHRDGYNTADIEYLEDEKVEGPEYEELAALHDSVH
+QQSVSWFASLQDRMKEQILSHFGVMPDREPEPQSNPSGPAWSWWILAVLPLERKAQLAIL
+GMTSLKERLLAIRRI
+>Q496Y0_PF13445_158 <unknown description>
+CRKCHGFLSDPVSLSCGHTFCKLCLERGRAADR
+>Q496Y0_PF13923_466 <unknown description>
+ECALCMRLFYEPVTTPCGHTFCLKCLERCLDHNAKCPLC
+>Q496Y0_PF02190_556 <unknown description>
+VPIFVCTMAYPTVPCPLHIFEPCYRLMIRRCIETGTRQFGMCLGDPVKGFAEYGCILEIR
+NVQFFADGRSVVDSIGKRRFRVLHQSQRDGYNTADIEYIEDQKVQGEDCAELMGLHNCVY
+QQASLWFHSLKLSLKNRILNHFGPMPEKDADPQMNPNGPAWCWWMLAVLPLESRAQLPFL
+AMRSLKDRLNG
+>P36776_PF02190_124 <unknown description>
+LPLIAITRNPVFPRFIKIIEVKNKKLVELLRRKVRLAQPYVGVFLKRDDSNESDVVESLD
+EIYHTGTFAQIHEMQDLGDKLRMIVMGHRRVHISRQLEVEPEEPEAENKHKPRRKSKRGK
+KEAEDELSARHPAELAMEPTPELPAEVLMVEVENVVHEDFQVTEEVKALTAEIVKTIRDI
+IALNPLYRESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNIPKRLYKAL
+SLLKK
+>P36776_PF00004_519 <unknown description>
+LCFYGPPGVGKTSIARSIARALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLK
+KTKTENPLILIDEVDKIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICT
+ANVTDTIPEPLRDRMEMI
+>P36776_PF05362_737 <unknown description>
+VTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAMGGSTLFVETSLRRPQDKDAKGDK
+DGSLEVTGQLGEVMKESARIAYTFARAFLMQHAPANDYLVTSHIHLHVPEGATPKDGPSA
+GCTIVTALLSLAMGRPVRQNLAMTGEVSLTGKILPVGGIKEKTIAAKRAGVTCIVLPAEN
+KKDFYDLAAFITEGLEVHFVEHYREIFDIAF
+>Q86WA8_PF02190_13 <unknown description>
+LPLLLTHEGVLLPGSTMRTSVDSARNLQLVRSRLLKGTSLQSTILGVIPNTPDPASDAQD
+LPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYPIAEVEQLDRLEE
+FPNTCKMREELGELSEQFYKYAVQLVEMLDMSVPAVAKLRRLLDSLPREALPDILTSIIR
+TSNKEKLQILDAVSLEERFKMTIPLLV
+>Q86WA8_PF00004_371 <unknown description>
+LCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGSMPGRIINGLK
+TVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAFDLSQVLFIAT
+ANTTATIPAALLDRMEII
+>Q86WA8_PF05362_629 <unknown description>
+IDFHALKDILGPPMYEMEVSQRLSQPGVAIGLAWTPLGGEIMFVEASRMDGEGQLTLTGQ
+LGDVMKESAHLAISWLRSNAKKYQLTNAFGSFDLLDNTDIHLHFPAGAVTKDGPSAGVTI
+VTCLASLFSGRLVRSDVAMTGEITLRGLVLPVGGIKDKVLAAHRAGLKQVIIPRRNEKDL
+EGIPGNVRQDLSFVTASCLDEVLNAAF
+>P23490_PF15847_1 <unknown description>
+MSYQKKQPTPQPPVDCVKTSGGGGGGGGSGGGGCGFFGGGGSGGGSSGSGCGYSGGGGYS
+GGGCGGGSSGGGGGGGIGGCGGGSGGSVKYSGGGGSSGGGSGCFSSGGGGSGCFSSGGGG
+SSGGGSGCFSSGGGGSSGGGSGCFSSGGGGFSGQAVQCQSYGGVSSGGSSGGGSGCFSSG
+GGGGSVCGYSGGGSGCGGGSSGGSGSGYVSSQQVTQTSCAPQPSYGGGSSGGGGSGGSGC
+FSSGGGGGSSGCGGGSSGIGSGCIISGGGSVCGGGSSGGGGGGSSVGGSGSGKGVPICHQ
+TQQKQAPTWPSK
+>P18054_PF01477_4 <unknown description>
+YRIRVATGAWLFSGSYNRVQLWLVGTRGEAELELQLRPARGEEEEFDHDVAEDLGLLQFV
+RLRKHHWLVDDAWFCDRITVQGPGACAEVAFPCYRWVQGE
+>P18054_PF00305_209 <unknown description>
+GQKSALAEKVRQCWQDDELFSYQFLNGANPMLLRRSTSLPSRLVLPSGMEELQAQLEKEL
+QNGSLFEADFILLDGIPANVIRGEKQYLAAPLVMLKMEPNGKLQPMVIQIQPPNPSSPTP
+TLFLPSDPPLAWLLAKSWVRNSDFQLHEIQYHLLNTHLVAEVIAVATMRCLPGLHPIFKF
+LIPHIRYTMEINTRARTQLISDGGIFDKAVSTGGGGHVQLLRRAAAQLTYCSLCPPDDLA
+DRGLLGLPGALYAHDALRLWEIIARYVEGIVHLFYQRDDIVKGDPELQAWCREITEVGLC
+QAQDRGFPVSFQSQSQLCHFLTMCVFTCTAQHAAINQGQLDWYAWVPNAPCTMRMPPPTT
+KEDVTMATVMGSLPDVRQACLQMAISWHLSRRQPDMVPLGHHKEKYFSGPKPKAVLNQFR
+TDLEKLEKEITARNEQ
+>P16050_PF01477_4 <unknown description>
+YRIRVSTGASLYAGSNNQVQLWLVGQHGEAALGKRLWPARGKETELKVEVPEYLGPLLFV
+KLRKRHLLKDDAWFCNWISVQGPGAGDEVRFPCYRWVEG
+>P16050_PF00305_212 <unknown description>
+SKLAERVRDSWKEDALFGYQFLNGANPVVLRRSAHLPARLVFPPGMEELQAQLEKELEGG
+TLFEADFSLLDGIKANVILCSQQHLAAPLVMLKLQPDGKLLPMVIQLQLPRTGSPPPPLF
+LPTDPPMAWLLAKCWVRSSDFQLHELQSHLLRGHLMAEVIVVATMRCLPSIHPIFKLIIP
+HLRYTLEINVRARTGLVSDMGIFDQIMSTGGGGHVQLLKQAGAFLTYSSFCPPDDLADRG
+LLGVKSSFYAQDALRLWEIIYRYVEGIVSLHYKTDVAVKDDPELQTWCREITEIGLQGAQ
+DRGFPVSLQARDQVCHFVTMCIFTCTGQHASVHLGQLDWYSWVPNAPCTMRLPPPTTKDA
+TLETVMATLPNFHQASLQMSITWQLGRRQPVMVAVGQHEEEYFSGPEPKAVLKKFREELA
+ALDKEIEIRNAKL
+>P09917_PF01477_4 <unknown description>
+YTVTVATGSQWFAGTDDYIYLSLVGSAGCSEKHLLDKPFYNDFERGAVDSYDVTVDEELG
+EIQLVRIEKRKYWLNDDWYLKYITLKTPHGDYIEFPCYRWITGDVEVVL
+>P09917_PF00305_222 <unknown description>
+RVMNHWQEDLMFGYQFLNGCNPVLIRRCTELPEKLPVTTEMVECSLERQLSLEQEVQQGN
+IFIVDFELLDGIDANKTDPCTLQFLAAPICLLYKNLANKIVPIAIQLNQIPGDENPIFLP
+SDAKYDWLLAKIWVRSSDFHVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPIFKLLVAHV
+RFTIAINTKAREQLICECGLFDKANATGGGGHVQMVQRAMKDLTYASLCFPEAIKARGME
+SKEDIPYYFYRDDGLLVWEAIRTFTAEVVDIYYEGDQVVEEDPELQDFVNDVYVYGMRGR
+KSSGFPKSVKSREQLSEYLTVVIFTASAQHAAVNFGQYDWCSWIPNAPPTMRAPPPTAKG
+VVTIEQIVDTLPDRGRSCWHLGAVWALSQFQENELFLGMYPEEHFIEKPVKEAMARFRKN
+LEAIVSVIAERNKKKQL
+>Q9BYJ1_PF01477_4 <unknown description>
+YRLCVTTGPYLRAGTLDNISVTLVGTCGESPKQRLDRMGRDFAPGSVQKYKVRCTAELGE
+LLLLRVHKERYAFFRKDSWYCSRICVTEPDGSVSHFPCYQWIEG
+>Q9BYJ1_PF00305_263 <unknown description>
+YVTEHWCEDHFFGYQYLNGVNPVMLHCISSLPSKLPVTNDMVAPLLGQDTCLQTELERGN
+IFLADYWILAEAPTHCLNGRQQYVAAPLCLLWLSPQGALVPLAIQLSQTPGPDSPIFLPT
+DSEWDWLLAKTWVRNSEFLVHENNTHFLCTHLLCEAFAMATLRQLPLCHPIYKLLLPHTR
+YTLQVNTIARATLLNPEGLVDQVTSIGRQGLIYLMSTGLAHFTYTNFCLPDSLRARGVLA
+IPNYHYRDDGLKIWAAIESFVSEIVGYYYPSDASVQQDSELQAWTGEIFAQAFLGRESSG
+FPSRLCTPGEMVKFLTAIIFNCSAQHAAVNSGQHDFGAWMPNAPSSMRQPPPQTKGTTTL
+KTYLDTLPEVNISCNNLLLFWLVSQEPKDQRPLGTYPDEHFTEEAPRRSIAAFQSRLAQI
+SRDIQERNQG
+>Q08397_PF01186_370 <unknown description>
+PDLVPDPNYVQASTYVQRAHLYSLRCAAEEKCLASTAYAPEATDYDVRVLLRFPQRVKNQ
+GTADFLPNRPRHTWEWHSCHQHYHSMDEFSHYDLLDAATGKKVAEGHKASFCLEDSTCDF
+GNLKRYACTSHTQGLSPGCYDTYNADIDCQWIDITDVQPGNYILKVHVNPKYIVLESDFT
+NNVVRCNIHYTGRYVSATNC
+>Q9Y4K0_PF00530_65 <unknown description>
+RKHSEGRVEVYYDGQWGTVCDDDFSIHAAHVVCRELGYVEAKSWTASSSYGKGEGPIWLD
+NLHCTGNEATLAACTSNGWGVTDCKHTEDVGVVCS
+>Q9Y4K0_PF00530_201 <unknown description>
+VMEGYVEVKEGKTWKQICDKHWTAKNSRVVCGMFGFPGERTYNTKVYKMFASRRKQRYWP
+FSMDCTGTEAHISSCKLGPQVSLDPMKNVTCENGLPAVVSC
+>Q9Y4K0_PF00530_330 <unknown description>
+GGAYIGEGRVEVLKNGEWGTVCDDKWDLVSASVVCRELGFGSAKEAVTGSRLGQGIGPIH
+LNEIQCTGNEKSIIDCKFNAESQGCNHEEDAGVRCN
+>Q9Y4K0_PF00530_439 <unknown description>
+GGRNPYEGRVEVLVERNGSLVWGMVCGQNWGIVEAMVVCRQLGLGFASNAFQETWYWHGD
+VNSNKVVMSGVKCSGTELSLAHCRHDGEDVACPQGGVQYGAGVACS
+>Q9Y4K0_PF01186_548 <unknown description>
+PDLVLNAEMVQQTTYLEDRPMFMLQCAMEENCLSASAAQTDPTTGYRRLLRFSSQIHNNG
+QSDFRPKNGRHAWIWHDCHRHYHSMEVFTHYDLLNLNGTKVAEGHKASFCLEDTECEGDI
+QKNYECANFGDQGITMGCWDMYRHDIDCQWVDITDVPPGDYLFQVVINPNFEVAESDYSN
+NIMKCRSRYDGHRIWMYNC
+>P58215_PF00530_52 <unknown description>
+KPYEGRVEIQRAGEWGTICDDDFTLQAAHILCRELGFTEATGWTHSAKYGPGTGRIWLDN
+LSCSGTEQSVTECASRGWGNSDCTHDEDAGVICK
+>P58215_PF00530_185 <unknown description>
+TEGLVEVRLPDGWSQVCDKGWSAHNSHVVCGMLGFPSEKRVNAAFYRLLAQRQQHSFGLH
+GVACVGTEAHLSLCSLEFYRANDTARCPGGGPAVVSC
+>P58215_PF00530_311 <unknown description>
+GGAHPGEGRVEVLKASTWGTVCDRKWDLHAASVVCRELGFGSAREALSGARMGQGMGAIH
+LSEVRCSGQELSLWKCPHKNITAEDCSHSQDAGVRCN
+>P58215_PF00530_421 <unknown description>
+GGRSQHEGRVEVQIGGPGPLRWGLICGDDWGTLEAMVACRQLGLGYANHGLQETWYWDSG
+NITEVVMSGVRCTGTELSLDQCAHHGTHITCKRTGTRFTAGVICS
+>P58215_PF01186_530 <unknown description>
+DLLLHSALVQETAYIEDRPLHMLYCAAEENCLASSARSANWPYGHRRLLRFSSQIHNLGR
+ADFRPKAGRHSWVWHECHGHYHSMDIFTHYDILTPNGTKVAEGHKASFCLEDTECQEDVS
+KRYECANFGEQGITVGCWDLYRHDIDCQWIDITDVKPGNYILQVVINPNFEVAESDFTNN
+AMKCNCKYDGHRIWVHNC
+>Q96JB6_PF00530_39 <unknown description>
+SKPEEGRLEVLHQGQWGTVCDDNFAIQEATVACRQLGFEAALTWAHSAKYGQGEGPIWLD
+NVRCVGTESSLDQCGSNGWGVSDCSHSEDVGVIC
+>Q96JB6_PF00530_174 <unknown description>
+VTEGAVEVKYEGHWRQVCDQGWTMNNSRVVCGMLGFPSEVPVDSHYYRKVWDLKMRDPKS
+RLKSLTNKNSFWIHQVTCLGTEPHMANCQVQVAPARGKLRPACPGGMHAVVSC
+>Q96JB6_PF00530_315 <unknown description>
+SGAQVGEGRVEVLMNRQWGTVCDHRWNLISASVVCRQLGFGSAREALFGARLGQGLGPIH
+LSEVRCRGYERTLSDCPALEGSQNGCQHENDAAVRCN
+>Q96JB6_PF00530_425 <unknown description>
+GGRIPEEGLLEVQVEVNGVPRWGSVCSENWGLTEAMVACRQLGLGFAIHAYKETWFWSGT
+PRAQEVVMSGVRCSGTELALQQCQRHGPVHCSHGGGRFLAGVSC
+>Q96JB6_PF01186_533 <unknown description>
+PDLVMNAQLVQETAYLEDRPLSQLYCAHEENCLSKSADHMDWPYGYRRLLRFSTQIYNLG
+RTDFRPKTGRDSWVWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPTGL
+QRRYACANFGEQGVTVGCWDTYRHDIDCQWVDITDVGPGNYIFQVIVNPHYEVAESDFSN
+NMLQCRCKYDGHRVWLHNC
+>Q92633_PF00001_67 <unknown description>
+NLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVSTWLLRQG
+LIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGAIPSVGW
+NCICDIENCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMSRHSSGP
+RRNRDTMMSLLKTVVIVLGAFIICWTPGLVLLLLDVCCPQCDVLAYEKFFLLLAEFNSAM
+NPIIY
+>Q9HBW0_PF00001_46 <unknown description>
+TNLLVIAAIASNRRFHQPIYYLLGNLAAADLFAGVAYLFLMFHTGPRTARLSLEGWFLRQ
+GLLDTSLTASVATLLAIAVERHRSVMAVQLHSRLPRGRVVMLIVGVWVAALGLGLLPAHS
+WHCLCALDRCSRMAPLLSRSYLAVWALSSLLVFLLMVAVYTRIFFYVRRRVQRMAEHVSC
+HPRYRETTLSLVKTVVIILGAFVVCWTPGQVVLLLDGLGCESCNVLAVEKYFLLLAEANS
+LVNAAVY
+>Q9UBY5_PF00001_48 <unknown description>
+NSLVIAAVIKNRKFHFPFYYLLANLAAADFFAGIAYVFLMFNTGPVSKTLTVNRWFLRQG
+LLDSSLTASLTNLLVIAVERHMSIMRMRVHSNLTKKRVTLLILLVWAIAIFMGAVPTLGW
+NCLCNISACSSLAPIYSRSYLVFWTVSNLMAFLIMVVVYLRIYVYVKRKTNVLSPHTSGS
+ISRRRTPMKLMKTVMTVLGAFVVCWTPGLVVLLLDGLNCRQCGVQHVKRWFLLLALLNSV
+VNPIIY
+>Q99677_PF00001_56 <unknown description>
+TNSVSLFVFCFRMKMRSETAIFITNLAVSDLLFVCTLPFKIFYNFNRHWPFGDTLCKISG
+TAFLTNIYGSMLFLTCISVDRFLAIVYPFRSRTIRTRRNSAIVCAGVWILVLSGGISASL
+FSTTNVNNATTTCFEGFSKRVWKTYLSKITIFIEVVGFIIPLILNVSCSSVVLRTLRKPA
+TLSQIGTNKKKVLKMITVHMAVFVVCFVPYNSVLFLYALVRSQAITNCFLERFAKIMYPI
+TLCLATLNCCFDPFIY
+>Q9H1C0_PF00001_40 <unknown description>
+NALALWVFLRALRVHSVVSVYMCNLAASDLLFTLSLPVRLSYYALHHWPFPDLLCQTTGA
+IFQMNMYGSCIFLMLINVDRYAAIVHPLRLRHLRRPRVARLLCLGVWALILVFAVPAARV
+HRPSRCRYRDLEVRLCFESFSDELWKGRLLPLVLLAEALGFLLPLAAVVYSSGRVFWTLA
+RPDATQSQRRRKTVRLLLANLVIFLLCFVPYNSTLAVYGLLRSKLVAASVPARDRVRGVL
+MVMVLLAGANCVLDPLVY
+>P43657_PF00001_35 <unknown description>
+NCVAIYIFICVLKVRNETTTYMINLAMSDLLFVFTLPFRIFYFTTRNWPFGDLLCKISVM
+LFYTNMYGSILFLTCISVDRFLAIVYPFKSKTLRTKRNAKIVCTGVWLTVIGGSAPAVFV
+QSTHSQGNNASEACFENFPEATWKTYLSRIVIFIEIVGFFIPLILNVTCSSMVLKTLTKP
+VTLSRSKINKTKVLKMIFVHLIIFCFCFVPYNINLILYSLVRTQTFVNCSVVAAVRTMYP
+ITLCIAVSNCCFDPIVY
+>Q643R3_PF01553_108 <unknown description>
+RIRVRGQRASRLQAPVLVAAPHSTFFDPIVLLPCDLPKVVSRAENLSVPVIGALLRFNQA
+ILVSRHDPASRRRVVEEVRRRATSGGKWPQVLFFPEGTCSNKKALLKFKPGAFIAGVPVQ
+PVLI
+>Q8NI32_PF16975_77 <unknown description>
+PTPFPNSFKCFTCENAGDNYNCNRWAEDKWCPQNTQYCLTVHHFTSHGRSTSITKKCASR
+SECHFVGCHHSRDSEHTECRSCCEGMICNVELPTNHTNAVFAVMHA
+>P09848_PF00232_83 <unknown description>
+QITHYKVFLSWAQLLPAGSTQNPDEKTVQCYRRLLKALKTARLQPMVILHHQTLPASTLR
+RTEAFADLFADYATFAFHSFGDLVGIWFTFSDLEEV
+>P09848_PF00232_379 <unknown description>
+QDTFPEGFLWGASTGAFNVEGGWAEGGRGVSIWDPRRPLNTTEGQATLEVASDSYHKVAS
+DVALLCGLRAQVYKFSISWSRIFPMGHGSSPSLPGVAYYNKLIDRLQDAGIEPMATLFHW
+DLPQALQDHGGWQNESVVDAFLDYAAFCFSTFGDRVKLWVTFHEPWVMSYAGYGTGQHPP
+GISDPGVASFKVAHLVLKAHARTWHHYNSHHRPQQQGHVGIVLNSDWAEPLSPERPEDLR
+ASERFLHFMLGWFAHPVFVDGDYPATLRTQIQQMNRQCSHPVAQLPEFTEAEKQLLKGSA
+DFLGLSHYTSRLISNAPQNTCIPSYDTIGGFSQHVNHVWPQTSSSWIRVVPWGIRRLLQF
+VSLEYTRGKVPIYLAGNGMPIGESENLFDDSLRVDYFNQYINEVLKAIKEDSVDVRSYIA
+RSLIDGFEGPSGYSQRFGLHHVNFSDSSKSRTPRKSAYFFTSIIEKNGF
+>P09848_PF00232_900 <unknown description>
+HGTFRDDFLWGVSSSAYQIEGAWDADGKGPSIWDNFTHTPGSNVKDNATGDIACDSYHQL
+DADLNMLRALKVKAYRFSISWSRIFPTGRNSSINSHGVDYYNRLINGLVASNIFPMVTLF
+HWDLPQALQDIGGWENPALIDLFDSYADFCFQTFGDRVKFWMTFNEPMYLAWLGYGSGEF
+PPGVKDPGWAPYRIAHAVIKAHARVYHTYDEKYRQEQKGVISLSLSTHWAEPKSPGVPRD
+VEAADRMLQFSLGWFAHPIFRNGDYPDTMKWKVGNRSELQHLATSRLPSFTEEEKRFIRA
+TADVFCLNTYYSRIVQHKTPRLNPPSYEDDQEMAEEEDPSWPSTAMNRAAPWGTRRLLNW
+IKEEYGDIPIYITENGVGLTNPNTEDTDRIFYHKTYINEALKAYRLDGIDLRGYVAWSLM
+DNFEWLNGYTVKFGLYHVDFNNTNRPRTARASARYYTEVITNNG
+>P09848_PF00232_1375 <unknown description>
+GRFPEGFIWSAASAAYQIEGAWRADGKGLSIWDTFSHTPLRVENDAIGDVACDSYHKIAE
+DLVTLQNLGVSHYRFSISWSRILPDGTTRYINEAGLNYYVRLIDTLLAASIQPQVTIYHW
+DLPQTLQDVGGWENETIVQRFKEYADVLFQRLGDKVKFWITLNEPFVIAYQGYGYGTAAP
+GVSNRPGTAPYIVGHNLIKAHAEAWHLYNDVYRASQGGVISITISSDWAEPRDPSNQEDV
+EAARRYVQFMGGWFAHPIFKNGDYNEVMKTRIRDRSLAAGLNKSRLPEFTESEKRRINGT
+YDFFGFNHYTTVLAYNLNYATAISSFDADRGVASIADRSWPDSGSFWLKMTPFGFRRILN
+WLKEEYNDPPIYVTENGVSQREETDLNDTARIYYLRTYINEALKAVQDKVDLRGYTVWSA
+MDNFEWATGFSERFGLHFVNYSDPSLPRIPKASAKFYASVVRCNGF
+>Q14693_PF04571_1 <unknown description>
+MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGNLQCSPFHVRFGKMGVLRS
+REKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEVIPMHLATSP
+>Q14693_PF16876_500 <unknown description>
+IAISLCGGLSDHREITKDAFLEQAVSYQQFVDNPAIIDDPNLVVKIGSKYYNWTTAAPLL
+LAMQAFQKPLPKATVESIMRDKMPKKGGRWWFSW
+>Q14693_PF08235_662 <unknown description>
+KTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVIISDIDGTITR
+SDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGT
+VLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADV
+YSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFP
+>Q92539_PF04571_1 <unknown description>
+MNYVGQLAGQVIVTVKELYKGINQATLSGCIDVIVVQQQDGSYQCSPFHVRFGKLGVLRS
+KEKVIDIEINGSAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSP
+>Q92539_PF16876_469 <unknown description>
+VTLSLCGGLSENGEISKEKFMEHIITYHEFAENPGLIDNPNLVIRIYNRYYNWALAAPMI
+LSLQVFQKSLPKATVESWVKDKMPKKSGRWWFW
+>Q92539_PF08235_637 <unknown description>
+KSLRLSSDQIAKLKLHDGPNDVVFSITTQYQGTCRCAGTIYLWNWNDKIIISDIDGTITK
+SDALGQILPQLGKDWTHQGIAKLYHSINENGYKFLYCSARAIGMADMTRGYLHWVNDKGT
+ILPRGPLMLSPSSLFSAFHREVIEKKPEKFKIECLNDIKNLFAPSKQPFYAAFGNRPNDV
+YAYTQVGVPDCRIFTVNPKGELIQERTKGNKSSYHRLSELVEHVFP
+>Q9BQK8_PF04571_1 <unknown description>
+MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVKQVDGSFRCSPFHVRFGKLGVLRS
+REKVVDIELNGEPVDLHMKLGDSGEAFFVQELESDDEHVPPGLCTSP
+>Q9BQK8_PF16876_438 <unknown description>
+IALSLCGGLADSRDISLEKFNQHSVSYQDLTKNPGLLDDPNLVVKINGKHYNWAVAAPMI
+LSLQAFQKNLPKSTMDKLEREKMPRKGGRWWFSW
+>Q9BQK8_PF08235_592 <unknown description>
+KSLRLSSDQIRRLNLQEGANDVVFSVTTQYQGTCRCKATIYLWKWDDKVVISDIDGTITK
+SDALGHILPQLGKDWTHQGITSLYHKIQLNGYKFLYCSARAIGMADLTKGYLQWVSEGGC
+SLPKGPILLSPSSLFSALHREVIEKKPEVFKVACLSDIQQLFLPHGQPFYAAFGNRPNDV
+FAYRQVGLPESRIFTVNPRGELIQELIKNHKSTYERLGEVVELLFP
+>Q86U10_PF00710_10 <unknown description>
+RLLAVYTGGTIGMRSELGVLVPGTGLAAILRTLPMFHDEEHARARGLSEDTLVLPPASRN
+QRILYTVLECQPLFDSSDMTIAEWVCLAQTIKRHYEQYHGFVVIHGTDTMAFAASMLSFM
+LENLQKTVILTGAQVPIHALWSDGRENLLGALLMAGQYVIPEVCLFFQNQLFRGNRATKV
+DARRFAAFCSPNLLPLATVGADITINRELV
+>Q86U10_PF17763_238 <unknown description>
+VGLLRLYPGIPAALVRAFLQPPLKGVVMETFGSGNGPTKPDLLQELRVATERGLVIVNCT
+HCLQGAVTTDYAAGMAMAGAGVISGFDMTSEAALAKLSYVLGQPGLSL
+>Q86U10_PF12796_406 <unknown description>
+CAAAHAGDVEALQALVELGSDLGLVDFNGQTPLHAAARGGHTEAVTMLLQRGVDVNTRDT
+DGFSPLLLAVRGRHPGVIGLLREAGASLST
+>P42704_PF13812_148 <unknown description>
+RIWDTLQKLGAVYDVSHYNALLKVYLQNEYKFSPTDFLAKMEEANIQPNRVTYQRLIASY
+>P42704_PF17177_220 <unknown description>
+LGFMKTKDLPVTEAVFSALVTGHARAGDMENAENILTVMRDAGIEPGPDTYLALLNAYAE
+KGDIDHVKQTLEKVEKSELHLMDRDLLQIIFSFSKAGYPQYVSEILEKVT
+>P42704_PF01535_714 <unknown description>
+YAALINLCCRHDKVEDALNLKEE
+>P42704_PF01535_753 <unknown description>
+GLVRVLAKHGKLQDAINILKEMKEKDV
+>P42704_PF01535_1320 <unknown description>
+AYNSLMKSYVSEKDVTSAKALYEHL
+>Q93052_PF00412_416 <unknown description>
+CARCGENVVGEGTGCTAMDQVFHVDCFTCIICNNKLRGQPFYAVEKKAYCEPCYIN
+>Q93052_PF00412_476 <unknown description>
+CNVCSKPIMERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDAGGLIHCIEDFHKKF
+>Q93052_PF00412_536 <unknown description>
+CSVCKEPIMPAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKT
+C
+>O60711_PF00412_152 <unknown description>
+CASCQKPIAGKVIHALGQSWHPEHFVCTHCKEEIGSSPFFERSGLAYCPNDYHQL
+>O60711_PF00412_211 <unknown description>
+CAYCAAPILDKVLTAMNQTWHPEHFFCSHCGEVFGAEGFHEKDKKPYCRKDFLAM
+>O60711_PF00412_270 <unknown description>
+CGGCNRPVLENYLSAMDTVWHPECFVCGDCFTSFSTGSFFELDGRPFCELHYHHR
+>O60711_PF00412_329 <unknown description>
+CHGCGQPITGRCISAMGYKFHPEHFVCAFCLTQLSKGIFREQNDKTYCQPCFNKL
+>A6NIK2_PF13855_67 <unknown description>
+RELRILALDFNKLERLPDGLCRLPRLTRLYLGGNRLLALPADFAQLQSLRCLWIEGN
+>Q9P2M1_PF08238_91 <unknown description>
+QATYQLGVMYYDGLGTTLDAEKGVDYMKK
+>Q9P2M1_PF08238_134 <unknown description>
+AAAYNLGRAYYEGKGVKRSNEEAERLWLIAADNGN
+>Q9P2M1_PF08238_174 <unknown description>
+KAQSMLGLYYSTKEPKELEKAFYWHSEACGNG
+>Q9P2M1_PF08238_208 <unknown description>
+ESQGALGLMYLYGQGIRQDTEAALQCLREAAERGN
+>Q9P2M1_PF08238_301 <unknown description>
+FYHARCLQLGLGITRDETTAKHYYSKACRLN
+>Q6ZQY2_PF13516_105 <unknown description>
+SNPYVKRLDLRDNGLCGAGAEALA
+>Q6ZQY2_PF13516_134 <unknown description>
+SSSIHDVDLSENQLGVAGAQALC
+>Q6ZQY2_PF13516_190 <unknown description>
+HTDLKSLDLSYNQLNDQAGETL
+>Q6ZQY2_PF13516_218 <unknown description>
+NTGLTELNVSWNHLRGPGAVAFA
+>Q6ZQY2_PF13516_246 <unknown description>
+NIFLKVLDISYNGFGDPGASAV
+>Q6ZQY2_PF13516_274 <unknown description>
+NNVLEELNMSNNRISAMGALSL
+>Q6ZQY2_PF13516_302 <unknown description>
+NQTLRILVVSRNPMRSEGCFG
+>Q8N5H3_PF14854_85 <unknown description>
+NLDSALAALRKEMVGLRQLDMSLLCQLWGLYESIQDYKHLCQDLSFCQDLSSSLHSD
+>Q5T700_PF00057_79 <unknown description>
+TGFLCHDQRSCIPASGVCDGVRTCTHGEDED
+>Q5SZI1_PF00057_173 <unknown description>
+CGAYFRCQNGRCIPSSLVCDPWGMDNCGDGSD
+>Q86YD5_PF00057_28 <unknown description>
+ECNIPGNFMCSNGRCIPGAWQCDGLPDCFDKSDEKEC
+>Q86YD5_PF00057_70 <unknown description>
+KCGPTFFPCASGIHCIIGRFRCNGFEDCPDGSDEENC
+>Q86YD5_PF00057_113 <unknown description>
+CSTARYHCKNGLCIDKSFICDGQNNCQDNSDEESC
+>O15165_PF00057_15 <unknown description>
+CKFTCTSGKCLYLGSLVCNQQNDCGDNSDEENC
+>Q96KN4_PF04970_122 <unknown description>
+PGDLLELLWLQPAPEPPAPAPHWAVYVGGGQIIHLHQGEIRQDSLYEAGAANVGRVVNSW
+YRYRPLVAELVVQNACGHLGLKSEEICWTNSESFAAWCRFGKR
+>Q96KN1_PF04970_115 <unknown description>
+KCKPGDLVEFVSQAQYPHWAVYVGNFQVVHLHRLE
+>O95237_PF04970_44 <unknown description>
+SFHRGDVLEVPRTHLTHYGIYLGDNRVAHMMPDILLALTDDMGRTQKVVSNKRLILGVIV
+KVASIRVDTVEDFAYGANILVNHLDESLQKKALLNEEVARRAEKLLGFTPYSLLWNNCEH
+FVTYCRYGTP
+>Q5BKY1_PF13855_52 <unknown description>
+RELVKLYLSDNHLNSLPPELGQLQNLQILALDFNNFKALPQVVCTLKQLCILYLGNNKL
+>Q15048_PF13516_221 <unknown description>
+CLRRVDLRFNNLGLRGLSV
+>Q15048_PF13516_336 <unknown description>
+AHLKKLDLSGNDLSG
+>Q8TF66_PF13855_56 <unknown description>
+MSLQILNTHITELNESPFLNISALIALRIEKNELSRITPGAFRNLGSLRYLSLANNKL
+>Q8TF66_PF00560_126 <unknown description>
+SLESLLLSSNQLLQIQPAHFSQC
+>Q8TF66_PF13855_149 <unknown description>
+SNLKELQLHGNHLEYIPDGAFDHLVGLTKLNLGKNSLTHISPRVFQHLGNLQVLRLYENR
+L
+>Q8TF66_PF00560_246 <unknown description>
+NLQRLYLSNNHISQLPPSVF
+>Q8TF66_PF13855_293 <unknown description>
+PNLRELWLYDNHISSLPDNVFSNLRQLQVLILSRNQISFISPGAFNGLTELRELSLHTNA
+L
+>Q8TF66_PF13855_366 <unknown description>
+NLQNISLQNNRLRQLPGNIFANVNGLMAIQLQNNQLENLPLGIFDHLGKLCELRLYDN
+>Q8N6Y2_PF13855_106 <unknown description>
+KLKSLDLQQNEISKIESEAFFGLNKLTTLLLQHNQIKVLTEEVFIYTPLLSYLRLYDN
+>Q8N6Y2_PF13855_293 <unknown description>
+ELKKLNLSSNGIEFIDPAAFLGLTHLEELDLSNNSLQNFDYGVLEDLYFLKLLWLRDN
+>Q8N456_PF13855_53 <unknown description>
+DELDLSRNLIRKIPDSISKFQNLRWLDLHSNYIDKLPESIGQMTSLLYLNVSNNRL
+>Q9H756_PF13855_98 <unknown description>
+SLEILNICRNSIYVIQQGAFLGLNKLKQLYLCQNKIEQLNADVFVPLRSLKLLNLQGNLI
+>Q9H756_PF15176_256 <unknown description>
+NLTRNSEHEPLGKSWAFLVGVVVTVLTTSLLIFIAIKCPIWYNILLSYNHHRLEEHEAET
+YEDGFTGNPSSLSQIPETNSEETTVIFEQLHSFVVDDDGFIEDKYIDIHELCEEN
+>Q8TCA0_PF00560_75 <unknown description>
+QLRELHLEGNFLHRLPS
+>Q8TCA0_PF13855_98 <unknown description>
+HLKAIDLSRNQFQDFPEQLTALPALETINLEENEIVDVPVEKLAAMPALRSINLRFNPL
+>Q53EV4_PF13516_92 <unknown description>
+HLRYVDISENHLTD
+>Q53EV4_PF14580_185 <unknown description>
+ELRGNQLESTLGINLPKLKNLYLAQNMLKKVEGLEDLSNLTTLHLRDNQIDTLSGFSREM
+KSLQYLNLRGNMVANLGELAKLRDLPKLRALVLLDNPCTDETSYRQEALVQMPYLERLDK
+EFYEEEERAEADV
+>Q50LG9_PF13855_76 <unknown description>
+LRRLYLHNNSLRALEAGAFRAQPRLLELALTSNRLRGLRSGAFVGLAQLRVLYLAGNQL
+>Q50LG9_PF13855_146 <unknown description>
+PRLQELHLQENSIELLEDQALAGLSSLALLDLSRNQLGTISREALQPLASLQVLRLTEN
+>Q50LG9_PF13927_259 <unknown description>
+PPSVHVQPLELTANLGEDLRVACQASGYPQPLVTWRKVPQPREGRPRAQAQLEGGLLGLG
+GHSASDTGSGMLFLSNITLAHAGKYECEASN
+>Q2I0M4_PF13855_72 <unknown description>
+RLRALLLDHNRVRALPPGAFAGAGALQRLDLRENGLHSVHVRAFWGLGALQLLDLSANQL
+>Q2I0M4_PF13855_144 <unknown description>
+ALRNLSLAGNRLARLEPAALGALPLLRSLSLQDNELAALAPGLLGRLPALDALHLRGNP
+>Q9C0I9_PF13855_67 <unknown description>
+PSLQQLHLQRNALCVIPQDFFQLLPNLTWLDLRYNRIKALPSGIGAHQHLKTLLLERNPI
+>Q86X40_PF13855_42 <unknown description>
+YLERLYMKRNSLTSLPENLAQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNAL
+>Q86X40_PF13855_112 <unknown description>
+ALRHLRLANNQLQFLPPEVGDLKELQTLDISTNRLLTLPERLHMCLSLQYLTVDRNRL
+>A6NM36_PF13855_119 <unknown description>
+LKVLFVNMNCLTEVPAELSLCRKLEVLSLSHNCLSQLPACFADLSRLRKLNLSNN
+>Q6UY01_PF13516_452 <unknown description>
+AKLQKLDLSYNDSICDAGW
+>Q14392_PF01462_22 <unknown description>
+DKVPCKMVDKKVSCQVLGLLQVPSVLP
+>Q14392_PF13855_52 <unknown description>
+ETLDLSGNQLRSILASPLGFYTALRHLDLSTNEISFLQPGAFQALTHLEHLSLAHNRL
+>Q14392_PF13855_149 <unknown description>
+PSLHTLSLAENSLTRLTRHTFRDMPALEQLDLHSNVLMDIEDGAFEGLPRLTHLNLSRNS
+L
+>Q14392_PF13855_316 <unknown description>
+QLLNLDLSYNEIELIPDSFLEHLTSLCFLNLSRNCLRTFEARRLGSLPCLMLLDLSHNAL
+>Q14392_PF13855_385 <unknown description>
+LGSLRTLLLQGNALRDLPPYTFANLASLQRLNLQGNR
+>Q14392_PF13855_516 <unknown description>
+LKRLNLAENRLSHLPAWTQAVSLEVLDLRNNSFSLLPGSAMGGLETSLRRLYLQGNPL
+>Q86YC3_PF13855_82 <unknown description>
+LLESLSLHSCHLERISRGAFQEQGHLRSLVLGDNCLSENYEETAAALHALPGLRRLDLSG
+NAL
+>Q86YC3_PF13855_158 <unknown description>
+SLRSVSLAGNTIMRLDDSVFEGLERLRELDLQRNYIFEIEGGAFDGLAELRHLNLAFNNL
+>Q86YC3_PF13855_330 <unknown description>
+LRFLDMSQNQFQYLPDGFLRKMPSLSHLNLHQNCLMTLHIREHEPPGALTELDLSHNQL
+>Q86YC3_PF13516_426 <unknown description>
+RNITTLDMSHNQIS
+>Q8IZ02_PF13516_152 <unknown description>
+NLIYLNLMFNDIGPEGGELIA
+>Q8IZ02_PF13516_177 <unknown description>
+KNRTLKYLRMTGNKIENKGGMFFA
+>Q8IZ02_PF13516_206 <unknown description>
+NSSLEKLDLGDCDLGMQSVIAFA
+>Q8IZ02_PF13516_293 <unknown description>
+NSSLRYLDVSCNKITHDGMVYLA
+>Q8IZ02_PF13516_320 <unknown description>
+SNTTLEVIDLSFNRIENAGAN
+>Q8IZ02_PF13516_349 <unknown description>
+HNRSLKALSVVSNNIEGEGLVALS
+>Q1X8D7_PF14580_44 <unknown description>
+DAFRNFKNLRSLDLSRNLITSLKGIQYLCSLQDLNLYYNNIPSLVEVSRLQPLPFLKELD
+LRLNPVVRKDTDYRLFAVYTLQTLEKLDDRTVREGERKAAKLHFS
+>Q5VT99_PF13855_58 <unknown description>
+VRKLLVAGNRIQRIPEDFFIFYGDLVYLDFRNNSLRSLEEGTFSGSAKLVFLDLSYNNL
+>Q5VT99_PF13855_129 <unknown description>
+RLVKLSLANNNLVGVHEDAFETLESLQVLELNDNNLRSLSVAALAALPALRSLRLDGNP
+>Q96DD0_PF13855_107 <unknown description>
+NLIVLDLSRNTISEIPPGIGLLTRLQELILSYNKIKTVPKELSNCASLEKLELAVN
+>Q96DD0_PF13855_223 <unknown description>
+NLHTLWLQRNEITCLPQTISNMKNLGTLVLSNNKLQDIPVCMEEMANLRFVNFRDNPL
+>Q96PB8_PF01462_33 <unknown description>
+MCPKGCLCSSSGGLNVTCSNANLKEIPRDLP
+>Q96PB8_PF13855_69 <unknown description>
+LYLDSNQITSIPNEIFKDLHQLRVLNLSKNGIEFIDEHAFKGV
+>Q96PB8_PF00560_115 <unknown description>
+LQTLDLSDNRIQSVHKNAFNNL
+>Q9H9A6_PF13855_84 <unknown description>
+LTKLIISNNKLQSLTDDLRLLPALTVLDIHDNQLTSLPSAIRELENLQKLNVSHNKL
+>Q9H9A6_PF13855_151 <unknown description>
+RNLKCLYLQHNELTCISEGFEQLSNLEDLDLSNNHLTTVPASFSSLSSLVRLNLSSNEL
+>Q9H9A6_PF13855_267 <unknown description>
+LKELHVGENQIEMLEAEHLKHLNSILVLDLRDNKLKSVPDEIILLRSLERLDLSNNDI
+>Q9H9A6_PF13855_519 <unknown description>
+TLETILISNNQVGSVDPQKMKMMENLTTLDLQNNDLLQIPPELGNCVNLRTLLLDGNP
+>Q9Y546_PF13516_175 <unknown description>
+LTCLDLSCCKLGDE
+>Q9Y546_PF13516_201 <unknown description>
+SSVTQLHLKDNCLSDAGVRK
+>Q9Y546_PF13516_234 <unknown description>
+NLTLLDLSCNPEITDAGIG
+>Q8N309_PF13855_150 <unknown description>
+KLEELVLSANRIKEVDATNLPPTLKVLELYGNEISSMECLCAHPPAGLQHLGLGHNKL
+>Q96CN5_PF13516_85 <unknown description>
+NTVLRFLDLKGNNLRAAGAEAL
+>Q96CN5_PF13516_146 <unknown description>
+LQRLDLRNNQISHKGAEELA
+>Q96CN5_PF13516_171 <unknown description>
+NTTLQQLDLRWNNVGLLGGRALM
+>Q96FV0_PF13855_45 <unknown description>
+ELQTVRLDREGITTIRNLEGLQNLHSLYLQGNKIQQIENLACIPSLRFLSLAGNQI
+>Q8N1G4_PF12799_99 <unknown description>
+PALRVLDLSGNALEALPPGQGLGPAEPPGLPQLQSLNLSGNRLREL
+>Q8N1G4_PF00560_154 <unknown description>
+RLQSLNLTGNCLDSFPAELF
+>Q8N1G4_PF13855_181 <unknown description>
+LSELAAADNCLRELSPDIAHLASLKTLDLSNNQLSEIPAELADCPKLKEINFRGNKL
+>Q8N1G4_PF03483_372 <unknown description>
+AATLATHELRAVKGPLLYCARPPQDLKIVPLGRKEAKAKELVRQLQLEAEEQRKQKKRQS
+VSGLHRYLHLLDGNENYPCLVDADGDVISFPPITNSEKTKVKKTTSDLFLEVTSATSLQI
+C
+>Q8IUZ0_PF14580_183 <unknown description>
+LDLHGNQITKIENINHLCELRVLNLARNFLSHVDNLNGLDSLTELNLRHNQITFVRDVDN
+LPCLQHLFLSFNNISSFDSVSCLADSSSLSDITFDGNPIAQESWYKHTVLQNMMQLRQLD
+MKRITEEERRMASVLAKKEEE
+>Q9NT99_PF13855_88 <unknown description>
+TRYLNLQENGIQVIRTDTFKHLRHLEILQLSKNLVRKIEVGAFNGLPSLNTLELFDNRL
+>Q9NT99_PF13855_159 <unknown description>
+KLRELWLRNNPIESIPSYAFNRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGMCN
+L
+>Q9NT99_PF13855_228 <unknown description>
+LVRLEELELSGNRLDLIRPGSFQGLTSLRKLWLMH
+>Q9NT99_PF13855_265 <unknown description>
+VATIERNAFDDLKSLEELNLSHNNLMSLPHDLFTPLHRLERVHLNHNP
+>Q9NT99_PF07679_364 <unknown description>
+PVIVEPPTDLNVTEGMAAELKCRTGTSMTSVNWLTPNGTLMTHGSYRVRISVLHDGTLNF
+TNVTVQDTGQYTCMVTNSAGNTTASATLNV
+>Q9HCJ2_PF13306_90 <unknown description>
+IIKVNSFKHLRHLEILQLSRNHIRTIEIGAFNGLANLNTLELFDNRLTTIPNGAFVYLSK
+LKELWLRNNPIESIPSYAFNRIPSLRRLDLGELKRLSYISEGAFEGLSNLRYLNLA
+>Q9HCJ2_PF13855_245 <unknown description>
+LQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHHNP
+>Q9HCJ2_PF07679_354 <unknown description>
+PVIVEPPADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNF
+TNVTVQDTGMYTCMVSNSVGNTTASATLNV
+>Q96E66_PF14580_87 <unknown description>
+SFNDLTSIDPVLTTFFNLSVLYLHGNSIQRLGEVNKLAVLPRLRSLTLHGNPMEEEKGYR
+QYVLCTLSRITTFDFSGVTKADRTTAEVW
+>Q8N7C0_PF13855_79 <unknown description>
+LVYLDCQNNRIREVMDYTFIGVFKLIYLDLSSNNLTSISPFTFSVLSNLVQLNIANNP
+>A6NM62_PF13855_36 <unknown description>
+RVLIITDGYLSSIESTNLSLLFNLALLSLSRNGIEDVQEDALHGLTMLRTLLLEHNQI
+>A6NM62_PF13855_132 <unknown description>
+GLTRLQLDGNQITNLTDSSFGGTNLHSLRYLDLSNNFISYIGKDAFRPLPQLQEVDLSRN
+RL
+>Q6ZSA7_PF13855_90 <unknown description>
+ELQVLDLHNNSLMELPRGLFLHAKRLAHLDLSYNNFSHVPADMFQEAHGLVHIDLSHNP
+>Q8N9N7_PF00560_39 <unknown description>
+NLRTIDLSNNKIESLPPLLIG
+>Q8N9N7_PF13855_64 <unknown description>
+LKSLSLNNNKLTVLPDEICNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL
+>Q8N9N7_PF13855_131 <unknown description>
+RHLDVMDLSKNQIRSIPDSVGELQVIELNLNQNQISQISVKISCCPRLKILRLEEN
+>Q96CX6_PF13855_47 <unknown description>
+LRLLLPHNRLVSLPRALGSGFPHLQLLDVSGNALTALGPELLALRGLRTLLAKNNRL
+>Q96CX6_PF13855_120 <unknown description>
+RSLQVLNLSGNCFQEVPASLLELRALQTLSLGGNQLQSIPAEIENLQSLECLYLGGN
+>Q96CX6_PF13855_189 <unknown description>
+PSLNYLVLCDNKIQSIPPQLSQLHSLRSLSLHNNLLTYLPREILNLIHLEELSLRGNPL
+>Q96AG4_PF13855_63 <unknown description>
+HLVKLDLSKNKLQQLPADFGRLVNLQHLDLLNNKLVTLPVSFAQLKNLKWLDLKDNPL
+>Q9BV99_PF13855_55 <unknown description>
+LEWLDLSGNALTHLGPLASLRQLAVLNVSNNRLTGLEPLATCENLQSLNAAGNLL
+>Q68CR7_PF13855_87 <unknown description>
+IKHLDLSNNLISKITLSPFAYLHALEVLNLSNNAIHSLSLDLL
+>Q68CR7_PF00560_172 <unknown description>
+SLQSLDLSFNGILQIGWSDFHNC
+>Q68CR7_PF13855_196 <unknown description>
+QLENLCLKSNKIFKIPPQAFKDLKKLQVIDLSNNALITILP
+>Q6ZNQ3_PF13855_37 <unknown description>
+PGLKTLVLQNNLIPKVCPELCNLTQLTTLNLGNNLLEEVPEEMKYLTSLKNLHLSGNRI
+>Q6ZNQ3_PF13855_108 <unknown description>
+NLILLNLNNNHLTQLPQEVSRLKSLTYMSINYNQLASIPRELCFLENLVELQLNYNQL
+>Q6ZNQ3_PF13855_177 <unknown description>
+KLQKLLLARNNIGVLPEELCDLKKLRILDIAGNIIQIFPSGFQDLKLREFYCEGNPL
+>Q8N4P6_PF13516_221 <unknown description>
+TIAHLSLRNNNIDDRGAQLL
+>Q8N4P6_PF13516_251 <unknown description>
+NRTLVSLNLGFNHIGDEGAGYIA
+>Q8N4P6_PF13516_279 <unknown description>
+NRSLLWLSLAHNRIQDKGALKLA
+>A6NJI9_PF14580_77 <unknown description>
+NKLHGITFLTRNYCLTELYLNNNAIFEIEGLHYLPSLHILLLHHNELTNIDATVKELKGM
+LNLKILSLYQNPLCQYNLYRLYIIYHLPGVELLDRNQVTEKERRSMITIFNHKKAH
+>Q8IWT6_PF12534_1 <unknown description>
+MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWV
+TKDSCNDSFRGWAAPGPEPTYPNSTILPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHW
+FAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEE
+SDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQA
+KALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFILIICYTVYYVHNIKFDVDCTVDIE
+SLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTL
+>Q8IWT6_PF13855_592 <unknown description>
+NLTELELIRCDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHI
+>Q8IWT6_PF13855_662 <unknown description>
+TNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLP
+>Q8IWT6_PF13855_709 <unknown description>
+NLQNLAITANRIETLPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRL
+>Q6P9F7_PF12534_1 <unknown description>
+MITLTELKCLADAQSSYHILKPWWDVFWYYITLIMLLVAVLAGALQLTQSRVLCCLPCKV
+EFDNHCAVPWDILKASMNTSSNPGTPLPLPLRIQNDLHRQQYSYIDAVCYEKQLHWFAKF
+FPYLVLLHTLIFAACSNFWLHYPSTSSRLEHFVAILHKCFDSPWTTRALSETVAEQSVRP
+LKLSKSKILLSSSGCSADIDSGKQSLPYPQPGLESAGIESPTSSVLDKKEGEQAKAIFEK
+VKRFRMHVEQKDIIYRVYLKQIIVKVILFVLIITYVPYFLTHITLEIDCSVDVQAFTGYK
+RYQCVYSLAEIFKVLASFYVILVILYGLTSSYSL
+>Q6P9F7_PF13306_484 <unknown description>
+FLEENLKILRLKFTEMGKIPRWVFHLKNLKELYLSGCVLPEQLSTMQLEGFQDLKNLRTL
+YLKSSLSRIPQVVTDLLPSLQKLSLDNEGSKLVVLNNLKKMVNLKSLELISCDLERIPHS
+IFSLN
+>Q6P9F7_PF13855_656 <unknown description>
+SNLEQLSLDHNNIENLPLQLFLCTKLHYLDLSYNHLTFIPEEIQYLSNLQYFAVTNNNI
+>Q8TDW0_PF12534_1 <unknown description>
+MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSVAMLMIGVFGCTLQVMQDKIICLPKRVQ
+PAQNHSSLSNVSQAVASTTPLPPPKPSPANPITVEMKGLKTDLDLQQYSFINQMCYERAL
+HWYAKYFPYLVLIHTLVFMLCSNFWFKFPGSSSKIEHFISILGKCFDSPWTTRALSEVSG
+EDSEEKDNRKNNMNRSNTIQSGPEDSLVNSQSLKSIPEKFVVDKSTAGALDKKEGEQAKA
+LFEKVKKFRLHVEEGDILYAMYVRQTVLKVIKFLIIIAYNSALVSKVQFTVDCNVDIQDM
+TGYKNFSCNHTMAHLFSKLSFCYLCFVSIYGLTCLYTL
+>Q8TDW0_PF13306_539 <unknown description>
+RDLKSLKILSIKSNVSKIPQAVVDVSSHLQKMCIHNDGTKLVMLNNLKKMTNLTELELVH
+CDLERIPHAVFSLLSLQELDLKENNLKSIE
+>Q8TDW0_PF13855_637 <unknown description>
+RKLTVLKLWHNSITYIPEHIKKLTSLERLSFSHNKIEVLPSHLFLCNKIRYLDLSYNDI
+>Q7L1W4_PF12534_1 <unknown description>
+MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPS
+PVNSKAHTPPGNAEVTTNIPKMEAATNQDQDGRTTNDISFGTSAVTPDIPLRATYPRTDF
+ALPNQEAKKEKKDPTGRKTNLDFQQYVFINQMCYHLALPWYSKYFPYLALIHTIILMVSS
+NFWFKYPKTCSKVEHFVSILGKCFESPWTTKALSETACEDSEENKQRITGAQTLPKHVST
+SSDEGSPSASTPMINKTGFKFSAEKPVIEVPSMTILDKKDGEQAKALFEKVRKFRAHVED
+SDLIYKLYVVQTVIKTAKFIFILCYTANFVNAISFEHVCKPKVEHLIGYEVFECTHNMAY
+MLKKLLISYISIICVYGFICLYTL
+>Q7L1W4_PF13855_684 <unknown description>
+RLTCLKLWHNKIVTIPPSITHVKNLESLYFSNNKLESLPVAVFSLQKLRCLDVSYNNI
+>Q7L1W4_PF13855_753 <unknown description>
+NLQHLHITGNKVDILPKQLFKCIKLRTLNLGQNCITSLPEKVGQLSQLTQLELKGN
+>Q6NSJ5_PF12534_1 <unknown description>
+MIPVAEFKQFTEQQPAFKVLKPWWDVLAEYLTVAMLMIGVFGCTLQVTQDKIICLPNHEL
+QENLSEAPCQQLLPRGIPEQIGALQEVKGLKNNLDLQQYSFINQLCYETALHWYAKYFPY
+LVVIHTLIFMVCTSFWFKFPGTSSKIEHFISILGKCFDSPWTTRALSEVSGENQKGPAAT
+ERAAATIVAMAGTGPGKAGEGEKEKVLAEPEKVVTEPPVVTLLDKKEGEQAKALFEKVKK
+FRMHVEEGDILYTMYIRQTVLKVCKFLAILVYNLVYVEKISFLVACRVETSEVTGYASFC
+CNHTKAHLFSKLAFCYISFVCIYGLTCIYTL
+>Q6NSJ5_PF13855_584 <unknown description>
+LRELELVACGLERIPHAVFSLGALQELDLKDNHLRSIEEILSFQHCRKLVTLRLWHNQI
+>Q6NSJ5_PF13855_677 <unknown description>
+GLRLLDVSHNGLHSLPPEVGLLQNLQHLALSYNALEALPEELFFCRKLRTLLLGDNQL
+>Q9Y2L9_PF13855_103 <unknown description>
+DLSKNRLVEVPMELCHFVSLEILNLYHNCIRVIPEAIVNLQMLTYLNLSRNQL
+>Q9Y2L9_PF13855_167 <unknown description>
+LKVLIASNNKLGSLPEEIGQLKQLMELDVSCNEITALPQQIGQLKSLRELNVRRNYL
+>Q9Y2L9_PF00307_614 <unknown description>
+EKELVEQLRESIEMRLKVSLHEDLGAALMDGVVLCHLVNHIRPRSVASIHVPSPAVPKLS
+MAKCRRNVENFLEACRKLGVPEADLCSPCDILQLDFRHIRKTVDTLLALGEK
+>Q5VUJ6_PF13855_117 <unknown description>
+DLSRNRFTEIPSDVWLFAPLETLNLYHNCIKTIPEAIKNLQMLTYLNISRNLL
+>Q5VUJ6_PF13855_181 <unknown description>
+LKVLVVSNNKLVSIPEEIGKLKDLMELDISCNEIQVLPQQMGKLHSLRELNIRRNNL
+>Q5VUJ6_PF00307_653 <unknown description>
+RNNLESRLKVILPDDIGAALMDGVVLCHLANHIRPRSVASIHVPSPAVPKLSMAKCRRNV
+ENFLDACKKLGVSQERLCLPHHILEERGLVKVGVTVQ
+>Q96II8_PF13855_88 <unknown description>
+DLSRNRLSEIPIEACHFVSLENLNLYQNCIRYIPEAILNLQALTFLNISRNQL
+>Q96II8_PF13855_152 <unknown description>
+LKVLIASNNKLVSLPEEIGHLRHLMELDVSCNEIQTIPSQIGNLEALRDLNVRRNHL
+>Q96II8_PF00307_662 <unknown description>
+LRKHIEYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSPAVPKLTMAKCRRN
+VENFLEACRKIGVPQEQLCLPLHILEEKGLSQVAVTVQAL
+>O75427_PF13855_74 <unknown description>
+DLSRNRFPEVPEAACQLVSLEGLSLYHNCLRCLNPALGNLTALTYLNLSRNQL
+>O75427_PF13855_138 <unknown description>
+LRVLIVSNNKLGALPPDIGTLGSLRQLDVSSNELQSLPSELCGLSSLRDLNVRRNQL
+>O75427_PF00307_537 <unknown description>
+EKDLMTQLRQVLESRLQRPLPEDLAEALASGVILCQLANQLRPRSVPFIHVPSPAVPKLS
+ALKARKNVESFLEACRKMGVPEADLCSPSDLLQGT
+>A6NCL2_PF15083_36 <unknown description>
+GIGEPCRRHEECQSNCCTINSLAPHTLCTPKTIFLQCLPWRKPNG
+>A6NCL2_PF15083_66 <unknown description>
+KTIFLQCLPWRKPNGYRCSHDSECQSSCCVRNNSPQELCTPQSVFLQCVPWRKPNGDFCS
+SHQECHSQCCIQLREYSPFRCIPRTGIL
+>Q9P244_PF13855_66 <unknown description>
+RVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHLDSNRL
+>Q9P244_PF13855_163 <unknown description>
+TVEDLDLSYNNLEALPWEAVGQMVNLNTLTLDHNLIDHIAEGTFVQLHKLVRLDMTSNRL
+>Q9P244_PF07679_309 <unknown description>
+ALVVEGQAVSLRCRAVGDPEPVVHWVAPDGRLLGNSSRTRVRGDGTLDVTITTLRDSGTF
+TCIASNAAGEATAPVEVCV
+>Q9P244_PF00041_427 <unknown description>
+RLVAAELTSNSVLIRWPAQRPVPGIRMYQVQYNSSVDDSLVYRMIPSTSQTFLVNDLAAG
+RAYDLCVLAVYDDG
+>Q9ULH4_PF13855_55 <unknown description>
+VELRLGGNFIIHISRQDFANMTGLVDLTLSRNTISHIQPFSFLDLESLRSLHLDSNRL
+>Q9ULH4_PF13855_125 <unknown description>
+NLQHLIVNNNQLGGIADEAFEDFLLTLEDLDLSYNNLHGLPWDSVRRMVNLHQLSLDHNL
+L
+>Q9ULH4_PF07679_290 <unknown description>
+LITQHTHKLLVLEGQAATLKCKAIGDPSPLIHWVAPDDRLVGNSSRTAVYDNGTLDIFIT
+TSQDSGAFTCIAANAAGEATAMVEVSI
+>Q9ULH4_PF00041_420 <unknown description>
+SPPERAVLVSEVTTTSALVKWSVSKSAPRVKMYQLQYNCSDDEVLIYRMIPASNKAFVVN
+NLVSGTGYDLCVLAM
+>Q9BTN0_PF13855_62 <unknown description>
+AELRLADNFIASVRRRDLANMTGLLHLSLSRNTIRHVAAGAFADLRALRALHLDGNRL
+>Q9BTN0_PF13855_157 <unknown description>
+TLEDLDLSYNNLEQLPWEALGRLGNVNTLGLDHNLLASVPAGAFSRLHKLARLDMTSNRL
+>Q9BTN0_PF07679_296 <unknown description>
+PVVTHRSPPLAVPAGRPAALRCRAVGDPEPRVRWVSPQGRLLGNSSRARAFPNGTLELLV
+TEPGDGGIFTCIAANAAGEATAAVELTV
+>Q9BTN0_PF00041_429 <unknown description>
+RGVQVTEHGATAALVQWPDQRPIPGIRMYQIQYNSSADDILVYRMIPAESRSFLLTDLAS
+GRTYDLCVLAVYED
+>Q6PJG9_PF13855_51 <unknown description>
+VELRLADNFIQALGPPDFRNMTGLVDLTLSRNAITRIGARAFGDLESLRSLHLDGNRL
+>Q6PJG9_PF13855_121 <unknown description>
+NLQHLILSGNQLGRIAPGAFDDFLESLEDLDLSYNNLRQVPWAGIGAMPALHTLNLDHNL
+I
+>Q6PJG9_PF07679_283 <unknown description>
+IARHTQRLWVLEGQRATLRCRALGDPAPTMHWVGPDDRLVGNSSRARAFPNGTLEIGVTG
+AGDAGGYTCIATNPAGEATARVELRV
+>Q6PJG9_PF00041_409 <unknown description>
+VQVTEVTATSGLVSWGPGRPADPVWMFQIQYNSSEDETLIYRIVPASSHHFLLKHLVPGA
+DYDLCLLALS
+>Q96NI6_PF13306_64 <unknown description>
+TNIKRKDFANMTSLVDLTLSRNTISFITPHAFADLRNLRALHLNSNRLTKITNDMFSGLS
+NLHHLILNNNQLTLISSTAFDDVFALEELDLSYNNLETIPWDAVEKMVSLHTLSLDHNMI
+DNIPKGTFSHLH
+>Q96NI6_PF07679_288 <unknown description>
+LITRHTHEMRVLEGQRATLRCKARGDPEPAIHWISPEGKLISNATRSLVYDNGTLDILIT
+TVKDTGAFTCIASNPAGEATQIVDLHI
+>Q96M69_PF14580_264 <unknown description>
+CLSNNQIEMITGLEDLKALQNLDLSHNQISSLQGLENHDLLEVINLEDNKIAELREIEYI
+KNLPILRVLNLLENPIQEKSEYWFFVIFMLLRLTELDQKKIKVEEKVSAVNKY
+>Q96M69_PF00625_417 <unknown description>
+LILAGPEACGKRELAHRLCRQFSTYFRYGACHTTRPPYFGEGDRVDYHFISQDVFDEMVN
+MGKFILTFSYGNHKYGLNRDTVEGIARDGLASCIHMEIEGVRSLKYSYFEPRYILVVPMN
+KEKYEGYLRRKGLFSRAEIEFAVSRVDLYIKINQNFPGYFDEVINADDLDVAYQKLSQLI
+RE
+>Q5T3J3_PF15741_24 <unknown description>
+VSGCMYQVVQTIGSDGKNLLQLLPIPKSSGNLIPLVQSSVMSDALKGNTGKPVQVTFQTQ
+ISSSSTSASVQLPIFQPASSSNYFLTRTVDTSEKGRVTSVGTGNFSSSVSKVQSHGVKID
+GLTMQTFAVPPSTQKDSSFIVVNTQSLPVTVKSPVLPSGHHLQIPAHAEVKSVPASSLPP
+SVQQKILATATTSTSGMVEASQMPTVIYVSPVNTVKNVVTKNFQNIYPKPVTEIAKPVIL
+NTTQIPKNVATETQLKGGQHSQAAPVKWIFQDNLQPFTPSLVPVKSSNNVASKILKTFVD
+RKNLGDNTINMPPLSTIDPSGTRSKNMPIKDNALVMFNGKVYLLAKKGTDVLPSQIDQQN
+SVSPDTPVRKDTLQTVSSSPVTEISREVVNIVLAKSKSSQMETKSLSNTQLASMANLRAE
+KNKVEKPSPSTTNPHMNQSSNYLKQSKTLFTNPIFPVGFSTGHNAPRKVTAVIYARKGSV
+LQSIEKISSSVDATTVTSQQCVFRDQEPKIHNEMASTSDKGAQGRNDKKDSQGRSNKALH
+LKSDAEFKKIFGLTKDLRVCLTRIPDHLTSGEGFDSFSSLVKSGTYKETEFMVKEGERKQ
+QNFDKKRKAKTNKKMDHIKKRKTENAYNAIINGEANVTGSQLLSSILPTSDVSQHNILTS
+HSKTRQEKRTEMEYYTHEKQEKGTLNSNAAYEQSHFFNKNYTEDIFPVTPPELEETIRDE
+KIRRLKQVLREKEAALEEMRKKMHQ
+>Q96JA1_PF13855_71 <unknown description>
+RSLNLSYNKLSEIDPAGFEDLPNLQEVYLNNNEL
+>Q96JA1_PF13855_116 <unknown description>
+HVVSLFLQHNKIRSVEGSQLKAYLSLEVLDLSLNNITEVRNTCFPHGPPIKELNLAGNRI
+>Q96JA1_PF13855_189 <unknown description>
+SLLTLRLSKNRITQLPVRAFKLPRLTQLDLNRNRIRLIEGLTFQGLNSLEVLKLQRNNI
+>Q96JA1_PF13855_308 <unknown description>
+KLHELVLSFNNLTRLDEESLAELSSLSVLRLSHNSISHIAEGAFKGLRSLRVLDLDHNEI
+>Q96JA1_PF13855_383 <unknown description>
+SLSKLTLFGNKIKSVAKRAFSGLEGLEHLNLGGNAIRSVQFDAFVKMKNLKELHISSD
+>Q96JA1_PF01463_466 <unknown description>
+ATCAHPESLKGQSIFSVPPESFVCD
+>Q96JA1_PF13927_494 <unknown description>
+KPQIITQPETTMAMVGKDIRFTCSAASSSSSPMTFAWKKDNEVLTNADMENFVHVHAQDG
+EVMEYTTILHLRQVTFGHEGRYQCVITN
+>Q96JA1_PF07679_599 <unknown description>
+PSFTKTPHDITIRTTTMARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFF
+ITDVKIDDAGVYSCTAQNSAGSISANATLTV
+>Q96JA1_PF07679_694 <unknown description>
+SLVVPLEDRVVSVGETVALQCKATGNPPPRITWFKGDRPLSLTERHHLTPDNQLLVVQNV
+VAEDAGRYTCEMSNTLGTERAHSQLSV
+>O94898_PF13855_144 <unknown description>
+PALESLDLSSNIISEIKTSSFPRMQLKYLNLSNNRITTLEAGCFDNLSS
+>O94898_PF13855_240 <unknown description>
+SLRSLKMQRNGISKLKDGAFFGLNNMEELELEHNNLTRVNKGWLYGLRMLQQLYVSQNAI
+>O94898_PF13855_312 <unknown description>
+RLSELDLSYNQLTRLDESAFVGLSLLERLNLGDNRVTHIADGVFRFLSNLQTLDLRNNEI
+>O94898_PF13855_387 <unknown description>
+SLTKLILQGNQIKSITKKAFIGLESLEHLDLNNNAIMSIQENAFSQTHLKELILN
+>O94898_PF01463_470 <unknown description>
+SCAHPEWLAGQSILNVDLKDFVCD
+>O94898_PF13927_497 <unknown description>
+KPQIRTHPETIIALRGMNVTLTCTAVSSSDSPMSTVWRKDSEILYDVDTENFVRYWQQAG
+EALEYTSILHLFNVNFTDEGKYQCIVTN
+>O94898_PF07679_602 <unknown description>
+PSFLKTPMDLTIRTGAMARLECAAEGHPAPQISWQKDGGTDFPAARERRMHVMPEDDVFF
+IANVKIEDMGIYSCMAQNTAGGLSANASLTV
+>O94898_PF07679_696 <unknown description>
+PSFIRPLEDKTVTRGETAVLQCIAGGSPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVD
+AGLEDAGKYTCIMSNTLGTERGHIYLNV
+>Q6UXM1_PF13855_168 <unknown description>
+QLKYLYLNSNRVTSMEPGYFDNLANTLLVLKLNRNRISAIPPKMFKLPQLQHLELNRNKI
+>Q6UXM1_PF13855_264 <unknown description>
+NMEILQLDHNNLTEITKGWLYGLLMLQELHLSQNAINRISPDAWEFCQKLSELDLTFNHL
+>Q6UXM1_PF13855_387 <unknown description>
+KLRRLILQGNRIRSITKKAFTGLDALEHLDLSDNAIMSLQGNAFSQMKKLQQLHLN
+>Q6UXM1_PF13927_498 <unknown description>
+KPQITVQPETQSAIKGSNLSFICSAASSSDSPMTFAWKKDNELLHDAEMENYAHLRAQGG
+EVMEYTTILRLREVEFASEGKYQCVISN
+>Q6UXM1_PF07679_603 <unknown description>
+PSFTKTPMDLTIRAGAMARLECAAVGHPAPQIAWQKDGGTDFPAARERRMHVMPEDDVFF
+IVDVKIEDIGVYSCTAQNSAGSISANATLTV
+>Q6UXM1_PF07679_697 <unknown description>
+PSFLRPLLDRTVTKGETAVLQCIAGGSPPPKLNWTKDDSPLVVTERHFFAAGNQLLIIVD
+SDVSDAGKYTCEMSNTLGTERGNVRLSV
+>Q96JM4_PF13855_1037 <unknown description>
+LRELHLDDNSISTVEAFSSYWLPLLQNITISQNSLTKIVPLFHFVSLEKLDVSHN
+>Q96JM4_PF00612_1337 <unknown description>
+MAAVVIQSYWRGYLMRRQ
+>Q96JM4_PF00612_1396 <unknown description>
+EKAAILIQAVWKGFILRKKL
+>A6PVS8_PF12799_73 <unknown description>
+KLIKLDLHGNQIKSLPNTKFWNGLKNLKLLYLHDNGFAKLKNI
+>A6NIV6_PF13855_49 <unknown description>
+ELEEVHLENNQIEEIPQEIQRLKNIRVLYLDKNNLRSLCPALGLLSSLESLDLSYNPI
+>A6NIV6_PF13855_120 <unknown description>
+LRELRLYQTDLKEIPVVIFKNLHHLELLGLTGNHLKCLPKEIVNQTKLREIYLKRNQF
+>A6NIV6_PF13855_213 <unknown description>
+LQKFYMASNNLPVLPASLCQCSQLSVLDLSHNLLHSIPKSFAELRKMTEIGLSGNRL
+>A6NIV6_PF12799_398 <unknown description>
+QSLKELYIENNHLEYLPVSLGSMPNLEVLDCRHNLLKQLP
+>Q9P2V4_PF13855_62 <unknown description>
+SRLRLERTAIRRVPGEAFRPLGRLEQLWLPYNALSELNALMLRGLRRLRELRLPGNRL
+>Q9P2V4_PF13855_131 <unknown description>
+PKLRLLDLQANRLSAVPAEAARFLENLTFLDLSSNQLMRLPQELIVS
+>Q9P2V4_PF07679_267 <unknown description>
+LGGTALLRCGATGVPGPEMSWRRANGRPLNGTVHQEVSSDGTSWTLLGLPAVSHLDSGDY
+ICQAKNFLGASETVISLIV
+>Q9P2V4_PF00041_432 <unknown description>
+RSVKVVGDTYHSVSLVWKAPQAKNTTAFSVLYAVFGQHSMRRVIVQPGKTRVTITGLLPK
+TKYVACVC
+>A6NDA9_PF13855_60 <unknown description>
+VRIENSPLFEMPQGSFINMSTLEYLWLNFNNISVIHLGALEHLPELRELRLEGNKL
+>A6NDA9_PF13927_252 <unknown description>
+KPQISTPSANITIRAGQNVTLRCLAQASPSPSIAWTYPLSMWREFDVLTSSTGEDTALSE
+LAIPAAHLVDSGNYTCMASN
+>Q3SXY7_PF13855_61 <unknown description>
+KLRIEKTVIRRISAEAFYYLVELQYLWVTYNSVASIDPSSFYNLKQLHELRLDGNSL
+>Q3SXY7_PF13855_130 <unknown description>
+LLRTLDLHNNKITSVPNEALRYLKNLAYLDLSSNRLTTLPPDFLESWTH
+>Q3SXY7_PF13927_253 <unknown description>
+KPSVMTSATKIMSALGSNVLLRCDATGFPTPQITWTRSDSSPVNYTVIQESPEEGVRWSI
+MSLTGISSKDAGDYKCKAKN
+>Q7Z4F1_PF00057_140 <unknown description>
+CLQEEFQCLNHRCVSAVQRCDGVDACGDGSDEAGC
+>Q7Z4F1_PF00431_192 <unknown description>
+CNVTLEDFYGVFSSPGYTHLASVSHPQSCHWLLDPHDGRRLAVRFTALDLGFGDAVHVYD
+GPGPPESSRLLRSLTHFSNGKAVTVETLSGQAVVSYHTVAWSNGRGFNATY
+>Q7Z4F1_PF00057_398 <unknown description>
+HCQPGNFRCRDEKCVYETWVCDGQPDCADGSDEWDC
+>Q86VZ4_PF07502_97 <unknown description>
+YSAMPDAIIRTKDSLAAGASFLRAPAAVRGWRQCVAACCSEPRCSVAVVELPRRPAPPAA
+VLGCYLFNCTARGRNVCKFALHSGYSS
+>Q86VZ4_PF00057_309 <unknown description>
+TCSRYHFFCDDGCCIDITLACDGVQQCPDGSDEDFC
+>Q9Y561_PF00431_47 <unknown description>
+CGETPEQIRAPSGIITSPGWPSEYPAKINCSWFIRANPGEIITISFQDFDIQGSRRCNLD
+WLTIETYKNIESYRACGSTIPPPYISSQDHIWIRFHSDDNISRKGFRLAY
+>Q9Y561_PF00057_165 <unknown description>
+NCACDQFRCGNGKCIPEAWKCNNMDECGDSSDEEIC
+>Q9Y561_PF00057_214 <unknown description>
+PCAYNQFQCLSRFTKVYTCLPESLKCDGNIDCLDLGDEIDC
+>Q9Y561_PF00431_259 <unknown description>
+CGQWLKYFYGTFNSPNYPDFYPPGSNCTWLIDTGDHRKVILRFTDFKLDGTGYGDYVKIY
+DGLEENPHKLLRVLTAFDSHAPLTVVSSSGQIRVHFCADKVNAARGFNATY
+>Q9Y561_PF00057_412 <unknown description>
+MCQKEEFPCSRNGVCYPRSDRCNYQNHCPNGSDEKNC
+>Q9Y561_PF00057_451 <unknown description>
+CQPGNFHCKNNRCVFESWVCDSQDDCGDGSDEENC
+>Q9NZR2_PF00057_32 <unknown description>
+CDPGEFLCHDHVTCVSQSWLCDGDPDCPDDSDESLDTC
+>Q9NZR2_PF00057_76 <unknown description>
+KCPLNHIACLGTNKCVHLSQLCNGVLDCPDGYDEGVHC
+>Q9NZR2_PF07645_155 <unknown description>
+DQDECAVYGTCSQTCRNTHGSYTCSCVEGYLMQPDNRSC
+>Q9NZR2_PF00058_295 <unknown description>
+RNLYFVDHVGDRIFVCNSNGSVCVTLIDLELHNPKAIAVDP
+>Q9NZR2_PF00058_568 <unknown description>
+NYIYFADTTSFLIGRQKIDGTERETILKDDLDNVEGIAVDW
+>Q9NZR2_PF00058_611 <unknown description>
+NNLYWTNDGHRKTINVARLEKASQSRKTLLEGEMSHPRGIVVDP
+>Q9NZR2_PF00058_659 <unknown description>
+MYWTDWEEDEIDDSVGRIEKAWMDGFNRQIFVTSKMLWPNGLTLD
+>Q9NZR2_PF14670_798 <unknown description>
+CRVNNGGCSTLCLAIPGGRVCACADNQLLDENGTTC
+>Q9NZR2_PF00057_845 <unknown description>
+CKAGEFRCKNRHCIQARWKCDGDDDCLDGSDEDSVNC
+>Q9NZR2_PF00057_885 <unknown description>
+SCPDDQFKCQNNRCIPKRWLCDGANDCGSNEDESNQTC
+>Q9NZR2_PF00057_925 <unknown description>
+RTCQVDQFSCGNGRCIPRAWLCDREDDCGDQTDEMASC
+>Q9NZR2_PF00057_966 <unknown description>
+TCEPLTQFVCKSGRCISSKWHCDSDDDCGDGSDEVGC
+>Q9NZR2_PF00057_1005 <unknown description>
+SCFDNQFRCSSGRCIPGHWACDGDNDCGDFSDEAQINC
+>Q9NZR2_PF00057_1053 <unknown description>
+CNGNEFQCHPDGNCVPDLWRCDGEKDCEDGSDEKGC
+>Q9NZR2_PF00057_1095 <unknown description>
+CDHKTKFSCWSTGRCINKAWVCDGDIDCEDQSDEDDC
+>Q9NZR2_PF14670_1176 <unknown description>
+CSLNNGGCSNHCSVVPGRGIVCSCPEGLQLNKDNKTC
+>Q9NZR2_PF00058_1347 <unknown description>
+GNIYWIDSNLDQIEVAKLDGSLRTTLIAGAMEHPRAIALDP
+>Q9NZR2_PF00058_1392 <unknown description>
+LFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDH
+>Q9NZR2_PF00058_1619 <unknown description>
+RLYWTDIKTQTIKRAFINGTGLETVISRDIQSIRGLAVDW
+>Q9NZR2_PF14670_1838 <unknown description>
+CQLNNGGCSQLCLPTSETTRTCMCTVGYYLQKNRMSC
+>Q9NZR2_PF00058_1965 <unknown description>
+GNIYWTDHGFNLIEVARLNGSFRYVIISQGLDQPRSIAVHP
+>Q9NZR2_PF00058_2008 <unknown description>
+GLLFWTEWGQMPCIGKARLDGSEKVVLVSMGIAWPNGISIDY
+>Q9NZR2_PF00058_2330 <unknown description>
+NLMFWTNWNEQHPSIMRSTLTGKNAQVVVSTDILTPNGLTIDY
+>Q9NZR2_PF00057_2509 <unknown description>
+SCNAYSEFECGNGECIDYQLTCDGIPHCKDKSDEK
+>Q9NZR2_PF00057_2551 <unknown description>
+SCRRGFKPCYNRRCIPHGKLCDGENDCGDNSDELDC
+>Q9NZR2_PF00057_2589 <unknown description>
+STCATVEFRCADGTCIPRSARCNQNIDCADASDEKNC
+>Q9NZR2_PF00057_2644 <unknown description>
+IRCNSTSLCVLPTWICDGSNDCGDYSDELKC
+>Q9NZR2_PF00057_2681 <unknown description>
+KCEENYFSCPSGRCILNTWICDGQKDCEDGRDEFHC
+>Q9NZR2_PF00057_2719 <unknown description>
+SCSWNQFACSAQKCISKHWICDGEDDCGDGLDES
+>Q9NZR2_PF00057_2760 <unknown description>
+TCAADMFSCQGSRACVPRHWLCDGERDCPDGSDELSTAGC
+>Q9NZR2_PF00057_2803 <unknown description>
+NTCDENAFMCHNKVCIPKQFVCDHDDDCGDGSDESPQC
+>Q9NZR2_PF00057_2844 <unknown description>
+QCGTEEFSCADGRCLLNTQWQCDGDFDCPDHSDEA
+>Q9NZR2_PF00057_2890 <unknown description>
+SCNSSFFMCKNGRCIPSGGLCDNKDDCGDGSDERNC
+>Q9NZR2_PF12662_2948 <unknown description>
+SYKCKCWPGFQLKDDGKTCVDIDE
+>Q9NZR2_PF16472_3018 <unknown description>
+ILADHHEIRKISTDGSNYTLLKQGLNNVIAIDFDYREEFIYWIDSSRPNGSRINRMCLNG
+SDIKVVHNTAVPNALAVDWIGKNLYWSDTEKRIIEVSKLNGLYPTILVSKRLKFPRDLSL
+DPQAGYLYWIDCCEYPHIGRVGMDGTNQSVVIETKISRPMALTIDYVNRRLYWADENHIE
+FSNMDGSHRHKVPNQDIPGVIALTLFEDYIYWTDGKTK
+>Q9NZR2_PF14670_3277 <unknown description>
+CMINNGGCSHLCLLAPGKTHTCACPTNFYLAADNRTC
+>Q9NZR2_PF00057_3316 <unknown description>
+NCTASQFRCKTDKCIPFWWKCDTVDDCGDGSDEPDDC
+>Q9NZR2_PF00057_3356 <unknown description>
+RCQPGRFQCGTGLCALPAFICDGENDCGDNSDELNC
+>Q9NZR2_PF00057_3396 <unknown description>
+CLSGQFKCTKNQKCIPVNLRCNGQDDCGDEEDERDC
+>Q9NZR2_PF00057_3435 <unknown description>
+SCSPDYFQCKTTKHCISKLWVCDEDPDCADASDEANC
+>Q9NZR2_PF00057_3474 <unknown description>
+KTCGPHEFQCKNNNCIPDHWRCDSQNDCSDNSDEENC
+>Q9NZR2_PF00057_3513 <unknown description>
+QTCTLKDFLCANGDCVSSRFWCDGDFDCADGSDERNC
+>Q9NZR2_PF00057_3552 <unknown description>
+SCSKDQFRCSNGQCIPAKWKCDGHEDCKYGEDEKSC
+>Q9NZR2_PF00057_3593 <unknown description>
+TCSSREYICASDGCISASLKCNGEYDCADGSDEMDC
+>Q9NZR2_PF00057_3631 <unknown description>
+ECKEDQFRCKNKAHCIPIRWLCDGIHDCVDGSDEENC
+>Q9NZR2_PF00057_3674 <unknown description>
+CRADEFLCNNSLCKLHFWVCDGEDDCGDNSDEAPD
+>Q9NZR2_PF00057_3761 <unknown description>
+PCKKDEFACSNKKCIPMDLQCDRLDDCGDGSDEQGC
+>Q9NZR2_PF07645_3844 <unknown description>
+DLNECLVFGTCSHQCINVEGSYKCVCDQNFQERNNTC
+>Q9NZR2_PF00058_4040 <unknown description>
+MMYWTVVGDHSHIEEAAMDGTLRRILVQKNLQRPTGLAVDY
+>Q9NZR2_PF00008_4253 <unknown description>
+CSNYCQNGGTCVPSVLGRPTCSCALGFTGPN
+>Q07954_PF00057_25 <unknown description>
+KTCSPKQFACRDQITCISKGWRCDGERDCPDGSDEAPE
+>Q07954_PF00057_71 <unknown description>
+RCQPNEHNCLGTELCVPMSRLCNGVQDCMDGSDEGPHC
+>Q07954_PF07645_150 <unknown description>
+DFDECSVYGTCSQLCTNTDGSFICGCVEGYLLQPDNRSC
+>Q07954_PF00058_615 <unknown description>
+NLYWTDDGPKKTISVARLEKAAQTRKTLIEGKMTHPRAIVVDP
+>Q07954_PF00058_662 <unknown description>
+MYWTDWEEDPKDSRRGRLERAWMDGSHRDIFVTSKTVLWPNGLSLDI
+>Q07954_PF14670_807 <unknown description>
+CRVNNGGCSSLCLATPGSRQCACAEDQVLDADGVTC
+>Q07954_PF00057_853 <unknown description>
+QCQPGEFACANSRCIQERWKCDGDNDCLDNSDEA
+>Q07954_PF00057_894 <unknown description>
+TCPSDRFKCENNRCIPNRWLCDGDNDCGNSEDESNATC
+>Q07954_PF00057_934 <unknown description>
+RTCPPNQFSCASGRCIPISWTCDLDDDCGDRSDESASC
+>Q07954_PF00057_976 <unknown description>
+CFPLTQFTCNNGRCININWRCDNDNDCGDNSDEAGC
+>Q07954_PF00057_1014 <unknown description>
+SCSSTQFKCNSGRCIPEHWTCDGDNDCGDYSDETHANC
+>Q07954_PF00057_1062 <unknown description>
+CHTDEFQCRLDGLCIPLRWRCDGDTDCMDSSDEKSC
+>Q07954_PF00057_1104 <unknown description>
+CDPSVKFGCKDSARCISKAWVCDGDNDCEDNSDEENC
+>Q07954_PF14670_1185 <unknown description>
+CSLNNGGCSHNCSVAPGEGIVCSCPLGMELGPDNHTC
+>Q07954_PF00058_1356 <unknown description>
+GNIYWVESNLDQIEVAKLDGTLRTTLLAGDIEHPRAIALDP
+>Q07954_PF00058_1401 <unknown description>
+LFWTDWDASLPRIEAASMSGAGRRTVHRETGSGGWPNGLTVDY
+>Q07954_PF00058_1627 <unknown description>
+QRVYWSDVRTQAIKRAFINGTGVETVVSADLPNAHGLAVDW
+>Q07954_PF00058_1977 <unknown description>
+GNIYWTDQGFDVIEVARLNGSFRYVVISQGLDKPRAITVHP
+>Q07954_PF00058_2020 <unknown description>
+GYLFWTEWGQYPRIERSRLDGTERVVLVNVSISWPNGISVDY
+>Q07954_PF00058_2344 <unknown description>
+NLMFWTNWNEQHPSIMRAALSGANVLTLIEKDIRTPNGLAIDH
+>Q07954_PF00058_2389 <unknown description>
+EKLYFSDATLDKIERCEYDGSHRYVILKSEPVHPFGLAVY
+>Q07954_PF00057_2523 <unknown description>
+SCRAQDEFECANGECINFSLTCDGVPHCKDKSDEK
+>Q07954_PF00057_2565 <unknown description>
+RCKKTFRQCSNGRCVSNMLWCNGADDCGDGSDEIPC
+>Q07954_PF00057_2604 <unknown description>
+ACGVGEFRCRDGTCIGNSSRCNQFVDCEDASDEMNC
+>Q07954_PF00057_2660 <unknown description>
+CERTSLCYAPSWVCDGANDCGDYSDERDC
+>Q07954_PF00057_2695 <unknown description>
+RCPLNYFACPSGRCIPMSWTCDKEDDCEHGEDETHC
+>Q07954_PF00057_2734 <unknown description>
+CSEAQFECQNHRCISKQWLCDGSDDCGDGSDEAAHC
+>Q07954_PF00057_2772 <unknown description>
+KTCGPSSFSCPGTHVCVPERWLCDGDKDCADGADESIAAGC
+>Q07954_PF00057_2816 <unknown description>
+STCDDREFMCQNRQCIPKHFVCDHDRDCADGSDESPEC
+>Q07954_PF00057_2857 <unknown description>
+TCGPSEFRCANGRCLSSRQWECDGENDCHDQSDEA
+>Q07954_PF00057_2903 <unknown description>
+KCNASSQFLCSSGRCVAEALLCNGQDDCGDSSDERGC
+>Q07954_PF12662_2962 <unknown description>
+GFKCRCRPGFRLKDDGRTCADVDE
+>Q07954_PF16472_3032 <unknown description>
+IFANRYYLRKLNLDGSNYTLLKQGLNNAVALDFDYREQMIYWTDVTTQGSMIRRMHLNGS
+NVQVLHRTGLSNPDGLAVDWVGGNLYWCDKGRDTIEVSKLNGAYRTVLVSSGLREPRALV
+VDVQNGYLYWTDWGDHSLIGRIGMDGSSRSVIVDTKITWPNGLTLDYVTERIYWADARED
+YIEFASLDGSNRHVVLSQDIPHIFALTLFEDYVYWTDWET
+>Q07954_PF14670_3294 <unknown description>
+CKVNNGGCSNLCLLSPGGGHKCACPTNFYLGSDGRTC
+>Q07954_PF00057_3333 <unknown description>
+NCTASQFVCKNDKCIPFWWKCDTEDDCGDHSDEPPDC
+>Q07954_PF00057_3373 <unknown description>
+KCRPGQFQCSTGICTNPAFICDGDNDCQDNSDEANC
+>Q07954_PF00057_3413 <unknown description>
+CLPSQFKCTNTNRCIPGIFRCNGQDNCGDGEDERDC
+>Q07954_PF00057_3452 <unknown description>
+TCAPNQFQCSITKRCIPRVWVCDRDNDCVDGSDEPANC
+>Q07954_PF00057_3493 <unknown description>
+TCGVDEFRCKDSGRCIPARWKCDGEDDCGDGSDEPKEEC
+>Q07954_PF00057_3534 <unknown description>
+RTCEPYQFRCKNNRCVPGRWQCDYDNDCGDNSDEESC
+>Q07954_PF00057_3574 <unknown description>
+PCSESEFSCANGRCIAGRWKCDGDHDCADGSDEKDC
+>Q07954_PF00057_3612 <unknown description>
+RCDMDQFQCKSGHCIPLRWRCDADADCMDGSDEEAC
+>Q07954_PF00057_3652 <unknown description>
+RTCPLDEFQCNNTLCKPLAWKCDGEDDCGDNSDENPEEC
+>Q07954_PF00057_3740 <unknown description>
+HCKDKKEFLCRNQRCLSSSLRCNMFDDCGDGSDEEDC
+>Q07954_PF07645_3824 <unknown description>
+DINECLRFGTCSQLCNNTKGGHLCSCARNFMKTHNTC
+>Q07954_PF00058_3970 <unknown description>
+GNVYWTDSGRDVIEVAQMKGENRKTLISGMIDEPHAIVVDP
+>Q07954_PF00058_4013 <unknown description>
+GTMYWSDWGNHPKIETAAMDGTLRETLVQDNIQWPTGLAVDY
+>Q07954_PF00058_4058 <unknown description>
+RLYWADAKLSVIGSIRLNGTDPIVAADSKRGLSHPFSI
+>P98164_PF00057_27 <unknown description>
+ECDSAHFRCGSGHCIPADWRCDGTKDCSDDADEIGC
+>P98164_PF00057_66 <unknown description>
+TCQQGYFKCQSEGQCIPNSWVCDQDQDCDDGSDERQDC
+>P98164_PF00057_106 <unknown description>
+STCSSHQITCSNGQCIPSEYRCDHVRDCPDGADENDC
+>P98164_PF00057_183 <unknown description>
+CLHNEFSCGNGECIPRAYVCDHDNDCQDGSDEHAC
+>P98164_PF00057_221 <unknown description>
+TCGGYQFTCPSGRCIYQNWVCDGEDDCKDNGDEDGC
+>P98164_PF00057_265 <unknown description>
+KCSPREWSCPESGRCISIYKVCDGILDCPGREDENN
+>P98164_PF00058_484 <unknown description>
+VETKVNRIDMVNLDGSYRVTLITENLGHPRGIAVDP
+>P98164_PF00058_522 <unknown description>
+GYLFFSDWESLSGEPKLERAFMDGSNRKDLVKTKLGWPAGVTLD
+>P98164_PF00058_838 <unknown description>
+GYLFFTDWFRPAKIMRAWSDGSHLLPVINTTLGWPNGLAIDW
+>P98164_PF00057_1025 <unknown description>
+QCGLFSFPCKNGRCVPNYYLCDGVDDCHDNSDEQLC
+>P98164_PF00057_1065 <unknown description>
+NTCSSSAFTCGHGECIPAHWRCDKRNDCVDGSDEHNC
+>P98164_PF00057_1108 <unknown description>
+SCLDTQYTCDNHQCISKNWVCDTDNDCGDGSDEKNC
+>P98164_PF00057_1148 <unknown description>
+TCQPSQFNCPNHRCIDLSFVCDGDKDCVDGSDEVGC
+>P98164_PF00057_1186 <unknown description>
+NCTASQFKCASGDKCIGVTNRCDGVFDCSDNSDEAGC
+>P98164_PF00057_1230 <unknown description>
+CHSDEFQCQEDGICIPNFWECDGHPDCLYGSDEHNAC
+>P98164_PF00057_1269 <unknown description>
+KTCPSSYFHCDNGNCIHRAWLCDRDNDCGDMSDEKDC
+>P98164_PF07645_1390 <unknown description>
+DIDECDILGSCSQHCYNMRGSFRCSCDTGYMLESDGRTC
+>P98164_PF00058_1521 <unknown description>
+RNLYWTDYALETIEVSKIDGSHRTVLISKNLTNPRGLALDP
+>P98164_PF00058_1567 <unknown description>
+LLFWSDWGHHPRIERASMDGSMRTVIVQDKIFWPCGLTIDY
+>P98164_PF00058_1883 <unknown description>
+GKLYWSDQGTDSGVPAKIASANMDGTSVKTLFTGNLEHLECVTLDI
+>P98164_PF14670_2022 <unknown description>
+CSNNMNACQQICLPVPGGLFSCACATGFKLNPDNRSC
+>P98164_PF00058_2202 <unknown description>
+RYLFWADYGQRPKIERSFLDCTNRTVLVSEGIVTPRGLAVD
+>P98164_PF00058_2519 <unknown description>
+GYLYWADWDTHAKIERATLGGNFRVPIVNSSLVMPSGLTLDY
+>P98164_PF00058_2564 <unknown description>
+LLYWVDASLQRIERSTLTGVDREVIVNAAVHAFGLTLY
+>P98164_PF00057_2699 <unknown description>
+RCGASSFTCSNGRCISEEWKCDNDNDCGDGSDEME
+>P98164_PF00057_2740 <unknown description>
+TCSPTAFTCANGRCVQYSYRCDYYNDCGDGSDEAGC
+>P98164_PF00057_2779 <unknown description>
+DCNATTEFMCNNRRCIPREFICNGVDNCHDNNTSDEKNC
+>P98164_PF00057_2820 <unknown description>
+RTCQSGYTKCHNSNICIPRVYLCDGDNDCGDNSDEN
+>P98164_PF00057_2863 <unknown description>
+TCSSSEFQCASGRCIPQHWYCDQETDCFDASDEPASC
+>P98164_PF00057_2904 <unknown description>
+RTCLADEFKCDGGRCIPSEWICDGDNDCGDMSDEDKRHQC
+>P98164_PF00057_2947 <unknown description>
+NCSDSEFLCVNDRPPDRRCIPQSWVCDGDVDCTDGYDENQNC
+>P98164_PF00057_2991 <unknown description>
+RTCSENEFTCGYGLCIPKIFRCDRHNDCGDYSDERGC
+>P98164_PF00057_3030 <unknown description>
+QTCQQNQFTCQNGRCISKTFVCDEDNDCGDGSDE
+>P98164_PF00057_3074 <unknown description>
+TCPPHEFKCDNGRCIEMMKLCNHLDDCLDNSDEKGC
+>P98164_PF12662_3132 <unknown description>
+SFYCSCRPGYKLMSDKRTCVDIDE
+>P98164_PF00058_3282 <unknown description>
+RKLYWLDARLDGLFVSDLNGGHRRMLAQHCVDANNTFCFDNPRGLALHP
+>P98164_PF00058_3333 <unknown description>
+GYLYWADWGHRAYIGRVGMDGTNKSVIISTKLEWPNGITIDY
+>P98164_PF00058_3378 <unknown description>
+LLYWADAHLGYIEYSDLEGHHRHTVYDGALPHPFAITIF
+>P98164_PF00057_3512 <unknown description>
+CSSTQFLCANNEKCIPIWWKCDGQKDCSDGSDELALC
+>P98164_PF00057_3553 <unknown description>
+CRLGQFQCSDGNCTSPQTLCNAHQNCPDGSDED
+>P98164_PF00057_3593 <unknown description>
+HCDSNEWQCANKRCIPESWQCDTFNDCEDNSDEDSSHC
+>P98164_PF00057_3633 <unknown description>
+RTCRPGQFRCANGRCIPQAWKCDVDNDCGDHSDEPIEEC
+>P98164_PF00057_3678 <unknown description>
+CDNFTEFSCKTNYRCIPKWAVCNGVDDCRDNSDEQGC
+>P98164_PF00057_3718 <unknown description>
+TCHPVGDFRCKNHHCIPLRWQCDGQNDCGDNSDEENC
+>P98164_PF00057_3758 <unknown description>
+ECTESEFRCVNQQCIPSRWICDHYNDCGDNSDERDC
+>P98164_PF00057_3796 <unknown description>
+RTCHPEYFQCTSGHCVHSELKCDGSADCLDASDEADC
+>P98164_PF00057_3842 <unknown description>
+CQATMFECKNHVCIPPYWKCDGDDDCGDGSDEE
+>P98164_PF00057_3883 <unknown description>
+CNSPNRFRCDNNRCIYSHEVCNGVDDCGDGTDETEEHC
+>P98164_PF00057_3927 <unknown description>
+PCTEYEYKCGNGHCIPHDNVCDDADDCGDWSDELGC
+>P98164_PF07645_4007 <unknown description>
+DINECEQFGTCPQHCRNTKGSYECVCADGFTSMSDRPGKRC
+>P98164_PF00058_4154 <unknown description>
+RHIYWSDVKNKRIEVAKLDGRYRKWLISTDLDQPAAIAV
+>P98164_PF00058_4197 <unknown description>
+GLMFWTDWGKEPKIESAWMNGEDRNILVFEDLGWPTGLSIDY
+>O75074_PF00431_43 <unknown description>
+CSGKLEQHTERRGVIYSPAWPLNYPPGTNCSWYIQGDRGDMITISFRNFDVEESHQCSLD
+WLLLGPAAPPRQEAFRLCGSAIPPAFISARDHVWIFFHSDASSSGQAQGFRLSY
+>O75074_PF00057_165 <unknown description>
+SCQADEFRCDNGKCLPGPWQCNTVDECGDGSDEGNC
+>O75074_PF00057_212 <unknown description>
+CPGGTFPCSGARSTRCLPVERRCDGLQDCGDGSDEAGC
+>O75074_PF00431_254 <unknown description>
+CGRRLGSFYGSFASPDLFGAARGPSDLHCTWLVDTQDSRRVLLQLELRLGYDDYVQVYEG
+LGERGDRLLQTLSYRSNHRPVSLEAAQGRLTVAYHARARSAGHGFNATY
+>O75074_PF00057_454 <unknown description>
+SCQPGTFHCGTNLCIFETWRCDGQEDCQDGSDEHGC
+>O75096_PF00057_26 <unknown description>
+ACGRSHFTCAVSALGECTCIPAQWQCDGDNDCGDHSDEDGC
+>O75096_PF00057_70 <unknown description>
+TCSPLDFHCDNGKCIRRSWVCDGDNDCEDDSDEQDC
+>O75096_PF00057_109 <unknown description>
+ECEEDEFPCQNGYCIRSLWHCDGDNDCGDNSDEQ
+>O75096_PF00057_147 <unknown description>
+KCSDKEFRCSDGSCIAEHWYCDGDTDCKDGSDEENC
+>O75096_PF00057_190 <unknown description>
+PCNLEEFQCAYGRCILDIYHCDGDDDCGDWSDESDC
+>O75096_PF00057_230 <unknown description>
+PCRSGEFMCDSGLCINAGWRCDGDADCDDQSDERNC
+>O75096_PF00057_269 <unknown description>
+MCTAEQFRCHSGRCVRLSWRCDGEDDCADNSDEENC
+>O75096_PF00057_311 <unknown description>
+QCALDQFLCWNGRCIGQRKLCNGVNDCGDNSDESPQQNC
+>O75096_PF12662_377 <unknown description>
+QCTCHTGYRLTEDGHTCQDVNE
+>O75096_PF00058_481 <unknown description>
+LVFWSDVTLDRILRANLNGSNVEEVVSTGLESPGGLAVDW
+>O75096_PF00058_523 <unknown description>
+DKLYWTDSGTSRIEVANLDGAHRKVLLWQNLEKPRAIALHP
+>O75096_PF00058_566 <unknown description>
+GTIYWTDWGNTPRIEASSMDGSGRRIIADTHLFWPNGLTIDY
+>O75096_PF00058_610 <unknown description>
+RRMYWVDAKHHVIERANLDGSHRKAVISQGLPHPFAITVF
+>O75096_PF00058_786 <unknown description>
+HVYWTDVSTDTISRAKWDGTGQEVVVDTSLESPAGLAIDW
+>O75096_PF00058_828 <unknown description>
+NKLYWTDAGTDRIEVANTDGSMRTVLIWENLDRPRDIVV
+>O75096_PF00058_871 <unknown description>
+GYMYWTDWGASPKIERAGMDASGRQVIISSNLTWPNGLAIDY
+>O75096_PF00058_915 <unknown description>
+QRLYWADAGMKTIEFAGLDGSKRKVLIGSQLPHPFGLTLY
+>O75096_PF00058_957 <unknown description>
+RIYWTDWQTKSIQSADRLTGLDRETLQENLENLMDIHVFH
+>O75096_PF14670_1006 <unknown description>
+CAMENGGCSHLCLRSPNPSGFSCTCPTGINLLSDGKTC
+>O75096_PF00058_1093 <unknown description>
+GKVYWSDSTLHRISRANLDGSQHEDIITTGLQTTDGLAVD
+>O75096_PF00058_1136 <unknown description>
+RKVYWTDTGTNRIEVGNLDGSMRKVLVWQNLDSPRAIVLYH
+>O75096_PF00058_1179 <unknown description>
+GFMYWTDWGENAKLERSGMDGSDRAVLINNNLGWPNGLTVD
+>O75096_PF14670_1313 <unknown description>
+CGSRNGGCSHLCLPRPSGFSCACPTGIQLKGDGKTC
+>O75096_PF00058_1397 <unknown description>
+GKVYYTDVFLDVIRRADLNGSNMETVIGRGLKTTDGLAVDW
+>O75096_PF00058_1440 <unknown description>
+RNLYWTDTGRNTIEASRLDGSCRKVLINNSLDEPRAIAVFP
+>O75096_PF00058_1483 <unknown description>
+GYLFWTDWGHIAKIERANLDGSERKVLINTDLGWPNGLTLDY
+>O75096_PF00058_1527 <unknown description>
+RRIYWVDAHLDRIESADLNGKLRQVLVSHVSHPFALT
+>O75197_PF00058_121 <unknown description>
+KLYWTDSETNRIEVANLNGTSRKVLFWQDLDQPRAIALDP
+>O75197_PF00058_163 <unknown description>
+GYMYWTDWGETPRIERAGMDGSTRKIIVDSDIYWPNGLTID
+>O75197_PF00058_207 <unknown description>
+QKLYWADAKLSFIHRANLDGSFRQKVVEGSLTHPFALTL
+>O75197_PF14670_299 <unknown description>
+CEEDNGGCSHLCLLSPSEPFYTCACPTGVQLQDNGRTC
+>O75197_PF00058_385 <unknown description>
+GYVYWTDDEVRAIRRAYLDGSGAQTLVNTEINDPDGIAVDW
+>O75197_PF00058_428 <unknown description>
+RNLYWTDTGTDRIEVTRLNGTSRKILVSEDLDEPRAIALHP
+>O75197_PF00058_471 <unknown description>
+GLMYWTDWGENPKIECANLDGQERRVLVNASLGWPNGLALD
+>O75197_PF00058_515 <unknown description>
+GKLYWGDAKTDKIEVINVDGTKRRTLLEDKL
+>O75197_PF14670_605 <unknown description>
+CADRNGGCSHLCFFTPHATRCGCPIGLELLSDMKTC
+>O75197_PF00058_687 <unknown description>
+NHIYWTDVSLKTISRAFMNGSSVEHVVEFGLDYPEGMAVDW
+>O75197_PF00058_731 <unknown description>
+NLYWADTGTNRIEVARLDGQFRQVLVWRDLDNPRSLALDP
+>O75197_PF00058_773 <unknown description>
+GYIYWTEWGGKPRIVRAFMDGTNCMTLVDKVGRANDLTIDY
+>O75197_PF00058_816 <unknown description>
+QRLYWTDLDTNMIESSNMLGQERVVIADDLPHPFGLT
+>O75197_PF00058_856 <unknown description>
+DYIYWTDWNLHSIERADKTSGRNRTLIQGHLD
+>O75197_PF14670_906 <unknown description>
+CMHNNGQCGQLCLAIPGGHRCGCASHYTLDPSSRNC
+>O75197_PF00058_1124 <unknown description>
+GKLFWVDADLKRIESCDLSGANRLTLEDANIVQPLGLTI
+>O75197_PF00057_1258 <unknown description>
+TCSPDQFACATGEIDCIPGAWRCDGFPECDDQSDEEGC
+>O75197_PF00057_1298 <unknown description>
+CSAAQFPCARGQCVDLRLRCDGEADCQDRSDEADC
+>O75197_PF00057_1336 <unknown description>
+CLPNQFRCASGQCVLIKQQCDSFPDCIDGSDELMC
+>O75581_PF00058_107 <unknown description>
+EKLYWTDSETNRIEVSNLDGSLRKVLFWQELDQPRAIALDP
+>O75581_PF00058_150 <unknown description>
+GFMYWTDWGEVPKIERAGMDGSSRFIIINSEIYWPNGLTLDY
+>O75581_PF00058_194 <unknown description>
+QKLYWADAKLNFIHKSNLDGTNRQAVVKGSLPHPFALTLF
+>O75581_PF14670_286 <unknown description>
+CGIDNGGCSHLCLMSPVKPFYQCACPTGVKLLENGKTC
+>O75581_PF00058_372 <unknown description>
+GYIYWTDDEVRAIRRSFIDGSGSQFVVTAQIAHPDGIAVDW
+>O75581_PF00058_415 <unknown description>
+RNLYWTDTGTDRIEVTRLNGTMRKILISEDLEEPRAIVLDP
+>O75581_PF00058_458 <unknown description>
+GYMYWTDWGEIPKIERAALDGSDRVVLVNTSLGWPNGLALDY
+>O75581_PF14670_592 <unknown description>
+CAEENGGCSHLCLYRPQGLRCACPIGFELISDMKTC
+>O75581_PF00058_674 <unknown description>
+NRIYWTDISLKTISRAFMNGSALEHVVEFGLDYPEGMAVDW
+>O75581_PF00058_718 <unknown description>
+NLYWADTGTNRIEVSKLDGQHRQVLVWKDLDSPRALALDP
+>O75581_PF00058_760 <unknown description>
+GFMYWTEWGGKPKIDRAAMDGSERTTLVPNVGRANGLTIDY
+>O75581_PF14670_893 <unknown description>
+CASSNGHCSHLCLAVPVGGFVCGCPAHYSLNADNRTC
+>O75581_PF00058_1069 <unknown description>
+GYMYFTNLQERSPKIERAALDGTEREVLFFSGLSKPIALALD
+>O75581_PF00058_1114 <unknown description>
+GKLFWADSDLRRIESSDLSGANRIVLEDSNILQPVGLTVF
+>O75581_PF00057_1248 <unknown description>
+TCSPQQFTCFTGEIDCIPVAWRCDGFTECEDHSDELNC
+>O75581_PF00057_1288 <unknown description>
+CSESQFQCASGQCIDGALRCNGDANCQDKSDEKNC
+>O75581_PF00057_1326 <unknown description>
+CLIDQFRCANGQCIGKHKKCDHNVDCSDKSDELDC
+>Q14114_PF00057_45 <unknown description>
+KDCEKDQFQCRNERCIPSVWRCDEDDDCLDHSDEDDC
+>Q14114_PF00057_84 <unknown description>
+KTCADSDFTCDNGHCIHERWKCDGEEECPDGSDESEATC
+>Q14114_PF00057_127 <unknown description>
+CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGC
+>Q14114_PF00057_167 <unknown description>
+CAPHEFQCGNRSCLAAVFVCDGDDDCGDGSDERGC
+>Q14114_PF00057_205 <unknown description>
+ACGPREFRCGGDGGGACIPERWVCDRQFDCEDRSDEAAE
+>Q14114_PF00057_259 <unknown description>
+CATASQFACRSGECVHLGWRCDGDRDCKDKSDEADC
+>Q14114_PF00057_298 <unknown description>
+TCRGDEFQCGDGTCVLAIKHCNQEQDCPDGSDEAGC
+>Q14114_PF14670_340 <unknown description>
+CLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTC
+>Q14114_PF00058_462 <unknown description>
+NRIYWCDLSYRKIYSAYMDKASDPKEQEVLIDEQLHSPEGLAVDW
+>Q14114_PF00058_510 <unknown description>
+HIYWTDSGNKTISVATVDGGRRRTLFSRNLSEPRAIAVDP
+>Q14114_PF00058_552 <unknown description>
+GFMYWSDWGDQAKIEKSGLNGVDRQTLVSDNIEWPNGITLD
+>Q14114_PF00058_596 <unknown description>
+QRLYWVDSKLHQLSSIDFSGGNRKTLISSTDFLSHPFGIAVF
+>Q14114_PF00058_639 <unknown description>
+DKVFWTDLENEAIFSANRLNGLEISILAENLNNPHDIVIFH
+>A6NJW4_PF13855_82 <unknown description>
+RKLYLDANQLASVPAGAFQHLPVLEELDLSHNALAHLSGAAFQGLEGTLRHLDLSANQL
+>Q7Z2Q7_PF13855_65 <unknown description>
+LYLTGNNISYINESELTGLHSLVALYLDNSNILYVYPKAFVQLRHLYFLFLNNN
+>Q7Z2Q7_PF13855_133 <unknown description>
+NLRNLYLQYNQVSFVPRGVFNDLVSVQYLNLQRNRLTVLGSGTFVGMVALRILDLSNNNI
+>Q7Z2Q7_PF13855_229 <unknown description>
+SLRRLSLSHNPIEAIQPFAFKGLANLEYLLLKNSRIRNVTRDGFSGINNLKHLILSHNDL
+>Q7Z2Q7_PF13855_301 <unknown description>
+NLIYLKLDRNRIISIDNDTFENMGASLKILNLSFNNLTALHPRVLKPLSSLIHLQANSN
+>Q9BTT6_PF13855_36 <unknown description>
+RSLEELLLDANQLRELPEQFFQLVKLRKLGLSDNEIQRLPPEIANFMQLVELDVSRNEI
+>Q9BTT6_PF13855_198 <unknown description>
+HLKDLWLDGNQLSELPQEIGNLKNLLCLDVSENRLERLPEEISGLTSLTDLVISQNLL
+>Q9BTT6_PF13855_267 <unknown description>
+KLSILKVDQNRLTQLPEAVGECESLTELVLTENQLLTLPKSIGKLKKLSNLNADRNKL
+>Q9BYS8_PF13855_237 <unknown description>
+SNLQWLDISSNNLTDLPQDIDRLEELQSFLLYKNKLTYLPYSMLNLKKLTLLVVSGDHL
+>Q9BY71_PF01462_32 <unknown description>
+ACPQPCRCPDHAGAVAVFCSLRGLQEVPEDIP
+>Q9BY71_PF13855_69 <unknown description>
+LKLDANKISHLPDGAFQHLHRLRELDLSHNAIEAIGSATFAGLA
+>Q9BY71_PF00560_115 <unknown description>
+LRLLDLSYNRIQRIPKDALGKL
+>Q9HBW1_PF13855_76 <unknown description>
+NTRYLNLMENNIQMIQADTFRHLHHLEVLQLGRNSIRQIEVGAFNGLASLNTLELFDN
+>Q9HBW1_PF13855_148 <unknown description>
+KLRELWLRNNPIESIPSYAFNRVPSLMRLDLGELKKLEYISEGAFEGLFNLKYLNLGMCN
+>Q9HBW1_PF13855_243 <unknown description>
+SLKKLWVMNSQVSLIERNAFDGLASLVELNLAHNNLSSLPHDLFTPLRYLVELHLHHNP
+>Q9HBW1_PF07679_355 <unknown description>
+IMDAPRDLNISEGRMAELKCRTPPMSSVKWLLPNGTVLSHASRHPRISVLNDGTLNFSHV
+LLSDTGVYTCMVTNVAGNSNASAYLNV
+>A4D1F6_PF00560_212 <unknown description>
+NLRILNVSHNHISHIPKE
+>A4D1F6_PF13855_258 <unknown description>
+NLEILSLGKNKLRHIPDTLPSLKTLRVLNLEYNQLTTFPKALCFLPKLISLDLTGNLI
+>A4D1F6_PF13855_488 <unknown description>
+SLYYLSVNGNYISEIPVDISFSKQLLHLELSENKLLIFSEHFCSLINLKYLDLGKNQI
+>A4D1F6_PF13855_557 <unknown description>
+SLHVLILCCNKFETFPRELCTLENLQVLDLSENQLQKISSDICNLKGIQKLNFSSNQF
+>Q32MZ4_PF09738_33 <unknown description>
+AEARLAAKRAARAEAREIRMKELERQQKEIYQVQKKYYGLDTKWGDIEQWMEDSERYSRR
+SRRNTSASDEDERMSVGSRGSLRSQ
+>Q32MZ4_PF09738_213 <unknown description>
+EERPEKDFTEKGSRNMPGLSAATLASLGGTSSRRGSGDTSISIDTEASIREIKELNELKD
+QIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDMLLELEE
+QLAESRRQYEEKNKEFEREKHAHSILQFQFAEVKEALKQREEMLEE
+>Q32MZ4_PF09738_404 <unknown description>
+DKKIGALERQKEFFDSVRSERDDLREEVVMLKEELKKHGIILNSEIATNGETSDTLNNVG
+YQGPTKMTKEELNALKSTGDGTLDIRLKKLVDERECLLEQIKKLKGQLEERQKIGKLDNL
+RSEDDVLENGTDMHVMDLQRDANRQISDLKFKLAKSEQEIT
+>Q9Y608_PF09738_31 <unknown description>
+AEARLAAKRAARAEARDIRMRELERQQKEYSLHSFDRKWGQIQKW
+>Q9Y608_PF09738_293 <unknown description>
+DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRDIYDLKD
+QIQDVEGRYMQGLKELKESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEE
+QMAEFYRENEEKSKELERQKHMCSVLQHKMEELKEGLRQRDELIEEK
+>Q9Y608_PF09738_458 <unknown description>
+EKQRMQQKIDTMTKEVFDLQETLLWKDKKIGALEKQKEYIACLRNERDMLREELADLQET
+VKTGEKHGLVIIPDGTPNGDVSHEPVAGAITVVSQEAAQVLESAGEGPLDVRLRKLAGEK
+EELLSQIRKLKLQLEEERQKCSRNDGTVGDLAGLQNGSDLQFIEMQRDANRQISEYKFKL
+SKAEQDITT
+>Q38SD2_PF12796_95 <unknown description>
+AAYGDLEMVRYLLSKRLVELPTEPTDDNPAVVAAYFGHTAVVQELLESLPGPCSPQRLLN
+WMLALACQRGHLGVVKLLVLTHGADPE
+>Q38SD2_PF08477_640 <unknown description>
+KMIIVGPPRQGKSTLLEILQTGRAPQVVHGEATIRTTKWELQRPAGSRAKVESVEFNVWD
+IGGPASMATVNQCFFTDKALYVVVWNLALGEEAVANLQFWLLNIEAKAPNAVVLVVGTHL
+D
+>Q38SD2_PF16095_844 <unknown description>
+PRSYLSLQEAVLAEQQRRSRDDDVQYLTDRQLEQLVEQTPDNDIKDYEDLQSAISFLIET
+GTLLHFPDTSHGLRNLYFLDPIWLSECLQRIFNIKGSRSVAKNGVIRAEDLRMLLVGTGF
+TQQTEEQYFQFLAKFEIALPVANDSYLLPHLLPSKPGLDTHGMRHPTANTIQRVFKMSFV
+PVGFWQRFIARML
+>Q38SD2_PF00069_1245 <unknown description>
+GSVLGQGGSGTVIYRARYQGQPVAVKRFHIKKFKNFANVPADTMLRHLRATDAMKNFSEF
+RQEASMLHALQHPCIVALIGISIHPLCFALELAPLSSLNTVLSENARDSSFIPLGHMLTQ
+KIAYQIASGLAYLHKKNIIFCDLKSDNILVWSLDVKEHINIKLSDYGISRQSFHEGALGV
+EGTPGYQAPEIRPRIVYDEKVDMFSYGMVLYELLSGQRPALGHHQLQIAKKLSKGIRPVL
+GQPEEVQFRRLQALMMECWDTKPEKRPLALSVVS
+>Q5S007_PF13855_1012 <unknown description>
+HLEKLELHQNALTSFPQQLCETLKSLTHLDLHSNKFTSFPSYLLKMSCIANLDVSRNDI
+>Q5S007_PF12799_1246 <unknown description>
+RVEKLHLSHNKLKEIPPEIGCLENLTSLDVSYNLELRSFP
+>Q5S007_PF08477_1336 <unknown description>
+KLMIVGNTGSGKTTLLQQLMKTKKSDLGMQSATVGIDVKDWPIQIRDKRKRDLVLNVWDF
+AGREEFYSTHPHFMTQRALYLAVYDLSKGQAEVDAMKPWLFNIKARASSSPVILVGTHLD
+>Q5S007_PF16095_1545 <unknown description>
+FPVIDRKRLLQLVRENQLQLDENELPHAVHFLNESGVLLHFQDPALQLSDLYFVEPKWLC
+KIMAQILTVKVEGCPKHPKGIISRRDVEKFLSKKRKFPKNYMSQYFKLLEKFQIALPIGE
+EYLLVPSSLSDHRPVIELPHCENSEIIIRLYEMPYFPMGFWSRLINRLLEISPYMLSGRE
+RALRPNRMYWRQGIYL
+>Q5S007_PF00069_1885 <unknown description>
+LGDGSFGSVYRAAYEGEEVAVKIFNKHTSLRLLRQELVVLCHLHHPSLISLLAAGIRPRM
+LVMELASKGSLDRLLQQDKASLTRTLQHRIALHVADGLRYLHSAMIIYRDLKPHNVLLFT
+LYPNAAIIAKIADYGIAQYCCRMGIKTSEGTPGFRAPEVARGNVIYNQQADVYSFGLLLY
+DILTTGGRIVEGLKFPNEFDELEIQGKLPDPVKEYGCAPWPMVEKLIKQCLKENPQERPT
+SAQVF
+>Q6UXK5_PF13855_120 <unknown description>
+QLTTLHLEENQITEMTDYCLQDLSNLQELYINHNQISTISAHAFAGLKNLLRLHLNSNKL
+>Q6UXK5_PF13855_216 <unknown description>
+NLRSLVLAGMYLTDIPGNALVGLDSLESLSFYDNKLVKVPQLALQKVPNLKFLDLNKNPI
+>Q6UXK5_PF13855_321 <unknown description>
+NNPKLSYIHRLAFRSVPALESLMLNNNALNAIYQKTVESLPNLREISIHSNPL
+>Q6UXK5_PF07679_431 <unknown description>
+FPNRLNVDIGTTVFLDCRAMAEPEPEIYWVTPIGNKITVETLSDKYKLSSEGTLEISNIQ
+IEDSGRYTCVAQNVQGADTRVATIKV
+>O75325_PF13855_94 <unknown description>
+NLTELDLSQNSFSDARDCDFHALPQLLSLHLEENQLTRLEDHSFAGLASLQELYLNHNQL
+>O75325_PF13855_214 <unknown description>
+NLRSLVLAGMNLREISDYALEGLQSLESLSFYDNQLARVPRRALEQVPGLKFLDLNKNPL
+>O75325_PF13855_310 <unknown description>
+PELTKLDITNNPRLSFIHPRAFHHLPQMETLMLNNNALSALHQQTVESLPNLQEVGLHGN
+PI
+>O75325_PF07679_430 <unknown description>
+PPSLQVASGESMVLHCRALAEPEPEIYWVTPAGLRLTPAHAGRRYRVYPEGTLELRRVTA
+EEAGLYTCVAQNLVGADTKTVSVVV
+>Q9H3W5_PF13855_116 <unknown description>
+PQLLSVYLEENKLTELPEKCLSELSNLQELYINHNLLSTISPGAFIGLHNLLRLHLNSNR
+L
+>Q9H3W5_PF13855_213 <unknown description>
+NLRSLVIAGINLTEIPDNALVGLENLESISFYDNRLIKVPHVALQKVVNLKFLDLNKNPI
+>Q9H3W5_PF13855_311 <unknown description>
+LRKIEATNNPRLSYIHPNAFFRLPKLESLMLNSNALSALYHGTIESLPNLKEISIHSNPI
+>Q9H3W5_PF07679_428 <unknown description>
+FPSNLNVEAGSYVSFHCRATAEPQPEIYWITPSGQKLLPNTLTDKFYVHSEGTLDINGVT
+PKEGGLYTCIATNLVGADLKSVMIKV
+>Q9H3W5_PF00041_526 <unknown description>
+NIKIRDIQANSVLVSWKASSKILKSSVKWTAFVKTENSHAAQSARIPSDVKVYNLTHLNP
+STEYKICI
+>Q8WUT4_PF13855_123 <unknown description>
+GLHTLDLSYNQLAALPPCTGPALSSLRALALAGNPLRALQPRAFACFPALQLLNLS
+>Q8WUT4_PF00041_582 <unknown description>
+PPRLQGVTETTDTSALVHWCAPNSVVHGYQIRYSAEGWAGNQSVVGVIYATARQHPLYGL
+SPGTTYRVCVLAANRAGLSQP
+>Q86UE6_PF13855_60 <unknown description>
+APHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHI
+>Q86UE6_PF13855_113 <unknown description>
+RRVKELTLSSNQITQLPNTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANA
+I
+>Q86UE6_PF13855_257 <unknown description>
+NLEKMDLSGNEIEYMEPHVFETVPHLQSLQLDSNRLTYIEPRILNSWKSLTSITLAGN
+>O43300_PF13855_66 <unknown description>
+LSLRHNHITELERDQFASFSQLTWLHLDHNQISTVKEDAFQGLYKLKELILSSNKI
+>O43300_PF13855_134 <unknown description>
+NLQNLDLSFNQLSSLHPELFYGLRKLQTLHLRSNSLRTIPVRLFWDCRSLEFLDLSTNRL
+>O43300_PF13855_254 <unknown description>
+TLEKLDLTGNEIKAIDLTVFETMPNLKILLMDNNKLNSLDSKILNSLRSLTTVGLSGN
+>Q86VH5_PF13855_87 <unknown description>
+LTWLYLDHNHISNIDENAFNGIRRLKELILSSNRISYFLNNTFRPVTNLRNLDLSYNQL
+>Q86VH5_PF13855_158 <unknown description>
+KLLSLHLRSNSLRTIPVRIFQDCRNLELLDLGYNRIRSLARNVFAGMIRLKELHLEHNQF
+>Q86VH5_PF13855_230 <unknown description>
+SLQNLYLQWNKISVIGQTMSWTWSSLQRLDLSGNEIEAFSGPSVFQCVPNLQRLNLDSNK
+L
+>Q86VH4_PF13855_66 <unknown description>
+LSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNKI
+>Q86VH4_PF00560_134 <unknown description>
+NLRNLDLSYNKLQTLQSEQFKGL
+>Q86VH4_PF13855_158 <unknown description>
+KLIILHLRSNSLKTVPIRVFQDCRNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQF
+>Q86VH4_PF13855_230 <unknown description>
+NLRSIYLQWNRIRSISQGLTWTWSSLHNLDLSGNDIQGIEPGTFKCLPNLQKLNLDSNKL
+>Q6UWE0_PF13855_128 <unknown description>
+QLQTLNVKDNKLKELPDTVGELRSLRTLNISGNEIQRLPQMLAHVRTLEMLSLD
+>Q6UWE0_PF07647_576 <unknown description>
+ALLEELSAEHYLPIFAHHRLSLDLLSQMSPGDLAKVGVSEAGLQHEILRRVQEL
+>Q6UWE0_PF13920_673 <unknown description>
+SECVVCLEREAQMIFLNCGHVCCCQQCCQPLRTCPLCRQDIAQ
+>Q9HBL6_PF13855_76 <unknown description>
+LMTLNLSNNSLSNLAPGAFHGLQHLQVLNLTQNSLLSLESRLFHSLPQLRELDLSSNNI
+>Q8N967_PF01462_39 <unknown description>
+CPFSCKCDSRSLEVDCSGLGLTTVPPDVP
+>Q8N967_PF13855_94 <unknown description>
+LQRLDLSNNFLDRLPRSIFGDLTNLTELQLRNNSIRTLDRDLLRHSPLLRHLDLSINGL
+>Q9UFC0_PF12799_47 <unknown description>
+TQLQELDLSNNHLETLPDNLGLSHLRVLRCANNQLGDVTAL
+>Q8ND56_PF12701_6 <unknown description>
+PYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDEVFEYI
+IFRGSDIKDLTVCE
+>Q8ND56_PF09532_290 <unknown description>
+MKFEKDFDFESANAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNSEG
+NADEEDPLGPNCYYDKTKSFFDNISCDDNRERRPTWAEERRLNAETFGIP
+>Q9BX40_PF12701_8 <unknown description>
+PYLGSKISLISKAQIRYEGILYTIDTDNSTVALAKVRSFGTEDRPTDRPAPPREEIYEYI
+IFRGSDIKDITVCE
+>Q9BX40_PF09532_247 <unknown description>
+IKFEGDFDFESANAQFNREELDKEFKKKLNFKDDKAEKGEEKDLAVVTQSAEAPAEEDLL
+GPNCYYDKSKSFFDNISSELKTSSRRTTWAEERKLNTETFGVS
+>Q13449_PF07679_34 <unknown description>
+FNRGTDNITVRQGDTAILRCVVEDKNSKVAWLNRSGIIFAGHDKWSLDPRVELEKRHSLE
+YSLRIQKVDVYDEGSYTCSVQTQHEPKTSQVYLIV
+>Q13449_PF13927_131 <unknown description>
+PPKISNISSDVTVNEGSNVTLVCMANGRPEPVITWRHLTPTGREFEGEEEYLEILGITRE
+QSGKYECKAAN
+>Q13449_PF13927_218 <unknown description>
+PPTITESKSNEATTGRQASLKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTV
+TNVTEEHYGNYTCVAAN
+>Q9H089_PF01926_389 <unknown description>
+VGLVGYPNVGKSSTINTIMGNKKVSVSATPGHTKHFQTLYVEPGLCLCDCPGLVM
+>P01229_PF00007_27 <unknown description>
+PWCHPINAILAVEKEGCPVCITVNTTICAGYCPTMMRVLQAVLPPLPQVVCTYRDVRFES
+IRLPGCPRGVDPVVSFPVALSCRCGPCRRSTSDCGGPKDHPLTC
+>P22888_PF13306_61 <unknown description>
+VKVIPSQAFRGLNEVIKIEISQIDSLERIEANAFDNLLNLSEILIQNTKNLRYIEPGAFI
+NLPRLKYLSICNT
+>P22888_PF13306_160 <unknown description>
+HITTIPGNAFQGMNNESVTLKLYGNGFEEVQSHAFNGTTLTSLELKENVHLEKMHNGAFR
+GATGPKTLDISSTKLQALPSYG
+>P22888_PF00001_376 <unknown description>
+GNMTVLFVLLTSRYKLTVPRFLMCNLSFADFCMGLYLLLIASVDSQTKGQYYNHAIDWQT
+GSGCSTAGFFTVFASELSVYTLTVITLERWHTITYAIHLDQKLRLRHAILIMLGGWLFSS
+LIAMLPLVGVSNYMKVSICFPMDVETTLSQVYILTILILNVVAFFIICACYIKIYFAVRN
+PELMATNKDTKIAKKMAILIFTDFTCMAPISFFAISAAFKVPLITVTNSKVLLVLFYPIN
+SCANPFLY
+>Q969L4_PF01423_20 <unknown description>
+LLQGLQGRVTTVDLRDESVAHGRIDNVDAFMNIRLAKVTYTDRWGHQVKLDDLFVTGRNV
+RYVH
+>Q3MHD2_PF09793_81 <unknown description>
+SLNVSKLASKARTEKEEKLSQAYAISAGVSLEGQQLFQTIHKTIKDCKWQEKNIVVMEEV
+VITPPYQVENCKGKEGSALSHVRKI
+>O15116_PF01423_12 <unknown description>
+EDIDKKHLVLLRDGRTLIGFLRSIDQFANLVLHQTVERIHVGKKYGDIPRGIFVVRGENV
+VLLG
+>Q9Y333_PF01423_6 <unknown description>
+FFKSLVGKDVVVELKNDLSICGTLHSVDQYLNIKLTDISVTDPEKYPHMLSVKNCFIRGS
+VVRYVQ
+>P62310_PF01423_21 <unknown description>
+IRLSLDERIYVKMRNDRELRGRLHAYDQHLNMILGDVEETVTTIEIDEETYEEIYKSTKR
+NIPMLFVRGDGVVLVA
+>Q9Y4Z0_PF01423_6 <unknown description>
+LLKTAQNHPMLVELKNGETYNGHLVSCDNWMNINLREVICTSRDGDKFWRMPECYIRGST
+IKYL
+>Q9Y4Y9_PF01423_17 <unknown description>
+LVDKCIGSRIHIVMKSDKEIVGTLLGFDDFVNMVLEDVTEFEITPEGRRITKLDQILLNG
+NNITMLVP
+>P62312_PF01423_10 <unknown description>
+DFLKQIIGRPVVVKLNSGVDYRGVLACLDGYMNIALEQTEEYVNGQLKNKYGDAFIRGNN
+VLYIS
+>Q9UK45_PF01423_14 <unknown description>
+DLSKYIDKTIRVKFQGGREASGILKGFDPLLNLVLDGTIEYMRDPDDQYKLTEDTRQLGL
+VVCRGTSVVLICP
+>O95777_PF01423_4 <unknown description>
+ALENYINRTVAVITSDGRMIVGTLKGFDQTINLILDESHERVFSSSQGVEQVVLGLYIVR
+GDNVAVIG
+>Q9BRA0_PF01423_44 <unknown description>
+QLEALLNKTMRIRMTDGRTLVGCFLCTDRDCNVILGSAQEFLKPSDSFSAGEPRVLGLAM
+VPGHHIVSIE
+>Q8N8F7_PF15145_1 <unknown description>
+MTHSSQDTGSCGIQEDGKLYVVDSINDLNKLNLCPAGSQHLFPLEDKIPVLGTNSGNGSR
+SLFFVGLLIVLIVSLALVFFVIFLIVQTGNKMDDVSRRLTAEGKDIDDLKRINNMIVKRL
+NQLNQ
+>Q8N112_PF15833_13 <unknown description>
+MPEETQEDSVAPMMPSQRSRGPLAPNHVHEVCLHQVESISDLHSGAGTLRPYLTEEARPW
+DELLGVLPPSLCAQAGCSPVYRRGGFLLLLALLVLTCLVLALLAVYLSVLQSESLRILAH
+TLRTQEETLLKLRLASLSQLRRLNSSEAQA
+>P33241_PF02029_191 <unknown description>
+PLSPTTKLIDRTESLNRSIEKSNSVKKSQPDLPISKIDQWLEQYTQAIETAGRTPKLARQ
+ASIELPSMAVASTKSRWETGEVQAQSAAKTPSCKD
+>P48449_PF13249_84 <unknown description>
+YVGLQAEDGHWTGDYGGPLFLLPGLLITCHVARIPLPAGYREEIVRYLRSVQLPDGGWGL
+HIEDKSTVFGTALNYVSLRILGVGPDDPDLVRARNILHKKGGAVAIPSWGKFWLAVLNVY
+SWEGLNTLFPEMWLFPDWAPAHPSTLWCHCRQVYLPMSYCYAVRLSAAEDPLVQSLRQEL
+YVEDFASIDWLAQRNNVAPDELYTPHSWLLRVVYALLNLYEHHHSAHLRQRAVQKLYEHI
+VADDRFTKSI
+>P48449_PF13243_384 <unknown description>
+SQIWDTAFAIQALLEAGGHHRPEFSSCLQKAHEFLRLSQVPDNPPDYQKYYRQMRKGGFS
+FSTLDCGWIVSDCTAEALKAVLLLQEKCPHVTEHIPRERLCDAVAVLLNMRNPDGGFATY
+ETKRGGHLLELLNPSEVFGDIMIDYTYVECTSAVMQALKYFHKRFPEHRAAEIRETLTQG
+LEFCRRQQRADGSWEGSWGVCFTYGTWFGLEAFACMGQTYRDGTACAEVSRACDFLLSRQ
+MADGGWGEDFESCEERRYLQSAQSQIHNTCWAMMGLMAVRHPDIEAQERGVRCLLEKQLP
+NGDWPQENIAGVFNKSCAISYTSYRNIFPIWALGRFS
+>O00453_PF05083_16 <unknown description>
+LGGLLLLAVVLLSACLCWLHRRVKRLERSWAQGSSEQELHYASLQRLPVPSSEGPDLRGR
+DKRGTKEDPRADYACIAENKP
+>Q9HCC9_PF01363_813 <unknown description>
+EWVPDEACGFCTACKAPFTVIRRKHHCRSCGKIFCSRCSSHSAPLPRYGQVKPVRVCTHC
+Y
+>Q9BVC4_PF00400_34 <unknown description>
+CTRTVQHQDSQVNALEVTPDRSMIAAAGYQHIRMYD
+>Q9BVC4_PF00400_80 <unknown description>
+SYDGVNKNIASVGFHEDGRWMYTGGEDCTARIWD
+>Q9BVC4_PF00400_120 <unknown description>
+QCQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWD
+>Q9BVC4_PF00400_225 <unknown description>
+QCRFSPDSTLLATCSADQTCKIW
+>Q9BVC4_PF00400_273 <unknown description>
+MWGCAFSGDSQYIVTASSDNLARLW
+>Q15722_PF00001_35 <unknown description>
+GNSFVVWSILKRMQKRSVTALMVLNLALADLAVLLTAPFFLHFLAQGTWSFGLAGCRLCH
+YVCGVSMYASVLLITAMSLDRSLAVARPFVSQKLRTKAMARRVLAGIWVLSFLLATPVLA
+YRTVVPWKTNMSLCFPRYPSEGHRAFHLIFEAVTGFLLPFLAVVASYSDIGRRLQARRFR
+RSRRTGRLVVLIILTFAAFWLPYHVVNLAEAGRALAGQAAGLGLVGKRLSLARNVLIALA
+FLSSSVNPVLY
+>Q9NPC1_PF00001_37 <unknown description>
+GNGFVVWSLAGWRPARGRPLAATLVLHLALADGAVLLLTPLFVAFLTRQAWPLGQAGCKA
+VYYVCALSMYASVLLTGLLSLQRCLAVTRPFLAPRLRSPALARRLLLAVWLAALLLAVPA
+AVYRHLWRDRVCQLCHPSPVHAAAHLSLETLTAFVLPFGLMLGCYSVTLARLRGARWGSG
+RHGARVGRLVSAIVLAFGLLWAPYHAVNLLQAVAALAPPEGALAKLGGAGQAARAGTTAL
+AFFSSSVNPVLY
+>Q14766_PF00683_567 <unknown description>
+QCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKPSYHGYNQM
+>Q14766_PF07645_626 <unknown description>
+DINECQLQGVCPNGECLNTMGSYRCTCKIGFGPDPTFSSC
+>Q14766_PF00683_688 <unknown description>
+QCMHPLSVHLTKQLCCCSVGKAWGPHCEKCPLPGTAAFKEIC
+>Q14766_PF07645_874 <unknown description>
+INECTVNPDICGAGHCINLPVRYTCICYEGYRFSEQQRKC
+>Q14766_PF07645_915 <unknown description>
+DIDECTQVQHLCSQGRCENTEGSFLCICPAGFMASEEGTNC
+>Q14766_PF07645_957 <unknown description>
+DVDECLRPDVCGEGHCVNTVGAFRCEYCDSGYRMTQRGRC
+>Q14766_PF07645_998 <unknown description>
+DIDECLNPSTCPDEQCVNSPGSYQCVPCTEGFRGWN
+>Q14766_PF12662_1059 <unknown description>
+SYMCSCHKGYTRTPDHKHCRDIDE
+>Q14766_PF07645_1120 <unknown description>
+DIDECQHRHLCAHGQCRNTEGSFQCVCDQGYRASGLGDHC
+>Q14766_PF07645_1161 <unknown description>
+DINECLEDKSVCQRGDCINTAGSYDCTCPDGFQLDDNKTC
+>Q14766_PF07645_1202 <unknown description>
+DINECEHPGLCGPQGECLNTEGSFHCVCQQGFSISADGRTC
+>Q14766_PF07645_1244 <unknown description>
+DIDECVNNTVCDSHGFCDNTAGSFRCLCYQGFQAPQDGQGC
+>Q14766_PF07645_1286 <unknown description>
+DVNECELLSGVCGEAFCENVEGSFLCVCADE
+>Q14766_PF00683_1358 <unknown description>
+LCDNVLAPNVTKQECCCTSGVGWGDNCEIFPCPVLGTAEFTEMC
+>Q14766_PF07645_1424 <unknown description>
+DADECLLFGQEICKNGFCLNTRPGYECYCKQGTYYDPVKLQC
+>Q14766_PF07645_1467 <unknown description>
+DMDECQDPSSCIDGQCVNTEGSYNCFCTHPMVLDASEKRC
+>Q14766_PF00683_1535 <unknown description>
+VCSRPLVGKQTTYTECCCLYGEAWGMQCALCPLKDSDDYAQLC
+>Q14766_PF07645_1662 <unknown description>
+DVNECDELNNRMSLCKNAKCINTDGSYKCLCLPGYVPSDKPNYC
+>Q14767_PF00683_561 <unknown description>
+GQCANPLLELTTQEDCCGSVGAFWGVTLCAPCPPR
+>Q14767_PF07645_622 <unknown description>
+DINECLTLGLCKDAECVNTRGSYLCTCRPGLMLDPSRSRC
+>Q14767_PF00683_681 <unknown description>
+GTCTLPLAQRITKQICCCSRVGKAWGSECEKCPLPGTEAFREIC
+>Q14767_PF07645_845 <unknown description>
+IDRCAAGATNVCGPGTCVNLPDGYRCVCSPGYQLHPSQAYC
+>Q14767_PF07645_887 <unknown description>
+DDNECLRDPCKGKGRCINRVGSYSCFCYPGYTLATSGATQEC
+>Q14767_PF07645_930 <unknown description>
+DINECEQPGVCSGGQCTNTEGSYHCECDQGYI
+>Q14767_PF07645_970 <unknown description>
+DINECRHPGTCPDGRCVNSPGSYTCLACEEGYRGQSGS
+>Q14767_PF07645_1010 <unknown description>
+DVNECLTPGVCAHGKCTNLEGSFRCSCEQGYEVTSDEKGC
+>Q14767_PF07645_1051 <unknown description>
+DVDECASRASCPTGLCLNTEGSFACSACENGYWVNEDGTAC
+>Q14767_PF07645_1093 <unknown description>
+DLDECAFPGVCPSGVCTNTAGSFSCKDCDGGYRPSPLGDSC
+>Q14767_PF07645_1135 <unknown description>
+DVDECEDPQSSCLGGECKNTVGSYQCLCPQGFQLANGTVC
+>Q14767_PF07645_1176 <unknown description>
+DVNECMGEEHCAPHGECLNSHGSFFCLCAPGFVSAEGGTSC
+>Q14767_PF07645_1218 <unknown description>
+DVDECATTDPCVGGHCVNTEGSFNCLCETGFQPSPESGEC
+>Q14767_PF07645_1259 <unknown description>
+DIDECEDYGDPVCGTWKCENSPGSYRCVLGCQPGFHMAPNGD
+>Q14767_PF07645_1303 <unknown description>
+DIDECANDTMCGSHGFCDNTDGSFRCLCDQGFEISPSGWDC
+>Q14767_PF07645_1345 <unknown description>
+DVNECELMLAVCGAALCENVEGSFLCLCASDLEEYDAQEGH
+>Q14767_PF00683_1423 <unknown description>
+CSSVLGRNTTQAECCCTQGASWGDACDLCPSEDSAEFSEIC
+>Q14767_PF07645_1485 <unknown description>
+DADECVIFGPGLCPNGRCLNTVPGYVCLCNPGFHYDASHKKC
+>Q14767_PF07645_1528 <unknown description>
+DHDECQDLACENGECVNTEGSFHCFCSPP
+>Q14767_PF00683_1594 <unknown description>
+VCSEPLRGHRTTYTECCCQDGEAWSQQCALCPPRSSEVYAQLC
+>Q14767_PF00008_1737 <unknown description>
+CGILNGCENGRCVRVREGYTCDCFEGFQ
+>Q14767_PF07645_1774 <unknown description>
+DVNECDDLNGPAVLCVHGYCENTEGSYRCHCSPGYVAEAGPPHC
+>Q9NS15_PF00683_291 <unknown description>
+SNPLPGLTKQEDCCGSIGTAWGQSKCHKCPQLQ
+>Q9NS15_PF07645_355 <unknown description>
+DINECAMPGVCRHGDCLNNPGSYRCVCPPGHSLGPSRTQC
+>Q9NS15_PF00683_414 <unknown description>
+QCQHPLTTRLTRQLCCCSVGKAWGARCQRCPTDGTAAFKEIC
+>Q9NS15_PF07645_616 <unknown description>
+DVNECEAEPCGPGRGICMNTGGSYNCHCNRGYRLHVGAGGRSC
+>Q9NS15_PF07645_660 <unknown description>
+DLNECAKPHLCGDGGFCINFPGHYKCNCYPGYRLK
+>Q9NS15_PF07645_703 <unknown description>
+DIDECRDPSSCPDGKCENKPGSFKCIACQPGYRSQGGGAC
+>Q9NS15_PF12662_765 <unknown description>
+SFRCTCAQGYAPAPDGRSCLDVDE
+>Q9NS15_PF12662_806 <unknown description>
+SFQCQCLSGYHLSRDRSHCEDIDE
+>Q9NS15_PF07645_866 <unknown description>
+DIDECSQDPSLCLPHGACKNLQGSYVCVCDEGFTPTQDQHGC
+>Q9NS15_PF00683_929 <unknown description>
+CDSVLATNVTQQECCCSLGAGWGDHCEIYPCPVYSSAEFHSLC
+>Q9NS15_PF07645_993 <unknown description>
+DIDECMLFGSEICKEGKCVNTQPGYECYCKQGFY
+>Q9NS15_PF07645_1036 <unknown description>
+DVDECLDESNCRNGVCENTRGGYRCACTPPAEYSPAQRQC
+>Q9NS15_PF07645_1082 <unknown description>
+DVDECQDPAACRPGRCVNLPGSYRCECRPPWVPGPSGRDC
+>Q9NS15_PF00683_1146 <unknown description>
+GMCAGPLAGPALTFDDCCCRQGRGWGAQCRPCPPRGA
+>Q9NS15_PF07645_1254 <unknown description>
+DIDECRELNQRGLLCKSERCVNTSGSFRCVCKAGFARSRPHGAC
+>Q8N2S1_PF07645_290 <unknown description>
+DVDECATGGRCQHGECANTRGGYTCVCPDGFLLDSSRSSC
+>Q8N2S1_PF00683_350 <unknown description>
+GCSLPILRNITKQICCCSRVGKAWGRGCQLCPPFGSEGFREIC
+>Q8N2S1_PF12661_489 <unknown description>
+CGPGRCISRPSGYTCACDSGF
+>Q8N2S1_PF07645_520 <unknown description>
+DVDECRRVPPPCAPGRCENSPGSFRCVCGPGFRAGPRAAEC
+>Q8N2S1_PF07645_562 <unknown description>
+DVDECHRVPPPCDLGRCENTPGSFLCVCPAGYQAAPHGASC
+>Q8N2S1_PF07645_604 <unknown description>
+DVDECTQSPGLCGRGACKNLPGSFRCVCPAGFR
+>Q8N2S1_PF07645_643 <unknown description>
+DVDECAQEPPPCGPGRCDNTAGSFHCACPAGFRSRGPGAPC
+>Q8N2S1_PF07645_685 <unknown description>
+DVDECARSPPPCTYGRCENTEGSFQCVCPMGFQPNTAGSEC
+>Q8N2S1_PF07645_727 <unknown description>
+DVDECENHLACPGQECVNSPGSFQCRTCPSGHH
+>Q8N2S1_PF07645_767 <unknown description>
+DVDECSSGAPPCGPHGHCTNTEGSFRCSCAPGYRAPSG
+>Q8N2S1_PF07645_811 <unknown description>
+DVNECLEGDFCFPHGECLNTDGSFACTCAPGYRPGPRGASC
+>Q8N2S1_PF07645_853 <unknown description>
+DVDECSEEDLCQSGICTNTDGSFECICPPGHRAGPDLASC
+>Q8N2S1_PF07645_894 <unknown description>
+DVDECRERGPALCGSQRCENSPGSYRCVRDCDPGYHAGPEGT
+>Q8N2S1_PF07645_938 <unknown description>
+DVDECQEYGPEICGAQRCENTPGSYRCTPACDPGYQPT
+>Q8N2S1_PF07645_982 <unknown description>
+DVDECRNRSFCGAHAVCQNLPGSFQCLCDQGYEGARDGRHC
+>Q8N2S1_PF07645_1024 <unknown description>
+DVNECETLQGVCGAALCENVEGSFLCVCPNS
+>Q8N2S1_PF00683_1125 <unknown description>
+ACDNILARNVTWQECCCTVGEGWGSGCRIQQCPGTETAEYQSLC
+>Q8N2S1_PF07645_1186 <unknown description>
+DVDECQLFRDQVCKSGVCVNTAPGYSCYCSNGYYYHTQRLEC
+>Q8N2S1_PF07645_1229 <unknown description>
+DNDECADEEPACEGGRCVNTVGSYHCTCEP
+>Q8N2S1_PF00683_1294 <unknown description>
+CSHPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFEALC
+>Q8N2S1_PF07645_1507 <unknown description>
+DINECDEAEAASPLCVNARCLNTDGSFRCICRPGFAPTHQPHHC
+>Q16873_PF01124_9 <unknown description>
+AAVTLLGVLLQAYFSLQVISARRAFRVSPPLTTGPPEFERVYRAQVNCSEYFPLFLATLW
+VAGIFFHEGAAALCGLVYLFARLRYFQGYARSAQLRLAPLYASARALWLLVALA
+>P29376_PF12810_116 <unknown description>
+PGQYLISAYGAAGGKGAKNHLSRAHGVFVSAIFSLGLGESLYILVGQQGEDACPGGSPES
+QLVCLGESRAVEEHAAMDGSEGVPGSRRWAGGGGGGGGATYVFRVRAGELEPLLVAAGGG
+GRAYLRPRDRGRTQASPEKLENRSEAPGSGGRGGAAGGGGGWTSRAPSPQAGRSLQEGAE
+GGQGCSEAWATLGWAAAGGFGGGGGACTAGGGGGGYRGGDASETDNLWADGEDGVSFIHP
+SS
+>P29376_PF07714_511 <unknown description>
+TLLRALGHGAFGEVYEGLVIGLPGDSSPLQVAIKTLPELCSPQDELDFLMEALIISKFRH
+QNIVRCVGLSLRATPRLILLELMSGGDMKSFLRHSRPHLGQPSPLVMRDLLQLAQDIAQG
+CHYLEENHFIHRDIAARNCLLSCAGPSRVAKIGDFGMARDIYRASYYRRGDRALLPVKWM
+PPEAFLEGIFTSKTDSWSFGVLLWEIFSLGYMPYPGRTNQEVLDFVVGGGRMDPPRGCPG
+PVYRIMTQCWQHEPELRPSFASILERL
+>Q6P1Q0_PF07766_79 <unknown description>
+FPRFYVLYTIFMKGLQMLWADAKKARRIKTNMWKHNIKFHQLPYREMEHLRQFRQDVTKC
+LFLGIISIPPFANYLVFLLMYLFPRQLLIRHFWTPKQQTDFLDIYHAFRKQSHPEIISYL
+EKVIPLISDAGLRWRLTDLCTKIQRGTHPAIHDILALRECFSNHPLGMNQLQALHVKALS
+RAMLLTSYLPPPLLRHRLKTHTTVIHQLDKALAKLGIGQLTAQEVKSACYLRGLNSTHIG
+EDRCRTWLGEWLQISCSLKEAELSLLLH
+>Q8WV07_PF09811_22 <unknown description>
+GYREGYEEGSSLGVMEGRQHGTLHGAKIGSEIGCYQGFA
+>Q6IAA8_PF15454_18 <unknown description>
+ERKLLLDPSSPPTKALNGAEPNYHSLPSARTDEQALLSSILAKTASNIIDVSAADSQGME
+QHEYMDRA
+>Q9Y2Q5_PF03259_8 <unknown description>
+TQVLSQANTGGVQSTLLLNNEGSLLAYSGYGDTDARVTAAIASNIWAAYDRNGNQAFNED
+NLKFILMDCMEGRVAITRVANLLLCMY
+>Q9UHA4_PF08923_3 <unknown description>
+DDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDNAPEHALRPGFLSTFALATDQGSK
+LGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSSANTGLIVSLEKELAPLFEELRQVV
+>O43504_PF16672_1 <unknown description>
+MEATLEQHLEDTMKNPSIVGVLCTDSQGLNLGCRGTLSDEHAGVISVLAQQAAKLTSDPT
+DIPVVCLESDNGNIMIQKHDGITVAVHK
+>Q96GA3_PF04180_11 <unknown description>
+EKKKAVSFHLVHRSQRDPLAADESAPQRVLLPTQKIDNEERRAEQRKYGVFFDDDYDYLQ
+HLKEPSGPSELIPSSTFSAHNRREEKEETLVIPSTGIKLPSSVFASEFEEDVGLLNKAAP
+VSGPRLDFDPDIVAALDDDFDFDDPDNLLEDDFILQANKATGEEEGMDIQKSENEDDSEW
+EDVDDEKGDSNDDYDSAGLLSDEDCMSVPGKTHRAIADHLFWSEETKSRFTEYSMTSSVM
+RRNEQLTLHDERFEKFYEQYDDDEIGALDNAELEGSIQVDSNRLQEVLNDYYKEKAENCV
+KLNTLEPLEDQDLPMNELDESEEEEMITVVLEEAKEKWDCESICSTYSNLYNH
+>Q9NQ29_PF03194_6 <unknown description>
+QMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECTKIHDL
+ALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVSAKAEK
+VHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPASSFQQQ
+KLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRNQDR
+>P51884_PF01462_37 <unknown description>
+CAPECNCPESYPSAMYCDELKLKSVPMVP
+>P51884_PF13855_66 <unknown description>
+PGIKYLYLRNNQIDHIDEKAFENVTDLQWLILDHNLL
+>P51884_PF13855_137 <unknown description>
+KSLEDLQLTHNKITKLGSFEGLVNLTFIHLQHNRL
+>P51884_PF13516_184 <unknown description>
+KSLEYLDLSFNQI
+>P51884_PF13855_207 <unknown description>
+LLTLYLDNNKISNIPDEYFKRFNALQYLRLSHNELADSGIPGNSFNVSSLVELDLSYNKL
+>Q8IV03_PF14854_79 <unknown description>
+SGSPRGSHSSALERLETKLHLLRQEMVNLRATDVRLMRQLLVINESIESIKWMIEEKATI
+TSRGSSLSGSLCSLLESQSTSLRGSYNSLHDGSDGLDGISVGSYLDTLADDVPG
+>Q96LR2_PF14854_44 <unknown description>
+LLPGASSTGHDLGDKIMALKMELAYLRAIDVKILQQLVTLNEGIEAVRWLLEERGTLTSH
+CSSLTSSQYSLTGGSPGRSRRGSWDSLPDTSTTDRLDSVSIGSFLDTVAPSELDEQ
+>O75342_PF01477_4 <unknown description>
+YKVRVATGTDLLSGTRDSISLTIVGTQGESHKQLLNHFGRDFATGAVGQYTVQCPQDLGE
+LIIIRLHKERYAFFPKDPWYCNYVQICAPNGRIYHFPAYQWMDGYE
+>O75342_PF00305_255 <unknown description>
+AEHWAEDTFFGYQYLNGVNPGLIRRCTRIPDKFPVTDDMVAPFLGEGTCLQAELEKGNIY
+LADYRIMEGIPTVELSGRKQHHCAPLCLLHFGPEGKMMPIAIQLSQTPGPDCPIFLPSDS
+EWDWLLAKTWVRYAEFYSHEAIAHLLETHLIAEAFCLALLRNLPMCHPLYKLLIPHTRYT
+VQINSIGRAVLLNEGGLSAKGMSLGVEGFAGVMVRALSELTYDSLYLPNDFVERGVQDLP
+GYYYRDDSLAVWNALEKYVTEIITYYYPSDAAVEGDPELQSWVQEIFKECLLGRESSGFP
+RCLRTVPELIRYVTIVIYTCSAKHAAVNTGQMEFTAWMPNFPASMRNPPIQTKGLTTLET
+FMDTLPDVKTTCITLLVLWTLSREPDDRRPLGHFPDIHFVEEAPRRSIEAFRQRLNQISH
+DIRQRNK
+>O15296_PF01477_5 <unknown description>
+RVRVSTGEAFGAGTWDKVSVSIVGTRGESPPLPLDNLGKEFTAGAEEDFQVTLPEDVGRV
+LLLRVHKAPPVLPLLGPLAPDAWFCRWFQLTPPRGGHLLFPCYQWLEGAGTL
+>O15296_PF00305_211 <unknown description>
+NEMKRIFNFRRTPAAEHAFEHWQEDAFFASQFLNGLNPVLIRRCHYLPKNFPVTDAMVAS
+VLGPGTSLQAELEKGSLFLVDHGILSGIQTNVINGKPQFSAAPMTLLYQSPGCGPLLPLA
+IQLSQTPGPNSPIFLPTDDKWDWLLAKTWVRNAEFSFHEALTHLLHSHLLPEVFTLATLR
+QLPHCHPLFKLLIPHTRYTLHINTLARELLIVPGQVVDRSTGIGIEGFSELIQRNMKQLN
+YSLLCLPEDIRTRGVEDIPGYYYRDDGMQIWGAVERFVSEIIGIYYPSDESVQDDRELQA
+WVREIFSKGFLNQESSGIPSSLETREALVQYVTMVIFTCSAKHAAVSAGQFDSCAWMPNL
+PPSMQLPPPTSKGLATCEGFIATLPPVNATCDVILALWLLSKEPGDQRPLGTYPDEHFTE
+EAPRRSIATFQSRLAQISRGIQERNQ
+>Q9BS40_PF06907_4 <unknown description>
+PPTNYPASRAALVAQNYINYQQGTPHRVFEVQKVKQASMEDIPGRGHKYHLKFAVEEIIQ
+KQVKVNCTAEVLYPSTGQETAPEVNFTFEGETGKNPDEEDNTFYQRLKSMKEPLEAQNIP
+DNFGNVSPEMTLVLHLAWVACGYIIWQNSTEDTWYKMVKIQTVKQVQRNDDFIELDYTIL
+LHNIASQEIIPWQMQVLWHPQYGTKVKHNSRLPK
+>Q5SQ64_PF07686_23 <unknown description>
+IYVALGEAVELPCPSPPTLHGDEHLSWFCSPAAGSFTTLVAQVQVGRPAPDPGKPGRESR
+LRLLGNYSLWLEGSKEEDAGRYWCAVLGQHHNY
+>Q14210_PF00021_23 <unknown description>
+CHVCTSSSNCKHSVVCPASSRFCKTTNTVEPLRGNLVKKDCAESCTPSYTLQGQVSSGTS
+STQCCQEDLCN
+>Q16553_PF00021_23 <unknown description>
+CFSCLNQKSNLYCLKPTICSDQDNYCVTVSASAGIGNLVTFGHSLSKTCSPACPIPEGVN
+VGVASMGISCCQSFLCN
+>O94772_PF00021_49 <unknown description>
+CQDCTLTTNSSHCTPKQCQPSDTVCASVRITDPSSSRKDHSVNKMCASSCDFVKRHFFSD
+YLMGFINSGILKVDVDCCEKDLCN
+>H3BQJ8_PF00021_30 <unknown description>
+CYQCFKVSSWTECPPTWCSPLDQVCISNEVVVSFKWSVRVLLSKRCAPRCPNDNMKFEWS
+PAPMVQGVITRRCCSWALCN
+>O60449_PF00040_169 <unknown description>
+CEFPFLIDGTWHHDCILDEDHSGPWCATTLNYEYDRKWGIC
+>O60449_PF00059_237 <unknown description>
+ALSWKEAYVSCQNQGADLLSINSAAELTYLKEKEGIAKIFWIGLNQLYSARGWEWSDHKP
+LNFLNWDPDRPSAPTIGGSSCARMDAESGLWQSFSCEAQLPYVCRK
+>O60449_PF00059_379 <unknown description>
+SNSWDKAHAKCKAFSSDLISIHSLADVEVVVTKLHNEDIKEEVWIGLKNINIPTLFQWSD
+GTEVTLTYWDENEPNVPYNKTPNCVSYLGELGQWKVQSCEEKLKYVCKR
+>O60449_PF00059_531 <unknown description>
+TITSRFEQEYLNDLMKKYDKSLRKYFWTGLRDVDSCGEYNWATVGGRRRAVTFSNWNFLE
+PASPGGCVAMSTGKSVGKWEVKDCRSFKALSICKK
+>O60449_PF00059_668 <unknown description>
+KRNWEEAERFCQALGAHLSSFSHVDEIKEFLHFLTDQFSGQHWLWIGLNKRSPDLQGSWQ
+WSDRTPVSTIIMPNEFQQDYDIRDCAAVKVFHRPWRRGWHFYDDREFIYLRPFACDTKLE
+WVCQ
+>O60449_PF00059_831 <unknown description>
+LNYEEAVLYCASNHSFLATITSFVGLKAIKNKIANISGDGQKWWIRISEWPIDDHFTYSR
+YPWHRFPVTFGEECLYMSAKTWLIDLGKPTDCSTKLPFICEK
+>O60449_PF00059_970 <unknown description>
+SLTFSQASDTCHSYGGTLPSVLSQIEQDFITSLLPDMEATLWIGLRWTAYEKINKWTDNR
+ELTYSNFHPLLVSGRLRIPENFFEEESRYHCALILNLQKSPFTGTWNFTSCSERHFVSLC
+Q
+>O60449_PF00059_1121 <unknown description>
+TLTWHSAKRECLKSNMQLVSITDPYQQAFLSVQALLHNSSLWIGLFSQDDELNFGWSDGK
+RLHFSRWAETNGQLEDCVVLDTDGFWKTVDCNDNQPGAICY
+>O60449_PF00059_1269 <unknown description>
+TQDEVHTKCQKLNPKSHILSIRDEKENNFVLEQLLYFNYMASWVMLGITYRNKSLMWFDK
+TPLSYTHWRAGR
+>O60449_PF00059_1412 <unknown description>
+KVTWYEALNMCSQSGGHLASVHNQNGQLFLEDIVKRDGFPLWVGLSSHDGSESSFEWSDG
+STFDYIPWKGQTSPGNCVLLDPKGTWKHEKCNSVKDGAICYK
+>O60449_PF00059_1554 <unknown description>
+HSFSEAKKLCSKHDHSATIVSIKDEDENKFVSRLMRENNNITMRVWLGLSQHSVDQSWSW
+LDGSEVTFVKWENKSKSGVGRCSMLIASNETWKKVECEHGFGRVVCK
+>P10253_PF00088_82 <unknown description>
+CDVPPNSRFDCAPDKAITQEQCEARGCCYIPAKQGLQGAQMGQPWCFFP
+>P10253_PF16863_147 <unknown description>
+GYTATLTRTTPTFFPKDILTLRLDVMMETENRLHFTIKDPANRRYEVPLETPHVHSRAPS
+PLYSVEFSEEPFGVIVRRQLDGRVLLNTTVAPLFFADQFLQLSTSLPS
+>P10253_PF13802_256 <unknown description>
+YITGLAEHLSPLMLSTSWTRITLWNRDLAPTPGANLYGSHPFYLALEDGGSAHGVFLLNS
+NAMD
+>P10253_PF01055_340 <unknown description>
+YIFLGPEPKSVVQQYLDVVGYPFMPPYWGLGFHLCRWGYSSTAITRQVVENMTRAHFPLD
+VQWNDLDYMDSRRDFTFNKDGFRDFPAMVQELHQGGRRYMMIVDPAISSSGPAGSYRPYD
+EGLRRGVFITNETGQPLIGKVWPGSTAFPDFTNPTALAWWEDMVAEFHDQVPFDGMWIDM
+NEPSNFIRGSEDGCPNNELENPPYVPGVVGGTLQAATICASSHQFLSTHYNLHNLYGLTE
+AIASHRALVKARGTRPFVISRSTFAGHGRYAGHWTGDVWSSWEQLASSVPEILQFNLLGV
+PLVGADVCGFLGNTSEELCVRWTQLGAFYPFMRNHNSLLSLPQEPYSFSEPAQQAMRKAL
+TLRYALLPHLYTLFHQAHVAGETVARPLFLEFPKDSSTWTVDHQLLWGEALLITPVLQAG
+KAEVTGYFPLGTWYDLQTVPVEALGSLPPPPAAPREPAIHSEGQWVTLPAPLDTINVHLR
+AGYII
+>P14151_PF00059_47 <unknown description>
+PMNWQRARRFCRDNYTDLVAIQNKAEIEYLEKTLPFSRSYYWIGIRKIGGIWTWVGTNKS
+LTEEAENWGDGEPNNKKNKEDCVEIYIKRNKDAGKWNDDACHKLKAALCY
+>P14151_PF00084_197 <unknown description>
+CEPLEAPELGTMDCTHPLGNFSFSSQCAFSCSEGTNLTGIEETTCGPFGNWSSPEPTC
+>P14151_PF00084_263 <unknown description>
+SAPDLGIMNCSHPLASFSFTSACTFICSEGTELIGKKKTICESSGIWSNPSPIC
+>P16581_PF00059_30 <unknown description>
+AMTYDEASAYCQQRYTHLVAIQNKEEIEYLNSILSYSPSYYWIGIRKVNNVWVWVGTQKP
+LTEEAKNWAPGEPNNRQKDEDCVEIYIKREKDVGMWNDERCSKKKLALCY
+>P16581_PF00008_143 <unknown description>
+CTNTSCSGHGECVETINNYTCKCDPGFSG
+>P16581_PF00084_180 <unknown description>
+CTALESPEHGSLVCSHPLGNFSYNSSCSISCDRGYLPSSMETMQCMSSGEWSAPIPAC
+>P16581_PF00084_242 <unknown description>
+CDAVTNPANGFVECFQNPGSFPWNTTCTFDCEEGFELMGAQSLQCTSSGNWDNEKPTC
+>P16581_PF00084_304 <unknown description>
+CRAVRQPQNGSVRCSHSPAGEFTFKSSCNFTCEEGFMLQGPAQVECTTQGQWTQQIPVC
+>P16581_PF00084_367 <unknown description>
+CTALSNPERGYMNCLPSASGSFRYGSSCEFSCEQGFVLKGSKRLQCGPTGEWDNEKPTC
+>P16581_PF00084_430 <unknown description>
+CDAVHQPPKGLVRCAHSPIGEFTYKSSCAFSCEEGFELHGSTQLECTSQGQWTEEVPSC
+>P16581_PF00084_508 <unknown description>
+GEPVFGTVCKFACPEGWTLNGSAARTCGATGHWSGLLPTC
+>P16109_PF00059_50 <unknown description>
+AYSWNISRKYCQNRYTDLVAIQNKNEIDYLNKVLPYYSSYYWIGIRKNNKTWTWVGTKKA
+LTNEAENWADNEPNNKRNNEDCVEIYIKSPSAPGKWNDEHCLKKKHALCY
+>P16109_PF00084_200 <unknown description>
+CGELELPQHVLMNCSHPLGNFSFNSQCSFHCTDGYQVNGPSKLECLASGIWTNKPPQC
+>P16109_PF00084_262 <unknown description>
+CPPLKIPERGNMTCLHSAKAFQHQSSCSFSCEEGFALVGPEVVQCTASGVWTAPAPVC
+>P16109_PF00084_339 <unknown description>
+HPLTAFAYGSSCKFECQPGYRVRGLDMLRCIDSGHWSAPLPTC
+>P16109_PF00084_386 <unknown description>
+CEPLESPVHGSMDCSPSLRAFQYDTNCSFRCAEGFMLRGADIVRCDNLGQWTAPAPVC
+>P16109_PF00084_448 <unknown description>
+CQDLPVPNEARVNCSHPFGAFRYQSVCSFTCNEGLLLVGASVLQCLATGNWNSVPPEC
+>P16109_PF00084_510 <unknown description>
+CTPLLSPQNGTMTCVQPLGSSSYKSTCQFICDEGYSLSGPERLDCTRSGRWTDSPPMC
+>P16109_PF00084_572 <unknown description>
+CPELFAPEQGSLDCSDTRGEFNVGSTCHFSCDNGFKLEGPNNVECTTSGRWSATPPTC
+>P16109_PF00084_642 <unknown description>
+CPALTTPGQGTMYCRHHPGTFGFNTTCYFGCNAGFTLIGDSTLSCRPSGQWTAVTPAC
+>P16109_PF00084_717 <unknown description>
+CSNLWGNFSYGSICSFHCLEGQLLNGSAQTACQENGHWSTTVPTC
+>Q9NX58_PF17848_3 <unknown description>
+FFTCNACGESVKKIQVEKHVSVCRNC
+>Q9NX58_PF08790_31 <unknown description>
+LSCIDCGKDFWGDDYKNHVKCISEDQKY
+>P12980_PF00010_151 <unknown description>
+RRVFTNSRERWRQQNVNGAFAELRKLLPTHPPDRKLSKNEVLRLAMKYIGFL
+>P0DP58_PF00087_21 <unknown description>
+LDCHVCAYNGDNCFNPMRCPAMVAYCMTTRTYYTPTRMKVSKSCVPRCFETVYDGYSKHA
+STTSCCQYDLCN
+>P07948_PF00018_69 <unknown description>
+VALYPYDGIHPDDLSFKKGEKMKVLEEHGEWWKAKSLLTKKEGFIPS
+>P07948_PF00017_129 <unknown description>
+WFFKDITRKDAERQLLAPGNSAGAFLIRESETLKGSFSLSVRDFDPVHGDVIKHYKIRSL
+DNGGYYISPRITFPCISDMIKHY
+>P07948_PF07714_247 <unknown description>
+IKLVKRLGAGQFGEVWMGYYNNSTKVAVKTLKPGTMSVQAFLEEANLMKTLQHDKLVRLY
+AVVTREEPIYIITEYMAKGSLLDFLKSDEGGKVLLPKLIDFSAQIAEGMAYIERKNYIHR
+DLRAANVLVSESLMCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGCFTIKSDV
+WSFGILLYEIVTYGKIPYPGRTNADVMTALSQGYRMPRVENCPDELYDIMKMCWKEKAEE
+RPTFDYLQSV
+>P28300_PF01186_213 <unknown description>
+PDLVADPYYIQASTYVQKMSMYNLRCAAEENCLASTAYRADVRDYDHRVLLRFPQRVKNQ
+GTSDFLPSRPRYSWEWHSCHQHYHSMDEFSHYDLLDANTQRRVAEGHKASFCLEDTSCDY
+GYHRRFACTAHTQGLSPGCYDTYGADIDCQWIDITDVKPGNYILKVSVNPSYLVPESDYT
+NNVVRCDIRYTGHHAYASGC
+>O75608_PF02230_11 <unknown description>
+PAIVPAARKATAAVIFLHGLGDTGHGWAEAFAGIRSSHIKYICPHAPVRPVTLNMNVAMP
+SWFDIIGLSPDSQEDESGIKQAAENIKALIDQEVKNGIPSNRIILGGFSQGGALSLYTAL
+TTQQKLAGVTALSCWLPLRASFPQGPIGGANRDISILQCHGDCDPLVPLMFGSLTVEKLK
+TLVNPANVTFKTYEGMMHSSCQQEMMDVKQFIDKL
+>O95372_PF02230_13 <unknown description>
+DAATVSGAERETAAVIFLHGLGDTGHSWADALSTIRLPHVKYICPHAPRIPVTLNMKMVM
+PSWFDLMGLSPDAPEDEAGIKKAAENIKALIEHEMKNGIPANRIVLGGFSQGGALSLYTA
+LTCPHPLAGIVALSCWLPLHRAFPQAANGSAKDLAILQCHGELDPMVPVRFGALTAEKLR
+SVVTPARVQFKTYPGVMHSSCPQEMAAVKEFLEKL
+>Q6UXB3_PF00087_28 <unknown description>
+CPEPTGVSDCVTIATCTTNETMCKTTLYSREIVYPFQGDSTVTKSCASKCKPSDVDGIGQ
+TLPVSCCNTELCN
+>O95274_PF00021_33 <unknown description>
+CYSCVQKADDGCSPNKMKTVKCAPGVDVCTEAVGAVETIHGQFSLAVRGCGSGL
+>O95274_PF00021_140 <unknown description>
+CYSCVGLSREACQGTSPPVVSCYNASDHVYKGCFDGNVTLTAANVTVSLPVRGCVQDEFC
+TRDGVTGPGFTLSGSCCQGSRCN
+>Q6UWN0_PF00021_142 <unknown description>
+CPTCVGEHMKDCLPNFVTTNSCPLAASTCYSSTLKFQAGFLNTTFLLMGCAREHNQLLAD
+FHHIGSIKVTE
+>Q6UWN5_PF00021_135 <unknown description>
+CYACIGVHQDDCAIGRSRRVQCHQDQTACFQGNGRMTVGNFSVPVYIRTCHRPSCTTEGT
+TSPWTAIDLQGSCCEGYLCN
+>Q86Y78_PF16975_40 <unknown description>
+TTPYPGGFKCFTCEKAADNYECNRWAPDIYCPRETRYCYTQHTMEVTGNSISVTKRCVPL
+EECLSTGCRDSEHEGHKVCTSCCEGNICNLPLPRNETDATFATTS
+>Q6UX82_PF00021_125 <unknown description>
+CPACYESNGTSCHGKPWKCYEEEQCVFLVAELKNDIESKSLVLKGCSNVSNATCQ
+>Q5VWZ2_PF02230_12 <unknown description>
+CIVSPAGRHSASLIFLHGSGDSGQGLRMWIKQVLNQDLTFQHIKIIYPTAPPRSYTPMKG
+GISNVWFDRFKITNDCPEHLESIDVMCQVLTDLIDEEVKSGIKKNRILIGGFSMGGCMAI
+HLAYRNHQDVAGVFALSSFLNKASAVYQALQKSNGVLPELFQCHGTADELVLHSWAEETN
+SMLKSLGVTTKFHSFPNVYHELSKTELDILKLWI
+>Q86UE4_PF15686_6 <unknown description>
+WQDELAQQAEEGSARLREMLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALGLLLLF
+LLGYGWAAACAGARKKRRSPPRKREEAAAVPAAAPDDLALLKNLRSEEQKKKNRKKLSEK
+PKPNGRTVEVAEGEAVRTPQSVTAKQPPEIDKKNEKSKKNKKKSKSDAKAVQNSSRHDGK
+EVDEGAWETKISHREKRQQRKRDKVLTDSGSLDSTIPGIENTITVTTEQLTTASFPVGSK
+KNKGDSHLNVQVSNFKSGKGDSTLQVSSGLNENLTVNGGGWNEKSVKLSSQISAGEEKWN
+SVSPASAGKRKTEPSAWSQDTGDANTNGKDWGRSWSDRSIFSGIGSTAEPVSQSTTSDYQ
+WDVSRNQPYIDDEWSGLNGLSSADPNSDWNAPAEEWGNWVDEERASLLKSQEPIPDD
+>O43325_PF05347_7 <unknown description>
+QEVLGLYRSIFRLARKWQATSGQMEDTIKEKQYILNEARTLFRKNKNLTDTDLIKQCIDE
+CT
+>Q9NU23_PF05347_20 <unknown description>
+QQVLLLYRRILQTIRQVPNDSDRKYLKDWAREEFRRNKSATEEDTIRMMITQGNMQLKE
+>Q9HD34_PF05347_8 <unknown description>
+QVLSLYRAMLRESKRFSAYNYRTYAVRRIRDAFRENKNVKDPVEIQTLVNKAKRDLG
+>Q5U5X0_PF05347_6 <unknown description>
+KVLQLFKTLHRTRQQVFKNDARALEAARIKINEEFKNNKSETSSKKIEELMKIGS
+>A8MSI8_PF05347_11 <unknown description>
+RRPLQLYRYLLRCCQQLPTKGIQQHYKHAVRQSFRVHSDEDNPERIQQIIKRAIEDAD
+>P61626_PF00062_19 <unknown description>
+KVFERCELARTLKRLGMDGYRGISLANWMCLAKWESGYNTRATNYNAGDRSTDYGIFQIN
+SRYWCNDGKTPGAVNACHLSCSALLQDNIADAVACAKRVVRDPQGIRAWVAWRNRCQNRD
+VRQYVQGC
+>Q8N6I4_PF15190_34 <unknown description>
+MNFRQRMGWIGVGLYLLASAAAFYYVFEISETYNRLALEHIQQHPEEPLEGTTWTHSLKA
+QLLSLPFWVWTVIFLVPYLQMFLFLYSCTRADPKTVGYCIIPICLAVICNRHQAFVKASN
+QISRLQLI
+>Q96S90_PF01476_44 <unknown description>
+LEPGDTLAGLALKYGVTMEQIKRANRLYTNDSIFLKKTLYIP
+>Q8IV50_PF01476_73 <unknown description>
+HRVRAGDTLQGIALKYGVTMEQIKRANKLFTNDCIFLKKTLNIP
+>Q7Z3D4_PF01476_69 <unknown description>
+IQEGDTLNAIALQYCCTVADIKRVNNLISDQ
+>Q99698_PF14844_3020 <unknown description>
+CISVAPSRETAGELLLGKCGMYFVEDNASDTVESSSLQGELEPASFSWTYEEIKEVHKRW
+WQLRDNAVEIFLTNGRTLLLAFDNTKVRDDVYHNI
+>Q99698_PF02138_3134 <unknown description>
+LWYTGQITNFEYLTHLNKHAGRSFNDLMQYPVFPFILADYVSETLDLNDLLIYRNLSKPI
+AVQYKEKEDRYVDTYKYLEEEYRKGAREDDPMPPVQPYHYGSHYSNSGTVLHFLVRMPPF
+TKMFLAYQDQSFDIPDRTFHSTNTTWRLSSFESMTDVKELIPEFFYLPEFLVNREGFDFG
+VRQNGERVNHVNLPPWARNDPRLFILIHRQALESDYVSQNICQWIDLVFGYKQKGKASVQ
+AINVFHPATYFGMDVSAVEDPVQRRALETMIKTYGQTPRQLFHMAHVSR
+>Q99698_PF00400_3608 <unknown description>
+TQIHLYGHTEEITSLFVCKPYSILISVSRDGTCIIWD
+>Q9Y5Y7_PF00193_45 <unknown description>
+SKKANQQLNFTEAKEACRLLGLSLAGKDQVETALKASFETCSYGWVGDGFVVISRISPNP
+KCGKNGVGVLIWKVPVSRQFAAYCY
+>Q6UWQ5_PF00062_20 <unknown description>
+KIYTRCKLAKIFSRAGLDNYWGFSLGNWICMAYYESGYNTTAQTVLDDGSIDYGIFQINS
+FAWCRRGKLKENNHCHVACSALITDDLTDAIICARKIVKETQGMNYWQGWKKHCEGRDLS
+EWKKGC
+>Q7Z4W2_PF00062_20 <unknown description>
+KIYTRCKLAKIFSRAGLDNYWGFSLGNWICMAYYESGYNTTAQTVLDDGSIDYGIFQINS
+FAWCRRGKLKENNHCHVACSALVTDDLTDAIICAKKIVKETQGMNYWQGWKKHCEGRDLS
+DWKKDC
+>Q96KX0_PF00062_22 <unknown description>
+LGRCTVAKKLHDGGLDYFEGYSLENWVCLAYFESKFNPMAIYENTREGYTGFGLFQMRGS
+DWCGDHGRNRCHMSCSALLNPNLEKTIKCAKTIVKGKEGMGAWPTWSRYCQYSDTLARWL
+DGC
+>Q96QH8_PF00062_22 <unknown description>
+KIYERCELAARLERAGLNGYKGYGVGDWLCMAHYESGFDTAFVDHNPDGSSEYGIFQLNS
+AWWCDNGITPTKNLCHMDCHDLLNRHILDDIRCAKQIVSSQNGLSAWTSWRLHCSGHDLS
+EWLKGC
+>O75951_PF00062_22 <unknown description>
+ISRCDLAQVLQLEDLDGFEGYSLSDWLCLAFVESKFNISKINENADGSFDYGLFQINSHY
+WCNDYKSYSENLCHVDCQDLLNPNLLAGIHCAKRIVSGARGMNNWVEWRLHCSGRPLFYW
+>Q8WZA0_PF06384_113 <unknown description>
+MDRDLMVGKLERDLYTQQKVEILTALRKLGEKLTADDEAFLSANAGAILSQFEKVSTDLG
+SGDKILALASFEVEK
+>Q9NQ48_PF15294_20 <unknown description>
+FARSKRGLRLKTVDSCFQDLKESRLVEDTFTIDEVSEVLNGLQAVVHSEVESELINTAYT
+NVLLLRQLFAQAEKWYLKLQTDISELENRELLEQVAEFEKAEITSSNKKPILDVTKPKLA
+PLNEGGTAELLNKEILRLQEENEKLKSRLKTIEIQATNALDEKSKLEKALQDLQLDQGNQ
+KDFIKAQDLSNLENTVAALKSEFQKTLNDKTENQKSLEENLATAKHDLLRVQEQLHMAEK
+ELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLA
+>Q8N653_PF13418_68 <unknown description>
+RSKHTVVAYKDAIYVFGGDNGKTMLNDLLRFDVKDCSWCRAFTTGTPP
+>Q8N653_PF13415_128 <unknown description>
+SSMFVFGGYTGDIYSNSNLKNKNDLFEYKFATGQWTEWKIEGRLPVARSAHGATVY
+>Q8N653_PF01344_227 <unknown description>
+SCCNFPVAVCRDKMFVFSGQSGAKITNNLFQFEFKDKTWTRIPT
+>Q8N653_PF01344_283 <unknown description>
+RRYGHTMVAFDRHLYVFGGAADNTLPNELHCYDVDFQTWEVVQP
+>Q8N653_PF00651_435 <unknown description>
+RLWESRQFCDVEFVLGEKEECVQGHVAIVTARSRWLRRKITQARERLAQKLEQEAAPVPR
+EAPGVAAGGARPPLLHVAIREAEARPFEVLMQFLYTDKIKYPRKGHVEDVLLIMDVYKLA
+LSFQLCR
+>Q8N653_PF00651_665 <unknown description>
+EFCDITLLLDGHPRPAHKAILAARSSYFEAMFRSFMPEDGQVNISIGEMVPSRQAFESML
+RYIYYGEVNMPPEDSLYLFAAPYYYGFYNNRLQAYCKQ
+>Q9Y250_PF06818_380 <unknown description>
+TQWEVCQKSGEISLLKQQLKESQTEVNAKASEILGLKAQLKDTRGKLEGLELRTQDLEGA
+LRTKGLELEVCENELQRKKNEAELLREKVNLLEQELQELRAQAALARDMGPPTFPEDVPA
+LQRELERLRAELREERQGHDQMSSGFQHERLVWKEEKEKVIQYQKQLQQSYVAMYQRNQR
+LEKALQ
+>Q9BRK4_PF06818_439 <unknown description>
+TKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVALREARATLRVSEGRARGLQEA
+ARARELELEACSQELQRHRQEAEQLREKAGQLDAEAAGLREPPVPPATADPFLLAESDEA
+KVQRAAAGVGGSLRAQVERLRVELQRERRRGEEQRDSFEGERLAWQAEKEQVIRYQKQLQ
+HNYIQMYRRNRQLEQELQ
+>O60299_PF06818_438 <unknown description>
+TKWEVCQKAGEISLLKQQLKDSQADVSQKLSEIVGLRSQLREGRASLREKEEQLLSLRDS
+FSSKQASLELGEGELPAACLKPALTPVDPAEPQDALATCESDEAKMRRQAGVAAAASLVS
+VDGEAEAGGESGTRALRREVGRLQAELAAERRARERQGASFAEERRVWLEEKEKVIEYQK
+QLQLSYVEMYQRNQQLERRL
+>Q9BXT6_PF13086_745 <unknown description>
+LNENQKLAVKRILSGDCRPLPYILFGPPGTGKTVTIIEAVLQVHFALPDSRILVCAPSNS
+AADLVCLRLHESKVLQPATMVRV
+>Q9BXT6_PF13086_856 <unknown description>
+RFRIIITTCSSSGLFYQIGVRVGHFTHVFVDEAGQASEPECLIPLGLMSDISGQIVLAGD
+PMQLGPVIKSR
+>Q9BXT6_PF13087_936 <unknown description>
+SFLERLMSRPAYQRDENAFGACGAHNPLLVTKLVKNYRSHEALLMLPSRLFYHRELEVCA
+DPTVVTSLLGWEKLPKKGFPLIFHGVRGSEAREGKSPSWFNPAEAVQVLRYCCLLAHSIS
+SQVSASDIGVITPYRKQVEKIRILLRNVDLMDIKVGSVEEFQGQEYLVIIISTVRSNEDR
+FEDDRYFLGFLSNSKRFNVAITRPKALLIVLGNP
+>Q567V2_PF04117_122 <unknown description>
+GCLEGQTVGESCQELREKFWEFYKADWCVWPAAQFVNFLFVPPQFRVTYINGLTLGWDTY
+LS
+>Q6P0N0_PF09133_383 <unknown description>
+VQLQEWMIKSINNNTAICVEGKLIDVTNIYWHSNVIIERIEHNKLRTISGNVYILKGMID
+QISMKEAGYPNYLIRKFMFGFPENWKE
+>Q6P0N0_PF00249_878 <unknown description>
+KEWNEKELQKLHCAFASLPKHKPGFWSEVAAAVGSRSPEECQRKYM
+>P0DM35_PF00131_1 <unknown description>
+MDPNCSCAAGGSYACAGSCKCKKCKCTSCKKSCCSCCPLGCAKCAQGCIRKGASEKCSCC
+A
+>Q9NPA3_PF07084_2 <unknown description>
+MQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLADPGLDNDVGVEVGGSGG
+CLEERTPPVPDSGSANGSFFAPSRDMYSHYVLLKSIRNDIEWGVLHQPPPPAGSEEGSAW
+KSKDILVDLGHLEGADAGEEDLEQQFHYHLRGLHTVLSKLTRKANILTNRYKQEIGFG
+>Q8IYB1_PF03281_155 <unknown description>
+EGTISKWKDCCTIVDHINGATNYFFSPTKVADWFYDSISIVLSEIQKKPQRGMPKVEKVE
+KNGTIISIILGVGSSRMLYDIVPVVSFKGWPAVAQSWLMENHFWDGKITEEEVISGFYLV
+PACSYKGKKDNEWRLSFARSEVQLKKCISSSLMQAYQACKAIIIKLLSRPKAISPYHLRS
+MMLWACDRLPANYLAQEDYAAHFLLGLIDDLQHCLVNKMCPNYFIPQCNMLEHLSEETVM
+LHARKL
+>Q9UI17_PF01266_52 <unknown description>
+TVIIGGGCVGVSLAYHLAKAGMKDVVLLEKSELTAGSTWHAAGLTTYFHPGINLKKIHYD
+SIKLYEKLEEETGQVVGFHQPGSIRLATTPVRVDEFKYQMTRTGWHATEQYLIEPEKIQE
+MFPLLNMNKVLAGLYNPGDGHIDPYSLTMALAAGARKCGALLKYPAPVTSLKARSDGTWD
+VETPQGSMRANRIVNAAGFWAREVGKMIGLEHPLIPVQHQYVVTSTISEVKALKRELPVL
+RDLEGSYYLRQERDGLLFGPYESQEKMKVQDSWVTNGVPPGFGKELFESDLDRIMEHIKA
+AMEMVPVLKKADIINVVNGPITYSPDILPMVGPHQGVRNYWVAIGFGYGIIHAGGVGKYL
+SDW
+>Q9UI17_PF16350_417 <unknown description>
+HGEPPFDLIELDPNRYGKWTTTQYTEAKARESYGFNNIVGYPKEERFAGRPTQR
+>Q9UI17_PF01571_479 <unknown description>
+ESKCSMGFHAGWEQPHWFYKPGQDTQYRPSFRRTNWFEPVGSEYKQVMQRVAVTDLSPFG
+KFNIKGQDSIRLLDHLFANVIPKVGFTNISHMLTPKGRVYAELTVSHQSPGEFLLITGSG
+SELHDLRWIEEEAVKGGYDVEIKNITDELGVLGVAGPQARKVLQKLTSEDLSDDVFKFLQ
+TKSLKVSNIPVTAIRISYTGELGWELYHRREDSVALYDAIMNAGQEEGIDNFGTYAMNAL
+RLEKAFRAWGLEMNCDTNPLEAGLEY
+>Q9UI17_PF08669_770 <unknown description>
+RRLVCLTLATDDVDPEGNESIWYNGKVVGNTTSGSYSYSIQKSLAFAYVPVQLSEVGQQV
+EVELLGKNYPAVIIQEPL
+>Q02978_PF00153_23 <unknown description>
+VKFLFGGLAGMGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKAEGLRGIYT
+GLSAGLLRQATYTTTRLGIYTVLFE
+>Q02978_PF00153_118 <unknown description>
+GFLLKAVIGMTAGATGAFVGTPAEVALIRMTADGRLPADQRRGYKNVFNALIRITREEGV
+LTLWRGCIPTMARAVVVNAAQLASYSQSKQFLLD
+>Q02978_PF00153_218 <unknown description>
+NILCHFCASMISGLVTTAASMPVDIAKTRIQNMRMIDGKPEYKNGLDVLFKVVRYEGFFS
+LWKGFTPYYARLGPHTVLTFIFLEQMNKAYK
+>Q02779_PF14604_23 <unknown description>
+AVFDYEAAGDEELTLRRGDRVQVLSQDCAVSGDEGWWTGQLPSGRVGVFPSNYVA
+>Q02779_PF07714_98 <unknown description>
+LQLEEIIGVGGFGKVYRALWRGEEVAVKAARLDPEKDPAVTAEQVCQEARLFGALQHPNI
+IALRGACLNPPHLCLVMEYARGGALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVP
+IIHRDLKSINILILEAIENHNLADTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRL
+SLFSKSSDVWSFGVLLWELLTGEVPYREIDALAVAYGVAMNKLTLPIPSTCPEPFARLLE
+ECWDPDPHGRPDFGSILKRL
+>Q16584_PF14604_48 <unknown description>
+ALFDYEPSGQDELALRKGDRVEVLSRDAAISGDEGWWAGQVGGQVGIFPSNYV
+>Q16584_PF07714_117 <unknown description>
+LRLEEVIGIGGFGKVYRGSWRGELVAVKAARQDPDEDISVTAESVRQEARLFAMLAHPNI
+IALKAVCLEEPNLCLVMEYAAGGPLSRALAGRRVPPHVLVNWAVQIARGMHYLHCEALVP
+VIHRDLKSNNILLLQPIESDDMEHKTLKITDFGLAREWHKTTQMSAAGTYAWMAPEVIKA
+STFSKGSDVWSFGVLLWELLTGEVPYRGIDCLAVAYGVAVNKLTLPIPSTCPEPFAQLMA
+DCWAQDPHRRPDFASILQQL
+>Q12852_PF07714_164 <unknown description>
+VGSGAQGAVFLGRFHGEEVAVKKVRDLKETDIKHLRKLKHPNIITFKGVCTQAPCYCILM
+EFCAQGQLYEVLRAGRPVTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSPNMLITYDDVV
+KISDFGTSKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPY
+KDVDSSAIIWGVGSNSLHLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQILLH
+>O43283_PF07714_172 <unknown description>
+QWLGSGAQGAVFLGKFRAEEVAIKKVREQNETDIKHLRKLKHPNIIAFKGVCTQAPCYCI
+IMEYCAHGQLYEVLRAGRKITPRLLVDWSTGIASGMNYLHLHKIIHRDLKSPNVLVTHTD
+AVKISDFGTSKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEI
+PYKDVDSSAIIWGVGSNSLHLPVPSTCPDGFKILMKQTWQSKPRNRPSFRQTLMH
+>Q99558_PF00069_405 <unknown description>
+RLGRGSFGEVHRMEDKQTGFQCAVKKVRLEVFRAEELMACAGLTSPRIVPLYGAVREGPW
+VNIFMELLEGGSLGQLVKEQGCLPEDRALYYLGQALEGLEYLHSRRILHGDVKADNVLLS
+SDGSHAALCDFGHAVCLQPDGLGKSLLTGDYIPGTETHMAPEVVLGRSCDAKVDVWSSCC
+MMLHMLNGCHPWTQFFRGPLCLKIASEPPPVREIPPSCAPLTAQAIQEGLRKEPIHRVSA
+AE
+>Q6ZN16_PF13281_136 <unknown description>
+LFYHLGVRESFDMANNVILYHDTDADTALSLKDMVTQKNTASSGNYYFIPYIVTPCADYF
+CCESDAQRRASEYMQPNWDNILGPLCMPLVDRFISLLKDIHVTSCVYYKETLLNDIRKAR
+EKYQGEELAKELARIKLRMDNTEVLTSDIIINLLLSYRDIQDYDAMVKLVETLEMLPTCD
+LADQHNIKFHYAFALNRRNSTGDREKALQIMLQVLQSCDHPGPDMFCLCGRIYKDIFLDS
+DCKDDTSRDSAIEWYRKGFELQSSLYSGINLAVLLIVAGQQFETSLELRKIGVRLNSLLG
+RKGSLEKMNNYWDVGQFFSVSMLAHDVGKAVQAAERLFKLKPPVWYLRSLVQNLLLIRRF
+KKTIIEHSPRQERLNFWLD
+>Q6ZN16_PF19039_527 <unknown description>
+LRFPVLVIEPTKVYQPSYVSINNEAEERTVSLWHVSPTEMKQMHEWNFTASSIKGISLSK
+FDERCCFLYVHDNSDDFQIYFSTEEQCSRFFSLVKEMI
+>Q6ZN16_PF00069_657 <unknown description>
+VLGKGTYGIVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEIALHKYLKHRNIVQYLGSV
+SENGYIKIFMEQVPGGSLSALLRSKWGPMKEPTIKFYTKQILEGLKYLHENQIVHRDIKG
+DNVLVNTYSGVVKISDFGTSKRLAGVNPCTETFTGTLQYMAPEIIDQGPRGYGAPADIWS
+LGCTIIEMATSKPPFHELGEPQAAMFKVGMFKIHPEIPEALSAEARAFILSCFEPDPHKR
+ATTAELLREGF
+>Q56UN5_PF00069_1062 <unknown description>
+TKGEILGKGAYGTVYCGLTSQGQLIAVKQVALDTSNKLAAEKEYRKLQEEVDLLKALKHV
+NIVAYLGTCLQENTVSIFMEFVPGGSISSIINRFGPLPEMVFCKYTKQILQGVAYLHENC
+VVHRDIKGNNVMLMPTGIIKLIDFGCARRLAWAGLNGTHSDMLKSMHGTPYWMAPEVINE
+SGYGRKSDIWSIGCTVFEMATGKPPLASMDRMAAMFYIGAHRGLMPPLPDHFSENAADFV
+RMCLTRDQHERPSALQLLKHSFL
+>Q13233_PF00069_1245 <unknown description>
+KGQQIGLGAFSSCYQAQDVGTGTLMAVKQVTYVRNTSSEQEEVVEALREEIRMMSHLNHP
+NIIRMLGATCEKSNYNLFIEWMAGGSVAHLLSKYGAFKESVVINYTEQLLRGLSYLHENQ
+IIHRDVKGANLLIDSTGQRLRIADFGAAARLASKGTGAGEFQGQLLGTIAFMAPEVLRGQ
+QYGRSCDVWSVGCAIIEMACAKPPWNAEKHSNHLALIFKIASATTAPSIPSHLSPGLRDV
+ALRCLELQPQDRPPSRELLKHPVF
+>Q9NYL2_PF07714_17 <unknown description>
+QFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVLSHRNIIQFYGVILEPP
+NYGIVTEYASLGSLYDYINSNRSEEMDMDHIMTWATDVAKGMHYLHMEAPVKVIHRDLKS
+RNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQSLPVSETCDTYSYGVVL
+WEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLHQCWEADAKKRPSFKQI
+ISIL
+>Q9NYL2_PF00536_339 <unknown description>
+WTEDDVYCWVQQLVRKGDSSAEMSVYASLFKENNITGKRLLLLEEEDLKDMGIVSKGHII
+HFKSAIEKL
+>Q5TCX8_PF14604_45 <unknown description>
+ALYDYEARGEDELSLRRGQLVEVLSQDAAVSGDEGWWAGQVQRRLGIFPANYVA
+>Q5TCX8_PF07714_124 <unknown description>
+LELKELIGAGGFGQVYRATWQGQEVAVKAARQDPEQDAAAAAESVRREARLFAMLRHPNI
+IELRGVCLQQPHLCLVLEFARGGALNRALAAANAAPDPRAPGPRRARRIPPHVLVNWAVQ
+IARGMLYLHEEAFVPILHRDLKSSNILLLEKIEHDDICNKTLKITDFGLAREWHRTTKMS
+TAGTYAWMAPEVIKSSLFSKGSDIWSYGVLLWELLTGEVPYRGIDGLAVAYGVAVNKLTL
+PIPSTCPEPFAKLMKECWQQDPHIRPSFALILEQL
+>Q9Y2U5_PF00564_44 <unknown description>
+VRVKFEHRGEKRILQFPRPVKLEDLRSKAKIAFGQSMDLHYTNNELVIPLTTQDDLDKAV
+ELLDRSIHMKSLKILLV
+>Q9Y2U5_PF00069_358 <unknown description>
+LGKLLGQGAFGRVYLCYDVDTGRELAVKQVQFDPDSPETSKEVNALECEIQLLKNLLHER
+IVQYYGCLRDPQEKTLSIFMEYMPGGSIKDQLKAYGALTENVTRKYTRQILEGVHYLHSN
+MIVHRDIKGANILRDSTGNVKLGDFGASKRLQTICLSGTGMKSVTGTPYWMSPEVISGEG
+YGRKADIWSVACTVVEMLTEKPPWAEFEAMAAIFKIATQPTNPKLPPHVSDYTRDFLKRI
+FVEAKLRPSADELLRHMF
+>Q99759_PF00564_45 <unknown description>
+VRIKFEHNGERRIIAFSRPVKYEDVEHKVTTVFGQPLDLHYMNNELSILLKNQDDLDKAI
+DILDRSSSMKSLRILL
+>Q99759_PF00069_364 <unknown description>
+RGKLLGQGAFGRVYLCYDVDTGRELASKQVQFDPDSPETSKEVSALECEIQLLKNLQHER
+IVQYYGCLRDRAEKTLTIFMEYMPGGSVKDQLKAYGALTESVTRKYTRQILEGMSYLHSN
+MIVHRDIKGANILRDSAGNVKLGDFGASKRLQTICMSGTGMRSVTGTPYWMSPEVISGEG
+YGRKADVWSLGCTVVEMLTEKPPWAEYEAMAAIFKIATQPTNPQLPSHISEHGRDFLRRI
+FVEARQRPSAEELLTHHF
+>Q9Y6R4_PF19431_51 <unknown description>
+RQEGTLGDSACKSPESDLEDFSDETNTENLYGTSPPSTPRQMKRMSTKHQRNNVGRPASR
+SNLKEKMNAPNQPPHKDTGKTVENVEEYSYKQEKKIRAALRTTERDRKKNVQCSFMLDSV
+GGSLPKKSIPDVDLNKPYLSLGCSNAKLPVSVPMPIARPARQTSRTDCPADRLKFFETLR
+LLLKLTSVSKKKDREQRGQENTSGFWLNRSNELIWLELQAWHAGRTINDQDFFLYTARQA
+IPDIINEILTFKVDYGSFAFVRDRAGFNGTSVEGQCKATPGTKIVGYSTHHEHLQRQRVS
+FEQVKRIMELLEYIEALYPSLQALQKDYEKYAAKDFQDRVQALCLWLNITKDLNQKLRIM
+GTVLGIKNLSDIGWPVFEIPSPRPSKGNEPEYEGDDTEGELKELESSTDESEEEQISDPR
+VPEIRQPIDNSFDIQSRDCISKKLERLESEDDSLGWGAPDWSTEAGFSRHCLTSIYRPFV
+DKALKQMGLRKLILRLHKLMDGSLQRARIALVKNDRPVEFSEFPDPMWGSDYVQLSRTPP
+SSEEKCSAVSWEELKAMDLPSFEPAFLVLCRVLLNVIHECLKLRLEQRPAGEPSLLSIKQ
+LVRECKEVLKGGLLMKQYYQFMLQEVLEDLEKPDCNIDAFEEDLHKMLMVYFDYMRSWIQ
+MLQQLPQASHSLKNLLEEEWNFTKEITHYIRGGEAQAGKLFCDIAGMLLKSTGSFLEFGL
+QESCAEFWTSADDSSASDEIRRSVIEISRALKELFHEARERASKALGFAKMLRKDLEIAA
+EFRLSAPVRDLLDVLKSKQYVKVQIPGLENLQMFVPDTLAEEKSIILQLLNAAAGKDCSK
+DSDDVLIDAYLLLTKHGDRARDSEDSWGTWEAQPVKVVPQVETVDTLRSMQVDNLLLVVM
+QSAHLTIQRKAFQQSIEGLMTLCQEQTSSQPVIAKALQQLKNDALELCNRISNAIDRVDH
+MFTSEFDAEVDESESVTLQQYYREAMIQGYNFGFEYHKEVVRLMSGEFRQKIGDKYISFA
+RKWMNYVLTKCESGRGTRPRWATQGFDFLQAIEPAFISALPEDDFLSLQALMNECIGHVI
+GKPHSPVTGLYLAIHRNSPRPMKVPRCHSDPPNPHLIIPTPEGFSTRSMPSDARSHGSPA
+AAAAAAAAAVAASRPSPSGGDSVLPKSISSAHDTRGSSVPENDRLASIAAELQFRSLSRH
+SSPTEERDEPAYPRGDSS
+>Q9Y6R4_PF00069_1344 <unknown description>
+QRGNKIGEGQYGKVYTCISVDTGELMAMKEIRFQPNDHKTIKETADELKIFEGIKHPNLV
+RYFGVELHREEMYIFMEYCDEGTLEEVSRLGLQEHVIRLYSKQITIAINVLHEHGIVHRD
+IKGANIFLTSSGLIKLGDFGCSVKLKNNAQTMPGEVNSTLGTAAYMAPEVITRAKGEGHG
+RAADIWSLGCVVIEMVTGKRPWHEYEHNFQIMYKVGMGHKPPIPERLSPEGKDFLSHCLE
+SDPKMRWTASQLLDHSFV
+>Q99683_PF13281_165 <unknown description>
+LFYHLGVRESFSMANNIILYCDTNSDSLQSLKEIICQKNTMCTGNYTFVPYMITPHNKVY
+CCDSSFMKGLTELMQPNFELLLGPICLPLVDRFIQLLKVAQASSSQYFRESILNDIRKAR
+NLYTGKELAAELARIRQRVDNIEVLTADIVINLLLSYRDIQDYDSIVKLVETLEKLPTFD
+LASHHHVKFHYAFALNRRNLPGDRAKALDIMIPMVQSEGQVASDMYCLVGRIYKDMFLDS
+NFTDTESRDHGASWFKKAFESEPTLQSGINYAVLLLAAGHQFESSFELRKVGVKLSSLLG
+KKGNLEKLQSYWEVGFFLGASVLANDHMRVIQASEKLFKLKTPAWYLKSIVETILIYKHF
+VKLTTEQPVAKQELVDFWMDF
+>Q99683_PF19039_556 <unknown description>
+VVRFPVLILEPTKIYQPSYLSINNEVEEKTISIWHVLPDDKKGIHEWNFSASSVRGVSIS
+KFEERCCFLYVLHNSDDFQIYFCTELHCKKFFEMVNTI
+>Q99683_PF00069_685 <unknown description>
+VLGKGTYGIVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEIALHKHLKHKNIVQYLGSF
+SENGFIKIFMEQVPGGSLSALLRSKWGPLKDNEQTIGFYTKQILEGLKYLHDNQIVHRDI
+KGDNVLINTYSGVLKISDFGTSKRLAGINPCTETFTGTLQYMAPEIIDKGPRGYGKAADI
+WSLGCTIIEMATGKPPFYELGEPQAAMFKVGMFKVHPEIPESMSAEAKAFILKCFEPDPD
+KRACANDLLVDEF
+>O95382_PF13281_129 <unknown description>
+LFYHLGVRESFSMTNNVLLCSQADLPDLQALREDVFQKNSDCVGSYTLIPYVVTATGRVL
+CGDAGLLRGLADGLVQAGVGTEALLTPLVGRLARLLEATPTDSCGYFRETIRRDIRQARE
+RFSGPQLRQELARLQRRLDSVELLSPDIIMNLLLSYRDVQDYSAIIELVETLQALPTCDV
+AEQHNVCFHYTFALNRRNRPGDRAKALSVLLPLVQLEGSVAPDLYCMCGRIYKDMFFSSG
+FQDAGHREQAYHWYRKAFDVEPSLHSGINAAVLLIAAGQHFEDSKELRLIGMKLGCLLAR
+KGCVEKMQYYWDVGFYLGAQILANDPTQVVLAAEQLYKLNAPIWYLVSVMETFLLYQHFR
+PTPEPPGGPPRRAHFWLHF
+>O95382_PF19039_524 <unknown description>
+QCLVLVLEMNKVLLPAKLEVRGTDPVSTVTLSLLEPETQDIPSSWTFPVASICGVSASKR
+DERCCFLYALPPAQDVQLCFPSVGHCQWFCGLIQA
+>O95382_PF00069_653 <unknown description>
+VLGKGTYGVVYAGRDRHTRVRIAIKEIPERDSRFSQPLHEEIALHRRLRHKNIVRYLGSA
+SQGGYLKIFMEEVPGGSLSSLLRSVWGPLKDNESTISFYTRQILQGLGYLHDNHIVHRDI
+KGDNVLINTFSGLLKISDFGTSKRLAGITPCTETFTGTLQYMAPEIIDQGPRGYGKAADI
+WSLGCTVIEMATGRPPFHELGSPQAAMFQVGMYKVHPPMPSSLSAEAQAFLLRTFEPDPR
+LRASAQTLLGDPFL
+>O43318_PF07714_36 <unknown description>
+IEVEEVVGRGAFGVVCKAKWRAKDVAIKQIESESERKAFIVELRQLSRVNHPNIVKLYGA
+CLNPVCLVMEYAEGGSLYNVLHGAEPLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHR
+DLKPPNLLLVAGGTVLKICDFGTACDIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSW
+GIILWEVITRRKPFDEIGGPAFRIMWAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRP
+SMEEIVKI
+>P41279_PF00069_146 <unknown description>
+RGAFGKVYLAQDIKTKKRMACKLIPVDQFKPSDVEIQACFRHENIAELYGAVLWGETVHL
+FMEAGEGGSVLEKLESCGPMREFEIIWVTKHVLKGLDFLHSKKVIHHDIKPSNIVFMSTK
+AVLVDFGLSVQMTEDVYFPKDLRGTEIYMSPEVILCRGHSTKADIYSLGATLIHMQTGTP
+PWVKRYPRSAYPSYLYIIHKQAPPLEDIADDCSPGMRELIEASLERNPNHRPRAADLLKH
+E
+>P80192_PF14604_59 <unknown description>
+AVFEYEAAGEDELTLRLGDVVEVLSKDSQVSGDEGWWTGQLNQRVGIFPSNYVT
+>P80192_PF00069_146 <unknown description>
+LEEIIGIGGFGKVYRAFWIGDEVAVKAARHDPDEDISQTIENVRQEAKLFAMLKHPNIIA
+LRGVCLKEPNLCLVMEFARGGPLNRVLSGKRIPPDILVNWAVQIARGMNYLHDEAIVPII
+HRDLKSSNILILQKVENGDLSNKILKITDFGLAREWHRTTKMSAAGTYAWMAPEVIRASM
+FSKGSDVWSYGVLLWELLTGEVPFRGIDGLAVAYGVAMNKLALPIPSTCPEPFAKLMEDC
+WNPDPHSRPSFTNILD
+>Q96PG2_PF04103_60 <unknown description>
+LGAFHITIALLHLVFGGYLASIVKNLHLVVLKSWYPFWGAASFLISGILAITMKTFSKTY
+LKMLCLMTNLISLFCVLSGLFVISKDLFLESPFES
+>Q9NXJ0_PF04103_90 <unknown description>
+ALGVIQIMVGLMHIGFGIVLCLISFSFREVLGFASTAVIGGYPFWGGLSFIISGSLSVSA
+SKELSRCLVKGSLGMNIVSSILAFIGVILLLVDM
+>Q5J8X5_PF04103_13 <unknown description>
+LLVLYMGQIKGAFGTYEPVTYKTGCTLWGIFFIIAGVFLIRVTKYPTRSGIISTLIINII
+CIITTITAVTLTIIELSHFNSVSY
+>Q96JA4_PF04103_44 <unknown description>
+VLGATQILLALIIVGFGTIFALNYIGFSQRLPLVVLTGYPFWGALIFILTGYLTVTDKKS
+KLLGQGVTGMNVISSLVAITGITFTILSYRHQDKYCQ
+>Q8N5U1_PF04103_73 <unknown description>
+VLGTVQILIGLIHLGFGSVLLMVRRGHVGIFFIEGGVPFWGGACFIISGSLSVAAEKNHT
+SCLVRSSLGTNILSVMAAFAGTAILLMDF
+>Q96JQ5_PF04103_65 <unknown description>
+VLGVVQILTALMSLSMGITMMCMASNTYGSNPISVYIGYTIWGSVMFIISGSLSIAAGIR
+TTKGLVRGSLGMNITSSVLAASGILINTFSLAFYSFHHPYCNYYGNSNNCHGTMSILMGL
+DGMVLLLSVLEFCIAVSLSAFGC
+>Q9H2W1_PF04103_47 <unknown description>
+VIGTIQILCGMMVLSLGIILASASFSPNFTQVTSTLLNSAYPFIGPFFFIISGSLSIATE
+KRLTKLLVHSSLVGSILSALSALVGFIILSVKQATLNPASLQCELDKNNIPTRSYVSYFY
+HDSLYTTDCYTAKASLAGTLSLMLICTLLEFCLAVLTAVLRW
+>Q96DS6_PF04103_50 <unknown description>
+VHSSLAGSILSALSALVGFILLSVNPAALNPASLQCKLDEKDIPTRLLLSYDYHSPYTMD
+CHRAKASLAGTLSLMLVSTVLEFCLAVLTAVLQW
+>Q9BY19_PF04103_71 <unknown description>
+TLGAIQIIIGLAHIGLGSIMATVLVGEYLSISFYGGFPFWGGLWFIISGSLSVAAENQPY
+SYCLLSGSLGLNIVSAICSAVGVILFITDLS
+>Q92918_PF00069_17 <unknown description>
+YDLLQRLGGGTYGEVFKARDKVSGDLVALKMVKMEPDDDVSTLQKEILILKTCRHANIVA
+YHGSYLWLQKLWICMEFCGAGSLQDIYQVTGSLSELQISYVCREVLQGLAYLHSQKKIHR
+DIKGANILINDAGEVRLADFGISAQIGATLARRLSFIGTPYWMAPEVAAVALKGGYNELC
+DIWSLGITAIELAELQPPLFDVHPLRVLFLMTKSGYQPPRLKEKGKWSAAFHNFIKVTLT
+KSPKKRPSATKMLSHQL
+>Q92918_PF00780_511 <unknown description>
+DQHLLLGAEEGIFILNRNDQEATLEMLFPSRTTWVYSINNVLMSLSGKTPHLYSHSILGL
+LERKETRAGNPIAHISPHRLLARKNMVSTKIQDTKGCRACCVAEGASSGGPFLCGALETS
+VVLLQWYQPMNKFLLVRQVLFPLPTPLSVFALLTGPGSELPAVCIGVSPGRPGKSVLFHT
+VRFGALSCWLGEMSTEHRGPVQVTQVEEDMVMVLMDGSVKLVTPEGSPVRGLRTPEIPMT
+EAVEAVAMVGGQLQAFWKHGVQVWALGSDQLLQELRDPTLTFRL
+>Q12851_PF00069_16 <unknown description>
+FELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQEITILRECRHPNVVA
+YIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREALKGLHHLHSQGKIHR
+DIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWMAPEVAAVERKGGYNELC
+DVWALGITAIELGELQPPLFHLHPMRALMLMSKSSFQPPKLRDKTRWTQNFHHFLKLALT
+KNPKKRPTAEKLLQHPF
+>Q12851_PF00780_498 <unknown description>
+DQFLVVGAEEGIYTLNLHELHEDTLEKLISHRCSWLYCVNNVLLSLSGKSTHIWAHDLPG
+LFEQRRLQQQVPLSIPTNRLTQRIIPRRFALSTKIPDTKGCLQCRVVRNPYTGATFLLAA
+LPTSLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGKELPQVCVGAEGPEGPGCR
+VLFHVLPLEAGLTPDILIPPEGIPGSAQQVIQVDRDTILVSFERCVRIVNMQGEPTATLA
+PELTFDFPIETVVCLQDSVLAFWSHGMQGRSLDTNEVTQEITDETRIFRV
+>Q8IVH8_PF00069_16 <unknown description>
+FELIQRIGSGTYGDVYKARNVNTGELAAIKVIKLEPGEDFAVVQQEIIMMKDCKHPNIVA
+YFGSYLRRDKLWICMEFCGGGSLQDIYHVTGPLSELQIAYVSRETLQGLYYLHSKGKMHR
+DIKGANILLTDNGHVKLADFGVSAQITATIAKRKSFIGTPYWMAPEVAAVERKGGYNQLC
+DLWAVGITAIELAELQPPMFDLHPMRALFLMTKSNFQPPKLKDKMKWSNSFHHFVKMALT
+KNPKKRPTAEKLLQHPFV
+>Q8IVH8_PF00780_572 <unknown description>
+DQYLIFGAEEGIYTLNLNELHETSMEQLFPRRCTWLYVMNNCLLSISGKASQLYSHNLPG
+LFDYARQMQKLPVAIPAHKLPDRILPRKFSVSAKIPETKWCQKCCVVRNPYTGHKYLCGA
+LQTSIVLLEWVEPMQKFMLIKHIDFPIPCPLRMFEMLVVPEQEYPLVCVGVSRGRDFNQV
+VRFETVNPNSTSSWFTESDTPQTNVTHVTQLERDTILVCLDCCIKIVNLQGRLKSSRKLS
+SELTFDFQIESIVCLQDSVLAFWKHGMQGRSFRSNEVTQEISDSTRIFR
+>P33908_PF01532_202 <unknown description>
+MMKHAWNNYKGYAWGLNELKPISKGGHSSSLFGNIKGATIVDALDTLFIMEMKHEFEEAK
+SWVEENLDFNVNAEISVFEVNIRFVGGLLSAYYLSGEEIFRKKAVELGVKLLPAFHTPSG
+IPWALLNMKSGIGRNWPWASGGSSILAEFGTLHLEFMHLSHLSGNPIFAEKVMNIRTVLN
+KLEKPQGLYPNYLNPSSGQWGQHHVSVGGLGDSFYEYLLKAWLMSDKTDLEAKKMYFDAV
+QAIETHLIRKSSSGLTYIAEWKGGLLEHKMGHLTCFAGGMFALGADAAPEGMAQHYLELG
+AEIARTCHESYNRTFMKLGPEAFRFDGGVEAIATRQNEKYYILRPEVMETYMYMWRLTHD
+PKYRKWAWEAVEALENHCRVNGGYSGLRDVYLLHESYDDVQQSFFLAETLKYLYLIFSDD
+DLLPLEHWIFNSEAHLLP
+>O60476_PF01532_187 <unknown description>
+MMKHAWDNYRTYGWGHNELRPIARKGHSPNIFGSSQMGATIVDALDTLYIMGLHDEFLDG
+QRWIEDNLDFSVNSEVSVFEVNIRFIGGLLAAYYLSGEEIFKIKAVQLAEKLLPAFNTPT
+GIPWAMVNLKSGVGRNWGWASAGSSILAEFGTLHMEFIHLSYLTGDLTYYKKVMHIRKLL
+QKMDRPNGLYPNYLNPRTGRWGQYHTSVGGLGDSFYEYLLKAWLMSDKTDHEARKMYDDA
+IEAIEKHLIKKSRGGLTFIGEWKNGHLEKKMGHLACFAGGMFALGADGSRADKAGHYLEL
+GAEIARTCHESYDRTALKLGPESFKFDGAVEAVAVRQAEKYYILRPEVIETYWYLWRFTH
+DPRYRQWGWEAALAIEKYCRVNGGFSGVKDVYSSTPTHDDVQQSFFLAETLKYLYLLFSG
+DDLLPLDHWVFNTEAHPLP
+>Q9UKM7_PF01532_257 <unknown description>
+FLHAWKGYRKFAWGHDELKPVSRSFSEWFGLGLTLIDALDTMWILGLRKEFEEARKWVSK
+KLHFEKDVDVNLFESTIRILGGLLSAYHLSGDSLFLRKAEDFGNRLMPAFRTPSKIPYSD
+VNIGTGVAHPPRWTSDSTVAEVTSIQLEFRELSRLTGDKKFQEAVEKVTQHIHGLSGKKD
+GLVPMFINTHSGLFTHLGVFTLGARADSYYEYLLKQWIQGGKQETQLLEDYVEAIEGVRT
+HLLRHSEPSKLTFVGELAHGRFSAKMDHLVCFLPGTLALGVYHGLPASHMELAQELMETC
+YQMNRQMETGLSPEIVHFNLYPQPGRRDVEVKPADRHNLLRPETVESLFYLYRVTGDRKY
+QDWGWEILQSFSRFTRVPSGGYSSINNVQDPQKPEPRDKMESFFLGETLKYLFLLFSDDP
+NLLSLDAYVFNTEAHPLPI
+>Q9NR34_PF01532_181 <unknown description>
+MMQFAWQSYKRYAMGKNELRPLTKDGYEGNMFGGLSGATVIDSLDTLYLMELKEEFQEAK
+AWVGESFHLNVSGEASLFEVNIRYIGGLLSAFYLTGEEVFRIKAIRLGEKLLPAFNTPTG
+IPKGVVSFKSGNWGWATAGSSSILAEFGSLHLEFLHLTELSGNQVFAEKVRNIRKVLRKI
+EKPFGLYPNFLSPVSGNWVQHHVSVGGLGDSFYEYLIKSWLMSGKTDMEAKNMYYEALEA
+IETYLLNVSPGGLTYIAEWRGGILDHKMGHLACFSGGMIALGAEDAKEEKRAHYRELAAQ
+ITKTCHESYARSDTKLGPEAFWFNSGREAVATQLSESYYILRPEVVESYMYLWRQTHNPI
+YREWGWEVVLALEKYCRTEAGFSGIQDVYSSTPNHDNKQQSFFLAETLKYLYLLFSEDDL
+LSLEDWVFNTEAHPLP
+>Q16706_PF01074_167 <unknown description>
+QVFVVPHSHNDPGWLKTFNDYFRDKTQYIFNNMVLKLKEDSRRKFIWSEISYLSKWWDII
+DIQKKDAVKSLIENGQLEIVTGGWVMPDEATPHYFALIDQLIEGHQWLENNIGVKPRSGW
+AIDPFGHSPTMAYLLNRAGLSHMLIQRVHYAVKKHFALHKTLEFFWRQNWDLGSVTDILC
+HMMPFYSYDIPHTCGPDPKICCQFDFKRLPGGRFGCPWGVPPETIHPGNVQSRARMLLDQ
+YRKKSKLFRTKVLLAPLGDDFRYCEYTEWDLQFKNYQQLFDYMNSQSKFKVKIQFGTLSD
+FFDALDKADETQRDKGQSMFPVLSGDFFTYA
+>Q16706_PF09261_502 <unknown description>
+HYWSGYFTSRPFYKRMDRIMESHLRAAEILYYFALRQAHKYKINKFLSSSLYTALTEARR
+NLGLFQHHDAITGTAKDWVVVDYGTRLFHSLMVLEKIIGNSAF
+>Q16706_PF07748_760 <unknown description>
+LENSFVLLRFDQTGLMKQMMTKEDGKHHEVNVQFSWYGTTIKRDKSGAYLFLPDGNAKPY
+VYTTPPFVRVTHGRIYSEVTCFFDHVTHRVRLYHIQGIEGQSVEVSNIVDIRKVYNREIA
+MKISSDIKSQNRFYTDLNGYQIQPRMTLSKLPLQANVYPMTTMAYIQDAKHRLTLLSAQS
+LGVSSLNSGQIEVIMDRRLMQDDNR
+>P49641_PF01074_167 <unknown description>
+QVFVVPHSHNDPGWIKTFDKYYTEQTQHILNSMVSKLQEDPRRRFLWAEVSFFAKWWDNI
+NVQKRAAVRRLVGNGQLEIATGGWVMPDEANSHYFALIDQLIEGHQWLERNLGATPRSGW
+AVDPFGYSSTMPYLLRRANLTSMLIQRVHYAIKKHFAATHSLEFMWRQTWDSDSSTDIFC
+HMMPFYSYDVPHTCGPDPKICCQFDFKRLPGGRINCPWKVPPRAITEANVAERAALLLDQ
+YRKKSQLFRSNVLLVPLGDDFRYDKPQEWDAQFFNYQRLFDFFNSRPNLHVQAQFGTLSD
+YFDALYKRTGVEPGARPPGFPVLSGDFFSYA
+>P49641_PF09261_502 <unknown description>
+HYWTGYYTSRPFYKSLDRVLEAHLRGAEVLYSLAAAHARRSGLAGRYPLSDFTLLTEARR
+TLGLFQHHDAITGTAKEAVVVDYGVRLLRSLVNLKQVIIHAAHY
+>P49641_PF07748_765 <unknown description>
+LSNRYMQVWFSGLTGLLKSIRRVDEEHEQQVDMQVLVYGTRTSKDKSGAYLFLPDGEAKP
+YVPKEPPVLRVTEGPFFSEVVAYYEHIHQAVRLYNLPGVEGLSLDISSLVDIRDYVNKEL
+ALHIHTDIDSQGIFFTDLNGFQVQPRRYLKKLPLQANFYPMPVMAYIQDAQKRLTLHTAQ
+ALGVSSLKDGQLEVILDRRLMQDDNR
+>O00754_PF01074_64 <unknown description>
+NVHLLPHTHDDVGWLKTVDQYFYGIKNDIQHAGVQYILDSVISALLADPTRRFIYVEIAF
+FSRWWHQQTNATQEVVRDLVRQGRLEFANGGWVMNDEAATHYGAIVDQMTLGLRFLEDTF
+GNDGRPRVAWHIDPFGHSREQASLFAQMGFDGFFFGRLDYQDKWVRMQKLEMEQVWRAST
+SLKPPTADLFTGVLPNGYNPPRNLCWDVLCVDQPLVEDPRSPEYNAKELVDYFLNVATAQ
+GRYYRTNHTVMTMGSDFQYENANMWFKNLDKLIRLVNAQQAKGSSVHVLYSTPACYLWEL
+NKANLTWSVKHDDFFPYA
+>O00754_PF09261_386 <unknown description>
+QFWTGYFSSRPALKRYERLSYNFLQVCNQLEALVGLAANVGPYGSGDSAPLNEAMAVLQH
+HDAVSGTSRQHVANDYARQLAAGWGPCEVLLSNALARL
+>O00754_PF07748_607 <unknown description>
+IENEHIRATFDPDTGLLMEIMNMNQQLLLPVRQTFFWYNASIGDNESDQASGAYIFRPNQ
+QKPLPVSRWAQIHLVKTPLVQEVHQNFSAWCSQVVRLYPGQRHLELEWSVGPIPVGDTWG
+KEVISRFDTPLETKGRFYTDSNGREILERRRDYRPTWKLNQTEPVAGNYYPVNTRIYITD
+GNMQLTVLTDRSQGGSSLRDGSLELMVHRRLLKDDGR
+>O00754_PF17677_899 <unknown description>
+SVHLLTLASWGPEMVLLRLEHQFAVGEDSGRNLSAPVTLNLRDLFSTFTITRLQETTLVA
+NQLREAASRLKWTTNTGPTPHQTPYQLDPANITLEPMEIRTFL
+>Q9Y2E5_PF01074_29 <unknown description>
+AFVVPHSHMDVGWVYTVQESMRAYAANVYTSVVEELARGQQRRFIAVEQEFFRLWWDGVA
+SDQQKYQVRQLLEEGRLEFVIGGQVMHDEAVTHLDDQILQLTEGHGFLYETFGIRPQFSW
+HVDPFGASATTPTLFALAGFNAHLGSRIDYDLKAAMQEARGLQFVWRGSPSLSERQEIFT
+HIMDQYSYCTPSHIPFSNRSGFYWNGVAVFPKPPQDGVYPNMSEPVTPANINLYAEALVA
+NVKQRAAWFRTPHVLWPWGCDKQFFNASVQFANMDPLLDHINSHAAELGVSVQYATLGDY
+FRALHALNVTWRVRDHHDFLPYS
+>Q9Y2E5_PF09261_357 <unknown description>
+AWTGFYTSRSSLKGLARRASALLYAGESMFTRYLWPAPRGHLDPTWALQQLQQLRWAVSE
+VQHHDAITGTESPKVRDMYATHLASGMLGMRKLMASI
+>Q9Y2E5_PF07748_584 <unknown description>
+ANDCYIVLLDQDTNLMHSIWERQSNRTVRVTQEFLEYHVNGDVKQGPISDNYLFTPGKAA
+VPAWEAVEMEIVAGQLVTEIRQYFYRNMTAQNYTYAIRSRLTHVPQGHDGELLCHRIEQE
+YQAGPLELNREAVLRTSTNLNSQQVIYSDNNGYQMQRRPYVSYVNNSIARNYYPMVQSAF
+MEDGKSRLVLLSERAHGISSQGNGQVEVMLHRRL
+>Q9Y2E5_PF17677_909 <unknown description>
+DLRRVLLRLYHLYEVGEDPVLSQPVTVNLEAVLQALGSVVAVEERSLTGTWDLSMLHRWS
+WRTGPGRHRGDTTSPSRPPGGPIITVHPKEIRTFF
+>Q9NTJ4_PF01074_252 <unknown description>
+TIHATGHCHIDTAWLWPFKETVRKCARSWVTALQLMERNPEFIFACSQAQQLEWVKSRYP
+GLYSRIQEFACRGQFVPVGGTWVEMDGNLPSGEAMVRQFLQGQNFFLQEFGKMCSEFWLP
+DTFGYSAQLPQIMHGCGIRRFLTQKLSWNLVNSFPHHTFFWEGLDGSRVLVHFPPGDSYG
+MQGSVEEVLKTVANNRDKGRANHSAFLFGFGDGGGGPTQTMLDRLKRLSNTDGLPRVQLS
+SPRQLFSALESDSEQLCTW
+>Q9NTJ4_PF09261_517 <unknown description>
+ELHNGTYTTHAQIKKGNRECERILHDVELLSSLALARSAQFLYPAAQLQHLWRLLLLNQF
+HDVVTGSCIQMVAEEAMCHYEDIRSHGNTLLSAAAAAL
+>Q9NTJ4_PF07748_689 <unknown description>
+LDNGIIRVKLDPTGRLTSLVLVASGREAIAEGAVGNQFVLFDDVPLYWDAWDVMDYHLET
+RKPVLGQAGTLAVGTEGGLRGSAWFLLQISPNSRLSQEVVLDVGCPYVRFHTEVHWHEAH
+KFLKVEFPARVRSSQATYEIQFGHLQRPTHYNTSWDWARFEVWAHRWMDLSEHGFGLALL
+NDCKYGASVRGSILSLSLLRAPKAPDA
+>Q9NTJ4_PF17677_955 <unknown description>
+VVLETVKQAESSPQRRSLVLRLYEAHGSHVDCWLHLSLPVQEAILCDLLERPDPAGHLTL
+RDNRLKLTFSPFQVLSL
+>Q96T17_PF05672_430 <unknown description>
+KPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEEQDRLEREELKRKAEEERLRLE
+EEARKQEEERKRQEEEKKKQEGEEKRKAGEEAKRKAEEELLLKEKQEQEKQEKAMIEKQK
+EAAETKAREVAEQMRLEREQIMLQIEQERLERKKRIDEIMKRTRKSDV
+>Q8IWC1_PF05672_588 <unknown description>
+KSTAGIMNAEAATKILTELRRLAREQREKEEEERQREEMQQRVIKKSKDMAKEAVGGQAE
+DHLKLKDGQQQNETKKKKGWLDQEDQEAPLQKGDAKIKAQEEADKRKKEHERIMLQNLQE
+RLERKKRIEEIMKRTRKTDV
+>O60336_PF00400_84 <unknown description>
+HILNSSRKTITALAFSPDGKYLVTGESGHMPAVRVWD
+>O60336_PF00400_127 <unknown description>
+VAELQEHKYGVACVAFSPSAKYIVSVGYQHDMIVNVW
+>O60336_PF00400_178 <unknown description>
+SRVTAVSFSEDCSYFVTAGNRHIKFW
+>O60336_PF00400_273 <unknown description>
+RTTVAHCISVSQDYIFCGCADGTVRLFN
+>O60336_PF00400_343 <unknown description>
+ALTFDPTNQWLSCVYNDHSIYVWD
+>O60336_PF00400_382 <unknown description>
+HSSCVWSVEVYPEVKDSNQACLPPSSFITCSSDNTIRLWN
+>O60336_PF00400_687 <unknown description>
+GECVATMFGHSEIVTGMKFSNDCKHLISVSGDSCIFVW
+>Q68EN5_PF08014_228 <unknown description>
+KYMQKEGCAGEVVVQLSEDLLSQAVMMVENSRPTLAINLTGARQYWLEGMLRHEIGTHYL
+RGVNNARQPWHNAEGRLRYGLRPANPTEEGLASLHSVLFRKQPFLWRAALLYYTIHRAAR
+MSFRQLFQDLERYVQDADVRWEYCVRAKRGQTDTSLPGCFSKDQVYLDGIVRILRHRQTI
+DFPLLTSLGKVSYEDVDHLRPHGVLDNTRVPHFMQDLARYRQQLEHIMA
+>Q8NCT3_PF08014_286 <unknown description>
+EIVVHLTEDLLSRASMTVVNGCPTLTINVSTAREHWLEGMLRHEIGTHYFRGINNLQQPW
+NSWTGRKKHELKPNNPTEEGLASIHSVLFRKDPFLWRAALLYYTVYQASQMSFCELFKDI
+GRFVKDPNTRWDYCVRAKRGWTDTSQPGCFSKDQVYLDGILQILRYRDTIDFHLLTALGK
+VSYEDVDRLKGLAVTENMRVPHFLQDHGRYMEHLEKIMEV
+>Q6ZN28_PF00791_218 <unknown description>
+VNHQGGSVQLPESDITVHVPQGHVAVGEFQEVSLRAFLDPPHMLNHDLSCTVSPLLEIML
+GNLNTMEALLLEMKIGAEVRKD
+>Q9BQ69_PF01661_170 <unknown description>
+VNAANSSLLGGGGVDGCIHRAAGPLLTDECRTLQSCKTGKAKITGGYRLPAKYVIHTVGP
+IAYGEPSASQAAELRSCYLSSLDLLLEHRLRSVAFPCISTGVFGYPCEAAAEI
+>A1Z1Q3_PF01661_88 <unknown description>
+VNAANASLLGGGGVDGCIHRAAGPCLLAECRNLNGCDTGHAKITCGYDLPAKYVIHTVGP
+IARGHINGSHKEDLANCYKSSLKLVKENNIRSVAFPCISTGIYGFPNEPAAVI
+>Q96GV9_PF15435_3 <unknown description>
+VDINGESRSTLTTLPFPGAEANSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNYLV
+GFTTGEELLKLAQKCTGGEESKAEAMPSLRSKQLDAGLARSSRLYKTRSRYYQPYEIPAV
+NGRRRRRMPSSGDKCTKSLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMIKEPAD
+TEVLQYQLQHLTLRGDRV
+>Q8N5G2_PF09726_2 <unknown description>
+KRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWLF
+IRSVYDSFRYQGLAFSVFFVCVAFTSNIICLLFIPIQWLFFAASTYVWVQYVWHTERGVC
+LPTVSLWILFVYIEAAIRFKDLKNFHVDLCRPFAAHCIGYPVVTLGFGFKSYVSYKMRLR
+KQKEVQKENEFYMQLLQQALPPEQQMLQKQEKEAEEAAKGLPDMDSSILIHHNGGIPANK
+KLSTTLPEIEYREKGKEKDKDAKKHNLGINNNNILQPVDSKIQEIEYMENHINSKRLNND
+LVGSTENLLKEDSCTASSKNYKNASGVVNSSPRSHSATNGSIPSSSSKNEKKQKCTSKSP
+STHKDLMENCIPNNQLSKPDALVRLEQDIKKLKADLQASRQVEQELRSQISSLSSTERGI
+RSEMGQLRQENELLQNKLHNAVQMKQKDKQNISQLEKKLKAEQEARSFVEKQLMEEKKRK
+KLEEATAARAVAFAAASRGECTETLRNRIRELEAEGKKLTMDMKVKEDQIRELELKVQEL
+RKYKENEKDTEVLMSALSAMQDKTQHLENSLSAETRIKLDLFSALGDAKRQLEIAQGQIL
+QKDQEIKDLKQKIAEVMAVMPSITYSAATSPLSPVSPHYSSKFVETSPSGLDPNASVYQP
+>Q05195_PF00010_58 <unknown description>
+RSTHNEMEKNRRAHLRLCLEKLKGLVPLGPESSRHTTLSLLTKAKLHIKKLE
+>Q9BW11_PF00010_59 <unknown description>
+RSVHNELEKRRRAQLKRCLERLKQQMPLGADCARYTTLSLLRRARMHIQKLE
+>Q14582_PF00010_55 <unknown description>
+RSSHNELEKHRRAKLRLYLEQLKQLVPLGPDSTRHTTLSLLKRAKVHIKKLE
+>Q13477_PF09085_113 <unknown description>
+AFPDQLTVSPAALVPGDPEVACTAHKVTPVDPNALSFSLLVGGQELEGAQALGPEVQEEE
+EEPQGDEDVLFRVTERWRLPPLGTPVPPALYCQATMRLPGLELSHRQAIPVL
+>A6NDP7_PF01284_18 <unknown description>
+VTSPVGTARVLQLAFGCTTFSLVAHRGGFAGVQGTFCMAAWGFCFAVSALVVACEFTRLH
+GCLRLSWGNFTAAFAMLATLLCATAAVLYPLYFARRECSPEPAGCAARDFRLAASVFAGL
+LFLAYAVEVA
+>A6NDP7_PF01284_160 <unknown description>
+MATVSGLLKIVQAFVACIIFGALVHDSRYGRYVATQWCVAVYSLCFLATVAVVALSVMGH
+TGGLGCPFDRLVVVYTFLAVLLYLSAAVIWPVFCFDPKYGEPKRPPNCARGSCPWDSQLV
+VAIFTYVNLLLYVVDLA
+>Q7L5Y9_PF10607_161 <unknown description>
+LTAKEVEESLERRETATCLAWCHDNKSRLRKMKSCLEFSLRIQEFIELIRQNKRLDAVRH
+ARKHFSQAEGSQLDEVRQAMGMLAFPPDTHISPYKDLLDPARWRMLIQQFRYDNYRLHQL
+GNNSVFTLTLQAGLSAIKTP
+>Q96JY0_PF09011_2 <unknown description>
+PNRKASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSSDWALLREEEKEKYAEMAREW
+RAAQGKDPGP
+>Q96JY0_PF13017_130 <unknown description>
+CEQRFLPCEIGCVKYSLQEGIMADFHSFINPGEIPRGFRFHCQAASDSSHKIPISNFERG
+HNQATVLQNLYRFIHPNPGNWPPIYCKSDDRTRVNWCLKHMAKASEIRQDLQLLTVEDLV
+VGIYQQKFLKEPSKTWIRSLLDVAMWDYSSNTRCKWHEENDILFCALAVCKKIAYCISNS
+LATLFGIQLTEAHVPLQ
+>Q9H063_PF09174_25 <unknown description>
+IIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALSPPQTSGLSPSRLSKSQGGEEEGPL
+SDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSREPSLSWVVNAVNCSLFSAVREDFK
+DLKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGEDGSLWSFNYFFYNKRLKRIVFFS
+>Q8NHW3_PF08383_111 <unknown description>
+PALEDLYWMSGYQHHLNPEALNLTPEDAVEALIG
+>Q8NHW3_PF03131_227 <unknown description>
+FSDDQLVSMSVRELNRQLRGFSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHILESEK
+CQLQSQVEQLKLEVGRLAKERDLYKEKYEKL
+>Q9Y5Q3_PF08383_80 <unknown description>
+THLEDLYWMASNYQQMNPEALNLTPEDAVEALIG
+>Q9Y5Q3_PF03131_211 <unknown description>
+FSDDQLVSMSVRELNRHLRGFTKDEVIRLKQKRRTLKNRGYAQSCRYKRVQQKHHLENEK
+TQLIQQVEQLKQEVSRLARERDAYKVKCEKL
+>Q9ULX9_PF03131_24 <unknown description>
+LSDEALMGLSVRELNRHLRGLSAEEVTRLKQRRRTLKNRGYAASCRVKRVCQKEELQKQK
+SELEREVDKLARENAAMRLELDALRGKCEALQ
+>O15525_PF03131_24 <unknown description>
+LTDEELVTMSVRELNQHLRGLSKEEIVQLKQRRRTLKNRGYAASCRVKRVTQKEELEKQK
+AELQQEVEKLASENASMKLELDALRSKYEALQ
+>O60675_PF03131_24 <unknown description>
+LSDDELVSMSVRELNQHLRGLTKEEVTRLKQRRRTLKNRGYAASCRIKRVTQKEELERQR
+VELQQEVEKLARENSSMRLELDALRSKYEALQ
+>O75444_PF08383_86 <unknown description>
+AHLEDYYWMTGYPQQLNPEALGFSPEDAVEALIS
+>O75444_PF03131_261 <unknown description>
+FSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHVLESEK
+NQLLQQVDHLKQEISRLVRERDAYKEKYEKL
+>P43355_PF12440_5 <unknown description>
+QRSLHCKPEEALEAQQEALGLVCVQAATSSSSPLVLGTLEEVPTAGSTDPPQSPQGASAF
+PTTINFTRQRQPSEGSSSREEEGPS
+>P43355_PF01454_109 <unknown description>
+LVGFLLLKYRAREPVTKAEMLESVIKNYKHCFPEIFGKASESLQLVFGIDVKEADPTGHS
+YVLVTCLGLSYDGLLGDNQIMPKTGFLIIVLVMIAMEGGHAPEEEIWEELSVMEVYDGRE
+HSAYGEPRKLLTQDLVQEKYLEYRQVPDSDPARYEFLWGPRALAET
+>P43356_PF12440_5 <unknown description>
+QRSQHCKPEEGLEARGEALGLVGAQAPATEEQQTASSSSTLVEVTLGEVPAADSPSPPHS
+PQGASSFSTTINYTLWRQSDEGSSNQEEEGP
+>P43356_PF01454_116 <unknown description>
+LVHFLLLKYRAREPVTKAEMLESVLRNCQDFFPVIFSKASEYLQLVFGIEVVEVVPISHL
+YILVTCLGLSYDGLLGDNQVMPKTGLLIIVLAIIAIEGDCAPEEKIWEELSMLEVFEGRE
+DSVFAHPRKLLMQDLVQENYLEYRQVPGSDPACYEFLWGPRALIET
+>P43357_PF12440_5 <unknown description>
+QRSQHCKPEEGLEARGEALGLVGAQAPATEEQEAASSSSTLVEVTLGEVPAAESPDPPQS
+PQGASSLPTTMNYPLWSQSYEDSSNQEEEGPS
+>P43357_PF01454_198 <unknown description>
+KAGLLIIVLAIIAREGDCAPEEKIWEELSVLEVFEGREDSILGDPKKLLTQHFVQENYLE
+YRQVPGSDPACYEFLWGPRALVET
+>P43358_PF12440_5 <unknown description>
+QKSQHCKPEEGVEAQEEALGLVGAQAPTTEEQEAAVSSSSPLVPGTLEEVPAAESAGPPQ
+SPQGASALPTTISFTCWRQPNEGSSSQEEEGPS
+>P43358_PF01454_199 <unknown description>
+KTGLLIIVLGTIAMEGDSASEEEIWEELGVMGVYDGREHTVYGEPRKLLTQDWVQENYLE
+YRQVPGSNPARYEFLWGPRALAET
+>P43360_PF12440_5 <unknown description>
+QRSQHCKPEEGLEARGEALGLVGAQAPATEEQEAASSSSTLVEVTLGEVPAAESPDPPQS
+PQGASSLPTTMNYPLWSQSYEDSSNQEEEGPS
+>P43360_PF01454_198 <unknown description>
+KTGFLIIILAIIAKEGDCAPEEKIWEELSVLEVFEGREDSIFGDPKKLLTQYFVQENYLE
+YRQVPGSDPACYEFLWGPRALIET
+>P43361_PF12440_5 <unknown description>
+QKSQRYKAEEGLQAQGEAPGLMDVQIPTAEEQKAASSSSTLIMGTLEEVTDSGSPSPPQS
+PEGASSSLTVTDSTLWSQSDEGSSSNEEEGPS
+>P43361_PF01454_119 <unknown description>
+LVRFLLRKYQIKEPVTKAEMLESVIKNYKNHFPDIFSKASECMQVIFGIDVKEVDPAGHS
+YILVTCLGLSYDGLLGDDQSTPKTGLLIIVLGMILMEGSRAPEEAIWEALSVMGLYDGRE
+HSVYWKLRKLLTQEWVQENYLEYRQAPGSDPVRYEFLWGPRALAET
+>P43362_PF12440_5 <unknown description>
+QRSPHCKPDEDLEAQGEDLGLMGAQEPTGEEEETTSSSDSKEEEVSAAGSSSPPQSPQGG
+ASSSISVYYTLWSQFDEGSSSQEEEEPS
+>P43363_PF12440_6 <unknown description>
+KRQRCMPEEDLQSQSETQGLEGAQAPLAVEEDASSSTSTSSSFPSSFPSSSSSSSSSCYP
+LIPSTPEEVSADDETPNPPQSAQIACSSPSVVASLPLDQSDEGSSSQKEESPS
+>P43363_PF01454_141 <unknown description>
+LVQFLLFKYQMKEPITKAEILESVIRNYEDHFPLLFSEASECMLLVFGIDVKEVDPTGHS
+FVLVTSLGLTYDGMLSDVQSMPKTGILILILSIVFIEGYCTPEEVIWEALNMMGLYDGME
+HLIYGEPRKLLTQDWVQENYLEYRQVPGSDPARYEFLWGPRAHAEIRK
+>P43364_PF12440_115 <unknown description>
+QRSQHCKPEEGLQAQEEDLGLVGAQALQAEEQEAAFFSSTLNVGTLEELPAAESPSPPQS
+PQEESFSPTAMDAIFGSLSDEGSGSQEKEGPS
+>P43364_PF01454_230 <unknown description>
+VHLLLRKYRVKGLITKAEMLGSVIKNYEDYFPEIFREASVCMQLLFGIDVKEVDPTSHSY
+VLVTSLNLSYDGIQCNEQSMPKSGLLIIVLGVIFMEGNCIPEEVMWEVLSIMGVYAGREH
+FLFGEPKRLLTQNWVQEKYLVYRQVPGTDPACYEFLWGPRAHAETSK
+>P43365_PF12440_5 <unknown description>
+QRSQHCKPEEGLEAQGEALGLVGAQAPATEEQETASSSSTLVEVTLREVPAAESPSPPHS
+PQGASTLPTTINYTLWSQSDEGSSNEEQEGPS
+>P43365_PF01454_116 <unknown description>
+LVHFLLLKYRAREPFTKAEMLGSVIRNFQDFFPVIFSKASEYLQLVFGIEVVEVVRIGHL
+YILVTCLGLSYDGLLGDNQIVPKTGLLIIVLAIIAKEGDCAPEEKIWEELSVLEASDGRE
+DSVFAHPRKLLTQDLVQENYLEYRQVPGSDPACYEFLWGPRALVET
+>P43366_PF12440_5 <unknown description>
+QKSKLRAREKRRKAREETQGLKVAHATAAEKEECPSSSPVLGDTPTSSPAAGIPQKPQGA
+PPTTTAAAAVSCTESDEGAKCQGEENAS
+>P43366_PF01454_115 <unknown description>
+LMHFILRKYKMREPIMKADMLKVVDEKYKDHFTEILNGASRRLELVFGLDLKEDNPSGHT
+YTLVSKLNLTNDGNLSNDWDFPRNGLLMPLLGVIFLKGNSATEEEIWKFMNVLGAYDGEE
+HLIYGEPRKFITQDLVQEKYLKYEQVPNSDPPRYQFLWGPRAYAETTK
+>O15479_PF12440_5 <unknown description>
+QKSKLRAREKRRKARDETRGLNVPQVTEAEEEEAPCCSSSVSGGAASSSPAAGIPQEPQR
+APTTAAAAAAGVSSTKSKKGAKSHQGEK
+>O15479_PF01454_203 <unknown description>
+LLMPLLGVIFLNGNSATEEEIWEFLNMLGVYDGEEHSVFGEPWKLITKDLVQEKYLEYKQ
+VPSSDPPRFQFLWGPRAYAETSK
+>O15480_PF12440_5 <unknown description>
+QKSTLHAREKRQQTRGQTQDHQGAQITATNKKKVSFSSPLILGATIQKKSAGRSRSALKK
+PQRALSTTTSVDVSYKKSYKGANSKIEKKQS
+>O15480_PF01454_118 <unknown description>
+LVQFLMEMYKMKKPIMKADMLKIVQKSHKNCFPEILKKASFNMEVVFGVDLKKVDSTKDS
+YVLVSKMDLPNNGTVTRGRGFPKTGLLLNLLGVIFMKGNCATEEKIWEFLNKMRIYDGKK
+HFIFGEPRKLITQDLVKLKYLEYRQVPNSNPARYEFLWGPRAHAETSK
+>O15481_PF12440_5 <unknown description>
+QKSKLRAREKRQRTRGQTQDLKVGQPTAAEKEESPSSSSSVLRDTASSSLAFGIPQEPQR
+EPPTTSAAAAMSCTGSDKGDESQDEENAS
+>O15481_PF01454_116 <unknown description>
+LVQFLLYKYKMKEPTTKAEMLKIISKKYKEHFPEIFRKVSQRTELVFGLALKEVNPTTHS
+YILVSMLGPNDGNQSSAWTLPRNGLLMPLLSVIFLNGNCAREEEIWEFLNMLGIYDGKRH
+LIFGEPRKLITQDLVQEKYLEYQQVPNSDPPRYQFLWGPRAHAETSK
+>Q9BZ81_PF01454_129 <unknown description>
+KTGLLMTFLVVIFLKGNCANKEDTWKFLDMMQIYDGKKYYIYGEPRKLITQDFVRLTYLE
+YHQVPCSYPAHYQFLWGPRAYTETSK
+>Q8N7X4_PF12440_5 <unknown description>
+HKSKLRTCEKRQETNGQPQGLTGPQATAEKQEESHSSSSSSRACLGDCRRSSDASIPQES
+QGVSPTGSPDAVVSYSKSDVAANGQDEKSPS
+>Q8N7X4_PF01454_213 <unknown description>
+KESILKADMLKCVRREYKPYFPQILNRTSQHLVVAFGVELKEMDSSGESYTLVSKLGLPS
+EGILSGDNALPKSGLLMSLLVVIFMNGNCATEEEVWEFLGLLGIYDGILHSIYGDARKII
+TEDLVQDKYVVYRQVCNSDPPCYEFLWGPRAYAETTK
+>Q96LZ2_PF12440_5 <unknown description>
+QKSKLRAREKRRQARGGLEDLIDALDILEEEEESPPSASACLKDVFQSSLDGASNNPHGL
+REAQSTSTSATAASHTRHPEGVNDQMEERP
+>Q96LZ2_PF01454_118 <unknown description>
+LVHYLLYKYQMKEPITKADMLRNVTQMSKSQFPVILSRASEHLELIFGLDLKEVEPNK
+>Q96LZ2_PF01454_177 <unknown description>
+IYVLVNKLDLGCDAKLSDETGVPKTGLLMTVLGIIFTNGNCVAEEEVWKVFNTMGLYDGI
+EHFMFGEPRKLLTKDLVKENYLEYQQVPNSDPPRYQFLWGPRAHAETSK
+>A2A368_PF12440_5 <unknown description>
+QESPRCTHDQHLQTFSETQSLEVAQVSKALEKTLLSSSHPLVPGKLKEAPAAKAESPLEV
+PQSFCSSSIAVTTTSSSESDEASSNQEEED
+>A2A368_PF01454_202 <unknown description>
+KTGLLIIVLGVIFMKGNRATEEEVWEVLNLTGVYSGKKHFIFGEPRMLITKDFVKEKYLE
+YQQVANSDPARYEFLWGPRAKAETSK
+>A8MXT2_PF12440_5 <unknown description>
+QASKRRAREKRRQARGEDQCLGGAQATAAEKEKLPSSSSPACQSPPQSFPNAGIPQESQR
+ASYPSSPASAVSLTSSDEGAKGQKGESPN
+>A8MXT2_PF01454_116 <unknown description>
+LVQFLLNKYIRKEPITREAMLKVINRKYKQHFPEILRRSTENVEVVFGLYLKEMDPSRQS
+YVLVGKLDFPNQGSLSDGGGFPLSGLLMVLLSTIFMHGNRATEEEMWECLNALGMYKGRK
+HFIYGEPQELVTKDLVREGYLEYQQVPSSDPPRYEFLWGPRARAETSK
+>Q96M61_PF12440_5 <unknown description>
+QKSKLRAREKRHQARCENQDLGATQATVAEGESPSPAYLLFGDRPQNLPAAETPSIPEAL
+QGAPSTTNAIAPVSCSSNEGASSQDEKS
+>Q96M61_PF01454_114 <unknown description>
+LVHFLLQKYETKEPITKGDMIKFVIRKDKCHFNEILKRASEHMELALGVDLKEVDPIRHY
+YAFFSKLDLTYDETTSDEEKIPKTGLLMIALGVIFLNGNRAPEEAVWEIMNMMGVYADRK
+HFLYGDPRKVMTKDLVQLKYLEYQQVPNSDPPRYEFLWGPRAHAETSK
+>O60732_PF01454_915 <unknown description>
+LARFLLLKYQVKQPITKAEMLTNVISRYTGYFPVIFRKAREFIEILFGISLREVDPDDSY
+VFVNTLDLTSEGCLSDEQGMSQNRLLILILSIIFIKGTYASEEVIWDVLSGIGVRAGREH
+FAFGEPRELLTKVWVQEHYLEYREVPNSSPPRYEFLWGPRAHSEVI
+>Q9Y5V3_PF01454_478 <unknown description>
+LVKYLMLKDYTKVPIKRSEMLRDIIREYTDVYPEIIERACFVLEKKFGIQLKEIDKEEHL
+YILISTPESLAGILGTTKDTPKLGLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRH
+PLLGDLRKLLTYEFVKQKYLDYRRVPNSNPPEYEFLWGLRSYHETS
+>Q9UNF1_PF01454_286 <unknown description>
+LVKYLLAKDQTKIPIKRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHL
+YILLSTLEPTDAGILGTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIH
+HSLFGDVKKLITDEFVKQKYLDYARVPNSNPPEYEFFWGLRSYYETS
+>Q96JG8_PF01454_420 <unknown description>
+LVKYLMIKDYKKIPIKRADMLKDVIREYDEHFPEIIERATYTLEKKFGIHLKEIDKEEHL
+YILVCTRDSSARLLGKTKDTPRLSLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRH
+PFLGDLRKLITDDFVKQKYLEYKKIPNSNPPEYEFLWGLRARHETSK
+>Q9HCI5_PF01454_499 <unknown description>
+LQFLLVKDQSKYPIRESEMREYIVKEYRNQFPEILRRAAAHLECIFRFELRELDPEAHTY
+ILLNKLGPVPFEGLEESPNGPKMGLLMMILGQIFLNGNQAKEAEIWEMLWRMGVQRERRL
+SIFGNPKRLLSVEFVWQRYLDYRPVTDCKPVEYEFFWGPRSHLETTK
+>Q8TD90_PF01454_95 <unknown description>
+LVNFMRMKSQTEGSIQQSEMLEFLREYSDQFPEILRRASAHLDQVFGLNLRVIDPQADTY
+NLVSKRGFQITDRIAESLDMPKASLLALVLGHILLNGNRAREASIWDLLLKVDMWDKPQR
+INNLFGNTRNLLTTDFVCMRFLEYWPVYGTNPLEFEFLWGSRAHREITK
+>Q9HAY2_PF01454_83 <unknown description>
+LVQFLLVKDKKKSPITRSEMVKYVIGDLKILFPDIIARAAEHLRYVFGFELKQFDRKHHT
+YILINKLKPLEEEEEEDLGGDGPRLGLLMMILGLIYMRGNSAREAQVWEMLRRLGVQPSK
+YHFLFGYPKRLIMEDFVQQRYLSYRRVPHTNPPEYEFSWGPRSNLEISK
+>Q9H213_PF01454_14 <unknown description>
+RAAEENRNNRKIQASEASETPMAASVVASTPEDDLSGPEEDPSTPEEASTTPEEASSTAQ
+AQKPSVPRSNFQGTKKSLLMSILALIFIMGNSAKEALVWKVLGKLGMQPGRQHSIFGDPK
+KIVTEEFVRRGYLIYKPVPRSSPVEYEFFWGPRAHVESS
+>Q96QZ7_PF00625_139 <unknown description>
+RHAVPCTTRSPREGEVPGVDYNFLTVKEFLDLEQSGTLLEVGTYEGNYYGTP
+>Q96QZ7_PF16663_202 <unknown description>
+ITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHAENEEEDDVPEMNSSFTADSGEQEEH
+>Q96QZ7_PF00397_302 <unknown description>
+LPENWEMAYTENGEVYFIDHNTKTTSWLDP
+>Q96QZ7_PF00397_361 <unknown description>
+LPAGWEKIEDPVYGIYYVDHINRKTQYENP
+>Q96QZ7_PF00595_475 <unknown description>
+LRKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQ
+VVKIFQS
+>Q96QZ7_PF00595_644 <unknown description>
+VHIVKGPMGFGFTIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVV
+DMLVECPKGSEVTLLVQ
+>Q96QZ7_PF16666_728 <unknown description>
+KKSPKSQPLERKDSQNSSQHSVSSHRSLHTASPSHSTQVLPEFPPAEAQAPDQTDSSGQK
+KPDPFKIWAQSRSMYENRLPDYQEQ
+>Q96QZ7_PF00595_819 <unknown description>
+KETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPVIGKSHQLVVQ
+LMQQAAKQGHVNLTV
+>Q96QZ7_PF00595_971 <unknown description>
+VEIRRGENEGFGFVIVSSVSRPEAGTTFGNACVAMPHKIGRIIEGSPADRCGKLKVGDRI
+LAVNGCSITNKSHSDIVNLIKEAGNTVTLRI
+>Q96QZ7_PF00595_1124 <unknown description>
+VELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIGDEILEINGETTKNMKH
+SRAIELIKNGGRRVRL
+>Q86UL8_PF00595_21 <unknown description>
+GRNPEGQLGFELKGGAENGQFPYLGEVKPGKVAYESGSKLVSEELLLEVNETPVAGLTIR
+DVLAVIKHCKDPLRLK
+>Q86UL8_PF00625_137 <unknown description>
+TVPCTTRPHKEGEVPGVDYIFITVEDFMELEKSGALLESGTYEDNYYGTP
+>Q86UL8_PF16663_198 <unknown description>
+NVTDQILPGATPSAEGKRKRNKSVSNMEKASIEPPEEEEEERPVVNGNGVVVTPESSEHE
+DK
+>Q86UL8_PF00397_304 <unknown description>
+LPDNWEMAYTEKGEVYFIDHNTKTTSWLDP
+>Q86UL8_PF00595_428 <unknown description>
+TLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQDGKMETGDVIVYINEVCVLGHTHA
+DVVKLFQS
+>Q86UL8_PF00595_609 <unknown description>
+VKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGDLIVEINQQNVQNLSHTEVVDILKD
+C
+>Q86UL8_PF00595_780 <unknown description>
+HLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTHR
+YVIDLMHHAARNGQVNLTV
+>Q86UL8_PF00595_921 <unknown description>
+VVIHRKENEGFGFVIISSLNRPESGSTITVPHKIGRIIDGSPADRCAKLKVGDRILAVNG
+QSIINMPHADIVKLIKDAGLSVTLRI
+>Q86UL8_PF00595_1148 <unknown description>
+VDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDMTH
+ARAIELIKSGGRRVRLLL
+>Q5TCQ9_PF00625_141 <unknown description>
+RTIPCTTRAPRDGEVPGVDYNFISVEQFKALEESGALLESGTYDGNFYGTP
+>Q5TCQ9_PF00397_295 <unknown description>
+LPKNWEMAYTDTGMIYFIDHNTKTTTWLDP
+>Q5TCQ9_PF00397_341 <unknown description>
+LPYGWEKIEDPQYGTYYVDHLNQKTQFENP
+>Q5TCQ9_PF00595_413 <unknown description>
+LKKSTMGFGFTIIGGDRPDEFLQVKNVLKDGPAAQDGKIAPGDVIVDINGNCVLGHTHAD
+VVQMF
+>Q5TCQ9_PF00595_730 <unknown description>
+RKQESGFGFRVLGGDGPDQSIYIGAIIPLGAAEKDGRLRAADELMCIDGIPVKGKSHKQV
+LDLMTTAARNGHVLLTV
+>Q5TCQ9_PF00595_852 <unknown description>
+VVLQRKENEGFGFVILTSKNKPPPGVIPHKIGRVIEGSPADRCGKLKVGDHISAVNGQSI
+VELSHDNIVQLIKDAGVTVTLTV
+>Q5TCQ9_PF00595_1023 <unknown description>
+ELERGPRGFGFSLRGGKEYNMGLFILRLAEDGPAIKDGRIHVGDQIVEINGEPTQGITHT
+RAIELIQAGGNKVLLLL
+>Q9H6Y5_PF00595_128 <unknown description>
+LVRGYAGFGLTLGGGRDVAGDTPLAVRGLLKDGPAQRCGRLEVGDLVLHINGESTQGLTH
+AQAVERIRAGGPQLHLVIR
+>Q9UJ55_PF01454_1027 <unknown description>
+LVQFLLVKDQAKVPVQRSEMVKVILREYKDECLDIINRANNKLECAFGYQLKEIDTKNHA
+YIIINKLGYHTGNLVASYLDRPKFGLLMVVLSLIFMKGNCVREDLIFNFLFKLGLDVRET
+NGLFGNTKKLITEVFVRQKYLEYRRIPYTEPAEYEFLWGPRAFLETSK
+>Q9H0U3_PF04756_43 <unknown description>
+QLMEWTNKRPVIRMNGDKFRRLVKAPPRNYSVIVMFTALQLHRQCVVCKQADEEFQILAN
+SWRYSSAFTNRIFFAMVDFDEGSDVFQMLNMNSAPTFINFPAKGKPKRGDTYELQVRGFS
+AEQIARWIADRTDVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRRSNMEFLFNKTGWAFA
+ALCFVLAMTSGQMWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVAETHIVLLFNGGVTLG
+MVLLCEAATSDMDIGKRKIMCVAGIGLVVLFFSWMLSIFRSKYHGYPY
+>P20916_PF08205_142 <unknown description>
+NIVVPPEVVAGTEVEVSCMVPDNCPELRPELSWLGHEGLGEPAVLGRLREDEGTWVQVSL
+LHFVPTREANGHRLGCQASFP
+>P20916_PF13927_239 <unknown description>
+PPVIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGTVLREAVAESLLLELEEVTPA
+EDGVYACLAEN
+>P20916_PF13927_334 <unknown description>
+TMVAVEGETVSILCSTQSNPDPILTIFKEKQILSTVIYESELQLELPAVSPEDDGEYWCV
+AEN
+>Q3KP22_PF15077_1 <unknown description>
+MSLKPFTYPFPETRFLHAGPNVYKFKIRYGKSIRGEEIENKEVITQELEDSVRVVLGNLD
+NLQPFATEHFIVFPYKSKWERVSHLKFKHGEIILIPYPFVFTLYVEMKWFHENLSPGKPI
+SDSPLGLVPVEKKAVGAVMRKRKHMDEPSSPSRPGLDRIGKEKPNKDCRRLWPLISLMSR
+NKILSGDTACQ
+>Q9BXY0_PF01778_6 <unknown description>
+VIWDTLGNKQFCSFKIRTKTQSFCRNEYSLTGLCNRSSCPLANSQYATIKEEKGQCYLYM
+KVIERAAFPRRLWERVRLSKNYEKALEQIDENLIYWPRFIRHKCKQRFTKITQY
+>Q9BXY0_PF04874_139 <unknown description>
+KKVERREKRREEKALIAAQLDNAIEKELLERLKQDTYGDIYNFPIHAFDKALEQQEAESD
+SSDTEEKDDDDDDEEDVGKREFVEDGEVDESDISDFEDM
+>P20794_PF00069_4 <unknown description>
+YTTMRQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHANVI
+KLKEVIRENDHLYFIFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHGFFH
+RDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSVYSSPIDV
+WAVGSIMAELYMLRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQCVP
+INLKTLIPNASNEAIQLMTEMLNWDPKKRPTASQALKHPYF
+>Q969L2_PF01284_32 <unknown description>
+LRTYSGAFVCLEILFGGLVWILVASSNVPLPLLQGWVMFVSVTAFFFSLLFLGMFLSGMV
+AQIDANWNFLDFAYHFTVFVFYFGAFLLEAAATSLHDLHCNTTITGQPLLSDNQYNINVA
+ASIFAFMTTACYGCSLG
+>Q9BSK0_PF01284_26 <unknown description>
+FLRSPLGVLRLLQLLAGAAFWITIATSKYQGPVHFALFVSVLFWLLTLGLYFLTLLGKHE
+LVPVLGSRWLMVNVAHDVLAAALYGAATGIMSDQMQRHSYCNLKDYPLPCAYHAFLAAAV
+CGGVCHGLYLLSAL
+>Q8N4S9_PF01284_193 <unknown description>
+LRILGVVELLLGAGVFACVTAYIHKDSEWYNLFGYSQPYGMGGVGGLGSMYGGYYYTGPK
+TPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGINVALFILYMAAAIVYV
+NDTNRGGLCYYPLFNTPVNAVFCRVEGGQIAAMIFLFVTMIVYLISAL
+>Q8N4S9_PF07303_446 <unknown description>
+YPVIQTDDERERYKAVFQDQFSEYKELSAEVQAVLRKFDELDAVMSRLPHHSESRQEHER
+ISRIHEEFKKKKNDPTFLEKKERCDYLKNKLSHIKQRIQEYDK
+>Q13021_PF01284_25 <unknown description>
+TIPFAFFLPELIFGFLVWTMVAATHIVYPLLQGWVMYVSLTSFLISLMFLLSYLFGFYKR
+FESWRVLDSLYHGTTGILYMSAAVLQVHATIVSEKLLDPRIYYINSAASFFAFIATLLYI
+LHAF
+>Q5VYJ5_PF00629_73 <unknown description>
+CDFEDGLCHMTQDQSLQPSWTKRSGMIGLSPPFYDHNGDVSAHFLSLVSRVDSISSSLRS
+RVFLPTNDQHDCQITFYYFSCQVSGKLMVGLQTACGGPIQHLWQNTAALPNQWERNVIKI
+QSSQRFQVVFEGQMASTYEQDEVIAIDDISFSSG
+>Q5VYJ5_PF00629_270 <unknown description>
+CGFEFDMCEWTSEASAGQISWMRTKAREIPAFESTPQQDQGGDDEGYYVWVGAKHGFTLN
+HLDSRAYLNSSVCHCLGKSCHLQFYYAMESSVLRVRLYNNKEEEIFWTYNISTHSQWVKA
+DVLIPEDLKTFKIIFEGTLLSQRSFIALDHL
+>Q5VYJ5_PF00057_433 <unknown description>
+LCSADEFPCTSGQCIAKESVCDSRQDCSDESDEDPATC
+>Q5VYJ5_PF00629_476 <unknown description>
+CDFESGFCGWEPFLTEDSHWKLMKGLNNGEHHFPAADHTANINHGSFIYLEAQRSPGVAK
+LGSPVLTKLLTASTPCQVQFWYHLSQHSNLSVFTRTSLDGNLQKQGKIIRFSESQWSHAK
+IDLIAEAGESTLPFQLILEATVLSSNATVALDDISVSQEC
+>Q5VYJ5_PF00629_654 <unknown description>
+CDFEANSCDWFEAISGDHFDWIRSSQSELSADFEHQAPPRDHSLNASQGHFMFILKKSSS
+LWQVAKLQSPTFSQTGPGCILSFWFYNYGLSVGAAELQLHMENSHDSTVIWRVLYNQGKQ
+WLEATIQLGRLSQPFHLSLDKVSLGIYDGVSAIDDIRFENC
+>Q5VYJ5_PF00629_865 <unknown description>
+CNFETGICNWEQDAKDDFDWTRSQGPTPTLNTGPMKDNTLGTAKGHYLYIESSEPQAFQD
+SAALLSPILNATDTKGCTFRFYYHMFGKRIYRLAIYQRIWSDSRGQLLWQIFGNQGNRWI
+RKHLNISSRQPFQILVEASVGDGFTGDIAIDDLSFMDC
+>Q5VYJ5_PF00057_1049 <unknown description>
+NCTDNEFICRSDGHCIEKMQKCDFKYDCPDKSDEASC
+>Q5VYJ5_PF00629_1090 <unknown description>
+CSFEKRSLCKWYQPIPVHLLQDSNTFRWGLGNGISIHHGEENHRPSVDHTQNTTDGWYLY
+ADSSNGKFGDTADILTPIISLTGPKCTLVFWTHMNGATVGSLQVLIKKDNVTSKLWAQTG
+QQGAQWKRAEVFLGIRSHTQIVFRAKRGISYIGDVAVDDISFQDC
+>Q5VYJ5_PF00057_1263 <unknown description>
+KCTDHEFMCANKHCIAKDKLCDFVNDCADNSDET
+>Q5VYJ5_PF00629_1307 <unknown description>
+CDFEFDLCSWKQEKDEDFDWNLKASSIPAAGTEPAADHTLGNSSGHYIFIKSLFPQQPMR
+AARISSPVISKRSKNCKIIFHYHMYGNGIGALTLMQVSVTNQTKVLLNLTVEQGNFWRRE
+ELSLFGDEDFQLKFEGRVGKGQRGDIALDDIVLTENC
+>Q5VYJ5_PF00629_1521 <unknown description>
+CTFEKGWCGWQNSQADNFDWVLGVGSHQSLRPPKDHTLGNENGHFMYLEATAVGLRGDKA
+HFRSTMWRESSAACTMSFWYFVSAKATGSIQILIKTEKGLSKVWQESKQNPGNHWQKADI
+LLGKLRNFEVIFQGIRTRDLGGGAAIDDIEFKNC
+>Q5VYJ5_PF00057_1687 <unknown description>
+ITDFLCRDKKCIASHLLCDYKPDCSDRSDEAHC
+>Q5VYJ5_PF00629_1729 <unknown description>
+CNFETSSGNWTTACSLTQDSEDDLDWAIGSRIPAKALIPDSDHTPGSGQHFLYVNSSGSK
+EGSVARITTSKSFPASLGMCTVRFWFYMIDPRSMGILKVYTIEESGLNILVWSVIGNKRT
+GWTYGSVPLSSNSPFKVAFEADLDGNEDIFIALDDISFTPEC
+>Q5VYJ5_PF00057_1902 <unknown description>
+PCEADQFSCIYTLQCVPLSGKCDGHEDCIDGSDEMDC
+>Q5VYJ5_PF00057_1947 <unknown description>
+CSNMEFPCSTDECIPSLLLCDGVPDCHFNEDELIC
+>Q5VYJ5_PF00008_2025 <unknown description>
+CPLNYCRNGGTCVVEKNGPMCRCRQGWKGNR
+>Q9UDY8_PF13927_128 <unknown description>
+KITVNPESKAVLAGQFVKLCCRATGHPFVQYQWFKMNKEIPNGNTSELIFNAVHVKDAGF
+YVCRVNN
+>Q9UDY8_PF13895_230 <unknown description>
+CVEPTSQKLMPGSTLVLQCVAVGSPIPHYQWFKNELPLTHETKKLYMVPYVDLEHQGTYW
+CHVYNDRDSQDSKKVEII
+>Q9UDY8_PF00656_343 <unknown description>
+KVALLIGNMNYREHPKLKAPLVDVYELTNLLRQLDFKVVSLLDLTEYEMRNAVDEFLLLL
+DKGVYGLLYYAGHGYENFGNSFMVPVDAPNPYRSENCLCVQNILKLMQEKETGLNVFLLD
+MCRKRNDYDDTIPILDALKVTANIVFGYATCQGAEAFEIQHSGLANGIFMKFLKDRLLED
+KKITVLLDEVAEDMGKCHLTKGKQALEIRS
+>Q9UDY8_PF18703_583 <unknown description>
+AHELPESMCLKFDCGVQIQLGFAAEFSNVMIIYTSIVYKPPEIIMCDAYVTDFPLDLDID
+PKDANKGTPEETGSYLVSKDLPKHCLYTRLSSLQKLKEHLVFTVCLSYQYSGLEDTVEDK
+QEVNVGKPLIAKLDMHR
+>P21145_PF01284_21 <unknown description>
+TLPDLLFIFEFIFGGLVWILVASSLVPWPLVQGWVMFVSVFCFVATTTLIILYIIGAHGG
+ETSWVTLDAAYHCTAALFYLSASVLEALATITMQDGFTYRHYHENIAAVVFSYIATLLYV
+VHAVF
+>Q7Z304_PF00629_47 <unknown description>
+NEEGHYIYVDTSFGKQGEKAVLLSPDLQAEEWSCLRLVYQITTSSESLSDPSQLNLYMRF
+EDESFDRLLWSAKEPSDSWLIASLDLQNSSKKFKILIEGVLGQGNTASIALFEIKMTTGY
+C
+>Q7Z304_PF00629_170 <unknown description>
+CDFEENHLCGFVNRWNPNVNWFVGGGSIRNVHSILPQDHTFKSELGHYMYVDSVYVKHFQ
+EVAQLISPLTTAPMAGCLSFYYQIQQGNDNVFSLYTRDVAGLYEEIWKADRPGNAAWNLA
+EVEFSAPYPMEVIFEVAFNGPKGGYVALDDISFSPVHCQ
+>Q7Z304_PF00629_342 <unknown description>
+CNFEQDLCNFYQDKEGPGWTRVKVKPNMYRAGDHTTGLGYYLLANTKFTSQPGYIGRLYG
+PSLPGNLQYCLRFHYAIYGFLKMSDTLAVYIFEENHVVQEKIWSVLESPRGVWMQAEITF
+KKPMPTKVVFMSLCKSFWDCGLVALDDITIQLGSCS
+>Q7Z304_PF00629_509 <unknown description>
+CTFEQDECTFTQEKRNRSSWHRRRGETPTSYTGPKGDHTTGVGYYMYIEASHMVYGQKAR
+LLSRPLRGVSGKHCLTFFYHMYGGGTGLLSVYLKKEEDSEESLLWRRRGEQSISWLRALI
+EYSCERQHQIIFEAIRGVSIRSDIAIDDVKFQAGPC
+>Q92585_PF09596_14 <unknown description>
+PRHSAVMERLRRRIELCRRHHSTCEARYEAVSPERLELERQHTFALHQRCIQAKAKRA
+>Q8IZL2_PF09596_33 <unknown description>
+HSAIVERLRARIAVCRQHHLSCEGRYERGRAESSDRERESTLQLLSLVQHGQGAR
+>Q96JK9_PF09596_68 <unknown description>
+PKHSTVVERLRQRIEGCRRHHVNCENRYQQAQVEQLELERRDTVSLYQRTLEQRAKKS
+>Q9Y2U8_PF03020_10 <unknown description>
+QQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLR
+>Q9Y2U8_PF09402_536 <unknown description>
+DRLAQLAGDHECGSSSQRTLSVQEAAAYLKDLGPEYEGIFNTSLQWILENGKDVGIRCVG
+FGPEEELTNITDVQFLQSTRPLMSFWCRFRRAFVTVTHRLLLLCLGVVMVCVVLRYMKYR
+WTKEEEETRQMYDMVVKIIDVLRSHNEACQENKDLQPYMPIPHVRDSLIQPHDRKKMKKV
+WDRAVDFLAANESRVRTETRRIGGADFLVWRWI
+>O00462_PF02836_343 <unknown description>
+FKINGFPIFLKGSNWIPADSFQDRVTSELLRLLLQSVVDANMNTLRVWGGGIYEQDEFYE
+LCDELGIMVWQDFMFACALYPTDQGFLDSVTAEVAYQIKRLKSHPSIIIWSGNNENEEAL
+MMNWYHISFTDRPIYIKDYVTLYVKNIRELVLAGDKSRPFITSS
+>O00462_PF17786_702 <unknown description>
+FYIYGVSDLHSDYSMTLSVRVHTWSSLEPVCSRVTERFVMKGGEAVCLYEEPVSELLRRC
+GNCTRESCVVSFYLSADHELLSPTNYHFLSSPK
+>O00462_PF17753_801 <unknown description>
+KAQITAIISQQGDIFVFDLETSAVAPFVWLDVGSIPGRFSDNGFLMTEKTRTILFYPWEP
+TSKNELEQSFHVTSLTDI
+>Q9NQG1_PF06783_2 <unknown description>
+ASDLDFSPPEVPEPTFLENLLRYGLFLGAIFQLICVLAIIVPIPKSHEAEAEPSEPRSAE
+VTRKPKAAVPSVNKRPKKETKKKR
+>Q5SRI9_PF16317_98 <unknown description>
+PLNNYLHVFYYSWYGNPQFDGKYIHWNHPVLEHWDPRIAKNYPQGRHNPPDDIGSSFYPE
+LGSYSSRDPSVIETHMRQMRSASIGVLALSWYPPDVNDENGEPTDNLVPTILDKAHKYNL
+KVTFHIEPYSNRDDQNMYKNVKYIIDKYGNHPAFYRYKTKTGNALPMFYVYDSYITKPEK
+WANLLTTSGSRSIRNSPYDGLFIALLVEEKHKYDILQSGFDGIYTYFATNGFTYGSSHQN
+WASLKLFCDKYNLIFIPSVGPGYIDTSIRPWNTQNTRNRINGKYYEIGLSAALQTRPSLI
+SITSFNEWHEGTQIEKAVPKRTSNTVYLDYRPHKPGLYLELTRKWSEKYSK
+>Q5VSG8_PF16317_105 <unknown description>
+LHAFYYSWYGSPRREGHYIHWDHVMVPHWDPKISASYPRGRHSPPDDLGSSFYPELGPYS
+SRDPEVLREHMTQLKEAAIGVLVLSWYPPGMADDNGEPSDDLVPAILDTAHQYSIQVAFH
+IQPYKGRDDITVHDNIKYIIDTYGSHGAFYRYKNSMGKSLPLFYIYDSYLTSPEAWAHLL
+TPNGPHSIRNTPYDGVFIALLVEEGHTHDILAAGFDGMYTYFASNGFSFGSSHQNWKAVK
+NFCDANNLMFIPSVGPGYIDTSIRPWNNHNTRNRVNGKYYETALQAALTVRPEIVSITSF
+NEWHEGTQIEKAIPKKTPTRLYLDYLPHQPSLYLELTRRWAEHFI
+>P55145_PF20145_29 <unknown description>
+DCEVCISYLGRFYQDLKDRDVTFSPATIENELIKFCREARGKENRLCYYIGATDDAATKI
+INEVSKPLAHHIPVEKICEKLKKKDSQICELKYDKQI
+>P55145_PF10208_129 <unknown description>
+TVDLKKLRVKELKKILDDWGETCKGCAEKSDYIRKINELMPKY
+>Q9H8J5_PF07502_28 <unknown description>
+NCLKKSLEDVVIDIQSSLSKGIRGNEPVYTSTQEDCINSCCSTKNISGDKACNLMIFDTR
+KTARQPNCYLFFCPNEEACPLKPAKGLMS
+>A6NHS7_PF07502_41 <unknown description>
+LLINLEESQKLGAQFLKYYSESTGQKCSRSCCLRKDVSCNLAVFYHSPIHDNINCLHVHC
+PTLESCIL
+>P23368_PF00390_89 <unknown description>
+QERNEKLFYRILQDDIESLMPIVYTPTVGLACSQYGHIFRRPKGLFISISDRGHVRSIVD
+NWPENHVKAVVVTDGERILGLGDLGVYGMGIPVGKLCLYTACAGIRPDRCLPVCIDVGTD
+NIALLKDPFYMGLYQKRDRTQQYDDLIDEFMKAITDRYGRNTLIQFEDFGNHNAFRFLRK
+YR
+>P23368_PF03949_280 <unknown description>
+IQGTAAVALAGLLAAQKVISKPISEHKILFLGAGEAALGIANLIVMSMVENGLSEQEAQK
+KIWMFDKYGLLVKGRKAKIDSYQEPFTHSAPESIPDTFEDAVNILKPSTIIGVAGAGRLF
+TPDVIRAMASINERPVIFALSNPTAQAECTAEEAYTLTEGRCLFASGSPFGPVKLTDGRV
+FTPGQGNNVYIFPGVALAVILCNTRHISDSVFLEAAKALTSQLTDEELAQGRLYPPLANI
+QEVSINIAIKVTEYL
+>P48163_PF00390_79 <unknown description>
+QDRNEKLFYRVLTSDIEKFMPIVYTPTVGLACQQYSLVFRKPRGLFITIHDRGHIASVLN
+AWPEDVIKAIVVTDGERILGLGDLGCNGMGIPVGKLALYTACGGMNPQECLPVILDVGTE
+NEELLKDPLYIGLRQRRVRGSEYDDFLDEFMEAVSSKYGMNCLIQFEDFANVNAFRLLNK
+YR
+>P48163_PF03949_270 <unknown description>
+IQGTASVAVAGLLAALRITKNKLSDQTILFQGAGEAALGIAHLIVMALEKEGLPKEKAIK
+KIWLVDSKGLIVKGRASLTQEKEKFAHEHEEMKNLEAIVQEIKPTALIGVAAIGGAFSEQ
+ILKDMAAFNERPIIFALSNPTSKAECSAEQCYKITKGRAIFASGSPFDPVTLPNGQTLYP
+GQGNNSYVFPGVALGVVACGLRQITDNIFLTTAEVIAQQVSDKHLEEGRLYPPLNTIRDV
+SLKIAEKIVKDA
+>Q9P2G4_PF14924_87 <unknown description>
+IRFGRGKSCLFRLQPATLHCRLLRTPLATLLLQLPPGRPTPTPQLLGACDISLATAAHRV
+VGPAASGCSHRHRGRFPLHNRVGERTGDIALAYRLTDLGSRLL
+>Q9P2G4_PF14925_273 <unknown description>
+CSGAGNGRNVSSLNEEVTELDMETNIFCPPPLYYTNLTQEKPPPAQAKITIEPQMNAPEE
+MDDASPEKKRVNPPAHRSCLKHPSSAAHEHPPMLVNPPHIQNIGATNQTCQTEQNRINTI
+RQLPLLNALLVELSLLYDQPVTSPAHIHPHLAWLYRTEDKKSPESSAKSTCRSEAKKDKR
+SVGGCEKSVSLQYKKNQIENYKEDKYSEKSSGALHKRVPKGRLLYGLTNTLRLRLKLTNP
+DMLVVHEKRELYRKRQSQMLGTKFRIPSSKVKLLSSAEQSQKPQLPEDKYLDSDASFTEN
+SDTSRQISGVFDEPSTSKETKLKYATEKKTVDCSKNRINNVSLEEVVSPANSIIPERLTP
+TNILGGNVEMKIQSPCVFQQDAVVDRIVDKEIDIRQVKTTDNDILMADISDKRTGKNSCY
+ENISELKYSDDLSSPCYSEDFCTSEDTSRSFKAHDSSSRTENPKHSQYTSKSSDTGVSKK
+KNSSDRSSILSPPFSAGSPVHSYRKFHISKTQDKSLEEASSISASDLSSTHWTEQKENQI
+DQNSMHNSEITKRAQDISVKTRSSWKSLEKSQSPQTSQVSSYLPSNVSELNVLDSSTSDH
+FEEGNDDVGSLNISKQCKDICELVINKLPGYT
+>P53582_PF15801_9 <unknown description>
+CETDGCSSEAKLQCPTCIKLGIQGSYFCSQECFKGSWATHKLLHKK
+>P53582_PF00557_137 <unknown description>
+EGMRLVCRLAREVLDVAAGMIKPGVTTEEIDHAVHLACIARNCYPSPLNYYNFPKSCCTS
+VNEVICHGIPDRRPLQEGDIVNVDITLYRNGYHGDLNETFFVGEVDDGARKLVQTTYECL
+MQAIDAVKPGVRYRELGNIIQKHAQANGFSVVRSYCGHGIHKLFHTAPNVPHYAKNKAVG
+VMKSGHVFTIEPMICEGGWQDETWPDGWTAVTRDGKRSAQFEHTLLVTD
+>Q6UB28_PF00557_97 <unknown description>
+LHQACQLARHVLLLAGKSLKVDMTTEEIDALVHREIISHNAYPSPLGYGGFPKSVCTSVN
+NVLCHGIPDSRPLQDGDIINIDVTVYYNGYHGDTSETFLVGNVDECGKKLVEVARRCRDE
+AIAACRAGAPFSVIGNTISHITHQNGFQVCPHFVGHGIGSYFHGHPEIWHHANDSDLPME
+EGMAFTIEPIITEGSPEFKVLEDAWTVVSLDNQRSAQFEHTVLIT
+>P46821_PF00414_1895 <unknown description>
+TTRTSDVGGYYYEKIER
+>P46821_PF00414_1912 <unknown description>
+TTKSPSDSGYSYETI
+>P46821_PF00414_1929 <unknown description>
+TTKTPEDGDYSYEIIEK
+>P46821_PF00414_1963 <unknown description>
+TTSPPEVSGYSYEKTER
+>P46821_PF00414_2031 <unknown description>
+TTRTPDTSTYCYETAEK
+>P46821_PF00414_2048 <unknown description>
+ITRTPQASTYSYETSD
+>P50579_PF00557_168 <unknown description>
+DFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNN
+CAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDTLLKAVKDATNTG
+IKCAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGQYRIHAGKTVPIV
+KGGEATRMEEGEVYAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVIN
+ENFGTLAFCRRWLDRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLR
+>Q14244_PF05672_468 <unknown description>
+KTSAGTTDPEEATRLLAEKRRLAREQREKEERERREQEELERQKREELAQRVAEERTTRR
+EEESRRLEAEQAREKEEQLQRQAEERALREREEAERAQRQKEEEARVREEAERVRQEREK
+HFQREEQERLERKKRLEEIMKRTRRTEATD
+>P49137_PF00069_67 <unknown description>
+SQVLGLGINGKVLQIFNKRTQEKFALKMLQDCPKARREVELHWRASQCPHIVRIVDVYEN
+LYAGRKCLLIVMECLDGGELFSRIQDRGDQAFTEREASEIMKSIGEAIQYLHSINIAHRD
+VKPENLLYTSKRPNAILKLTDFGFAKETTSHNSLTTPCYTPYYVAPEVLGPEKYDKSCDM
+WSLGVIMYILLCGYPPFYSNHGLAISPGMKTRIRMGQYEFPNPEWSEVSEEVKMLIRNLL
+KTEPTQRMTITEFMNHPWI
+>Q16644_PF00069_47 <unknown description>
+KQVLGLGVNGKVLECFHRRTGQKCALKLLYDSPKARQEVDHHWQASGGPHIVCILDVYEN
+MHHGKRCLLIIMECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSHNIAHRD
+VKPENLLYTSKEKDAVLKLTDFGFAKETTQNALQTPCYTPYYVAPEVLGPEKYDKSCDMW
+SLGVIMYILLCGFPPFYSNTGQAISPGMKRRIRLGQYGFPNPEWSEVSEDAKQLIRLLLK
+TDPTERLTITQFMNHPWI
+>Q8IW41_PF00069_25 <unknown description>
+TQKLGAGISGPVRVCVKKSTQERFALKILLDRPKARNEVRLHMMCATHPNIVQIIEVFAN
+SVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHFTEKQASQVTKQIALALRHCHLLNI
+AHRDLKPENLLFKDNSLDAPVKLCDFGFAKIDQGDLMTPQFTPYYVAPQVLEAQRRHQKE
+KSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPPFYSKHHSRTIPKDMRRKIMTGSFE
+FPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLDHPWL
+>Q16655_PF14991_2 <unknown description>
+PREDAHFIYGYPKKGHGHSYTTAEEAAGIGILTVILGVLLLIGCWYCRRRNGYRALMDKS
+LHVGTQCALTRRCPQEGFDHRDSKVSLQEKNCEPVVPNAPPAYEKLSAEQSPPPYSP
+>Q5VT66_PF03476_56 <unknown description>
+GTVAQLWIYPVKSCKGVPVSEAECTAMGLRSGNLRDRFWLVINQEGNMVTARQEPRLVLI
+SLTCDGDTLTLSAAYTKDLLLPIKTPTTNAVHKCRVHGLEIEGRDCGEATAQWITSFLKS
+>Q5VT66_PF03473_204 <unknown description>
+QIAYSDTSPFLILSEASLADLNSRLEKKVKATNFRPNIVISGCDVYAEDSWDELLIGDVE
+LKRVMACSRCILTTVDPDTGVMSRKEPLETLKSYRQCDPSERKLYGKSPLFGQYFVLENP
+GTIKVGDPV
+>Q969Z3_PF03476_55 <unknown description>
+GTVAKLWIYPVKSCKGVPVSEAECTAMGLRSGNLRDRFWLVIKEDGHMVTARQEPRLVLI
+SIIYENNCLIFRAPDMDQLVLPSKQPSSNKLHNCRIFGLDIKGRDCGNEAAKWFTNFLKT
+>Q969Z3_PF03473_204 <unknown description>
+VAYPDYCPLLIMTDASLVDLNTRMEKKMKMENFRPNIVVTGCDAFEEDTWDELLIGSVEV
+KKVMACPRCILTTVDPDTGVIDRKQPLDTLKSYRLCDPSERELYKLSPLFGIYYSVEKIG
+SLRVGDPV
+>Q9UEW3_PF01391_148 <unknown description>
+GEQGAPGLQGHKGAMGMPGAPGPPGPPAEKGAKGAMGRDGATGPSGPQGPPGVKGEAG
+>Q9UEW3_PF01391_361 <unknown description>
+GRKGESGVPGPAGVKGEQGSPGLAGPKGAPGQAGQKGDQGVKGSSGEQGVKGEKGERGE
+>Q9UEW3_PF00530_429 <unknown description>
+SSNRGRAEVYYSGTWGTICDDEWQNSDAIVFCRMLGYSKGRALYKVGAGTGQIWLDNVQC
+RGTESTLWSCTKNSWGHHDCSHEEDAGVECS
+>P29966_PF02063_2 <unknown description>
+GAQFSKTAAKGEAAAERPGEAAVASSPSKANGQENGHVKVNGDASPAAAESGAKEELQAN
+GSAPAADKEEPAAAGSGAASPSAAEKGEPAAAAAPEAGASPVEKEAPAEGEAAEPGSPTA
+AEGEAASAASSTSSPKAEDGATPSPSNETPKKKKKRFSFKKSFKLSGFSFKKNKKEAGEG
+GEAEAPAAEGGKDEAAGGAAAAAAEAGAASGEQAAAPGEEAAAGEEGAAGGDPQEAKPQE
+AAVAPEKPPASDETKAAEEPSKVEEKKAEEAGASAAACEAPSAAGPGAPPEQEAAPAEEP
+AAAAASSACAAPSQEAQPECSPEAPPAE
+>Q15691_PF00307_16 <unknown description>
+SRHDMLAWINESLQLNLTKIEQLCSGAAYCQFMDMLFPGSIALKKVKFQAKLEHEYIQNF
+KILQAGFKRMGVDKIIPVDKLVKGKFQDNFEFVQWFKKFFDA
+>Q15691_PF03271_210 <unknown description>
+LEKERDFYFGKLRNIELICQENEGENDPVLQRIVDILYA
+>Q15555_PF00307_59 <unknown description>
+SRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNF
+KLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYD
+>Q15555_PF03271_262 <unknown description>
+EKERDFYFGKLREIELLCQEHGQENDDLVQRLMDILYA
+>Q9UPY8_PF00307_16 <unknown description>
+SRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRKVKFQAKLEHEYIHNF
+KVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDA
+>Q9UPY8_PF03271_219 <unknown description>
+LEKERDFYFSKLRDIELICQEHESENSPVISGIIGILYA
+>Q9Y4F3_PF01936_353 <unknown description>
+IGVFWDIENCSVPSGRSATAVVQRIREKFFKGHREAEFICVCDISKENKEVIQELNNCQV
+TVAHINATAKNAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIIL
+VHKNQASEALLHHANELI
+>Q9Y4F3_PF11608_510 <unknown description>
+CHTLLYVYNLPANKDGKSVSNRLRRLSDNCGGKVLSITGCSAILRFINQDSAERAQKRME
+NEDVFGNRIIVSFTPKNRELCETKS
+>Q9Y4F3_PF19687_878 <unknown description>
+LLSAETMSVLQDAPACCLPLFKFTDIYEKKFGHKLNVSDLYKLTDTVAIREQGNGRLVCL
+LPSSQARQSPLGSSQSHDGSSTNCSPIIFEELEYHEPVCRQHCSNKDFSEHEFDPDSYKI
+PFVILSLKTFAPQVHSLLQTHEGTVPLLSFPDCYIAEFGDLEVVQENQGGVPLEHFITCV
+PGVNIATAQNGIKVVKWIHNKPPPPNTDPWL
+>Q9Y4F3_PF12872_1102 <unknown description>
+QFSREVIDLLKSQPSCVIPISHFIPSYHHHFAKQCRVSDYGYSKLIELLEAVPHVLQILG
+MGSKR
+>Q9Y4F3_PF12872_1260 <unknown description>
+TKQFSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELFEAIPDTLQV
+LECGEEK
+>Q9Y4F3_PF12872_1337 <unknown description>
+KALAAQFVKLLRSQKDNCLMMTDLLTEYAKTFGYTFRLQDYDVSSISALTQKLCHVVKVA
+DIESGRQI
+>Q9Y4F3_PF12872_1410 <unknown description>
+KSLRSLTAQLLVLLMSWEGTTHLSVEELKRHYESTHNTPLNPCEYGFMTLTELLKSLPYL
+VEVFTNDKME
+>Q9Y4F3_PF12872_1486 <unknown description>
+SLYLFAKNVRSLLHTYHYQQIFLHEFSMAYTKYVGETLQPKTYGHSSVEELLGAIPQVVW
+IKGHGHKRIVV
+>Q9P0N8_PF12906_64 <unknown description>
+CRICHEGANGECLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELC
+>Q86UD3_PF12906_71 <unknown description>
+CRICHEGSSQEDLLSPCECTGTLGTIHRSCLEHWLSSSNTSYCELC
+>Q9P2E8_PF12906_163 <unknown description>
+CRICFQGPEQGELLSPCRCDGSVKCTHQPCLIKWISERGCWSCELC
+>Q9NX47_PF12906_14 <unknown description>
+CWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNSTARVACPQC
+>O60337_PF12906_9 <unknown description>
+CRVCRSEGTPEKPLYHPCVCTGSIKFIHQECLVQWLKHSRKEYCELC
+>Q9H992_PF12906_552 <unknown description>
+CRICQMAAASSSNLLIEPCKCTGSLQYVHQDCMKKWLQAKINSGSSLEAVTTCELC
+>Q5T0T0_PF12906_362 <unknown description>
+CRICHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCELC
+>Q86YJ5_PF12906_110 <unknown description>
+CRICFQGPEQGELLSPCRCDGSVRCTHQPCLIRWISERGSWSCELC
+>Q8NA82_PF12906_659 <unknown description>
+CRICQIAGGSPSNPLLEPCGCVGSLQFVHQECLKKWLKVKITSGADLGAVKTCEMC
+>A6NNE9_PF12906_170 <unknown description>
+CKICFQGAEQGELLNPCRCDGSVRYTHQLCLLKWISERGSWTCELC
+>Q9P0L2_PF00069_60 <unknown description>
+YRLQKTIGKGNFAKVKLARHVLTGREVAVKIIDKTQLNPTSLQKLFREVRIMKILNHPNI
+VKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKYIV
+HRDLKAENLLLDGDMNIKIADFGFSNEFTVGNKLDTFCGSPPYAAPELFQGKKYDGPEVD
+VWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKLLVLNPIKR
+GSLEQIMKDRWM
+>Q9P0L2_PF00627_332 <unknown description>
+DTKRIDIMVTMGFARDEINDALINQKYDEVMATYIL
+>Q9P0L2_PF02149_753 <unknown description>
+VQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL
+>Q7KZI7_PF00069_53 <unknown description>
+YRLLKTIGKGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNI
+VKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIV
+HRDLKAENLLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVD
+VWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKR
+GTLEQIMKDRWM
+>Q7KZI7_PF00627_325 <unknown description>
+DPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL
+>Q7KZI7_PF02149_746 <unknown description>
+VQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL
+>P27448_PF00069_56 <unknown description>
+YRLLKTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIMKILNHPNI
+VKLFEVIETEKTLYLIMEYASGGEVFDYLVAHGRMKEKEARSKFRQIVSAVQYCHQKRIV
+HRDLKAENLLLDADMNIKIADFGFSNEFTVGGKLDTFCGSPPYAAPELFQGKKYDGPEVD
+VWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPIKR
+GTLEQIMKDRWI
+>P27448_PF00627_328 <unknown description>
+DQKRIDIMVGMGYSQEEIQESLSKMKYDEITATYLL
+>P27448_PF02149_711 <unknown description>
+VQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL
+>Q96L34_PF00069_59 <unknown description>
+YRLLRTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPSSLQKLFREVRIMKGLNHPNI
+VKLFEVIETEKTLYLVMEYASAGEVFDYLVSHGRMKEKEARAKFRQIVSAVHYCHQKNIV
+HRDLKAENLLLDAEANIKIADFGFSNEFTLGSKLDTFCGSPPYAAPELFQGKKYDGPEVD
+IWSLGVILYTLVSGSLPFDGHNLKELRERVLRGKYRVPFYMSTDCESILRRFLVLNPAKR
+CTLEQIMKDKWI
+>Q96L34_PF00627_333 <unknown description>
+KRIEVMVGMGYTREEIKESLTSQKYNEVTATYLL
+>Q96L34_PF02149_712 <unknown description>
+FEVEVCQLPRPGLRGVLFRRVAGTALAFRTLVTRISNDLEL
+>P48740_PF00431_24 <unknown description>
+LNNMFGQIQSPGYPDSYPSDSEVTWNITVPDGFRIKLYFMHFNLESSYLCEYDYVKVETE
+DQVLATFCGRETTDTEQTPGQEVVLSPGSFMSITFRSDFSNEERFTGFDAHY
+>P48740_PF00431_185 <unknown description>
+CSDNLFTQRTGVITSPDFPNPYPKSSECLYTIELEEGFMVNLQFEDIFDIEDHPEVPCPY
+DYIKIKVGPKVLGPFCGEKAPEPISTQSHSVLILFHSDNSGENRGWRLSY
+>P48740_PF00084_301 <unknown description>
+CPELQPPVHGKIEPSQAKYFFKDQVLVSCDTGYKVLKDNVEMDTFQIECLKDGTWSNKIP
+TC
+>P48740_PF00084_367 <unknown description>
+CRAPGELEHGLITFSTRNNLTTYKSEIKYSCQEPYYKMLNNNTGIYTCSAQGVWMNKVLG
+RSLPTC
+>P48740_PF00089_450 <unknown description>
+IIGGRNAEPGLFPWQALIVVEDTSRVPNDKWFGSGALLSASWILTAAHVLRSQRRDTTVI
+PVSKEHVTVYLGLHDVRDKSGAVNSSAARVVLHPDFNIQNYNHDIALVQLQEPVPLGPHV
+MPVCLPRLEPEGPAPHMLGLVAGWGISNPNVTVDEIISSGTRTLSDVLQYVKLPVVPHAE
+CKTSYESRSGNYSVTENMFCAGYYEGGKDTCLGDSGGAFVIFDDLSQRWVVQGLVSWGGP
+EECGSKQVYGVYTKVSNYVDWV
+>O00187_PF00431_28 <unknown description>
+GRLASPGFPGEYANDQERRWTLTAPPGYRLRLYFTHFDLELSHLCEYDFVKLSSGAKVLA
+TLCGQESTDTERAPGKDTFYSLGSSLDITFRSDYSNEKPFTGFEAF
+>O00187_PF07645_138 <unknown description>
+DIDECQVAPGEAPTCDHHCHNHLGGFYCSCRAGYVLHRNKRTC
+>O00187_PF00431_184 <unknown description>
+CSGQVFTQRSGELSSPEYPRPYPKLSSCTYSISLEEGFSVILDFVESFDVETHPETLCPY
+DFLKIQTDREEHGPFCGKTLPHRIETKSNTVTITFVTDESGDHTGWKIHY
+>O00187_PF00084_300 <unknown description>
+CPYPMAPPNGHVSPVQAKYILKDSFSIFCETGYELLQGHLPLKSFTAVCQKDGSWDRPMP
+AC
+>O00187_PF00084_366 <unknown description>
+CGPPDDLPSGRVEYITGPGVTTYKAVIQYSCEETFYTMKVNDGKYVCEADGFWTSSK
+>O00187_PF00089_445 <unknown description>
+IYGGQKAKPGDFPWQVLILGGTTAAGALLYDNWVLTAAHAVYEQKHDASALDIRMGTLKR
+LSPHYTQAWSEAVFIHEGYTHDAGFDNDIALIKLNNKVVINSNITPICLPRKEAESFMRT
+DDIGTASGWGLTQRGFLARNLMYVDIPIVDHQKCTAAYEKPPYPRGSVTANMLCAGLESG
+GKDSCRGDSGGALVFLDSETERWFVGGIVSWGSMNCGEAGQYGVYTKVINYIPWI
+>Q9Y2H9_PF08926_61 <unknown description>
+PLDSPRNFSPNTPAHFSFASSRRADGRRWSLASLPSSGYGTNTPSSTVSSSCSSQERLHQ
+LPYQPTVDELHFLSKHFGSTESITDEDGGRRSPAVRPRSRSLSPGRSPSSYDNEIVMMNH
+VYKERFPKATAQMEEKLRDFTRAYEPDSVLPLADGVLSFIHHQIIELARDCLTKSRDGLI
+TTVYFYELQENLEKLLQDAYERSESLEVAFVTQLVKKLLIIISRPARLLECLEFNPEEFY
+HLLEAAEGHAKEGHLVKTDIPRYIIRQLGLTRDPF
+>Q9Y2H9_PF00069_376 <unknown description>
+TIKLISNGAYGAVYLVRHRDTRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVV
+GMFCSFETRRHLCMVMEYVEGGDCATLLKNIGALPVEMARMYFAETVLALEYLHNYGIVH
+RDLKPDNLLITSMGHIKLTDFGLSKMGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIA
+PEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDDILWPEGDEALPT
+EAQLLISSLLQTNPLVRLGAGGAFEVKQHSFF
+>Q9Y2H9_PF17820_1003 <unknown description>
+VEEGGPAQEAGLCAGDLITHVNGEPVHGMVHPEVVELILKSGNKV
+>Q6P0Q8_PF08926_201 <unknown description>
+PLDSPRNFSPNAPAHFSFVPARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQ
+LPFQPTADELHFLTKHFSTESVPDEEGRQSPAMRPRSRSLSPGRSPVSFDSEIIMMNHVY
+KERFPKATAQMEERLAEFISSNTPDSVLPLADGALSFIHHQVIEMARDCLDKSRSGLITS
+QYFYELQDNLEKLLQDAHERSESSEVAFVMQLVKKLMIIIARPARLLECLEFDPEEFYHL
+LEAAEGHAKEGQGIKCDIPRYIVSQLGLTRDPLEE
+>Q6P0Q8_PF00069_512 <unknown description>
+FETIKLISNGAYGAVFLVRHKSTRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPF
+VVSMFCSFDTKRHLCMVMEYVEGGDCATLLKNIGALPVDMVRLYFAETVLALEYLHNYGI
+VHRDLKPDNLLITSMGHIKLTDFGLSKIGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEY
+IAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEIVWPEGDEAL
+PPDAQDLTSKLLHQNPLERLGTGSAYEVKQHPFF
+>Q6P0Q8_PF17820_1140 <unknown description>
+VEDGGPASEAGLRQGDLITHVNGEPVHGLVHTEVVELILKSGNK
+>O15021_PF08926_258 <unknown description>
+PQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLP
+YQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNHVYK
+ERFPKATAQMEERLKEIITSYSPDNVLPLADGVLSFTHHQIIELARDCLDKSHQGLITSR
+YFLELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFYYLL
+EAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEE
+>O15021_PF00069_570 <unknown description>
+FETIKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPF
+VVSMYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYFAETVLALEYLHNYGI
+VHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKDAREFLDKQVCGTPEY
+IAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEINWPEKDEAP
+PPDAQDLITLLLRQNPLERLGTGGAYEVKQHRFF
+>O15021_PF17820_1176 <unknown description>
+NVEEGSPACQAGLKAGDLITHINGEPVHGLVHTEVIELLLKSGN
+>Q6ZN01_PF02037_173 <unknown description>
+EELTVSELRQQLRLRGLPVSGTKSMLLER
+>Q96EH3_PF02410_97 <unknown description>
+MVSLLRQENARDICVIQVPPEMRYTDYFVIVSGTSTRHLHAMAFYVVKMYKHLKCKRDPH
+VKIEGKDTDDWLCVDFGSMVIHLMLPETREIYELEKLW
+>P04201_PF00001_51 <unknown description>
+GILLWFLCFRMRRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHYYTIVTLSV
+TFLFGYNTGLYLLTAISVERCLSVLYPIWYRCHRPKYQSALVCALLWALSCLVTTMEYVM
+CIDREEESHSRNDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVI
+MVTIIIFLIFAMPMRLLYLLYYEYWSTFGNLHHISLLFSTINSSANPFIY
+>P51948_PF17121_3 <unknown description>
+DQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECG
+>P51948_PF06391_53 <unknown description>
+LRKSNFRVQLFEDPTVDKEVEIRKKVLKIYNKREEDFPSLREYNDFLEEVEEIVFNLTNN
+VDLDNTKKKMEIYQKENKDVIQKNKLKLTREQEELEEALEVERQENEQRRLFIQKEEQLQ
+QILKRKNKQAFLDELESSDLPVALLLAQHKDRSTQLEMQLEKPKPVKPVTFSTGIKMGQH
+ISLAPIHKLEEALYEYQP
+>Q9NZL9_PF04321_30 <unknown description>
+RVLVTGATGLLGRAVHKEFQQNNWHAVGCGFRRARPKFEQVNLLDSNAVHHIIHDFQPHV
+IVHCAAERRPDVVENQPDAASQLNVDASGNLAKEAAAVGAFLIYISSDYVFDGTNPPYRE
+EDIPAPLNLYGKTKLDGEKAVLENNLGAAVLRIPILYGEVEKLEESAVTVMFDKVQFSNK
+SANMDHWQQRFPTHVKDVATVCRQLAEKRMLDPSIKGTFHWSGNEQMTKYEMACAIADAF
+NLPSSHLRPITDSPVLGAQRPRNAQLDCSKLETLGIGQRTPFRIGIKESLWPF
+>P42679_PF00018_64 <unknown description>
+PGELAFRKGDVVTILEACENKSWYRVKHHTSGQEGL
+>P42679_PF00017_122 <unknown description>
+WFHGKISGQEAVQQLQPPEDGLFLVRESARHPGDYVLCVSFGRDVIHYRVLHRDGHLTID
+EAVFFCNLMDMVEHY
+>P42679_PF07714_235 <unknown description>
+LTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMTKMQHENLVRLLGV
+ILHQGLYIVMEHVSKGNLVNFLRTRGRALVNTAQLLQFSLHVAEGMEYLESKKLVHRDLA
+ARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKHGKFTSKSDVWSFGVLL
+WEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPEGCPGPVHVLMSSCWEAEPARRPPFRKL
+AEKL
+>P21941_PF00092_41 <unknown description>
+DLVFVVDSSRSVRPVEFEKVKVFLSQVIESLDVGPNATRVGMVNYASTVKQEFSLRAHVS
+KAALLQAVRRIQPLSTGTMTGLAIQFAITKAFGDAEGGRSRSPDISKVVIVVTDGRPQDS
+VQDVSARARASGVELFAIGVGSVDKATLRQIASEPQDEHVDYVESYSVIEKLS
+>P21941_PF14670_227 <unknown description>
+CATGDHDCEQVCISSPGSYTCACHEGFTLNSDGKTC
+>P21941_PF00092_275 <unknown description>
+DLVFLIDGSKSVRPENFELVKKFISQIVDTLDVSDKLAQVGLVQYSSSVRQEFPLGRFHT
+KKDIKAAVRNMSYMEKGTMTGAALKYLIDNSFTVSSGARPGAQKVGIVFTDGRSQDYIND
+AAKKAKDLGFKMFAVGVGNAVEDELREIASEPVAEHYFYTADFKTINQI
+>P21941_PF10393_451 <unknown description>
+ICVEEDPCACESLVKFQAKVEGLLQALTRKLEAVSKRLAILENT
+>O00339_PF00092_57 <unknown description>
+DLVFIIDSSRSVNTHDYAKVKEFIVDILQFLDIGPDVTRVGLLQYGSTVKNEFSLKTFKR
+KSEVERAVKRMRHLSTGTMTGLAIQYALNIAFSEAEGARPLRENVPRVIMIVTDGRPQDS
+VAEVAAKARDTGILIFAIGVGQVDFNTLKSIGSEPHEDHVFLVANFSQIETLT
+>O00339_PF14670_242 <unknown description>
+CSTLEHNCAHFCINIPGSYVCRCKQGYILNSDQTTC
+>O00339_PF07645_283 <unknown description>
+CAMEDHNCEQLCVNVPGSFVCQCYSGYALAEDGKRC
+>O00339_PF12662_341 <unknown description>
+SYLCQCHEGFALNPDKKTCTKID
+>O00339_PF14670_365 <unknown description>
+CASSNHGCQHECVNTDDSYSCHCLKGFTLNPDKKTC
+>O00339_PF12662_423 <unknown description>
+SYYCRCHRGYTLDPNGKTCSRVD
+>O00339_PF07645_485 <unknown description>
+VDYCLLSDHGCEYSCVNMDRSFACQCPEGHVLRSDGKTC
+>O00339_PF14670_570 <unknown description>
+CQAIDHGCEHICVNSDDSYTCECLEGFRLAEDGKRC
+>O00339_PF00092_655 <unknown description>
+DLVFVIDGSKSLGEENFEVVKQFVTGIIDSLTISPKAARVGLLQYSTQVHTEFTLRNFNS
+AKDMKKAVAHMKYMGKGSMTGLALKHMFERSFTQGEGARPLSTRVPRAAIVFTDGRAQDD
+VSEWASKAKANGITMYAVGVGKAIEEELQEIASEPTNKHLFYAEDFSTMDEISE
+>O00339_PF10393_909 <unknown description>
+LEEKHDQCKCENLIMFQNLANEEVRKLTQRLEEMTQRMEALENRL
+>O15232_PF00092_83 <unknown description>
+DLVFIIDSSRSVRPLEFTKVKTFVSRIIDTLDIGPADTRVAVVNYASTVKIEFQLQAYTD
+KQSLKQAVGRITPLSTGTMSGLAIQTAMDEAFTVEAGAREPSSNIPKVAIIVTDGRPQDQ
+VNEVAARAQASGIELYAVGVDRADMASLKMMASEPLEEHVFYVETYGVIEKLS
+>O15232_PF14670_310 <unknown description>
+CALNTHGCEHICVNDRSGSYHCECYEGYTLNEDRKTC
+>O15232_PF14670_352 <unknown description>
+CALGTHGCQHICVNDRTGSHHCECYEGYTLNADKKTC
+>O15232_PF10393_440 <unknown description>
+VSTEDACGCEATLAFQDKVSSYLQRLNTKLDDILEKLKINEYG
+>O95460_PF00092_34 <unknown description>
+DLVFVIDSSRSVRPFEFETMRQFLMGLLRGLNVGPNATRVGVIQYSSQVQSVFPLRAFSR
+REDMERAIRDLVPLAQGTMTGLAIQYAMNVAFSVAEGARPPEERVPRVAVIVTDGRPQDR
+VAEVAAQARARGIEIYAVGVQRADVGSLRAMASPPLDEHVFLVESFDLIQEF
+>O95460_PF14670_219 <unknown description>
+CAEGTHGCEHHCVNSPGSYFCHCQVGFVLQQDQRSC
+>O95460_PF00092_345 <unknown description>
+DLVLLVDGSKSVRPQNFELVKRFVNQIVDFLDVSPEGTRVGLVQFSSRVRTEFPLGRYGT
+AAEVKQAVLAVEYMERGTMTGLALRHMVEHSFSEAQGARPRALNVPRVGLVFTDGRSQDD
+ISVWAARAKEEGIVMYAVGVGKAVEAELREIASEPAELHVSYAPDFGTMTHLL
+>O95460_PF10393_539 <unknown description>
+PCECESLVEFQGRTLGALESLTLNLAQLTARLEDLENQL
+>Q7Z434_PF16739_6 <unknown description>
+DKTYKYICRNFSNFCNVDVVEILPYLPCLTARDQDRLRATCTLSGNRDTLWHLFNTLQRR
+PGWVEYFIAALRGCELVDLADEVA
+>P61244_PF00010_24 <unknown description>
+KRAHHNALERKRRDHIKDSFHSLRDSVPSLQGEKASRAQILDKATEYIQYM
+>P56270_PF13912_190 <unknown description>
+YICALCAKEFKNGYNLRRHEA
+>P56270_PF00096_279 <unknown description>
+HACEMCGKAFRDVYHLNRHKLSH
+>P56270_PF13894_307 <unknown description>
+YQCPVCQQRFKRKDRMSYHVRSH
+>P56270_PF00096_337 <unknown description>
+YNCSHCGKSFSRPDHLNSHVRQVH
+>Q96EY5_PF10240_11 <unknown description>
+PLAGLAWSSASAPPPRGFSAISCTVEGAPASFGKSFAQKSGYFLCLSSLGSLENPQENVV
+ADIQIVVDKSPLPLGFSPVCDPMDSKASVSKKKRMCVKLLPLGATDTAVFDVRLSGKTKT
+VPGYLRIGDMGGFAIWCKKAKAPRPVPKPRGLSRDMQGLSLDAASQPSKGGLLERTASRL
+GSRASTLRRNDSIYEASSLYGISAMDGVPFTLHPRFEGKSCSPLAFSAFGDLTIKSLADI
+EEEYNYGFVVE
+>Q9H7P6_PF10240_48 <unknown description>
+DPITGVGVVASRNRAPTGYDVVAQTADGVDADLWKDGLFKSKVTRYLCFTRSFSKENSHL
+GNVLVDMKLIDIKDTLPVGFIPIQETVDTQEVAFRKKRLCIKFIPRDSTEAAICDIRIMG
+RTKQAPPQYTFIGELNSMGIWYRMGRVPRNHDSSQPTTPSQSSAASTPAPNLPRHISLTL
+PATFRGRNSTRTDYEYQHSNLYAISAMDGVPFMISEKFSCVPESMQPFDLLGITIKSLAE
+IEKEYEYSFRTE
+>Q13394_PF03281_63 <unknown description>
+YEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGY
+LSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRDRYVVQITPAFKCTGIWPR
+SAAHWPLPHIPWPGPNRVAEVKAEGFNLLSKECHSLAGKQSSAESDAWVLQFAEAENRLQ
+MGGCRKKCLSILKTLRDRHLELPGQPLNNYHMKTLVSYECEKHPRESDWDESCLGDRLNG
+ILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALENAAKQTW
+>Q9Y586_PF03281_63 <unknown description>
+YEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGY
+LSARKIRSRFQTLVAQAVDKCSYRDVVKMIADTSEVKLRIRERYVVQITPAFKCTGIWPR
+SAAQWPMPHIPWPGPNRVAEVKAEGFNLLSKECYSLTGKQSSAESDAWVLQFGEAENRLL
+MGGCRNKCLSVLKTLRDRHLELPGQPLNNYHMKTLLLYECEKHPRETDWDESCLGDRLNG
+ILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALESAAKQTW
+>Q8N8X9_PF03281_58 <unknown description>
+YNENIKVLAPSQFLVTVPIKGLAGYREAREQHWRYYTLQGTRLPCPLRDPEGLQQWLEVE
+QFMKSLWQWHETDVNIDGDIVPAKVLLVFRKLVENAVRTCHLSGKVSLLGNRSAVWVAVE
+TSAYQVELELVPAVEIPTTWSKKARWPRCLQRWPSQERVECIKSFGFNLLACSNYHWQLS
+FLRAEQVLLEQLDEDGGCRRKCFQVMRHLKEDIWCPGNRPVITSHHLQTVLFWTCEKYPH
+FKDWQVFSKAFLRLVRKLHKCVSQHFLKHYFVRNSNLFQCTNPTELDTVAQKLA
+>Q08AI8_PF03281_120 <unknown description>
+LERWTTEDTFTASSEGDAKCRGHIVPSKVLCVLKDLLVAAIVHCKHHSLIAPGSLNAASL
+REEQLHLSLLVSSGWRTISFHVVPVVRRKLGAPALEGVQQMPGFPEGSLRRILSQGVDLV
+PASAQLWRTSTDYLLTRLLGELGSLQGHRLDSLSILDRVNHESWRDSGQTDGLTFGHLKM
+VLLWASVLFLAPEDWAELQGAVYRLLVVLLCCLATRKLPHFLHPQRNLLQG
+>Q8WWY6_PF16564_6 <unknown description>
+QRKQRDCVNQCKSKPGLSTSIPLRMSSYTFKRPVTRITPHPGNEVRYHQWEESLEKPQQV
+CWQRRLQGLQA
+>Q8WWY6_PF14048_81 <unknown description>
+GELSSTLDLANTLQKLVPSYTGGSLLEDLASGLEHSCPMPHLACSSDAVEIIPAEGVGIS
+QLLCKQFLVTEEDIRKQEGKVKTVRERLAIAL
+>Q8NHZ7_PF16564_28 <unknown description>
+QKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQL
+CAYRRLQALQ
+>Q8NHZ7_PF14048_103 <unknown description>
+GEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLP
+PPLSGQLVTPADIRRQARRVKKARERLAKAL
+>A6NE82_PF16564_28 <unknown description>
+QKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQL
+CAYRRLQALQ
+>A6NE82_PF14048_103 <unknown description>
+GEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLP
+PPLSGQLVTPADIRRQARRVKKARERLAKAL
+>A6NDZ8_PF16564_28 <unknown description>
+QKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQL
+CAYRRLQALQ
+>A6NDZ8_PF14048_103 <unknown description>
+GEGSSPLHLESVLSILAPGTAGESLDRAGAERVRIPLEPTPGRFPAVAGGPTPGMGCQLP
+PPLSGQLVTPADIRRQARRVKKARERLAKAL
+>A6NJ08_PF16564_28 <unknown description>
+QKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQL
+CAYRRLQALQ
+>A6NJ08_PF14048_103 <unknown description>
+GEGSSPLHLESVLSILAPGTAGESLDRAGAERVRIPLEPTPGRFPAVAGGPTPGMGCQLP
+PPLSGQLVTPADIRRQARRVKKARERLAKAL
+>A0A1B0GVZ6_PF16564_28 <unknown description>
+QKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQL
+CAYRRLQALQ
+>A0A1B0GVZ6_PF14048_103 <unknown description>
+GEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLP
+PPLSGQLVTPADIRRQARRVKKARERLAKAL
+>Q9BQG0_PF04931_72 <unknown description>
+YALKRLITGLGVGRETARPCYSLALAQLLQSFEDLPLCSILQQIQEKYDLHQVKKAMLRP
+ALFANLFGVLALFQSGRLVKDQEALMKSVKLLQALAQYQNHLQEQPRKALVDILSEVSKA
+TLQEILPEVLKADLNIILSSPEQLELFLLAQQKVPSKLKKLVGSVNLFSDENVPRLVNVL
+KMAASSVKKDRKLPAIALDLLRLALKEDKFPRFWKEVVEQGLLKMQFWPASYLCFRLLGA
+ALPLLTKEQLHLVMQGDVIRHYGEHVCTAKLPKQFKFAPEMDDYVGTFLEGCQDDPERQL
+AVLVAFSSVTNQGLPVTPTFWRVVRFLSPPALQGYVAWLRAMFLQPDLDSLVDFSTNNQK
+KAQDSSLHMPERAVFRLRKWIIFRLVSIVDSLHLEMEEALTEQVARFCLFHSFFVTKKPT
+SQIPETKHPFSFPLENQAREAVSSAFFSLLQTLSTQFKQAPGQTQGGQPWTYHLVQFADL
+LLNHSHNVTTVTPFTAQQRQAWDRMLQTLKELEAHSAEARAAAFQHLLLLVGIHLLKSPA
+ESCDLLGDIQTCIRKSLGEKPRRSRTKTIDPQEPPWVEVLVEILLALLAQPSHLMRQVAR
+SVFGHICSHLTPRALQLILDVLNPETSEDENDRVVVTDDSDERRLKGAEDKSEEGEDNRS
+SESEEESEGEESEEEERDGDVDQGFREQLMTVLQAGKALGGEDSENEEELGDEAMMALDQ
+SLASLFAEQKLRIQARRDEKNKLQKEKALRRDFQIRVLDLVEVLV
+>Q9UIS9_PF01429_3 <unknown description>
+EDWLDCPALGPGWKRREVFRKSGATCGRSDTYYQSPTGDRIRSKVELTRYLGPACDLTLF
+DFKQG
+>Q9UIS9_PF02008_169 <unknown description>
+RMFKRVGCGECAACQVTEDCGACSTCLLQLPHDVASGLFCKCERRRC
+>Q9UIS9_PF02008_219 <unknown description>
+VERSRGCGVCRGCQTQEDCGHCPICLRPPRPGLRRQWKCVQRRC
+>Q9UIS9_PF02008_331 <unknown description>
+NRRQNRKCGACAACLRRMDCGRCDFCCDKPKFGGSNQKRQKCRWRQC
+>Q9UBB5_PF01429_148 <unknown description>
+KRMDCPALPPGWKKEEVIRKSGLSAGKSDVYYFSPSGKKFRSKPQLARYLGNTVDLSSFD
+FRTGKMM
+>Q9UBB5_PF16564_223 <unknown description>
+QRLRNDPLNQNKGKPDLNTTLPIRQTASIFKQPVTKVTNHPSNKVKSDPQRMNEQPRQLF
+WEKRLQGLSA
+>Q9UBB5_PF14048_297 <unknown description>
+EQIIKTMELPKGLQGVGPGSNDETLLSAVASALHTSSAPITGQVSAAVEKNPAVWLNTSQ
+PLCKAFIVTDEDIRKQEERVQQVRKKLEEAL
+>O95983_PF01429_1 <unknown description>
+MERKRWECPALPQGWEREEVPRRSGLSAGHRDVFYYSPSGKKFRSKPQLARYLGGSMDLS
+TFDFRTGKM
+>O95983_PF16564_79 <unknown description>
+QRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLF
+WEKKLSGLNA
+>O95983_PF14048_153 <unknown description>
+EELVKTMDLPKGLQGVGPGCTDETLLSAIASALHTSTMPITGQLSAAVEKNPGVWLNTTQ
+PLCKAFMVTDEDIRKQEELVQQVRKRLEEAL
+>O95243_PF01429_79 <unknown description>
+GTECRKSVPCGWERVVKQRLFGKTAGRFDVYFISPQGLKFRSKSSLANYLHKNGETSLKP
+EDFDFTVLSKR
+>P11226_PF01391_42 <unknown description>
+GINGFPGKDGRDGTKGEKGEPGQGLRGLQGPPGKLGPPGNPGPSGSPGPKGQKGDPGK
+>P11226_PF00059_146 <unknown description>
+MTFEKVKALCVKFQASVATPRNAAENGAIQNLIKEEAFLGITDEKTEGQFVDLTGNRLTY
+TNWNEGEPNNAGSDEDCVLLLKNGQWNDVPCSTSHLAVCEF
+>A4D2B0_PF00753_77 <unknown description>
+RGPILVDTGGPWAREALLGALAGQGVAPGDVTLVVGTHGHSDHIGNLGLFPGA
+>Q68D91_PF00753_30 <unknown description>
+ANIWLVRGSEQDVVIDTGLGLRSLPEYLYSSGLLQDREAKEDAARRPLLAVATHVHFDHS
+GGLYQFDRVAVHHAEAEALARGDNFETVTWLSDSEVVRTPSPGWRARQFRVQAVQPTLIL
+QDGDVINLGDRQLTVMHMPGHSRGSICLHDKDRKILFSGDVVYDGSLIDWLPYSRISDYV
+GTCERLIELVDRGLVEKVLPGH
+>Q9NR56_PF14608_19 <unknown description>
+CREFQRGTCSRPDTECKFAHP
+>Q9NR56_PF14608_53 <unknown description>
+CFDSLKGRCSRENCKYLHP
+>Q9NR56_PF00642_183 <unknown description>
+EVCREYQRGNCNRGENDCRFAHP
+>Q9NR56_PF14608_221 <unknown description>
+CMDYIKGRCSREKCKYFHP
+>Q9NUK0_PF14608_20 <unknown description>
+CREFQRGTCSRADADCKFAHP
+>Q9NUK0_PF14608_54 <unknown description>
+CFDSLKGRCTRENCKYLHP
+>Q9NUK0_PF00642_176 <unknown description>
+KLEVCREFQRGNCTRGENDCRYAHP
+>Q9NUK0_PF14608_215 <unknown description>
+ICMDYIKGRCSREKCKYFHP
+>Q6ZNC8_PF03062_126 <unknown description>
+IYIFHYGILTTDFSGPLMIVTQKITTLAFQVHDGLGRRAEDLSAEQHRLAIKVKPSFLEY
+LSYLLNFMSVIAGPCNNFKDYIAFIEGKHIHMKLLEVNWKRKGFHSLPEPSPTGAVIHKL
+GITLVSLLLFLTLTKTFPVTCLVDDWFVHKASFPARLCYLYVVMQASKPKYYFAWTLADA
+VNNAAGFGFSGVDKNGNFCWDLLSNLNIWKIETATSFKMYLENWNIQTATWLKCVCYQRV
+PWYPTVLTFILSALWHGVYPGYYFTFLTGILVTLAARAVRNNYRHYFLSSRALKAVYDAG
+TWAVTQLA
+>Q6ZWT7_PF03062_30 <unknown description>
+VCQLFALLAAIWFRTYLHSSKTSSFIRHVVATLLGLYLALFCFGWYALHFLVQSGISYCI
+MIIIGVENMHNYCFVFALGYLTVCQVTRVYIFDYGQYSADFSGPMMIITQKITSLACEIH
+DGMFRKDEELTSSQRDLAVRRMPSLLEYLSYNCNFMGILAGPLCSYKDYITFIEGRSYHI
+TQSGENGKEETQYERTEPSPNTAVVQKLLVCGLSLLFHLTICTTLPVEYNIDEHFQATAS
+WPTKIIYLYISLLAARPKYYFAWTLADAINNAAGFGFRGYDENGAARWDLISNLRIQQIE
+MSTSFKMFLDNWNIQTALWLKRVCYERTSFSPTIQTFILSAIWHGVYPGYYLTFLTGVLM
+TLAARAMRNNFRHYFIEPSQLKLFYDVITWIVTQVAISYTV
+>Q96T53_PF03062_128 <unknown description>
+QRVTSLSLDICEGKVKAASGGFRSRSSLSEHVCKALPYFSYLLFFPALLGGSLCSFQRFQ
+ARVQGSSALHPRHSFWALSWRGLQILGLECLNVAVSRVVDAGAGLTDCQQFECIYVVWTT
+AGLFKLTYYSHWILDDSLLHAAGFGPELGQSPGEEGYVPDADIWTLERTHRISVFSRKWN
+QSTARWLRRLVFQHSRAWPLLQTFAFSAWWHGLHPGQVFGFVCWAVMVEADYLIHSFANE
+FIRSWPM
+>Q6P1A2_PF03062_127 <unknown description>
+AGYYYTATGNYDIKWTMPHCVLTLKLIGLAVDYFDGGKDQNSLSSEQQKYAIRGVPSLLE
+VAGFSYFYGAFLVGPQFSMNHYMKLVQGELIDIPGKIPNSIIPALKRLSLGLFYLVGYTL
+LSPHITEDYLLTEDYDNHPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILTGLGFNGFE
+EKGKAKWDACANMKVWLFETNPRFTGTIASFNINTNAWVARYIFKRLKFLGNKELSQGLS
+LLFLALWHGLHSGYLVCFQMEFLIVIVERQAARLIQESPTLSKLAAITVLQPFYYLVQQT
+IHWLFMGYSMT
+>Q96N66_PF03062_97 <unknown description>
+PTPFTNAVQLLLTLKLVSLASEVQDLHLAQRKEMASGFSKGPTLGLLPDVPSLMETLSYS
+YCYVGIMTGPFFRYRTYLDWLEQPFPGAVPSLRPLLRRAWPAPLFGLLFLLSSHLFPLEA
+VREDAFYARPLPARLFYMIPVFFAFRMRFYVAWIAAECGCIAAGFGAYPVAAKARAGGGP
+TLQCPPPSSPEKAASLEYDYETIRNIDCYSTDFCVRVRDGMRYWNMTVQWWLAQYIYKSA
+PARSYVLRSAWTMLLSAYWHGLHPGYYLSFLTIPLCLAAEG
+>A2RUH7_PF07679_65 <unknown description>
+RKVGDTVNLLIPFQGKPKPQAIWTHDGCALDTRRVSVRNGEQDSILFIREAQRADSGRYQ
+LRVQLGGLEATATID
+>A2RUH7_PF00041_148 <unknown description>
+PPQSIKLVDVWGFSATLEWTPPQDTGNTALLGYTVQKADTKSGLWFTVLEHYHRTSCIVS
+DLIIGNSYAFRVFAENQCGLSE
+>A2RUH7_PF07679_261 <unknown description>
+PKFTQPLADCTTVTGYNTQLFCCVRASPRPKIIWLKNKMDIQGNPKYRALTHLGICSLEI
+RKPGPFDGGIYTCKAVNPLGEASVDCRVDV
+>P02686_PF01669_149 <unknown description>
+LATASTMDHARHGFLPRHRDTGILDSIGRFFGGDRGAPKRGSGKDSHHPARTAHYGSLPQ
+KSHGRTQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGAEGQRPGFGYGGRASDY
+KSAHKGFKGVDAQGTLSKIFKLGGRDSRSGSPMARR
+>Q4ZIN3_PF09746_35 <unknown description>
+NPLINVRDRLFHALFFKMAVTYSRLFPPAFRRLFEFFVLLKALFVLFVLAYIHIVFSRSP
+INCLEHVRDKWPREGILRVEVRHNSSRAPV
+>Q4ZIN3_PF09746_149 <unknown description>
+DMEDEEEEELTMEMFGNSSIKFELDIEPKVFKPPSSTEALNDSQEFPFPETPTKVWPQDE
+YIVEYSLEYGFLRLSQATRQRLSIPVMVVTLDPTRDQCFGDRFSRLLLDEFLGYDDILMS
+SVKGLAENEENKGFLRNVVSGEHYRFVSMWMARTSYLAAFAIMVIFTLSVSMLLRYSHHQ
+IFVFIVDLLQMLEMNMAIAFPAAPLLTVILALVGMEAIMSEFFNDTTTAFYIILIVWLAD
+QYDAICCHTSTSKR
+>Q05BQ5_PF02820_185 <unknown description>
+CSLPTKVFWIAGIVKLAGYNALLRYEGFENDSGLDFWCNICGSDIHPVGWCAASGKPLVP
+PRTI
+>Q05BQ5_PF02820_289 <unknown description>
+MRVEVVDKRHLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIG
+HRFK
+>Q05BQ5_PF02820_388 <unknown description>
+MKLEAIDPLNLSTICVATIRKVLADGFLMIGIDGSEAADGSDWFCYHATSPSIFPVGFCE
+INMIELTPPRGYT
+>Q05BQ5_PF02820_496 <unknown description>
+MKLEAVDLMEPRLICVATVTRIIHRLLRIHFDGWEEEYDQWVDCESPDLYPVGWCQLTGY
+QLQPPA
+>Q14703_PF00082_210 <unknown description>
+ANVRVAVFDTGLSEKHPHFKNVKERTNWTNERTLDDGLGHGTFVAGVIASMRECQGFAPD
+AELHIFRVFTNNQVSYTSWFLDAFNYAILKKIDVLNLSIGGPDFMDHPFVDKVWELTANN
+VIMVSAIGNDGPLYGTLNNPADQMDVIGVGGIDFEDNIARFSSRGMTTWELPGGYGRMKP
+DIVTYGAGVRGSGVKGGCRALSGTSVASPVVAGAVTLLVSTVQKRELVNPASMKQALIAS
+ARRLPGVNMFEQGHG
+>O43462_PF02163_159 <unknown description>
+YFFTAVLISGVVHEIGHGIAAIREQVRFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQL
+RIFCAGIWHNFVLALLGILALVLLPVILLPFYYTGVGVLITEVAEDSPAIGPRGLFVGDL
+VTHLQDCPVTNVQDWNECLDTIAYEPQIGYCISASTLQQLSFPVRAYKRLDGSTECCNNH
+SLTDVCFSYRNNFNKRLHTCLPARKAVEATQVCRTNKDCKKSSSSSFCIIPSLETHTRLI
+KVKHPPQIDMLYVGHPLHLHYTVSITSFIPRFNFLSIDLPVVVETFVKYLISLSGALAIV
+NAVPCFALDGQWILNSFLDATLTSVIGDNDVKDLIGFFILL
+>P41968_PF00001_55 <unknown description>
+ENILVILAVVRNGNLHSPMYFFLCSLAVADMLVSVSNALETIMIAIVHSDYLTFEDQFIQ
+HMDNIFDSMICISLVASICNLLAIAVDRYVTIFYALRYHSIMTVRKALTLIVAIWVCCGV
+CGVVFIVYSESKMVIVCLITMFFAMMLLMGTLYVHMFLFARLHVKRIAALPPADGVAPQQ
+HSCMKGAVTITILLGVFIFCWAPFFLHLVLIITCPTNPYCICYTAHFNTYLVLIMCNSVI
+DPLIY
+>P32245_PF00001_61 <unknown description>
+ENILVIVAIAKNKNLHSPMYFFICSLAVADMLVSVSNGSETIVITLLNSTDTDAQSFTVN
+IDNVIDSVICSSLLASICSLLSIAVDRYFTIFYALQYHNIMTVKRVGIIISCIWAACTVS
+GILFIIYSDSSAVIICLITMFFTMLALMASLYVHMFLMARLHIKRIAVLPGTGAIRQGAN
+MKGAITLTILIGVFVVCWAPFFLHLIFYISCPQNPYCVCFMSHFNLYLILIMCNSIIDPL
+IY
+>P33032_PF00001_53 <unknown description>
+ENILVIGAIVKNKNLHSPMYFFVCSLAVADMLVSMSSAWETITIYLLNNKHLVIADAFVR
+HIDNVFDSMICISVVASMCSLLAIAVDRYVTIFYALRYHHIMTARRSGAIIAGIWAFCTG
+CGIVFILYSESTYVILCLISMFFAMLFLLVSLYIHMFLLARTHVKRIAALPGASSARQRT
+SMQGAVTVTMLLGVFTVCWAPFFLHLTLMLSCPQNLYCSRFMSHFNMYLILIMCNSVMDP
+LIY
+>O43324_PF00043_91 <unknown description>
+IHTLLKDLNSYLEDKVYLTGYNFTLADILLYYGLHRFIVDLTVQEKEKYLNVSRWFCHIQ
+>Q6VMQ6_PF16788_564 <unknown description>
+NVQSKRRRYMEEEYEAEFQVKITAKGDINQKLQKVIQWLLEEKLCALQCAVFDKTLAELK
+TRVEKIECNKRHKTVLTELQAKIARLTKRFEAAKEDLKKRHEHPPNPPVSPGKTVNDVNS
+NNNMSYRNAGTVRQMLESKRNVSESAPPSFQTPVNTVSSTNLVTPPAVVSSQPKLQTPVT
+SGSLTATSVLPAPNTATVVATTQVPSGNPQPTI
+>Q6VMQ6_PF16794_1160 <unknown description>
+LPQKPHLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEV
+KALPLPMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQS
+>Q5U623_PF16788_292 <unknown description>
+ENVKRMKTSEQINENICVSLERQTAFLEQVRHLIQQEIYSINYELFDKKLKELNQRIGKT
+ECRNKHEGIADKLLAKIAKLQRRIKTVLLFQRNCLKPNMLSSNGASKVANSEAMILDKNL
+ESVNSPIEKSSVNYEPSNPSEKGSKKINLSSDQNKSVSESNNDDVMLISVESPNLTTPIT
+SNPTDTRKITSGNSSNSPNAEVMAVQKK
+>Q5U623_PF16794_575 <unknown description>
+PPQKPELKVKRVFRPNGIALTWNITKINPKCAPVESYHLFLCHENSNNKLIWKKIGEIKA
+LPLPMACTLSQFLASNRYYFTVQSKDIFGRYGPFCDIKS
+>O43772_PF00153_7 <unknown description>
+PISPLKNLLAGGFGGVCLVFVGHPLDTVKVRLQTQPPSLPGQPPMYSGTFDCFRKTLFRE
+GITGLYRGMAAPIIGVTPMFAVCFFGFGLGKKLQQK
+>O43772_PF00153_108 <unknown description>
+LSYPQLFAAGMLSGVFTTGIMTPGERIKCLLQIQASSGESKYTGTLDCAKKLYQEFGIRG
+IYKGTVLTLMRDVPASGMYFMTYEWLKNIFT
+>O43772_PF00153_207 <unknown description>
+LSAPRILVAGGIAGIFNWAVAIPPDVLKSRFQTAPPGKYPNGFRDVLRELIRDEGVTSLY
+KGFNAVMIRAFPANAACFLGFEVAMKFLN
+>Q96RQ3_PF00289_49 <unknown description>
+ITKVLIANRGEIACRVMRTAKKLGVQTVAVYSEADRNSMHVDMADEAYSIGPAPSQQSYL
+SMEKIIQVAKTSAAQAIHPGCGFLSENMEFAELCKQEGIIFIGPPPSAI
+>Q96RQ3_PF02786_163 <unknown description>
+KSTSKSIMAAAGVPVVEGYHGEDQSDQCLKEHARRIGYPVMIKAVRGGGGKGMRIVRSEQ
+EFQEQLESARREAKKSFNDDAMLIEKFVDTPRHVEVQVFGDHHGNAVYLFERDCSVQRRH
+QKIIEEAPAPGIKSEVRKKLGEAAVRAAKAVNYVGAGTVEFIMDSKHNFCFMEMNTRLQV
+EHPVTEMITGTDLVEWQLRIAAGEKIP
+>Q96RQ3_PF02785_383 <unknown description>
+EARIYAEDPSNNFMPVAGPLVHLSTPRADPSTRIETGVRQGDEVSVHYDPMIAKLVVWAA
+DRQAALTKLRYSLRQYNIVGLHTNIDFLLNLSGHPEFEAGNVHTDFIP
+>Q96RQ3_PF00364_651 <unknown description>
+APMTGTIEKVFVKAGDKVKAGDSLMVMIAMKMEHTIKSPKDGTVKKVFYREGAQANRHTP
+LVE
+>Q9HCC0_PF01039_76 <unknown description>
+ISRGKLLPRERIDNLIDPGSPFLELSQFAGYQLYDNEEVPGGGIITGIGRVSGVECMIIA
+NDATVKGGAYYPVTVKKQLRAQEIAMQNRLPCIYLVDSGGAYLPRQADVFPDRDHFGRTF
+YNQAIMSSKNIAQIAVVMGSCTAGGAYVPAMADENIIVRKQGTIFLAGPPLVKAATGEEV
+SAEDLGGADLHCRKSGVSDHWALDDHHALHLTRKVVRNLNYQKKLDVTIEPSEEPLFPAD
+ELYGIVGANLKRSFDVREVIARIVDGSRFTEFKAFYGDTLVTGFARIFGYPVGIVGNNGV
+LFSESAKKGTHFVQLCCQRNIPLLFLQNITGFMVGREYEAEGIAKDGAKMVAAVACAQVP
+KITLIIGGSYGAGNYGMCGRAYSPRFLYIWPNARISVMGGEQAANVLATITKDQRAREGK
+QFSSADEAALKEPIIKKFEEEGNPYYSSARVWDDGIIDPADTRLVLGLSFSAALNAPI
+>P59942_PF15707_26 <unknown description>
+QGSHGCCSQNPKASMEEQTSSRGNGKMTSPPRGPGTHRTAELARAEELLEQQLELYQALL
+EGQEGAWEAQALVLKIQKLKEQMRRHQESLGG
+>O60942_PF00782_58 <unknown description>
+KVKMGLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERN
+PPELIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKE
+>O60942_PF01331_272 <unknown description>
+QPVSMDKQNIKLLDLKPYKVSWKADGTRYMMLIDGTNEVFMIDRDNSVFHVSNLEFPFRK
+DLRMHLSNTLLDGEMIIDRVNGQAVPRYLIYDIIKFNSQPVGDCDFNVRLQCIEREIISP
+RHEKMKTGLIDKTQEPFSVRNKPFFDICTSRKLLEGNFAKEVSHEMDGLIFQPTGKYKPG
+RCDDILKWK
+>O60942_PF03919_464 <unknown description>
+LNSVDFRLKITRMGGEGLLPQNVGLLYVGGYERPFAQIKVTKELKQYDNKIIECKFENNS
+WVFMRQRTDKSFPNAYNTAMAVCNSISNPVTKEML
+>Q96PE7_PF13669_49 <unknown description>
+NHVAIAVPDLEKAAAFYKNILGAQVSEAVPLPEHGVSVVFVNLGNTKMELLHPLGRDSPI
+AGFLQKNKAGGMHHICIEVDNINAAVMDLKKKKIRSLSEEVKIGAHGKPVIF
+>O43148_PF03291_137 <unknown description>
+KQKNLEEGHSSTVAAHYNELQEVGLEKRSQSRIFYLRNFNNWMKSVLIGEFLEKVRQKKK
+RDITVLDLGCGKGGDLLKWKKGRINKLVCTDIADVSVKQCQQRYEDMKNRRDSEYIFSAE
+FITADSSKELLIDKFRDPQMCFDICSCQFVCHYSFESYEQADMMLRNACERLSPGGYFIG
+TTPNSFELIRRLEASETESFGNEIYTVKFQKKGDYPLFGCKYDFNLEGVVDVPEFLVYFP
+LLNEMAKKYNMKLVYKKTFLEFYEEKIKNNENKMLLKRMQALEPYPANESSKLVSEKVDD
+YEHAAKYMKNSQVRLPLGTLSKSEWEATSIYLVFAFEKQ
+>O15068_PF13716_61 <unknown description>
+GSPVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRRDKWTSVKASVL
+RIAASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDDFKMKVPVIMLSSVPDLHGYIDKS
+QLTEDLGGTLDYCH
+>O15068_PF00435_322 <unknown description>
+QLRHFEQGFREVKAILDAASQKIATFTDIGNSLAHVEHLLRDLASFEEKSGVAVERARAL
+SLDGEQLIGNKHYAVDSIRPKCQELRHLCDQFSAEIARRRGLL
+>O15068_PF00621_605 <unknown description>
+VMSELLDTERAYVEELLCVLEGYAAEMDNPLMAHLLSTGLHNKKDVLFGNMEEIYHFHNR
+IFLRELENYTDCPELVGRCFLERMEDFQIYEKYCQNKPRSESLWRQCSDCPFFQECQRKL
+DHKLSLDSYLLKPVQRITKYQLLLKEMLKYSRNCEGAEDLQEALSSILGILKAVN
+>O15068_PF00169_813 <unknown description>
+KRGHTKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMAAVGIT
+ENVKGDAKKFEIWYNAREEVYIVQAPTPEIKAAWVNEIRKVL
+>O15068_PF07653_1032 <unknown description>
+VVADHEKGGPDALRVRSGDVVELVQEGDEGLWYVRDPTTGKEGWVPASSLSV
+>P10911_PF13716_30 <unknown description>
+IPEEVIAKVLTYLTSIARQNGSDSRFTIILDRRLDTWSSLKISLQKISASFPGNLHLVLV
+LRPTSFLQRTFTDIGFWFSQEDFMLKLPVVMLSSVSDLLTYIDDKQLTPELGGTLQYCH
+>P10911_PF00621_575 <unknown description>
+VLNELIQTERVYVRELYTVLLGYRAEMDNPEMFDLMPPLLRNKKDILFGNMAEIYEFHND
+IFLSSLENCAHAPERVGPCFLERKDDFQMYAKYCQNKPRSETIWRKYSECAFFQECQRKL
+KHRLRLDSYLLKPVQRITKYQLLLKELLKYSKDCEGSALLKKALDAMLDLLKSVN
+>P10911_PF00169_788 <unknown description>
+KMKDLARFKPMQRHLFLYEKAIVFCKRRVESGEGSDRYPSYSFKHCWKMDEVGITEYVKG
+DNRKFEIWYGEKEEVYIVQASNVDVKMTWLKEIRNI
+>Q8NI22_PF13499_76 <unknown description>
+YFKMHDYDGNNLLDGLELSTAITHVHKEEGSEQAPLMSEDELINIIDGVLRDDDKNNDGY
+IDYAEFAK
+>Q99705_PF00001_57 <unknown description>
+GNSTVIFAVVKKSKLHWCNNVPDIFIINLSVVDLLFLLGMPFMIHQLMGNGVWHFGETMC
+TLITAMDANSQFTSTYILTAMAIDRYLATVHPISSTKFRKPSVATLVICLLWALSFISIT
+PVWLYARLIPFPGGAVGCGIRLPNPDTDLYWFTLYQFFLAFALPFVVITAAYVRILQRMT
+SSVAPASQRSIRLRTKRVTRTAIAICLVFFVCWAPYYVLQLTQLSISRPTLTFVYLYNAA
+ISLGYANSCLNPFVY
+>Q969V1_PF00001_51 <unknown description>
+GNILIVFTIIRSRKKTVPDIYICNLAVADLVHIVGMPFLIHQWARGGEWVFGGPLCTIIT
+SLDTCNQFACSAIMTVMSVDRYFALVQPFRLTRWRTRYKTIRINLGLWAASFILALPVWV
+YSKVIKFKDGVESCAFDLTSPDDVLWYTLYLTITTFFFPLPLILVCYILILCYTWEMYQQ
+NKDARCCNPSVPKQRVMKLTKMVLVLVVVFILSAAPYHVIQLVNLQMEQPTLAFYVGYYL
+SICLSYASSSINPFLY
+>P20382_PF05824_84 <unknown description>
+NTGSKHNFLNHGLPLNLAIKPYLALKGSVAFPAENGVQNTESTQEKREIGDEENSAKFPI
+GRRDFDMLRCMLGRVYRPCWQV
+>D6RGH6_PF07412_177 <unknown description>
+PPEQYWKEVADQNQRALGDALVENNQLHVTLTQKQEEIASLKERNVQLKELASRTRHLAS
+VLDKLMITQ
+>Q07820_PF00452_213 <unknown description>
+LRRVGDGVQRNHETAFQGMLRKLDIKNEDDVKSLSRVMIHVFSDGVTNWGRIVTLISFGA
+FVAKHLKTINQESCIEPLAESITDVLVRTKRDWLVKQRGW
+>Q9GZU1_PF08016_385 <unknown description>
+VCSILLGTSTLLVWVGVIRYLTFFHNYNILIATLRVALPSVMRFCCCVAVIYLGYCFCGW
+IVLGPYHVKFRSLSMVSECLFSLINGDDMFVTFAAMQAQQGRSSLVWLFSQLYLYSFISL
+FIYMVLSLFIALITGAYDTI
+>Q8IZK6_PF08016_378 <unknown description>
+CSIFLGTSTLLVWVGVIRYLGYFQAYNVLILTMQASLPKVLRFCACAGMIYLGYTFCGWI
+VLGPYHDKFENLNTVAECLFSLVNGDDMFATFAQIQQKSILVWLFSRLYLYSFISLFIYM
+ILSLFIALITDSYDTI
+>Q8TDD5_PF08016_371 <unknown description>
+DVCSILLGTSTMLVWLGVIRYLGFFAKYNLLILTLQAALPNVIRFCCCAAMIYLGYCFCG
+WIVLGPYHDKFRSLNMVSECLFSLINGDDMFATFAKMQQKSYLVWLFSRIYLYSFISLFI
+YMILSLFIALITDTYETI
+>Q7L590_PF09329_381 <unknown description>
+GEALDLGTCKAKKKNGEPCTQTVNLRDCEYCQYHVQAQYKKLSAKR
+>Q7L590_PF09332_524 <unknown description>
+LPTCGARNLKQHLAKATASGIMGSPKPAIKSISASALLKQQKQRMLEMRRRKSEEIQKRF
+LQSSSEVESPAVPSSSRQPPAQPPRTGSEFPRLEGAPATMTPKLGRGVLEGDDVLFYDES
+PPPRPKLSALAEAKKLAAITKLRAKGQVLTKTNPNSIKKKQKDPQDILEVKERVEKNTMF
+SSQAEDELEPARKKRREQLAYLESEEFQKILKAKSKHTGILKEAEAEMQERYFEPLVKKE
+QMEEKMRNIREVKCRVVTCKTCAYTHFKLLETCVSEQHEYHWHDGVKRFFKCPCGNRSIS
+LDRLPNKHCSNCGLYKWERDGMLKEKTGPKIGGETLLPRGEEHAKFLNS
+>P49736_PF12619_62 <unknown description>
+PLEEEEDGEELIGDGMERDYRAIPELDAYEAEGLALDDEDVEELTASQREAAERAMRQRD
+REAGRGLGRMRRGLLYDSDEEDEERPARKRRQVERATEDGEEDEEMIESIENLEDLKGH
+>P49736_PF14551_197 <unknown description>
+HRFKNFLRTHVDSHGHNVFKERISDMCKENRESLVVNYEDLAAREHVLAYFLPEAPAELL
+QIFDEAALEVVLAMYPKYDRITNHIHVRIS
+>P49736_PF17207_294 <unknown description>
+LRSLRQLHLNQLIRTSGVVTSCTGVLPQLSMVKYNCNKCNFVLGPFCQSQNQEVKPGSCP
+ECQSAGPFEVNMEETIYQNYQRIRIQESPGKVAAGRLPRSKDAILLADLVDSCKPGDEIE
+LTGIYHN
+>P49736_PF00493_461 <unknown description>
+VKMITSLSKDQQIGEKIFASIAPSIYGHEDIKRGLALALFGGEPKNPGGKHKVRGDINVL
+LCGDPGTAKSQFLKYIEKVSSRAIFTTGQGASAVGLTAYVQRHPVSREWTLEAGALVLAD
+RGVCLIDEFDKMNDQDRTSIHEAMEQQSISISKAGIVTSLQARCTVIAAANPIGGRYDPS
+LTFSENVDLTEPIISRFDILCVVRDTVDPVQDEMLARFVVGSH
+>P49736_PF17855_718 <unknown description>
+EVLKKYIIYAKERVHPKLNQMDQDKVAKMYSDLRKESMATGSIPITVRHIESMIRMAEAH
+ARIHLRDYVIEDDVNMAIRVMLESF
+>P25205_PF14551_17 <unknown description>
+RDYLDFLDDEEDQGIYQSKVRELISDNQYRLIVNVNDLRRKNEKRANRLLNNAFEELVAF
+QRALKDFVASIDATYAKQYEEFYVGL
+>P25205_PF17207_114 <unknown description>
+RTLTSCFLSCVVCVEGIVTKCSLVRPKVVRSVHYCPATKKTIERRYSDLTTLVAFPSSSV
+YPTKDEENNPLETEYGLSVYKDHQTITIQEMPEKAPAGQLPRSVDVILDDDLVDKAKPGD
+RVQVVGTYRCL
+>P25205_PF00493_282 <unknown description>
+AKIKKFSKTRSKDIFDQLAKSLAPSIHGHDYVKKAILCLLLGGVERDLENGSHIRGDINI
+LLIGDPSVAKSQLLRYVLCTAPRAIPTTGRGSSGVGLTAAVTTDQETGERRLEAGAMVLA
+DRGVVCIDEFDKMSDMDRTAIHEVMEQGRVTIAKAGIHARLNARCSVLAAANPVYGRYDQ
+YKTPMENIGLQDSLLSRFDLLFIMLDQMDPEQDREISDHVLRMH
+>P25205_PF17855_567 <unknown description>
+FMKKYIHVAKIIKPVLTQESATYIAEEYSRLRSQDSMSSDTARTSPVTARTLETLIRLAT
+AHAKARMSKTVDLQDAEEAVELVQ
+>P33991_PF14551_163 <unknown description>
+KENFQRFLQRFIDPLAKEEENVGIDITEPLYMQRLGEINVIGEPFLNVNCEHIKSFDKNL
+YRQLISYPQEVIPTFDMAVNEIFFDRYPDSILEHQIQVRPFN
+>P33991_PF17207_271 <unknown description>
+MRNLNPEDIDQLITISGMVIRTSQLIPEMQEAFFQCQVCAHTTRVEMDRGRIAEPSVCGR
+CHTTHSMALIHNRSLFSDKQMIKLQESPEDMPAGQTPHTVILFAHNDLVDKVQPGDRVNV
+TGIYRAV
+>P33991_PF00493_446 <unknown description>
+VELLKELSRKPDIYERLASALAPSIYEHEDIKKGILLQLFGGTRKDFSHTGRGKFRAEIN
+ILLCGDPGTSKSQLLQYVYNLVPRGQYTSGKGSSAVGLTAYVMKDPETRQLVLQTGALVL
+SDNGICCIDEFDKMNESTRSVLHEVMEQQTLSIAKAGIICQLNARTSVLAAANPIESQWN
+PKKTTIENIQLPHTLLSRFDLIFLLLDPQDEAYDRRLAHHLVAL
+>P33991_PF17855_686 <unknown description>
+VLKDYIAYAHSTIMPRLSEEASQALIEAYVDMRKIGSSRGMVSAYPRQLESLIRLAEAHA
+KVRLSNKVEAIDVEEAKRLHREA
+>P33992_PF14551_32 <unknown description>
+QRRFKEFLRQYRVGTDRTGFTFKYRDELKRHYNLGEYWIEVEMEDLASFDEDLADYLYKQ
+PAEHLQLLEEAAKEVADEVTRPRPSGEEVLQDIQVMLK
+>P33992_PF17207_136 <unknown description>
+SIRSLKSDMMSHLVKIPGIIIAASAVRAKATRISIQCRSCRNTLTNIAMRPGLEGYALPR
+KCNTDQAGRPKCPLDPYFIMPDKCKCVDFQTLKLQELPDAVPHGEMPRHMQLYCDRYLCD
+KVVPGNRVTIMGIYSIK
+>P33992_PF00493_319 <unknown description>
+EEEFRRLAALPNVYEVISKSIAPSIFGGTDMKKAIACLLFGGSRKRLPDGLTRRGDINLL
+MLGDPGTAKSQLLKFVEKCSPIGVYTSGKGSSAAGLTASVMRDPSSRNFIMEGGAMVLAD
+GGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNSRCSVLAAANSVFGRWDET
+KGEDNIDFMPTILSRFDMIFIVKDEHNEERDVMLAKHVITLH
+>P33992_PF17855_558 <unknown description>
+KLKKFIAYCRVKCGPRLSAEAAEKLKNRYIIMRSGARQHERDSDRRSSIPITVRQLEAIV
+RIAEALSKMKLQPFATEADVEEALRLFQVS
+>Q14566_PF14551_27 <unknown description>
+QKLFLDFLEEFQSSDGEIKYLQLAEELIRPERNTLVVSFVDLEQFNQQLSTTIQEEFYRV
+YPYLCRALKTFVKDRKEIPLAKDFYVAFQ
+>Q14566_PF17207_122 <unknown description>
+KIRELTSSRIGLLTRISGQVVRTHPVHPELVSGTFLCLDCQTVIRDVEQQFKYTQPNICR
+NPVCANRRRFLLDTNKSRFVDFQKVRIQETQAELPRGSIPRSLEVILRAEAVESAQAGDK
+CDFTGTLIVV
+>Q14566_PF00493_334 <unknown description>
+WEKVFEMSQDKNLYHNLCTSLFPTIHGNDEVKRGVLLMLFGGVPKTTGEGTSLRGDINVC
+IVGDPSTAKSQFLKHVEEFSPRAVYTSGKASSAAGLTAAVVRDEESHEFVIEAGALMLAD
+NGVCCIDEFDKMDVRDQVAIHEAMEQQTISITKAGVKATLNARTSILAAANPISGHYDRS
+KSLKQNINLSAPIMSRFDLFFILVDECNEVTDYAIARRIVDLH
+>Q14566_PF17855_571 <unknown description>
+DIRRYLLFARQFKPKISKESEDFIVEQYKHLRQRDGSGVTKSSWRITVRQLESMIRLSEA
+MARMHCCDEVQPKHVKEAFRLLNKSI
+>Q14566_PF18263_712 <unknown description>
+SLRLGFSEYCRISNLIVLHLRKVEEEEDESALKRSELVNWYLKEIESEIDSEEELINKKR
+IIEKVIHRLTHYDHVLIELTQAGLKGSTEGSESYEEDPYLVVNPNY
+>P33993_PF14551_10 <unknown description>
+KEKVKKFLQEFYQDDELGKKQFKYGNQLVRLAHREQVALYVDLDDVAEDDPELVDSICEN
+ARRYAKLFADAVQELLPQYKEREVVNKDVLDVYIEHRLMMEQRSRDPGMVRSPQNQYPAE
+LMRRFELYFQ
+>P33993_PF17207_149 <unknown description>
+IREVRADSVGKLVTVRGIVTRVSEVKPKMVVATYTCDQCGAETYQPIQSPTFMPLIMCPS
+QECQTNRSGGRLYLQTRGSRFIKFQEMKMQEHSDQVPVGNIPRSITVLVEGENTRIAQPG
+DHVSVTGIFL
+>P33993_PF00493_322 <unknown description>
+EELRQIAEEDFYEKLAASIAPEIYGHEDVKKALLLLLVGGVDQSPRGMKIRGNINICLMG
+DPGVAKSQLLSYIDRLAPRSQYTTGRGSSGVGLTAAVLRDSVSGELTLEGGALVLADQGV
+CCIDEFDKMAEADRTAIHEVMEQQTISIAKAGILTTLNARCSILAAANPAYGRYNPRRSL
+EQNIQLPAALLSRFDLLWLIQDRPDRDNDLRLAQHITYVH
+>P33993_PF17855_558 <unknown description>
+LMRRYIAMCREKQPMVPESLADYITAAYVEMRREAWASKDATYTSARTLLAILRLSTALA
+RLRMVDVVEKEDVNEAIRLMEMS
+>Q9UJA3_PF17207_207 <unknown description>
+LKNVRANYYGKYIALRGTVVRVSNIKPLCTKMAFLCAACGEIQSFPLPDGKYSLPTKCPV
+PVCRGRSFTALRSSPLTVTMDWQSIKIQELMSDDQREAGRIPRTIECELVHDLVDSCVPG
+DTVTITGIVKVS
+>Q9UJA3_PF00493_391 <unknown description>
+YAIQEIQAEENLFKLIVNSLCPVIFGHELVKAGLALALFGGSQKYADDKNRIPIRGDPHI
+LVVGDPGLGKSQMLQAACNVAPRGVYVCGNTTTTSGLTVTLSKDSSSGDFALEAGALVLG
+DQGICGIDEFDKMGNQHQALLEAMEQQSISLAKAGVVCSLPARTSIIAAANPVGGHYNKA
+KTVSENLKMGSALLSRFDLVFILLDTPNEHHDHLLSEHVIA
+>Q9UJA3_PF17855_665 <unknown description>
+LLRKYIGYARQYVYPRLSTEAARVLQDFYLELRKQSQRLNSSPITTRQLESLIRLTEARA
+RLELREEATKEDAEDIVEIMKYSM
+>Q9NXL9_PF17207_117 <unknown description>
+TKDVGHFLSVTGTVIRTSLVKVLEFERDYMCNKCKHVFVIKADFEQYYTFCRPSSCPSLE
+SCDSSKFTCLSGLSSSPTRCRDYQEIKIQEQVQRLSVGSIPRSMKVILEDDLVDSCKSGD
+DLTIYGIVM
+>Q9NXL9_PF00493_304 <unknown description>
+NVILASLCPQVFGMYLVKLAVAMVLAGGIQRTDATGTRVRGESHLLLVGDPGTGKSQFLK
+YAAKITPRSVLTTGIGSTSAGLTVTAVKDSGEWNLEAGALVLADAGLCCIDEFNSLKEHD
+RTSIHEAMEQQTISVAKAGLVCKLNTRTTILAATNPKGQYDPQESVSVNIALGSPLLSRF
+DLILVLLDTKNEDWDRIISSFILEN
+>Q9NXL9_PF17855_522 <unknown description>
+EKMKTYFCLIRNLQPTLSDVGNQVLLRYYQMQRQSDCRNAARTTIRLLESLIRLAEAHAR
+LMFRDTVTLEDAITVVSVMESSM
+>Q9BTE3_PF09739_36 <unknown description>
+FKEKLKENNAPKWVPSLNEVPLHYLKPNSFVKFRCMIQDMFDPEFYMGVYETVNQNTKAH
+VLHFGKYRDVAECGPQQELDLNSPRNTTLERQTFYCVPVPGESTWVKEAYVNANQARVSP
+STSYTPSRHKRSYEDDDDMDLQPNKQKDQHAGARQAGSVGGLQWCGEPKRLETEASTGQQ
+LNSLNLSSPFDLNFPLPGEKGPACLVKVYEDWDCFKVNDILELYGILSVDPVLSILNNDE
+RDASALLDPMECTDTAEEQRVHSPPASLVPRIHVILAQKLQHINPLLPACLNKEESKTFV
+SSFMSELSPVRAELLGFLTHALLGDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCP
+RNSTFTEHLYRIIQHLVPASFRLQMTIENMNHLKFIPHKDYTANRLVSGLLQLPSNTSLV
+IDETLLEQGQLDTPGVHNVTALSNLITWQKVDYDFSYHQMEFPCNINVFITSEGRSLLPA
+DCQIHLQPQLIPPNMEEYMNSLLSAVLPSVLNKFRIYLTLLRFLEYSISDEITKAVEDDF
+VEMRKNDPQSITADDLHQLLVVARCLSLSAGQTTLSRERWLRAKQLESLRRTRL
+>Q4G0Z9_PF00493_302 <unknown description>
+TAILANIFASQITPPGTYNLLKLCLLMSLVQTTDRNKELEDCLDILIITSDTLLIDRLLN
+FSINLVPRGIRHLVSTEIFPTLSRNKYGTGAVSIQAGSALLAKGGICFIGDLASHKKDKL
+EQLQTVLESRSITV
+>Q4G0Z9_PF17855_540 <unknown description>
+FEKLLAFAKNLNVEFSLEAERMTHGYYLASRRIRTGSVCGSKLSASALKYLVFLSEAHAR
+LNLRNKVLKEDVLIAALLFETSL
+>Q8NEM0_PF12738_10 <unknown description>
+VAYVEVWSSNGTENYSKTFTTQLVDMGAKVSKTFNKQVTHVIFKDGYQSTWDKAQKRGVK
+LVSVLW
+>Q8NEM0_PF12258_225 <unknown description>
+EYFAGGLHSSFDDLCGNSGCGNQERKLEGSINDIKSDVCISSLVLKANNIHSSPSFTHLD
+KSSPQKFLSNLSKEEINLQRNIAGKVVTPDQKQAAGMSQETFEEKYRLSPTLSSTKGHLL
+IHSRPRSSSVKRKRVSHGSHSPPKEKCKRKRSTRRSIMPRLQLCRSEDRLQHVAGPALEA
+LSCGESSYDDYFSPDNLKERYSENLPPESQLPSSPAQLSCRSLSKKERTSIFEMSDFSCV
+GKKTRTVDITNFTAKTISSPRKTGNGEGRATSSCVTSAPEEALRCCRQAGKEDACPEGNG
+FSYTIEDPALPKGHDDDLTPLEGSLEEMKEAVGLKSTQNKGTTSKISNSSEGEAQSEHEP
+CFIVDCNMETSTEEKENLPGGYS
+>Q8NEM0_PF16589_763 <unknown description>
+FVSPASSPPVAKLCELVHLCGGRVSQVPRQASIVIGPYSGKKKATVKYLSEKWVLDSITQ
+HKVCAPENYL
+>P15529_PF00084_35 <unknown description>
+CEEPPTFEAMELIGKPKPYYEIGERVDYKCKKGYFYIPPLATHTICDRNHTWLP
+>P15529_PF00084_99 <unknown description>
+CPYIRDPLNGQAVPANGTYEFGYQMHFICNEGYYLIGEEILYCELKGSVAIWSGKPPIC
+>P15529_PF00084_162 <unknown description>
+CTPPPKIKNGKHTFSEVEVFEYLDAVTYSCDPAPGPDPFSLIGESTIYCGDNSVWSRAAP
+EC
+>P15529_PF00084_232 <unknown description>
+VVENGKQISGFGKKFYYKATVMFECDKGFYLDGSDTIVCDSNSTWDPPVPKC
+>C9JLW8_PF14799_5 <unknown description>
+PVSRVVYNGKRTSSPRSPPSSSEIFTPAHEENVRFIYEAWQGVERDLRGQVPGGERGLVE
+EYVEKVPNPSLKTFKPIDLSDLKRRST
+>Q9BUT9_PF14799_62 <unknown description>
+PGPRLVFNRVNGRRAPSTSPSFEGTQETYTVAHEENVRFVSEAWQQVQQQLDGGPAGEGG
+PRPVQYVERTPNPRLQNFVPIDLDEWWAQQFLARIT
+>Q96EZ8_PF13325_134 <unknown description>
+RWKPADDLLLINAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLYDPVISKLACQAMR
+QLHPEAIAAIQSKALFSKAEEQLLSKVGSTSQPTLETFQDLLHRHPDAFYLARTAKALQA
+HWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEVLEHELMVADRRQK
+REIRQLEQELHKWQVLVD
+>Q96EZ8_PF00498_363 <unknown description>
+ITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVLCG
+SKWRLSNNSVVEI
+>P08235_PF00105_602 <unknown description>
+ICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRLQ
+KCLQAGMN
+>P08235_PF00104_767 <unknown description>
+STLNRLAGKQMIQVVKWAKVLPGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQF
+LYFAPDLVFNEEKMHQSAMYELCQGMHQISLQFVRLQLTFEEYTIMKVLLLLSTIPKDGL
+KSQAAFEEMRTNYIKELRKMVTKCPNNSGQSWQRFYQLTKLLDSMHDLVSDLLEFCFY
+>Q6DN14_PF00168_262 <unknown description>
+QLDITLRRGQSLAARDRGGTSDPYVKFKIGGKEVFRSKIIHKNLNPVWEEKACILVDHLR
+EPLYIKVFDYDFGLQDDFMGSAFLDLTQLELNRPTDVT
+>Q6DN14_PF00168_470 <unknown description>
+GIVSITLIEGRDLKAMDSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFHLYEER
+GGVIDITAWDKDAGKRDDFIGRCQVDLSALSREQT
+>Q6DN14_PF00168_628 <unknown description>
+LQVKVIRAEGLMAADVTGKSDPFCVVELNNDRLLTHTVYKNLNPEWNKVFTFNIKDIHSV
+LEVTVYDEDRDRSADFLGKVAIPLLSIQNGEQK
+>Q6DN14_PF08372_872 <unknown description>
+SEKKGFINKIYAIQEVCVSVQNILDEVASFGERIKNTFNWTVPFLSWLAIVALCVFTAIL
+YCIPLRYIVLVWGINKFTKKLRSPYAIDNNELLDFLSRVPSD
+>Q6DN12_PF00168_196 <unknown description>
+LTIHLKEGRNLVVRDRCGTSDPYVKFKLNGKTLYKSKVIYKNLNPVWDEIVVLPIQSLDQ
+KLRVKVYDRDLTTSDFMGSAFVILSDLELNRTTEHIL
+>Q6DN12_PF00168_357 <unknown description>
+IISITLLEGKNVSGGSMTEMFVQLKLGDQRYKSKTLCKSANPQWQEQFDFHYFSDRMGIL
+DIEVWGKDNKKHEERLGTCKVDISALPLKQA
+>Q6DN12_PF00168_509 <unknown description>
+GILQVKVLKAADLLAADFSGKSDPFCLLELGNDRLQTHTVYKNLNPEWNKVFTFPIKDIH
+DVLEVTVFDEDGDKPPDFLGKVAIPLLSIRDGQP
+>Q6DN12_PF08372_732 <unknown description>
+IQDSQESTDIDDEEDEDDKESEKKGLIERIYMVQDIVSTVQNVLEEIASFGERIKNTFNW
+TVPFLSSLACLILAAATIILYFIPLRYIILIWGINKFTKKLRNPYSIDNNELLDFLSRVP
+SDV
+>Q9ULC4_PF17832_5 <unknown description>
+FDEKENVSNCIQLKTSVIKGIKNQLIEQFPGIEPWLNQIMPKKDPVKIVRCHEHIEILTV
+NGELLFFRQREGPFYPTLRLLHKYP
+>Q9ULC4_PF01472_94 <unknown description>
+HQQVDKGAIKFVLSGANIMCPGLTSPGAKLYPAAVDTIVAIMAEGKQHALCVGVMKMSAE
+DIEKVNKGIGIENIHYL
+>A0A3B3IRV3_PF17832_5 <unknown description>
+FDEKESVSNCIQLKTSVIKGIKSQLVEQFPGIEPWLNQIMPKKDPVKIVRCHEHTEILTV
+SGELLFFRQRKGPFCPTLRLLHKYP
+>A0A3B3IRV3_PF01472_94 <unknown description>
+HQQVDKGAIKFVLSGANIMCPGLTSPGAKLYPAAVDTIVAVTAEGKQHALCVGVMKMSAE
+DIEKVNKGIGIENIHYL
+>Q9NWR8_PF04678_103 <unknown description>
+EDKGIKTAAIFTADGNMISASTLMDILLMNDFKLVINKIAYDVQCPKREKPSNEHTAEME
+HMKSLVHRLFTILHLEESQKKREHHLLEKIDHLKEQLQPLEQVKAGIEAHSEAKTSGLLW
+AGLALLSIQGGALAWLTWWVYSWDIMEPVTYFITFANSMVFFAYFIVTRQDYTYSAVKSR
+QFLQFFHKKSKQQHFDVQQYNKL
+>Q96AQ8_PF07798_166 <unknown description>
+FDTHALVCLLEDNGFATQQAEIIVSALVKILEANMDIVYKDMVTKMQQEITFQQVMSQIA
+NVKKDMIILEKSEFSALRAENEKIKLELHQLKQQVMDEVIKVRTDTKLDFNLEKSRVKEL
+YSLNEKKLLELRTEIVALHAQQDRALTQTDRKIETEVAGLKTMLESHKLDNIKYLAGSIF
+TCLTVALGFYRLW
+>Q8NE86_PF04678_118 <unknown description>
+EDRGIDRVAIYSPDGVRVAASTGIDLLLLDDFKLVINDLTYHVRPPKRDLLSHENAATLN
+DVKTLVQQLYTTLCIEQHQLNKERELIERLEDLKEQLAPLEKVRIEISRKAEKRTTLVLW
+GGLAYMATQFGILARLTWWEYSWDIMEPVTYFITYGSAMAMYAYFVMTRQEYVYPEARDR
+QYLLFFHKGAKKSRFDLEKYNQL
+>Q71F56_PF11597_13 <unknown description>
+SLEDCHSNLFSLAELTGIKWRRYNFGGHGDCGPIISAPAQDDPILLSFIRCLQANLLCVW
+RRDVKPDCKELWIFWWGDEPNLVGVIHHELQVVEEGLWENGLSYECRTLLFKAIHNLLER
+CLMDKNFVRIGKWFVRPYEKDEKPVNKSEHLSCAFTFFLHGESNVCTSVEIAQHQPIYLI
+NEEHIHMAQSSPAPFQVLVSPYGLNGTLTGQ
+>Q71F56_PF18296_1416 <unknown description>
+RDVAYIVVCPENEALLEGAKTFFRDLSAVYEMCRLGQHKPICKVLRDGIMRVGKTVAQKL
+TDELVSEWFNQPWSGEENDNHSRLKLYAQVCRHHLAPYLATLQLDSSLLIPPKYQTPPAA
+AQGQATPGNAGPLAPNGSAAPPAGSAFNPTSNSSSTNPAASSSASGSSVPPVSSSASAPG
+ISQISTTSSSGFSGSVGGQNPSTGGISADRTQGNIGCGGDTDPGQSSSQPSQDGQESVTE
+RERIGIPTEPDSADSHAHPPAVVIYMVDPFTYAAEEDSTSGNFWLLSLMRCYTEMLDNLP
+EHMRNSFILQIVPCQYMLQTMKDEQVFYIQYLKSMAFSVYCQCRR
+>Q71F56_PF06333_1796 <unknown description>
+YSPPFILAPIKDKQTELGETFGEASQKYNVLFVGYCLSHDQRWLLASCTDLHGELLETCV
+VNIALPNRSRRSKVSARKIGLQKLWEWCIGIVQMTSLPWRVVIGRLGRLGHGELKDWSIL
+LGECSLQTISKKLKDVCRMCGISAADSPSILSACLVAMEPQGSFVVMPDAVTMGSVFGRS
+TALNMQSSQLNTPQDASCTHILVFPTSSTIQVAPANYPNEDGFSPNNDDMFVDLPFPDDM
+DNDIGILMTGNLHSSPNSSPVPSPGSPSGIGVGSHFQHSRSQGERLLSREAPEELKQQPL
+ALGYFVSTAKAENLPQWFWSSCPQAQNQCPLFLKASLHHHISVAQTDELLPARNSQRVPH
+PLDSKTTSDVLRFVLEQYNALSWLTCNPATQDRTSCLPVHFVVL
+>Q9Y6D9_PF05557_54 <unknown description>
+RAEQIRSKSHLIQVEREKMQMELSHKRARVELERAASTSARNYEREVDRNQELLTRIRQL
+QEREAGAEEKMQEQLERNRQCQQNLDAASKRLREKEDSLAQAGETINALKGRISELQWSV
+MDQEMRVKRLESEKQELQEQLDLQHKKCQEANQKIQELQASQEARADHEQQIKDLEQKLS
+LQEQDAAIVKNMKSELVRLPRLERELKQLREESAHLREMRETNGLLQEELEGLQRKLGRQ
+EKMQETLVGLELENERLLAKLQSWERLDQTMGLSIRTPEDLSRFVVELQQRELALKDKNS
+AVTSSARGLEKARQQLQEELRQVSGQLLEERKKRETHEALARRLQKRVLLLTKERDGMRA
+ILGSYDSELTPAEYSPQLTRRMREAEDMVQKVHSHSAEMEAQLSQALEELGGQKQRADML
+EMELKMLKSQSSSAEQSFLFSREEADTLRLKVEELEGERSRLEEEKRMLEAQLERRALQG
+DYDQSRTKVLHMSLNPTSVARQRLREDHSQLQAECERLRGLLRAMERGGTVPADLEAAAA
+SLPSSKEVAELKKQVESAELKNQRLKEVFQTKIQEFRKACYTLTGYQIDITTENQYRLTS
+LYAEHPGDCLIFKATSPSGSKMQLLETEFSHTVGELIEVHLRRQDSIPAFLSSLTLELFS
+RQT
+>Q15013_PF06581_10 <unknown description>
+SSAAVPDLEWYEKSEETHASQIELLETSSTQEPLNASEAFCPRDCMVPVVFPGPVSQEGC
+CQFTCELLKHIMYQRQQLPLPYEQLKHFYRKPSPQAEEMLKKKPRATTEVSSRKCQQALA
+ELESVLSHLEDFFARTLVPRVLILLGGNALSPKEFYELDLSLLAPYSVDQSLSTAACLRR
+LFRAIFMADAFSELQAPPLMGTVVMAQGHRNCGEDWFRPKLNYRVPSRGHKLTVTLSCGR
+PSIRTTAWEDYIWFQAPVTFKGFRE
+>Q13257_PF02301_16 <unknown description>
+SAEIVAEFFSFGINSILYQRGIYPSETFTRVQKYGLTLLVTTDLELIKYLNNVVEQLKDW
+LYKCSVQKLVVVISNIESGEVLERWQFDIECDKTAKDDSAPREKSQKAIQDEIRSVIRQI
+TATVTFLPLLEVSCSFDLLIYTDKDLVVPEKWEESGPQFITNSEE
+>Q9UI95_PF02301_20 <unknown description>
+CEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPELNQYIQDTLHCVKPLLEKND
+VEKVVVVILDKEHRPVEKFVFEIT
+>Q14676_PF00498_55 <unknown description>
+VVGRMPDCSVALPFPSISKQHAEIEILAWDKAPILRDCGSLNGTQILRPPKVLSPGVSHR
+LRDQELILF
+>Q14676_PF16770_1883 <unknown description>
+RTKLNQESTAPKVLFTGVVDARGERAVLALGGSLAGSAAEASHLVTDRIRRTVKFLCALG
+RGIPILSLDWLHQSRKAGFFLPPDEY
+>A0A1B0GVS7_PF15316_28 <unknown description>
+KEDTQLTNAKHADEKPINAIVINSVSDFNITDGPAKENPNEKKLSESSTSLSSLEECQTT
+FSYLQTDTSVHHRDTDEECASLILACLFCQFWDCLLMLPGTCETVCTKMCCPSRRYHHTS
+DENHSRNDCSCNCDMDCSLFESCHETSECLELAMEISEICYR
+>Q9P1T7_PF15316_74 <unknown description>
+QPQRLPQLQTSAQVPSGEEIGKIKNGHTGLSNGNGIHHGAKHGSADNRKLSAPVSQKMHR
+KIQSSLSVNSDISKKSKVNAVFSQKTGSSPEDCCVHCILACLFCEFLTLCNIVLGQASCG
+ICTSEACCCCCGDEMGDDCNCPCDMDCGIMDACCESSDCLEICMECCGICFPS
+>Q99750_PF15316_70 <unknown description>
+PQGLDSTDLDVPTEAVTCQPQGNPLGCTPLLPNDSGHPSELGGTRRAGNGALGGPKAHRK
+LQTHPSLASQGSKKSKSSSKSTTSQIPLQAQEDCCVHCILSCLFCEFLTLCNIVLDCATC
+GSCSSEDSCLCCCCCGSGECADCDLPCDLDCGILDACCESADCLEICMECCGLCFSS
+>Q8NFP4_PF13927_45 <unknown description>
+ERVYTIREGDTLMLQCLVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGG
+RYYCKAEN
+>Q8NFP4_PF13927_132 <unknown description>
+PMLTVHQTVSDVRGNFYQEKTVFLRCTVNSNPPARFIWKRGSDTLSHSQDNGVDIYEPLY
+TQGETKVLKLKNLRPQDYASYTCQVS
+>Q8NFP4_PF13927_239 <unknown description>
+PPALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLQWSHGPGPLPLGALAQGGTLSIPSV
+QARDSGYYNCTATN
+>Q8NFP4_PF13927_342 <unknown description>
+KESENIQLGQDLKLSCHVDAVPQEKVTYQWFKNGKPARMSKRLLVTRNDPELPAVTSSLE
+LIDLHFSDYGTYLCMAS
+>Q8NFP4_PF13927_439 <unknown description>
+PPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDKEAALLPSGLPLEETPDGK
+LRLERVSRDMSGTYRCQ
+>Q8NFP4_PF13927_538 <unknown description>
+PPEVEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVVPAAAEAPDH
+AELRLDAVTRDSSGSYECSVSN
+>Q8NFP4_PF00629_753 <unknown description>
+CHFEDEKICGYTQDLTDNFDWTRQNALTQNPKRSPNTGPPTDISGTPEGYYMFIETSRPR
+ELGDRARLVSPLYNASAKFYCVSFFYHMYGKHIGSLNLLVRSRNKGALDTHAWSLSGNKG
+NVWQQAHVPISPSGPFQIIFEGVRGPGYLGDIAIDDVTLKKGECP
+>Q7Z553_PF13927_117 <unknown description>
+RVYTIREGETLELTCLVTGHPRPQIRWTKTAGSASDRFQDSSVFNETLRITNIQRHQGGR
+YYCKAEN
+>Q7Z553_PF13927_218 <unknown description>
+FYYERTVFLRCVANSNPPVRYSWRRGQEVLLQGSDKGVEIYEPFFTQGETKILKLKNLRP
+QDYANYSCIAS
+>Q7Z553_PF13927_311 <unknown description>
+PSIKLLVDDPIVVNPGEAITLVCVTTGGEPAPSLTWVRSFGTLPEKTVLNGGTLTIPAIT
+SDDAGTYSCIANN
+>Q7Z553_PF07679_414 <unknown description>
+KDDNIQIGREVKISCQVEAVPSEELTFSWFKNGRPLRSSERMVITQTDPDVSPGTTNLDI
+IDLKFTDFGTYTCVASLKGG
+>Q7Z553_PF13927_510 <unknown description>
+PPNLTVPQEKSPLVTREGDTIELQCQVTGKPKPIILWSRADKEVAMPDGSMQMESYDGTL
+RIVNVSREMSGMYRCQT
+>Q7Z553_PF00629_817 <unknown description>
+CGFEDGNICLFTQDDTDNFDWTKQSTATRNTKYTPNTGPNADRSGSKEGFYMYIETSRPR
+LEGEKARLLSPVFSIAPKNPYGPTNTAYCFSFFYHMYGQHIGVLNVYLRLKGQTTIENPL
+WSSSGNKGQRWNEAHVNIYPITSFQLIFEGIRGPGIEGDIAIDDVSIAEGECA
+>P40925_PF00056_6 <unknown description>
+RVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDCALPLL
+KDVIATDKEDVAFKDLDVAILVGSMPRREGMERKDLLKANVKIFKSQGAALDKYAKKSVK
+VIVVGNPANTNCLTASKSAPSIPKENF
+>P40925_PF02866_156 <unknown description>
+TRLDHNRAKAQIALKLGVTANDVKNVIIWGNHSSTQYPDVNHAKVKLQGKEVGVYEALKD
+DSWLKGEFVTTVQQRGAAVIKARKLSSAMSAAKAICDHVRDIWFGTPEGEFVSMGVISDG
+NSYGVPDDLLYSFPVVIKNKTWKFVEGLPINDFSREKMDLTAKELTEEKESAFEF
+>P40926_PF00056_26 <unknown description>
+KVAVLGASGGIGQPLSLLLKNSPLVSRLTLYDIAHTPGVAADLSHIETKAAVKGYLGPEQ
+LPDCLKGCDVVVIPAGVPRKPGMTRDDLFNTNATIVATLTAACAQHCPEAMICVIANPVN
+STIPITAEVFKKHGVYNPNKIFG
+>P40926_PF02866_170 <unknown description>
+TTLDIVRANTFVAELKGLDPARVNVPVIGGHAGKTIIPLISQCTPKVDFPQDQLTALTGR
+IQEAGTEVVKAKAGAGSATLSMAYAGARFVFSLVDAMNGKEGVVECSFVKSQETECTYFS
+TPLLLGKKGIEKNLGIGKVSSFEEKMISDAIPELKASIKKGEDFV
+>Q00987_PF02201_35 <unknown description>
+RPKPLLLKLLKSVGAQKDTYTMKEVLFYLGQYIMTKRLYDEKQQHIVYCSNDLLGDLFGV
+PSFSVKE
+>Q00987_PF00641_306 <unknown description>
+ADYWKCTSCNEMNPPLPSHCNRCWALRE
+>Q00987_PF13920_442 <unknown description>
+EPCVICQGRPKNGCIVHGKTGHLMACFTCAKKLKKRNKPCPVCRQPIQM
+>O15151_PF02201_29 <unknown description>
+PKLPLLKILHAAGAQGEMFTVKEVMHYLGQYIMVKQLYDQQEQHMVYCGGDLLGELLGRQ
+SFS
+>O15151_PF00641_300 <unknown description>
+SEDEWQCTECKKFNSPSKRYCFRCWALRKD
+>O15151_PF13920_435 <unknown description>
+KPCSLCEKRPRDGNIIHGRTGHLVTCFHCARRLKKAGASCPICKKEIQL
+>Q9NU22_PF07728_325 <unknown description>
+VLLEGPIGCGKTSLVEYLAAVTGRTKPPQLLKVQLGDQTDSKMLLGMYRCTDVPGEFVWQ
+PGTLTQAATMGHWILLEDIDYAPLDVVSVLIPLLENGELLIPGRGDCLKVAPGFQFFATR
+RLLSCGG
+>Q9NU22_PF17867_480 <unknown description>
+ELNEVLQSRYPSLLAVVDHLLDIYIQLTGEKHHSWSDSSVGCEQAPEEVSEARRENKRPT
+LEGRELSLRDLLNWCNRIAHSFDSSSLSASLNIFQEALDCFTAMLSEHTSKLKMAEVIGS
+KLNIS
+>Q9NU22_PF07728_672 <unknown description>
+PVLLVGETGTGKTSTIQYLAHITGHRLRVVNMNQQSDTADLLGGYKPVDHKLIWLPLREA
+FEELFAQTFSKKQNFTFLGHIQTC
+>Q9NU22_PF07728_816 <unknown description>
+FAFVEGTLAQAVKKGEWILLDEINLAAPEILECLSGLLEGSSGSLVLLDRGDTEPLVRHP
+DFRLFACMNPATDVGKRNLPPGIRNRF
+>Q9NU22_PF17865_915 <unknown description>
+DLQVLIVDYLKGLSVNKNTVQGIINFYTALRKESGTKLVDGTGHRPHYSLRTLCRALRFA
+ASNPCGNIQRSLYEGFCLGFLTQLDRASHPIVQKLICQHIV
+>Q9NU22_PF07728_1079 <unknown description>
+PVLIQGETSVGKTSLIQWLAAATGNHCVRINNHEHTDIQEYIGCYTSDSSGKLVFKEGVL
+IDAMRKGYWIILDELNLAPTDVLEALNRLLDDNRELLVTETQEVVKAHPRFMLFATQNPP
+GLYGGRKVLSRAFRNRF
+>Q9NU22_PF17867_1227 <unknown description>
+ELETILHKRCSLPPSYCSKLVKVMLDLQSYRRSSSVFAGKQGFITLRDLFRWAERYRLAE
+PTEKEYDWLQHLANDGYMLLAGRVRKQEEIDVIQEVLEKHFK
+>Q9NU22_PF07728_1385 <unknown description>
+PVLLVGDTGCGKTTICQVFAALANQKLYSVSCHLHMETSDFLGGLRPVRQKPNDKEEIDT
+SRLFEWHDGPLVQAMKEDGFFLLDEISLADDSVLERLNSVLEVEKSLVLAEKGSPEDKDS
+EIELLTAGKKFRILATMNPGGDFGKKELSPALRNRF
+>Q9NU22_PF07728_1749 <unknown description>
+ILLEGSPGVGKTSLVGALAKASGNTLVRINLSEQTDITDLFGADLPVEGGKGGEFAWRDG
+PLLAALKAGHWVVLDELNLASQSVLEGLNACFDHRGEIYVPELGMSFQVQHEKTKIFGCQ
+NPFRQGGGRKGLPRSFLNRF
+>Q9NU22_PF17867_1900 <unknown description>
+DMEFIASTLFPAIEKNIVKKMVAFNNQIDHEVTVEKKWGQKGGPWEFNLRDLFRWCQLML
+VDQSPGCYDPGQHVFLVYGERMRTEEDKKKVIAVFKDVFGS
+>Q9NU22_PF07728_2062 <unknown description>
+VILVGPASVGKTSLVQLLAHLTGHTLKIMAMNSAMDTTELLGGFE
+>Q9NU22_PF07728_2219 <unknown description>
+HGTFEWVDSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTISERGMIDGSTP
+TITPNPNFRLFLSMDPVHGDIS
+>P08183_PF00664_52 <unknown description>
+VVGTLAAIIHGAGLPLMMLVFGEMTDIFANAGNLEDLMSNITNRSDINDTGFFMNLEEDM
+TRYAYYYSGIGAGVLVAAYIQVSFWCLAAGRQIHKIRKQFFHAIMRQEIGWFDVHDVGEL
+NTRLTDDVSKINEGIGDKIGMFFQSMATFFTGFIVGFTRGWKLTLVILAISPVLGLSAAV
+WAKILSSFTDKELLAYAKAGAVAEEVLAAIRTVIAFGGQKKELERYNKNLEEAKRIGIKK
+AITANISIGAAFLLIYASYALAFWYGTTLVLSGEYSIGQVLTVFFSVLIGAF
+>P08183_PF00005_410 <unknown description>
+LKGLNLKVQSGQTVALVGNSGCGKSTTVQLMQRLYDPTEGMVSVDGQDIRTINVRFLREI
+IGVVSQEPVLFATTIAENIRYGRENVTMDEIEKAVKEANAYDFIMKLPHKFDTLVGERGA
+QLSGGQKQRIAIARALVRNPKILLLDEATS
+>P08183_PF00664_712 <unknown description>
+VVGVFCAIINGGLQPAFAIIFSKIIGVFTRIDDPETKRQNSNLFSLLFLALGIISFITFF
+LQGFTFGKAGEILTKRLRYMVFRSMLRQDVSWFDDPKNTTGALTTRLANDAAQVKGAIGS
+RLAVITQNIANLGTGIIISFIYGWQLTLLLLAIVPIIAIAGVVEMKMLSGQALKDKKELE
+GSGKIATEAIENFRTVVSLTQEQKFEHMYAQSLQVPYRNSLRKAHIFGITFSFTQAMMYF
+SYAGCFRFGAYLVAHKLMSFEDVLLVFSAVVFGAM
+>P08183_PF00005_1053 <unknown description>
+LQGLSLEVKKGQTLALVGSSGCGKSTVVQLLERFYDPLAGKVLLDGKEIKRLNVQWLRAH
+LGIVSQEPILFDCSIAENIAYGDNSRVVSQEEIVRAAKEANIHAFIESLPNKYSTKVGDK
+GTQLSGGQKQRIAIARALVRQPHILLLDEATS
+>P21439_PF00664_58 <unknown description>
+SLGTIMAIAHGSGLPLMMIVFGEMTDKFVDTAGNFSFPVNFSLSLLNPGKILEEEMTRYA
+YYYSGLGAGVLVAAYIQVSFWTLAAGRQIRKIRQKFFHAILRQEIGWFDINDTTELNTRL
+TDDISKISEGIGDKVGMFFQAVATFFAGFIVGFIRGWKLTLVIMAISPILGLSAAVWAKI
+LSAFSDKELAAYAKAGAVAEEALGAIRTVIAFGGQNKELERYQKHLENAKEIGIKKAISA
+NISMGIAFLLIYASYALAFWYGSTLVISKEYTIGNAMTVFFSILIGAF
+>P21439_PF00005_412 <unknown description>
+LKGLNLKVQSGQTVALVGSSGCGKSTTVQLIQRLYDPDEGTINIDGQDIRNFNVNYLREI
+IGVVSQEPVLFSTTIAENICYGRGNVTMDEIKKAVKEANAYEFIMKLPQKFDTLVGERGA
+QLSGGQKQRIAIARALVRNPKILLLDEATS
+>P21439_PF00664_712 <unknown description>
+VVGTVCAIANGGLQPAFSVIFSEIIAIFGPGDDAVKQQKCNIFSLIFLFLGIISFFTFFL
+QGFTFGKAGEILTRRLRSMAFKAMLRQDMSWFDDHKNSTGALSTRLATDAAQVQGATGTR
+LALIAQNIANLGTGIIISFIYGWQLTLLLLAVVPIIAVSGIVEMKLLAGNAKRDKKELEA
+AGKIATEAIENIRTVVSLTQERKFESMYVEKLYGPYRNSVQKAHIYGITFSISQAFMYFS
+YAGCFRFGAYLIVNGHMRFRDVILVFSAIVFGAV
+>P21439_PF00005_1052 <unknown description>
+LQGLSLEVKKGQTLALVGSSGCGKSTVVQLLERFYDPLAGTVLLDGQEAKKLNVQWLRAQ
+LGIVSQEPILFDCSIAENIAYGDNSRVVSQDEIVSAAKAANIHPFIETLPHKYETRVGDK
+GTQLSGGQKQRIAIARALIRQPQILLLDEATS
+>Q16626_PF06910_67 <unknown description>
+GYSYQPLNQDPEQEEVELAPVGDGDVVADIQDRIQALGLHLPDPPLESEDEDEEGATALN
+NHSSIPMDPEHVELVKRTMAGVSLPAPGVPAWAREISDAQWEDVVQKALQARQA
+>Q6P9B6_PF07534_268 <unknown description>
+LLFSSELHGHSFSQLCGHITHRGPCVAVLEDHDKHVFGGFASCSWEVKPQFQGDNRCFLF
+SICPSMAVYTHTGYNDHYMYLNHGQQTIPNGLGMGGQHNYFGLWVDVDFGKGHSRAKPTC
+TTYNSPQLSAQENFQFDKMEVWAVG
+>Q03112_PF13912_264 <unknown description>
+ECKECDQVFPDLQSLEKHMLSHT
+>Q03112_PF00096_291 <unknown description>
+YKCDQCPKAFNWKSNLIRHQMSH
+>Q03112_PF00096_319 <unknown description>
+YECENCAKVFTDPSNLQRHIRSQH
+>Q03112_PF00096_348 <unknown description>
+HACPECGKTFATSSGLKQHKHIH
+>Q03112_PF00096_376 <unknown description>
+FICEVCHKSYTQFSNLCRHKRMH
+>Q03112_PF00096_406 <unknown description>
+KCKDCGQMFSTTSSLNKHRR
+>Q03112_PF00096_921 <unknown description>
+YTCRYCGKIFPRSANLTRHLRTH
+>Q03112_PF00096_949 <unknown description>
+YRCKYCDRSFSISSNLQRHVRNIH
+>Q03112_PF00096_978 <unknown description>
+FKCHLCDRCFGQQTNLDRHLKKH
+>P51608_PF01429_96 <unknown description>
+DDPTLPEGWTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDF
+DFTV
+>Q9BV79_PF08240_72 <unknown description>
+DVRVKMLAAPINPSDINMIQGNYGFLPELPAVGGNEGVAQVVAVGSNVTGLKPGDWVIPA
+>Q9BV79_PF00107_195 <unknown description>
+GVGQAVIQIAAALGLRTINVVRDRPDIQKLSDRLKSLGAEHVITEEELRRPEMKNFFKDM
+PQPRLALNCVGGKSSTELLRQLARGGTMVTYGGMAKQPVVASVSLLIFKDLKLRGFWLSQ
+WKKDHSPDQFKE
+>Q9BTT4_PF09748_9 <unknown description>
+EEHLEKFVENIRQLGIIVSDFQPSSQAGLNQKLNFIVTGLQDIDKCRQQLHDITVPLEVF
+EYIDQGRNPQLYTKECLERALAKNEQVKGKIDTMKKFKSLLIQELSKVFPEDMAKYR
+>Q9P086_PF10280_8 <unknown description>
+NERLRALEDIEREIGAILQNAGTVILELSKEKTNERLLDRQAAAFTASVQHVEAELSAQI
+RYLTQVATGQPHEGSSYSSRKDCQMALKRVDYARLKLSDVART
+>Q93074_PF09497_108 <unknown description>
+RSQSAINTWFTDLAGTKPLTQLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIK
+>Q93074_PF12145_286 <unknown description>
+EFVQSAYLSRRLAYFCTRRLALQLDGVSSHSSHVISAQSTSTLPTTPAPQPPTSSTPSTP
+FSDLLMCPQHRPLVFGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLP
+MPEGNSAFTQQVRAKLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDS
+HSFERSDFSNSLDSLCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVV
+AKLLEKRQAEIEAERCGESEAADEKGSIASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPR
+SESERVEFFNLVLLFCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPADDPE
+HKEAEGSSSSKLEDPGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPD
+VEKEVKPPPKEKIEGTLGVLYDQPRHVQYATHFPIPQEESCSHECNQRLVVL
+>Q93074_PF12144_1819 <unknown description>
+DLLHHPNPGSITHLNYRQGSIGLYTQNQPLPAGGPRVDPYRPVRLPMQKLPTRPTYPGVL
+PTTMTGVMGLEPSSYKTSVYRQQQPAVPQGQRLRQQLQQSQGMLGQSSVHQMTPSSSYGL
+QTSQGYTPYVSHVGLQQHTGPAGTMVPPSYSSQPYQSTHPSTNPTLVDPTRHLQQRPSGY
+VHQQAPTYGHGLTSTQRFSHQTLQ
+>Q9UHV7_PF18296_1367 <unknown description>
+RDIAYVVLCPENEALLNGAKSFFRDLTAIYESCRLGQHRPVSRLLTDGIMRVGSTASKKL
+SEKLVAEWFSQAADGNNEAFSKLKLYAQVCRYDLGPYLASLPLDSSLLSQPNLVAPTSQS
+LITPPQMTNTGNANTPSATLASAASSTMTVTSGVAISTSVATANSTLTTASTSSSSSSNL
+NSGVSSNKLPSFPPFGSMNSNAAGSMSTQANTVQSGQLGGQQTSALQTAGISGESSSLPT
+QPHPDVSESTMDRDKVGIPTDGDSHAVTYPPAIVVYIIDPFTYENTDESTNSSSVWTLGL
+LRCFLEMVQTLPPHIKSTVSVQIIPCQYLLQPVKHEDREIYPQHLKSLAFSAFTQCRR
+>Q9UHV7_PF06333_1760 <unknown description>
+YAPPFILAPVKDKQTELGETFGEAGQKYNVLFVGYCLSHDQRWILASCTDLYGELLETCI
+INIDVPNRARRKKSSARKFGLQKLWEWCLGLVQMSSLPWRVVIGRLGRIGHGELKDWSCL
+LSRRNLQSLSKRLKDMCRMCGISAADSPSILSACLVAMEPQGSFVIMPDSVSTGSVFGRS
+TTLNMQTSQLNTPQDTSCTHILVFPTSASVQVASATYTTENLDLAFNPNNDGADGMGIFD
+LLDTGDDLDPDIINILPASPTGSPVHSPGSHYPHGGDAGKGQSTDRLLSTEPHEEVPNIL
+QQPLALGYFVSTAKAGPLPDWFWSACPQAQYQCPLFLKASLHLHVPSVQSDELLHSKHSH
+PLDSNQTSDVLRFVLEQYNALSWLTCDPATQDRRSCLPIHFVVL
+>O60244_PF08638_50 <unknown description>
+RLSTLIEFLLHRAYSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANNA
+GKVEKCAMISSFLDQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRL
+PTCIRDKIIPPDPITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGE
+FEATLTVMG
+>Q96RN5_PF09606_17 <unknown description>
+QKLVSQIEDAMRKAGVAHSKSSKDMESHVFLKAKTRDEYLSLVARLIIHFRDIHNKKSQA
+SVSDPMNALQSLTGGPAAGAAGIGMPPRGPGQSLGGMGSLGAMGQPMSLSGQPPPGTSGM
+APHSMAVVSTATPQTQLQLQQVALQQQQQQQQFQQQQQAALQQQQQQQQQQQFQAQQSAM
+QQQFQAVVQQQQQLQQQQQQQQHLIKLHHQNQQQIQQQQQQLQRIAQLQLQQQQQQQQQQ
+QQQQQQALQAQPPIQQPPMQQPQPPPSQALPQQLQQMHHTQHHQPPPQPQQPPVAQNQPS
+QLPPQSQTQPLVSQAQALPGQMLYTQPPLKFVRAPMVVQQPPVQPQVQQQQTAVQTAQAA
+QMVAPGVQMITEALAQGGMHIRARFPPTTAVSAIPSSSIPLGRQPMAQVSQSSLPMLSSP
+SPGQQVQTPQSMPPPPQPSPQPGQPSSQPNSNVSSGPAPSPSSFLPSPSPQPSQSPVTAR
+TPQNFSVPSPGPLNTPVNPSSVMSPAGSSQAEEQQYLDKLKQLSKYIEPLRRMINKIDKN
+EDRKKDLSKMKSLLDILTDPSKRCPLKTLQKCEIALEKLKNDMAVPTPPPPPVPPTKQQY
+LCQPLLDAVLANIRSPVFNHSLYRTFVPAMTAIHGPPITAPVVCTRKRRLEDDERQSIPS
+VLQGEVARLDPKFLVNLDPSHCSNNGTVHLICKLDDKDLPSVPPLELSVPADYPAQSPLW
+IDRQWQYDANPFLQSVHRCMTSRLLQLPDKHSVTALLNTWAQSVHQAC
+>Q9Y2X0_PF11635_126 <unknown description>
+VEGDPIVALSWLHNGVKLALHVEKSGASSFGEKFSRVKFSPSLTLFGGKPMEGWIAVTVS
+GLVTVSLLKPSGQVLTSTESLCRLRGRVALADIAFTGGGNIVVATADGSSASPVQFYKVC
+VSVVSEKCRIDTEILPSLFMRCTTDLNRKDKFPAITHLKFLARDMSEQVLLCASSQTSSI
+VECWSLRKEGLPVNNIFQQISPVVGDKQPTILKWRILSATNDLDRVSAVALPKLPISLTN
+TDLKVASDTQFYPGLGLALAFHDGSVHIVHRLSLQTMAVFYSSAAPRPVDEPAMKRPRTA
+GPAVHLKAMQLSWTSLALVGIDSHGKLSVLRLSPSMGHPLEVGLALRHLLFLLEYCMVTG
+YDWWDILLHVQPSMVQSLVEKLHEEYTRQTAALQQVLSTRILAMKASLCKLSPCTVTRVC
+DYHTKLFLIAISSTLKSLLRPHFLNTPDKSPGDRLTEICTKITDVDIDKVMINLKTEEFV
+LDMNTLQALQQLLQWVGDFVLYLLASLPNQGSLLRPGHSFLRDGTSLGMLRELMVVIRIW
+GLLKPSCLPVYTATSDTQDSMSLLFRLLTKLWICCRDEGPASEPDEALVDECCLLPSQLL
+IPSLDWLPASDGLVSRLQPKQPLRLQFGRAPTLPGSAATLQLDGLARAPGQPKIDHLRRL
+HLGACPTEECKACTRCGCVTMLKSPNRTTAVKQWEQRWIKNCL
+>Q9NVC6_PF10156_139 <unknown description>
+QNPQTLQLISKKKSLAGAAQILLKGAERLTKSVTENQENKLQRDFNSELLRLRQHWKLRK
+VGDKILGDLSYRSAGSLFPHHGTFEVIKNTDLDLDKKIPEDYCPLDVQIPSDLEGSAYIK
+VSIQKQAPDIGDLGTVNLFKRPLPKSKPGSPHWQTKLEAAQNVLLCKEIFAQLSREAVQI
+KSQVPHIVVKNQIISQPFPSLQLSISLCHSSNDKKSQKFATEKQCPEDHLYVLEHNLHLL
+IREFHKQTLSSIMMPHPASAPFGHKRMRLSGPQAFDKNEINSLQSSEGLLEKIIKQAKH
+>Q9BUE0_PF09637_19 <unknown description>
+MEYLLQGSVLDHSLESLIHRLRGLCDNMEPETFLDHEMVFLLKGQQASPFVLRARRSMDR
+AGAPWHLRYLGQPEMGDKNRHALVRNCVDIATSENLTDFLMEMGFRMDHEFVAKGHLFRK
+GIMKIMVYKIFRILVPGNTDSTEALSLSYLVELSVVAPAGQDMVSDDMKNFAEQLKPLVH
+LEKIDPK
+>Q15648_PF10744_60 <unknown description>
+SCLETLQKALKVTSLPAMTDRLESIARQNGLGSHLSASGTECYITSDMFYVEVQLDPAGQ
+LCDVKVAHHGENPVSCPELVQQLREKNFDEFSKHLKGLVNLYNLPGDNKLKTKMYLALQS
+LEQDLSKMAIMYWKATNAGPLDKILHGSVGYLTPRSGGHLMNLKYYVSPSDLLDDKTASP
+IILHENNVSRSLGMNASVTIEGTSAVYKLPIAPLIMGSHPVDNKWTPSFSSITSANSVDL
+PACFFLKFPQPIPVSRAFVQKLQNCTGIPLFETQPTYAPLYELITQFELSKDPDPIPLNH
+NMRFYAALPGQQHCYFLNKDAPLPDGRSLQGTLVSKITFQHPGRVPLILNLIRHQVAYNT
+LIGSCVK
+>Q9H944_PF08612_1 <unknown description>
+MGVTCVSQMPVAEGKSVQQTVELLTRKLEMLGAEKQGTFCVDCETYHTAASTLGSQGQTG
+KLMYVMHNSEYPLSCFALFENGPCLIADTNFDVLMVKLKGFFQSAKASKIETRGTRYQYC
+DFLVKVGTVTMGPSARGISVEVEYGPCVVASDCWSLLLEFLQSFLGSHTPGAPAVFGNRH
+DAVYGPADTMVQYMELFN
+>Q13503_PF11221_1 <unknown description>
+MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFNNIQTAINKDQPANPTEEYAQLFAA
+LIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATCLEDVVYRGDMLLEKIQS
+ALADIA
+>Q15528_PF06179_20 <unknown description>
+KRLKDDIKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYEMHVRAANIVRAGESLMKLV
+SDLKQFLILNDFPSVNEAIDQRNQQLRTLQEECDRKLITLRDEIS
+>Q9ULK4_PF11573_2 <unknown description>
+ETQLQSIFEEVVKTEVIEEAFPGMFMDTPEDEKTKLISCLGAFRQFWGGLSQESHEQCIQ
+WIVKFIHGQHSPKRISFLYDCLAMAVETGLLPPRLVCESLINSDTLEWERTQLWALTFKL
+VRKIIGGVDYKGVRDLLKVILEKILTIPNTVSSAVVQQLLAAREVIAYILERNACLLPAY
+FAVTEIRKLYPEGKLPHWLLGNLVSDFVDTFRPTARINSICGRCSLLPVVNNSGAICNSW
+KLDPATLRFPLKGLLPYDKDLFEPQTALLRYVLEQPYSRDMVCNMLGLNKQHKQRCPVLE
+DQLVDLVVYAMERSETEEKFDDGGTSQLLWQHLSSQLIFFVLFQFASFPHMVLSLHQKLA
+GRGLIKGRDHLMWVLLQFISGSIQKNALADFLPVMKLFDLLYPEKEYIPVPDINKPQSTH
+AFAMTCIWIHLNRKAQNDNSKLQIPIPHSLRLHHEFLQQSLRNKSLQMNDYKIALLCNAY
+STNSECFTLPMGALVETIYGNGIMRIPLPGTNCMASGSITPLPMNLLDSLTVHAKMSLIH
+SIATRVIKLAHAKSSVALAPALVETYSRLLVYMEIESLGIKGFISQLLPTVFKSHAWGIL
+HTLLEMFSYRMHHIQPHYRVQLLSHLHTLAAVAQTNQNQLHLCVESTALRLITALGSSEV
+QPQFTRFLSDPKTVLSAESEELNRALILTLARATHVTDFFTGSDSIQGTWCKDILQTIMS
+FTPHNWASHTLSCFPGPLQAFFKQNNVPQESRFNLKKNVEEEYRKWKSMSNENDIITHFS
+MQGSPPLFLCLLWKMLLETDHINQIGYRVLERIGARALVAHVRTFADFLVYEFSTSAGGQ
+QLNKCIEILNDMVWKYNIVTLDRLILCLAMRSHEGNEAQVCYFIIQLLLLKPNDFRNRVS
+DFVKENSPEHWLQNDWHTKHMNYHKKYPEKLYFEGLAEQVDPPVQIQSPYLPIYFGNVCL
+RFLPVFDIVIHRFLELLPVSKSLETLLDHLGGLYKFHDRPVTYLYNTLHYYEMHLRDRAF
+LKRKLVHAIIGSLKDNRPQGWCLSDTYLKCAMNAREENPWVPDDTYYCRLIGRLVDTMAG
+KSPGPFPNCDWRFNEFPNPAAHALHVTCVELMALAVSGKEVGNALLNVVLKSQPLVPREN
+ITAWMNAIGLIITALPEPYWIVLHDRIVSVISSPSLTSETEWVGYPFRLFDFTACHQSYS
+EMSCSYTLALAHAVWHHSSIGQLSLIPKFLTEVLLPIVKTEFQLLYVYHLVGPFLQRFQQ
+ERTRCMIEIGVAFYDMLLNVDQCSTHLNYMDPICDFLYHMKYMFTG
+>O75448_PF11277_1 <unknown description>
+MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLADALLEQAMIGPSPNPLI
+LSYLKYAISSQMVSYSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGL
+CRALLSALHWLLRCTAASAERLREGLEAGTPAAGEKQLAMCLQRLEKTLSSTKNRALLHI
+AKLEEASSWTAIEHSLLKLGEILANLSNPQLRSQAEQCGTLIRSIPTMLSVHAEQMHKTG
+FPTVHAVILLEGTMNLTGETQSLVEQLTMVKRMQHIPTPLFVLEIWKACFVGLIESPEGT
+EELKWTAFTFLKIPQVLVKLKKYSHGDKDFTEDVNCAFEFLLKLTPLLDKADQRCNCDCT
+NFLLQECGKQGLLSEASVNNLMAKRKADREHAPQQKSGENANIQPNIQLILRAEPTVTNI
+LKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKSFARKFINLNEFTTYGSE
+ESTKPASVRALLFDISFLMLCHVAQTYGSEVILSESRTGAEVPFFETWMQTCMPEEGKIL
+NPDHPCFRPDSTKVESLVALLNNSSEMKLVQMKWHEACLSISAAILEILNAWENGVLAFE
+SIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMIRQLAGPLFSENTLQFYN
+ERVVIMNSILERMCADVLQQTATQIKFPSTGVDTMPYWNLLPPKRPIKEVLTDIFAKVLE
+KGWVDSRSIHIFDTLLHMGGVYWFCNNLIKELLKETRKEHTLRAVELLYSIFCLDMQQVT
+LVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSSHKGQASTRQKKRHREDI
+EDYISLFPLDDVQPSKLMRLLSSNEDDANILSSPTDRSMSSSLSASQLHTVNMRDPLNRV
+LANLFLLISSILGSRTAGPHTQFVQWFMEECVDCLEQGGRGSVLQFMPFTTVSELVKVSA
+MSSPKVVLAITDLSLPLGRQVAAKAIA
+>Q71SY5_PF11265_14 <unknown description>
+VVADVVFVIEGTANLGPYFEGLRKHYLLPAIEYFNGGPPAETDFGGDYGGTQYSLVVFNT
+VDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLSTALQLFDDFKKMRE
+QIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTENLVQQIGERGIHFSIVSPRKLPALRL
+LFEKAAPPALLEPLQPPTDVSQDPRHMVLVRGL
+>Q71SY5_PF11235_228 <unknown description>
+LPVGGGSAPGPLQSKQPVPLPPAAPSGATLSAAPQQPLPPVPPQYQVPGNLSAAQVAAQN
+AVEAAKNQKAGLGPRFSPITPLQQAAPGVGPPFSQAPAPQLPPGPPGAPKPPPASQPSLV
+STVAPGSGLAPTAQPGAPSMAGTVAPGGVSGPSPAQ
+>Q71SY5_PF11232_397 <unknown description>
+NKLLAWSGVLEWQEKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQ
+LLTTLGPLFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYS
+SKKKIFMGLIPYDQSGFVNGIRQVITNHKQ
+>Q71SY5_PF11244_658 <unknown description>
+TGVPPPQASLHHLQPPGAPALLPPPHQGLGQPQLGPPLLHPPPAQSWPAQLPPRAPLPGQ
+MLLSGGPRGPVPQPGLQPSVMEDDILMDLI
+>O95402_PF08711_34 <unknown description>
+VISSLEKYPITKEALEETRLGKLINDVRKKTKNEELAKRAKKLLRSWQKLI
+>O95402_PF15694_177 <unknown description>
+SSPLPTNGISGSPESFASSLDGSGHAGPEGSRLERDENDKHSGKIPVNAVRPHTSSPGLG
+KPPGPCLQPKASVLQQLDRVDETPGPPHPKGPPRCSFSPRNSRHEGSFARQQSLYAPKGS
+VPSPSPRPQALDATQVPSPLPLAQPSTPPVRRLELLPSAESPVCWLEQPESHQRLAGPGC
+KAGLSPAEPLLSRAGFSPDSSKADSDAASSGGSDSKKKKRYRPRDYTVNLDGQVAEAGVK
+P
+>O95402_PF15693_419 <unknown description>
+RLKERKLTFDPMTRQIKPLTQKEPVRADSPVHMEQQSRTELDKQEAKASLQSPFEQTNWK
+ELSRNEIIQSYLSRQSSLLSSSGAQTPGAHHFMSEYLKQEESTRQGARQLHVLVPQSPPT
+DLPGLTREVTQDDLDRIQASQWPGVNGCQDTQGNWYDWTQCISLDPHGDDGRLNILPYVC
+>Q6P2C8_PF11571_229 <unknown description>
+DIWSKSNYQVFQKVTDHATTALLHYQLPQMPDVVVRSFMTWLRSYIKLFQAPCQRCGKFL
+QDGLPPTWRDFRTLEAFHDTCR
+>Q9H204_PF11594_43 <unknown description>
+TLVDELESSFEACFASLVSQDYVNGTDQEEIRTGVDQCIQKFLDIARQTECFFLQKRLQL
+SVQKPEQVIKEDVSELRNELQRKDALVQKHLTKLRHWQQVL
+>Q9NX70_PF11568_52 <unknown description>
+QDFDPVQRYKMLIPQLKESLQTLMKVAAQNLIQNTNIDNGQKSSDGPIQRFDKCLEEFYA
+LCDQLELCLRLAHECLSQSCDSAKHSPTLVPTATKPDAVQPDSLPYPQYLAVIKAQISCA
+KDIHTALLDCANKV
+>Q96HR3_PF11315_29 <unknown description>
+NTASLCRIGQETVQDIVYRTMEIFQLLRNMQLPNGVTYHTGTYQDRLTKLQDNLRQLSVL
+FRKLRLVYDKCNENCGGMDPIPVEQLIPYVEEDGSKNDDRAGPPRFASEERREIAEVNKK
+LKQKNQQLKQIMDQLRNLIWDINAMLAM
+>Q9Y3C7_PF05669_16 <unknown description>
+LRFQLELEFVQCLANPNYLNFLAQRGYFKDKAFVNYLKYLLYWKDPEYAKYLKYPQCLHM
+LELLQYEHFRKELVNAQCAKFIDEQQILHWQHY
+>Q9NPJ6_PF10018_64 <unknown description>
+QVLELLIHRDGEFQELMKLALNQGKIHHEMQVLEKEVEKRDSDIQQLQKQLKEAEQILAT
+AVYQAKEKLKSIEKARKGAISSEEIIKYAHRISASNAVCAPLTWVPGDPRRPYPTDLEMR
+SGLLGQMNNPSTNGVN
+>O75586_PF04934_13 <unknown description>
+ISWVDSSWIPILNSGSVLDYFSERSNPFYDRTCNNEVVKMQRLTLEHLNQMVGIEYILLH
+AQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDLGSVINSRVLTAVHGIQSAFDE
+AMSYCRYH
+>O43513_PF05983_8 <unknown description>
+SALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLESQGIERL
+HPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVHHLINEYR
+PHQARETLRVMMEVQKRQRLETAERFQKHLERVIEM
+>Q96G25_PF10232_1 <unknown description>
+MQREEKQLEASLDALLSQVADLKNSLGSFICKLENEYGRLTWPSVLDSFALLSGQLNTLN
+KVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQ
+EKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESGGLRPNKQTFNPTDTNA
+LVAAVAFGKGLSNWRPSGSSGPGQAGQPGAGTILAGTSGLQQVQMAGAPSQQQPMLSGVQ
+MAQAGQPGKMPSGIKTNIKSASMHP
+>Q9NWA0_PF07544_64 <unknown description>
+SFLPLVHNIIKCMDKDSPEVHQDLNALKSKFQEMRKLISTMPGIHLSPEQQQQQLQSLRE
+QVRTKNELLQKYKSLC
+>Q02078_PF00319_10 <unknown description>
+RIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQY
+>Q02078_PF12347_97 <unknown description>
+ESPDADDYFEHSPLSEDRFSKLNEDSDFIFKRGPPGLPPQNFSMSVTVPVTSPNA
+>Q02080_PF00319_10 <unknown description>
+RILDQRNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSANRLFQY
+>Q06413_PF00319_10 <unknown description>
+RIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQY
+>Q06413_PF12347_97 <unknown description>
+DSPDPDADDSVGHSPESEDKYRKINEDIDLMISRQRLCAVPPPNFEMPVSIPVSSHNS
+>Q14814_PF00319_10 <unknown description>
+RITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQY
+>Q14814_PF12347_97 <unknown description>
+DSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSTVPAPNFAMPVTVPVSNQSS
+>O15553_PF02758_8 <unknown description>
+HLLSTLEELVPYDFEKFKFKLQNTSVQKEHSRIPRSQIQRARPVKMATLLVTYYGEEYAV
+QLTLQVLRAINQRLLAE
+>O15553_PF00643_371 <unknown description>
+PLPQCKRHLKQVQLLFCEDHDEPICLICSLSQEHQGHRVRPI
+>O15553_PF13765_600 <unknown description>
+VILDAETAYPNLIFSDDLKSVRLGNKWERLPDGPQRFDSCIIVLGSPSF
+>O15553_PF00622_653 <unknown description>
+RYWEVEVGDKTAWILGACKTSISRKGNMTLSPENGYWVVIMMKENEYQASSVPPTRLLIK
+EPPKRVGIFVDYRVGSISFYNVTARSHIYTFASCSFSGPLQPIFSPGTRD
+>Q96KG7_PF12661_197 <unknown description>
+CQNGATCDHVTGECRCPPGY
+>Q96KG7_PF12661_240 <unknown description>
+CQNGGVCHHVTGECSCPSGW
+>Q96KG7_PF00053_281 <unknown description>
+CQCHNGGTCDAATGQCHCSPGYTGERCQDECPVGTYGVLCAETC
+>Q96KG7_PF00053_368 <unknown description>
+CPCHLENTHSCHPMSGECACKPGWSGLYCNETCSPGFYGEACQQIC
+>Q96KG7_PF12661_415 <unknown description>
+CQNGADCDSVTGKCTCAPGF
+>Q96KG7_PF12661_501 <unknown description>
+CLNGGACNTLDGTCTCAPGW
+>Q96KG7_PF12661_587 <unknown description>
+CKNGASCSPDDGICECAPGF
+>Q96KG7_PF12661_718 <unknown description>
+CHNGAFCSAYDGECKCTPGW
+>Q96KG7_PF00053_759 <unknown description>
+CQCQNGADCDHISGQCTCRTGFMGRHCEQKCPSGTYGYG
+>Q96KG7_PF00053_802 <unknown description>
+CDCLNNSTCDHITGTCYCSPGWKGARCDQ
+>O75095_PF14670_165 <unknown description>
+CRTHNGGCQHRCVNTPGSYLCECKPGFRLHTDSRTC
+>O75095_PF07645_285 <unknown description>
+DVDECAAGLAQCAHGCLNTQGSFKCVCHAGYELGADGRQC
+>O75095_PF14670_416 <unknown description>
+CASSRGGCEHHCTNLAGSFQCSCEAGYRLHEDRRGC
+>O75095_PF12661_527 <unknown description>
+CRNGGTCLLGLDGCDCPEGW
+>O75095_PF00053_568 <unknown description>
+CSCQNGGTCDSVTGACRCPPGVSGTNCEDGCPKGYYGKHCRKKCNC
+>O75095_PF00053_873 <unknown description>
+CNCSAGHGSCDAISGLCLCEAGYVGPRCEQQCPQGHFGPGCEQRC
+>O75095_PF12661_919 <unknown description>
+CQHGAACDHVSGACTCPAGW
+>O75095_PF12661_1005 <unknown description>
+CFNGASCDPVHGQCHCAPGW
+>O75095_PF12661_1048 <unknown description>
+CQNGGTCDPVSGHCACPEGW
+>O75095_PF12661_1091 <unknown description>
+CLNGGLCDPHTGRCLCPAGW
+>O75095_PF00053_1132 <unknown description>
+CSCPPGAACHHVTGACRCPPGFTGSGCEQACPPGSFGE
+>O75095_PF00053_1175 <unknown description>
+CQCPGENPACHPATGTCSCAAGYHGPSCQQRCPPGRYGP
+>O75095_PF00053_1348 <unknown description>
+CSCHNNSTCEPATGTCRCGPGFYGQACEHPCPPGFHGA
+>Q7Z7M0_PF00431_47 <unknown description>
+GAGNYSVNGNCEWLIEAPSPQHRILLDFLFLDTECTYDYLFVYDGDSPRGPLLASLSGST
+RPPPIEASSGKMLLHLFSDANYNLLGFNASF
+>Q7Z7M0_PF13418_228 <unknown description>
+RIGAAGAFLSPPGLLAVFGGQDLNNALGDLVLYNFSANTWESWDLSPAP
+>Q7Z7M0_PF01437_1005 <unknown description>
+SCDGFLTCHECLQSHECGWCGNEDNPTLGRCLQGDFSGPLGGGNCSLWVGEG
+>Q7Z7M0_PF12947_1078 <unknown description>
+CRLGLARCHPRATCLNTPLSYECHCQRGYQGDGIS
+>Q7Z7M0_PF00053_1163 <unknown description>
+CGCSFHSHCRKRGPGFCDECQDWTWGEHCERCRPGSFGNATGSRGC
+>Q7Z7M0_PF00053_1211 <unknown description>
+CQCNGHGDPRRGHCDNLSGLCFCQDHTEGAHCQLCSPGYYGDPRAGGSC
+>Q7Z7M0_PF13418_1509 <unknown description>
+HRLGHTMVDGPDATLWMFGGLGLPQGLLGNLYRYSVSERRWTQMLAGAEDGGPGP
+>Q7Z7M0_PF13415_1633 <unknown description>
+LLLVGGYSPENGFNQQLLEYQLATGTWVSGAQSGTPPTGLYGHSAVYH
+>Q7Z7M0_PF07645_2180 <unknown description>
+DECANGHHDCNETQNCHDQPHGYECSCKTGYTMDN
+>Q7Z7M0_PF00053_2348 <unknown description>
+EAVCVNCQNNSYGEKCESCLQGYFLL
+>Q9H1U4_PF00053_204 <unknown description>
+CNCSVVGSLNVNRCNQTTGQCECRPGYQGLHCETCKEGFYLN
+>Q9H1U4_PF00053_254 <unknown description>
+CDCSPHGALSIPCNSSGKCQCKVGVIGSICDRCQDGYYGFSKNGC
+>Q9H1U4_PF00053_301 <unknown description>
+CQCNNRSASCDALTGACLNCQENSKGNHCEECKEGFYQSPDATKEC
+>Q9H1U4_PF00053_349 <unknown description>
+CPCSAVTSTGSCSIKSSELEPECDQCKDGYIGPNCNKCENGYYNFD
+>Q9H1U4_PF00053_400 <unknown description>
+CQCHGHVDPVKTPKICKPESGECINCLHNTTGFWCENCLEGYVHD
+>A8MW99_PF13971_2 <unknown description>
+DVQKWYLRTSKLALALAIIRSKPADKSSREYTEHLAMLLSEEQSKWRSKVEILEAEVMQL
+RQKLLVSRLCSGSFKSGYVSSQLEAQEPKSSESTLTSMEDSGCDLSNEQRTESSDLSQHF
+VESCTPTHFPPLPLVKRPCAILQNPLSSHMQFLQYLLELKNLTESGNLKRDLTHFEKDSS
+TVSDSVFQLLDGLITFYRNPKLPFSRFWTEAVGTLASLISDYNLSSHILKKCSKKLEEFE
+KTLLHAILGNNHINQFQVQHYVSQSLVTLGNCSLLRKSIISLLLSEVNGFADDLGAINQE
+QASYDVSRYENIFYLFWVLEQLLQKETEEGNTSSIGHDDQEIKKFLQKHDETIFQLSDAF
+PLFTFYLWRVGILLS
+>Q5JSS6_PF15163_12 <unknown description>
+SHAKKWSEEIENLYRFQQAGYRDETEYRQVKQVSMVDRWPETGYVKKLQRRDNTFYYYNK
+QRECDDKEVHKVKIY
+>A2RUB1_PF15189_747 <unknown description>
+RSGPASELHIRLEECCEQWRALEKERKKTELALAKNYPGKKVSSTNNTPVPRLTSNPSRV
+DRLIVDELRELARVVTLLGKMERLRSSLLHASISTALDRHLESIHIVQSRRKDEIVNASN
+RQRQGVPRCQDDRDVFALASAIKEMCVATRKTRTALWCALQMTLPK
+>C9JSJ3_PF00010_72 <unknown description>
+KKQRKNHTSKLQELALLLPIALKTGTKKLTKKEILVHVLQYIQYLQ
+>C9JSJ3_PF00505_541 <unknown description>
+KKCVNGFIMFCRMNRKQYIRSCPGTASTAATKELAQLWRVMTQQERRPYCTKARRFSRQH
+NR
+>O00470_PF16493_108 <unknown description>
+GGDVCSSESFNEDIAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCD
+NFCHRYISCLKGKMPIDLVIDDREG
+>O00470_PF05920_290 <unknown description>
+WLFQHLTHPYPSEEQKKQLAQDTGLTILQVNNWFINARRR
+>O14770_PF16493_110 <unknown description>
+GGDVCSSDSFNEDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCD
+NFCHRYISCLKGKMPIDLVIDERD
+>O14770_PF05920_294 <unknown description>
+WLFQHLTHPYPSEEQKKQLAQDTGLTILQVNNWFINARRR
+>Q99687_PF16493_96 <unknown description>
+GGDVCSSDSFNEDIAAFAKQVRSERPLFSSNPELDNLMIQAIQVLRFHLLELEKVHDLCD
+NFCHRYITCLKGKMPIDLVIEDRD
+>Q99687_PF05920_280 <unknown description>
+WLFQHLSHPYPSEEQKKQLAQDTGLTILQVNNWFINARRR
+>Q14680_PF00069_11 <unknown description>
+YELHETIGTGGFAKVKLACHILTGEMVAIKIMDKNTLGSDLPRIKTEIEALKNLRHQHIC
+QLYHVLETANKIFMVLEYCPGGELFDYIISQDRLSEEETRVVFRQIVSAVAYVHSQGYAH
+RDLKPENLLFDEYHKLKLIDFGLCAKPKGNKDYHLQTCCGSLAYAAPELIQGKSYLGSEA
+DVWSMGILLYVLMCGFLPFDDDNVMALYKKIMRGKYDVPKWLSPSSILLLQQMLQVDPKK
+RISMKNLLNHPWI
+>Q14680_PF02149_608 <unknown description>
+TMQFELEVCQLQKPDVVGIRRQRLKGDAWVYKRLVEDILSSCK
+>Q9BV36_PF02318_8 <unknown description>
+SKLTDEEAQHVLEVVQRDFDLRRKEEERLEALKGKIKKESSKRELLSDTAHLNETHCARC
+LQPYQLLVNSKRQCLECGLFTCKSCGRVHPEEQGWICDPCHLARVVKIGSLEWYYEHV
+>Q9BV36_PF04698_456 <unknown description>
+RTTDEELSELEDRVAVTASEVQQAESEVSDIESRIAALRAAGLTVKPSGKPRRKSN
+>Q14D04_PF00169_719 <unknown description>
+IEGKLKEKQVRWKFIKRWKTRYFTLAGNQLLFQKGKSKDDPDDCPIELSKVQSVKAVAKK
+RRDRSLPRAFEIFTDNKTYVFKAKDEKNAEEWLQCINVA
+>Q9Y316_PF01875_9 <unknown description>
+EASHAGSWYTASGPQLNAQLEGWLSQVQSTKRPARAIIAPHAGYTYCGSCAAHAYKQVDP
+SITRRIFILGPSHHVPLSRCALSSVDIYRTPLYDLRIDQKIYGELWKTGMFERMSLQTDE
+DEHSIEMHLPYTAKAMESHKDEFTIIPVLVGALSESKEQEFGKLFSKYLADPSNLFVVSS
+DFCHWGQRFRYSYYDESQGEIYRSIEHLDKMGMSIIEQLDPVSFSNYLKKYHNTICGRHP
+IGVLLNAITELQKNGMNMSFSFLNYAQSSQCRNWQDSSVSYAAG
+>O00255_PF05053_4 <unknown description>
+KAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPELTF
+QPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKKVSD
+VIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSEDHAW
+VVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAI
+NPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLTLYH
+KGIASAKTYYRDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEIYKE
+FFEVANDVIPNLLKEAASLLEAGEERPGEQSQGTQSQGSALQDPECFAHLLRFYDGICKW
+EEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVRIVSREAEAAEAEEPWGEEAREGRRRGP
+RRESKPEEPPPPKKP
+>O00255_PF05053_548 <unknown description>
+GPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQR
+K
+>Q9BUN1_PF15322_23 <unknown description>
+AQGLTQTPTEMQRVSLRFGGPMTRSYRSTARTGLPRKTRIILEDENDAMADADRLAGPAA
+AELLAATVSTGFSRSSAINEEDGSSEEGVVINAGKDSTSRELPSATPNTAGSSSTRFIAN
+SQEPEIRLTSSLPRSPGRSTEDLPGSQATLSQWSTPGSTPSRWPSPSPTAMPSPEDLRLV
+LMPWGPWHCHCKSGTMSRSRSGKLHGLSGRLRVGALSQLRTEHKPCTYQQCPCNRLREEC
+PLDTSLCTDTNCASQSTTSTRTTTTPFPTIHLRSSPSLPPASPCPALAFWKRVRIGLEDI
+WNSLSSVFTEMQPI
+>P50221_PF00046_172 <unknown description>
+RKERTAFTKEQLRELEAEFAHHNYLTRLRRYEIAVNLDLSERQVKVWFQNRRMKWKR
+>P50222_PF00046_188 <unknown description>
+RKERTAFTKEQIRELEAEFAHHNYLTRLRRYEIAVNLDLTERQVKVWFQNRRMKWKR
+>Q16819_PF01400_74 <unknown description>
+RWTFPIPYILADNLGLNAKGAILYAFEMFRLKSCVDFKPYEGESSYIIFQQFDGCWSEVG
+DQHVGQNISIGQGCAYKAIIEHEILHALGFYHEQSRTDRDDYVNIWWDQILSGYQHNFDT
+YDDSLITDLNTPYDYESLMHYQPFSFNKNASVPTITAKIPEFNSIIGQRLDFSAIDLERL
+NRMYNCT
+>Q16819_PF00629_269 <unknown description>
+CTFEKANICGMIQGTRDDTDWAHQDSAQAGEVDHTLLGQCTGAGYFMQFSTSSGSAEEAA
+LLESRILYPKRKQQCLQFFYKMTGSPSDRLVVWVRRDDSTGNVRKLVKVQTFQGDDDHNW
+KIAHVVLKEEQKFRYLFQGTKGDPQNSTGGIYLDDITLTETPCP
+>Q16819_PF00008_674 <unknown description>
+CDPNPCQNDGICVNVKGMASCRCISGHAFFYTGER
+>Q16820_PF01400_70 <unknown description>
+RWPHTIPYVLEDSLEMNAKGVILNAFERYRLKTCIDFKPWAGETNYISVFKGSGCWSSVG
+NRRVGKQELSIGANCDRIATVQHEFLHALGFWHEQSRSDRDDYVRIMWDRILSGREHNFN
+TYSDDISDSLNVPYDYTSVMHYSKTAFQNGTEPTIVTRISDFEDVIGQRMDFSDSDLLKL
+NQLYNCS
+>Q16820_PF00629_265 <unknown description>
+CSFELENVCGMIQSSGDNADWQRVSQVPRGPESDHSNMGQCQGSGFFMHFDSSSVNVGAT
+AVLESRTLYPKRGFQCLQFYLYNSGSESDQLNIYIREYSADNVDGNLTLVEEIKEIPTGS
+WQLYHVTLKVTKKFRVVFEGRKGSGASLGGLSIDDINLSETRCP
+>Q9BQA1_PF00400_160 <unknown description>
+LSSYRAHAAQVTCVAASPHKDSVFLSCSEDNRILLWD
+>Q7L2J0_PF13847_446 <unknown description>
+DVLDLGCNVGHLTLSIACKWGPSRMVGLDIDSRLIHSARQNIRHY
+>Q7L2J0_PF06859_575 <unknown description>
+YDVVLCLSLTKWVHLNWGDEGLKRMFRRIYRHLRPGGILVLEPQPWSSYGKRKTLTETIY
+KNYYRIQLKPEQFSSYLTSPDVGFSSYELVATPHNTSKGFQRPVYLFHK
+>Q9NQ76_PF07175_97 <unknown description>
+NKEYSISNKENTHNGLRMSIYPKSTGNKGFEDGDDAISKLHDQEEYGAALIRNNMQHIMG
+PVTAIKLLGEENKENTPRNVLNIIPASMNYAKAHSKDKKKPQRDSQAQKSPVKSKSTHRI
+QHNIDYLKHLSKVKKIPSDFEGSGYTDLQERGDNDISPFS
+>Q96MC5_PF12130_46 <unknown description>
+TTMMPEEIELEMAKIQRLREVLVRRESELRFMMDDIQLCKDIMDLKQELQNLVAIPEKEK
+TKLQKQREDELIQKIHKLVQKRDFLVDDAEVERLREQEEDKEM
+>P35240_PF09379_29 <unknown description>
+MDAEMEFNCEMKWKGKDLFDLVCRTLGLRETWFFGLQYTIKDTVAWLKMDKKVLDHDV
+>P35240_PF00373_109 <unknown description>
+LVQEITQHLFFLQVKKQILDEKIYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEEL
+LPKRVINLYQMTPEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYF
+>P35240_PF09380_226 <unknown description>
+NKKGTELLLGVDALGLHIYDPENRLTPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKF
+NSSKLRVNKLILQLCIGNHDLFMRRRKAD
+>P35240_PF00769_346 <unknown description>
+REEAERTRDELERRLLQMKEEATMANEALMRSEETADLLAEKAQITEEEAKLLAQKAAEA
+EQEMQRIKATAIRTEEEKRLMEQKVLEAEVLALKMAEESERRAKEADQLKQDLQEAREAE
+RRAKQKLLEIATKPTYPPMNPIPAPLPPDIPSFNLIGDSLSFDFKDTDMKRLSMEIEKEK
+VEYMEKSKHLQEQLNELKTEIEALKLKERETALDILHNENSDRGGSSKHNTIKKLTLQSA
+KSRVAFFEEL
+>Q12866_PF00047_106 <unknown description>
+SEHKGVKFNCSISVPNIYQDTTISWWKDGKELLGAHHAITQFYPDDEVTAIIASFSITSV
+QRSDNGSYICKMKINNE
+>Q12866_PF13895_202 <unknown description>
+QPESMNVTRNTAFNLTCQAVGPPEPVNIFWVQNSSRVNEQPEKSPSVLTVPGLTEMAVFS
+CEAHNDKGLTVSKGVQIN
+>Q12866_PF00041_285 <unknown description>
+SPPTEVSIRNSTAHSILISWVPGFDGYSPFRNCSIQVKEADPLSNGSVMIFNTSALPHLY
+QIKQLQALANYSIGVSCMNEIGWS
+>Q12866_PF07714_588 <unknown description>
+ILGKILGEGEFGSVMEGNLKQEDGTSLKVAVKTMKLDNSSQREIEEFLSEAACMKDFSHP
+NVIRLLGVCIEMSSQGIPKPMVILPFMKYGDLHTYLLYSRLETGPKHIPLQTLLKFMVDI
+ALGMEYLSNRNFLHRDLAARNCMLRDDMTVCVADFGLSKKIYSGDYYRQGRIAKMPVKWI
+AIESLADRVYTSKSDVWAFGVTMWEIATRGMTPYPGVQNHEMYDYLLHGHRLKQPEDCLD
+ELYEIMYSCWRTDPLDRPTFSVLRLQ
+>Q14696_PF10185_52 <unknown description>
+KKDIRDYNDADMARLLEQWEKDDDIEEGDLPEHKRPSAPVDFSKIDPSKPESILKMTKKG
+KTLMMFVTVSGSPTEKETEEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGSYAWEIK
+DFLVGQDRCADVTLEGQVYPGKGGGSKEKNKTK
+>Q8N4P3_PF13328_11 <unknown description>
+AADFAARKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALLHDTVEDTDTT
+LDEVELHFGAQVRRLVEEVTDDKTLPKLERKRLQVEQAPHSSPGAKLVKLADKLYNLRDL
+NRCTPEGWS
+>Q9BRJ9_PF00010_83 <unknown description>
+QRQSASEREKLRMRTLARALHELRRFLPPSVAPAGQSLTKIETLRLAIRYIGHL
+>Q0VG99_PF00010_82 <unknown description>
+QRQSASEREKLRMRTLARALHELRRFLPPSLAPAGQSLTKIETLRLAIRYIGHL
+>Q5EB52_PF00561_71 <unknown description>
+IVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHHYSIFEQASIVEAL
+LRHLGLQNRRINLLSHDYGDIVAQELLYRYKQ
+>Q9HCE5_PF05063_186 <unknown description>
+FDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEGLDLGR
+VCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRSTDGDFI
+HANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTVGP
+>A6NJ78_PF01795_71 <unknown description>
+LHIPVMVDEVVHCLSPQKGQIFLDMTFGSGGHTKAILQKESDIVLYALDRDPTAYALAEH
+LSELYPKQIRAMLGQFSQAEALLMKAGVQPGTFDGVLMDLGCSSMQLDTPERGFSLRKDG
+PLDMRMDGGRYPDMPTAADVVNALDQQALASILRTYGEEKHAKKIASAIVQARSIYPITR
+TQQLASIVAGAFPPSAIYTRKDLLQRSTHIATKTFQALRIFVNNELNELYTGLKTAQKFL
+RPGGRLVALSFHSLEDRIVKRFLLGISMTERFNLSVRQQVMKTSQLGSDHENTEEVSMRR
+APLMWELIHKKVLSPQDQDVQDNPRGRSAKLRAAI
+>Q86W50_PF05971_1 <unknown description>
+MALSKSMHARNRYKDKPPDFAYLASKYPDFKQHVQINLNGRVSLNFKDPEAVRALTCTLL
+REDFGLSIDIPLERLIPTVPLRLNYIHWVEDLIGHQDSDKSTLRRGIDIGTGASCIYPLL
+GATLNGWYFLATEVDDMCFNYAKKNVEQNNLSDLIKVVKVPQKTLLMDALKEESEIIYDF
+CMCNPPFFANQLEAKGVNSRNPRRPPPSSVNTGGITEIMAEGGELEFVKRIIHDSLQLKK
+RLRWYSCMLGKKCSLAPLKEELRIQGVPKVTYTEFCQGRTMRWALAWSFYD
+>Q9H7H0_PF09243_155 <unknown description>
+SLVYMAARLDGGFAAVSRAFHEIRARNPAFQPQTLMDFGSGTGSVTWAAHSIWGQSLREY
+MCVDRSAAMLVLAEKLLKGGSESGEPYIPGVFFRQFLPVSPKVQFDVVVSAFSLSELPSK
+ADRTEVVQTLWRKTGHFLVLVENGTKAGHSLLMDARDLVLKGKEKSPLDPRPGFVFAPCP
+HELPCPQLTNLACSFSQAYHPIPFSWNKKPKEEKFSMVILARGSPEEAHRWPRITQPVLK
+RPRHVHCHLCCPDGHMQHAVLTARRHGRDLYRCARVSSWGDLLP
+>O95568_PF13489_184 <unknown description>
+VKFAGKKVLDLGCGSGLLGITAFKGGSKEIHFQDYNSMVIDEVTLPNVVANSTLEDEEND
+VNEPDVKRCRKPKVTQLYKCRFFSGEWSEFCKLVLSSEKLFVKYDLILTSETIYNPDYYS
+NLHQTFLRLLSKNGRVLLA
+>Q9BUU2_PF10294_179 <unknown description>
+LEDVGKQVWRGALLLADYILFRQDLFRGCTALELGAGTGLASIIAATMARTVYCTDVGAD
+LLSMCQRNIALNSHLAATGGGIVRVKELDWLKDDLCTDPKVPFSWSQEEISDLYDHTTIL
+FAAEVFYDDDLTDAVFKTLSRLAHRLKNACTAILSVEKR
+>Q86XA0_PF10294_3 <unknown description>
+VWPCAVVLAQYLWFHRRSLPGKAILEIGAGVSLPGILAAKCGAEVILSDSSELPHCLEVC
+RQSCQMNNLPHLQVVGLTWGHISWDLLALPPQDIILASDVFFEPEDFEDILATIYFLMHK
+NPKVQLWSTYQ
+>Q5JXM2_PF13383_163 <unknown description>
+VCLDDRFNLAHQIRNKQCRLYSLGLGSDDTHFEVSMANNGCEVHRFDPSVKSAHILESQH
+LWYHRLSIDWRDPHPAVAAQKPHSNTRKLGSILNEFGHHKIDVLKADLESAEWKVLENLI
+LEDVLEQIGQLIFEIHLHWPGF
+>Q8N6Q8_PF13679_154 <unknown description>
+KKSHEVQAMSELISSIADYYGIKQVIDLGSGKGYLSSFLSLKYGLKVYGIDSSNTNTHGA
+EERNRKLKKHWKLCHAQSRLDVNGLALKMAKERKVQNKVKNKADTEEVFNNSPTNQEKMP
+TSAILPDFSGSVISNIRNQMETLHSQPHQEENLCFENSFSLINLLPINAVEPTSSQQIPN
+RETSEANKERRKMTSKSSESNIYSPLTSFITADSELHDIIKDLEDCLMVGLHTCGDLAPN
+TLRIFTSNSEIKGVCSVGCCYHLLSEE
+>Q8N6F8_PF13649_71 <unknown description>
+ILDVACGTGLVAAELRAPGFLQLHGVDGSPGMLEQAQAPGLYQRLSLCTLGQEPLPSPEG
+TFDAVLIVGALSD
+>Q96IZ6_PF13489_178 <unknown description>
+SSATYRILEVGCGVGNTVFPILQTNNDPGLFVYCCDFSSTAIELVQTNSEYDPSRCFAFV
+HDLCDEEKSYPVPKGSLDIIILIFVLSAIVPDKMQKAINRLSRLLKPGGMMLLRDYGRYD
+MAQLRFKKGQCLSGNFYVRGDGTRVYFFTQEELDTLFTTAGLEKVQ
+>Q6P1Q9_PF13489_178 <unknown description>
+SSATYRILEVGCGVGNTVFPILQTNNDPGLFVYCCDFSSTAIELVQTNSEYDPSRCFAFV
+HDLCDEEKSYPVPKGSLDIIILIFVLSAVVPDKMQKAINRLSRLLKPGGMVLLRDYGRYD
+MAQLRFKKGQCLSGNFYVRGDGTRVYFFTQEELDTLFTTAGLEKVQ
+>Q9H8H3_PF08241_75 <unknown description>
+LEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLIKSIAENRHLQFERFVVAAGENMHQVA
+DGSVDVVVCTLVLCSVKNQERILREVCRVLRPGGAFYF
+>Q6UX53_PF08241_75 <unknown description>
+LELGCGTGANFQFYPPGCRVTCLDPNPHFEKFLTKSMAENRHLQYERFVVAPGEDMRQLA
+DGSMDVVVCTLVLCSVQSPRKVLQEVRRVLRPGGVLFF
+>Q99707_PF02574_31 <unknown description>
+MVLDGGMGTMIQREKLNEEHFRGQEFKDHARPLKGNNDILSITQPDVIYQIHKEYLLAGA
+DIIETNTFSSTSIAQADYGLEHLAYRMNMCSAGVARKAAEEVTLQTGIKRFVAGALGPTN
+KTLSVSPSVERPDYRNITFDELVEAYQEQAKGLLDGGVDILLIETIFDTANAKAALFALQ
+NLFEEKYAPRPIFISGTIVDKSGRTLSGQTGEGFVISVSHGEPLCIGLNCALGAAEMRPF
+IEIIGKCTTAYVLCYPNAGLPNTFGDYDETPSMMAKHLKDFAMDGLVNIVGGCCGSTPDH
+IREIAEAV
+>Q99707_PF00809_375 <unknown description>
+GERCNVAGSRKFAKLIMAGNYEEALCVAKVQVEMGAQVLDVNMDDGMLDGPSAMTRFCNL
+IASEPDIAKVPLCIDSSNFAVIEAGLKCCQGKCIVNSISLKEGEDDFLEKARKIKKYGAA
+MVVMAFDEEGQATETDTKIRVCTRAYHLLVKKLGFNPNDIIFDPNILTIGTGMEEHNLYA
+INFIHATKVIKETLPGARISGGLSNLSFSFRGMEAIREAMHGVFLYHAIKSGMDMGIVN
+>Q99707_PF02607_671 <unknown description>
+VEERLEYALVKGIEKHIIEDTEEARLNQKKYPRPLNIIEGPLMNGMKIVGDLFGAGKMFL
+PQVIKSARVMKKAVGHL
+>Q99707_PF02310_774 <unknown description>
+TIVLATVKGDVHDIGKNIVGVVLGCNNFRVIDLGVMTPCDKILKAALDHKADIIGLSGLI
+TPSLDEMIFVAKEMERLAIRIPLLIGGATTSKT
+>Q99707_PF02965_965 <unknown description>
+DLQKLVDYIDWKPFFDVWQLRGKYPNRGFPKIFNDKTVGGEARKVYDDAHNMLNTLISQK
+KLRARGVVGFWPAQSIQDDIHLYAEAAVPQAAEPIATFYGLRQQAEKDSASTEPYYCLSD
+FIAPLHSGIRDYLGLFAVACFGVEELSKAYEDDGDDYSSIMVKALGDRLAEAFAEELHER
+VRRELWAYCGSEQLDVADLRRLRYKGIRPAPGYPSQPDHTEKLTMWRLADIEQSTGIRLT
+ESLAMAPASAVSGLYFSNLKSKYFAVGKISKDQVEDYALRKN
+>Q00266_PF00438_18 <unknown description>
+FMFTSESVGEGHPDKICDQISDAVLDAHLKQDPNAKVACETVCKTGMVLLCGEITSMAMV
+DYQRVVRDTIKHIGYDDSAKGFDFKTCNVLVALEQQSP
+>Q00266_PF02772_130 <unknown description>
+VGAGDQGLMFGYATDETEECMPLTIILAHKLNARMADLRRSGLLPWLRPDSKTQVTVQYM
+QDNGAVIPVRIHTIVISVQHNEDITLEEMRRALKEQVIRAVVPAKYLDEDTVYHLQPSGR
+F
+>Q00266_PF02773_252 <unknown description>
+IGGPQGDAGVTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARWVAKSLVKAGLC
+RRVLVQVSYAIGVAEPLSISIFTYGTSQKTERELLDVVHKNFDLRPGVIVRDLDLKKPIY
+QKTACYGHFGRSEFPWE
+>P31153_PF00438_18 <unknown description>
+FLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVAKTGMILLAGEITSRAAV
+DYQKVVREAVKHIGYDDSSKGFDYKTCNVLVALEQQSP
+>P31153_PF02772_129 <unknown description>
+DIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDSKTQVTVQY
+MQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDTIYHLQPSG
+RF
+>P31153_PF02773_252 <unknown description>
+IGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARWVAKSLVKGGLC
+RRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVIVRDLDLKKPIY
+QRTAAYGHFGRDSFPWE
+>Q8N3J2_PF05063_281 <unknown description>
+FDVIVIDPPWQNKSVKRSNRYSYLSPLQIQQIPIPKLAAPNCLLVTWVTNRQKHLRFIKE
+ELYPSWSVEVVAEWHWVKITNSGEFVFPLDSPHKKPYEGLILGRVQEKTALPLRNADVNV
+LPIPDHKLIVSVPCTLHSHKPPLAEVLKDYIKPDGEYLELFARNLQPGWTSWGNE
+>Q9NRN9_PF05175_43 <unknown description>
+IHNTYDDIENKVVADLGCGCGVLSIGTAMLGAGLCVGFDIDEDALEIFNRNAEEFELTNI
+DMVQCDVCLLSNRMSKSFDTVIMNPPFGTKNNKGTDMAFLKTA
+>Q8TCB7_PF08242_84 <unknown description>
+LEAGCGVGNCLFPLLEEDPNIFAYACDFSPRAIEYVKQNPLYDTERCKVFQCDLTKDDLL
+DHVPPESVDVVMLIFVLSAVHPDKMHLVLQNIYKVLKPG
+>Q9H1A3_PF05219_56 <unknown description>
+WYVCNREKLCESLQAVFVQSYLDQGTQIFLNNSIEKSGWLFIQLYHSFVSSVFSLFMSRT
+SINGLLGRGSMFVFSPDQFQRLLKINPDWKTHRLLDLGAGDGEVTKIMSPHFEEIYATEL
+SETMIWQLQKKKYRVLGINEWQNTGFQYDVISCLNLLDRCDQPLTLLKDIRSVLEPTRGR
+VILALVLPFHPYVENVGGKWEKPSEILEIKGQNWEEQVNSLPEVFRKAGFVIEAFTRLPY
+LCEGDMYNDYYVLDDAVFVLKP
+>P08581_PF01403_57 <unknown description>
+LHEHHIFLGATNYIYVLNEEDLQKVAEYKTGPVLEHPDCFPCQDCSSKANLSGGVWKDNI
+NMALVVDTYYDDQLISCGSVNRGTCQRHVFPHNHTADIQSEVHCIFSPQIEEPSQCPDCV
+VSALGAKVLSSVKDRFINFFVGNTINSSYFPDHPLHSISVRRLKETKDGFMFLTDQSYID
+VLPEFRDSYPIKYVHAFESNNFIYFLTVQRETLDAQTFHTRIIRFCSINSGLHSYMEMPL
+ECILTEKRKKRSTKKEVFNILQAAYVSKPGAQLARQIGASLNDDILFGVFAQSKPDSAEP
+MDRSAMCAFPIKYVNDFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEARRDEY
+RTEFTTALQRVDLFMGQFSEVLLTSISTFIKGDLTIANLGTSEGRFMQVVVSRSGPSTPH
+VNFLLDSHPVSPEVI
+>P08581_PF01437_520 <unknown description>
+CRHFQSCSQCLSAPPFVQCGWCHDKCVRSEECLSGTWTQQ
+>P08581_PF01833_563 <unknown description>
+PAIYKVFPNSAPLEGGTRLTICGWDFGFRRNNKFDLKKTRVLLGNESCTLTLSESTMNTL
+KCTVGPAMNKHFNMSIIISNGHGTTQYSTFSY
+>P08581_PF01833_657 <unknown description>
+PVITSISPKYGPMAGGTLLTLTGNYLNSGNSRHISIGGKTCTLKSVSNSILECYTPAQTI
+STEFAVKLKIDL
+>P08581_PF01833_742 <unknown description>
+PIVYEIHPTKSFISGGSTITGVGKNLNSVSVPRMVINVHEAGRNFTVACQHRSNSEIICC
+TTPSLQQLNLQLPLKT
+>P08581_PF07714_1079 <unknown description>
+HFNEVIGRGHFGCVYHGTLLDNDGKKIHCAVKSLNRITDIGEVSQFLTEGIIMKDFSHPN
+VLSLLGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMKYLASK
+KFVHRDLAARNCMLDEKFTVKVADFGLARDMYDKEYYSVHNKTGAKLPVKWMALESLQTQ
+KFTTKSDVWSFGVLLWELMTRGAPPYPDVNTFDITVYLLQGRRLLQPEYCPDPLYEVMLK
+CWHPKAEMRPSFSELVSR
+>A1L020_PF00013_134 <unknown description>
+ECVPVPTSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFMVTGRREDVATARREI
+I
+>A1L020_PF00013_225 <unknown description>
+TIRVRVPYRVVGLVVGPKGATIKRIQQQTNTYIITPSRDRDPVFEITGAPGNVERAREEI
+E
+>A1L020_PF13920_467 <unknown description>
+RDCMVCFESEVTAALVPCGHNLFCMECAVRICERTDPECPVCHI
+>Q6ZN04_PF00013_68 <unknown description>
+ECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFVVTGRKEDVAMARREI
+I
+>Q6ZN04_PF00013_162 <unknown description>
+TIQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEI
+E
+>Q6ZN04_PF13920_516 <unknown description>
+RDCSVCFESEVIAALVPCGHNLFCMECANRICEKSEPECPVCHTAVTQ
+>Q5U5Q3_PF00013_234 <unknown description>
+ECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPIFVVTGRKEDVAMAKREI
+L
+>Q5U5Q3_PF00013_328 <unknown description>
+TVQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEI
+E
+>Q5U5Q3_PF13920_605 <unknown description>
+KHDCVICFENEVIAALVPCGHNLFCMECANKICEKRTPSCPVCQTAVTQ
+>Q86XN8_PF00013_181 <unknown description>
+ECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFIVTGRKEDVEMAKREI
+L
+>Q86XN8_PF00013_275 <unknown description>
+TIQVRVPYRVVGLVVGPKGATIKRIQQRTHTYIVTPGRDKEPVFAVTGMPENVDRAREEI
+E
+>Q86XN8_PF13920_598 <unknown description>
+RECVVCAEGEVMAALVPCGHNLFCMDCAVRICGKSEPECPACRTPATQ
+>Q14CX5_PF13347_23 <unknown description>
+ALFTTILHNVFLLYYVDTFVSVYKINKMAFWVGETVFLLWNSLNDPLFGWLSDRQFLSSQ
+PRSGAGLSSRAVVLARVQALGWHGPLLALSFLAFWVPWAPAGLQFLLCLCLYDGFLTLVD
+LHHHALLADLALSAHDRTHLNFYCSLFSAAGSLSVFASYAFWNKEDFSSFRAFCVTLAVS
+SGLGFLGATQLLR
+>Q14CX5_PF13347_247 <unknown description>
+EADSITLGRYLRQLARHRNFLWFVSMDLVQVFHCHFNSNFFPLFLEHLLSDHISLSTGSI
+LLGLSYVAPHLNNLYFLSLCRRWGVYAVVRGLFLLKLGLSLLMLLAGPDHLSLLCLFIAS
+NRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPLLGTWLL
+CFYTGHDLFQQSLITPVGSAHPWPEPPAPAPAQAPTLRQGCFYLLVLVPITCALLQLFTW
+SQFTL
+>Q96MC6_PF07690_42 <unknown description>
+IVIFLEFFAWGLLTAPTLVVLHETFPKHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGR
+KSFLLLTVFFTCAPIPLMKISPWWYFAVISVSGVFAVTFSVVFAYVADITQEHERSMAYG
+LVSATFAASLVTSPAIGAYLGRVYGDSLVVVLATAIALLDICFILVAVPESLPEKMRPAS
+WGAPISWEQADPFASLKKVGQDSIVLLICITVFLSYLPEAGQYSSFFLYLRQIMKFSPES
+VAAFIAVLGILSIIAQTIVLSLLMRSIGNKNTILLGLGFQILQLAWYGFGSEPWMMWAAG
+AVAAMSSITFPAVSALVSRTADADQQGVVQGMITGIRGLCNGLGP
+>Q5SR56_PF07690_49 <unknown description>
+AIVIFLEFFAWGLLTTPMLTVLHETFSQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWG
+RKPFLLGTVFFTCFPIPLMRISPWWYFAMISVSGVFSVTFSVIFAYVADVTQEHERSTAY
+GWVSATFAASLVSSPAIGAYLSASYGDSLVVLVATVVALLDICFILVAVPESLPEKMRPV
+SWGAQISWKQADPFASLKKVGKDSTVLLICITVFLSYLPEAGQYSSFFLYLRQVIGFGSV
+KIAAFIAMVGILSIVAQTAFLSILMRSLGNKNTVLLGLGFQMLQLAWYGFGSQAWMMWAA
+GTVAAMSSITFPAISALVSRNAESDQQGVAQGIITGIRGLCNGLGP
+>Q86YR7_PF13716_74 <unknown description>
+HIPDEDFLNVMTYLTSIPSVEAASIGFIVVIDRRRDKWSSVKASLTRIAVAFPGNLQLIF
+ILRPSRFIQRTFTDIGIKYYRNEFKTKVPIIMVNSVSDLHGYIDKSQLTRELGGTLEYR
+>Q86YR7_PF00621_623 <unknown description>
+IIRDLLETEEIYIKEIKSIIDGYITPMDFIWLKHLIPDVLQNNKDFLFGNIRELYEFHNR
+TFLKELEKCAENPELLAHCFLKRKEDLQIYFKYHKNLPRARAIWQECQDCAYFGVCQRQL
+DHNLPLFKYLKGPSQRLIKYQMLLKGLLDFESPEDM
+>O75121_PF07679_47 <unknown description>
+PVIIARTDHIIVKEGNSALINCSVYGIPDPQFKWYNSIGKLLKEEEDEKERGGGKWQMHD
+SGLLNITKVSFSDRGKYTCVASNIYGTVNNTVTLRV
+>P55081_PF06991_190 <unknown description>
+DSEDEMEPRLKPVFIRKKDRVTVQEREAEALKQKELEQEAKRMAEERRKYTLKIVEEETK
+KELEENKRSLAALDALNTDDENDEEEYEAWKVRELKRIKRDREDREALEKEKAEIERMRN
+LTEEERRAELRANGKVITNKAVKGKYKFLQKYYHRGAFFMDEDEEVYKRDFSAPTLEDHF
+NKTILPKVMQVKNFGRSGRTKYTHLVDQDT
+>P55001_PF05507_1 <unknown description>
+MRAAYLFLLFLPAGLLAQGQYDLDPLPPFPDHVQYTHYSDQIDNPDYYDYQEVTPRPSEE
+QFQFQSQQQVQQEVIPAPTPEPGNAELEPTEPGPLDCREEQYPCTRLYSIHRPCKQCLNE
+VCFYSLRRVYVINKEICVRTVCAHEELLRADLCRDK
+>P55001_PF01549_153 <unknown description>
+CRDKFSKCGVMASSGLCQSVAASCARSCGSC
+>P55082_PF00047_63 <unknown description>
+AKEGTSVSIECLLTASHYEDVHWHNSKGQQLDGRSRGGKWLVSDNFLNITNVAFDDRGLY
+TCFVTSPI
+>P55083_PF00147_39 <unknown description>
+LDCDDIYAQGYQSDGVYLIYPSGPSVPVPVFCDMTTEGGKWTVFQKRFNGSVSFFRGWND
+YKLGFGRADGEYWLGLQNMHLLTLKQKYELRVDLEDFENNTAYAKYADFSISPNAVSAEE
+DGYTLFVAGFEDGGAGDSLSYHSGQKFSTFDRDQDLFVQNCAALSSGAFWFRSCHFANLN
+GFYLGGSHLSYANGINWAQWKGFYYSLKRTEMKIR
+>Q13361_PF05507_3 <unknown description>
+LLGPKVLLFLAAFIITSDWIPLGVNSQRGDDVTQATPETFTEDPNLVNDPATDETVLAVL
+ADIAPSTDDLASLSEKNTTAECWDEKFTCTRLYSVHRPVKQCIHQLCFTSLRRMYIVNKE
+ICSRLVCKEHEAMKDELCRQM
+>Q8N468_PF07690_23 <unknown description>
+SVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQQLCLLLGSALGGVFKRTLAQSL
+WALFTSSLAISLVFAVIPFCRDVKVLASVMALAGLAMGCIDTVANMQLVRMYQKDSAVFL
+QVLHFFVGFGALLSPLIADPFLSEANCLPANSTANTTSRGHLFHVSRVLGQHHVDAKPWS
+NQTFPGLTPKDGAGTRVSYAFWIMALINLPVPMAVLMLLSKERLLTCCPQRRPLLLSADE
+LALETQPPEKEDASSLPPKFQSHLGHEDLFSCCQRKNLRGAPYSFFAIHITGALVLFMTD
+GLTGAYSAFVYSYAVEKPLSVGHKVAGYLPSLFWGFITLGRLLSIPISSRMKPATMVFIN
+VVGVVVTFLVLLIFSYNVVFLFVGTASLGLFLSSTFPSMLAYTEDSLQYKGCAT
+>Q9GZY8_PF05644_1 <unknown description>
+MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQGFQEGVPNASVIMQVPERIVVA
+GNNEDVSFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPPTTPQNEEIRA
+VGRLKRERSMSENAVRQNGQLVRNDSLVTPSPQQARVCPPHMLPEDGANLSSARGILSLI
+QSSTRRAYQQILDVLDENRRPVLRGGSAAATSNPHHDNVRYGISNIDTTIEGTSDDLTVV
+DAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
+>Q08431_PF00008_27 <unknown description>
+CSKNPCHNGGLCEEISQEVRGDVFPSYTCTCLKGYAGNH
+>Q08431_PF00754_85 <unknown description>
+QIAASSVRVTFLGLQHWVPELARLNRAGMVNAWTPSSNDDNPWIQVNLLRRMWVTGVVTQ
+GASRLASHEYLKAFKVAYSLNGHEFDFIHDVNKKHKEFVGNWNKNAVHVNLFETPVEAQY
+VRLYPTSCHTACTLRFEL
+>Q08431_PF00754_245 <unknown description>
+QITASSSYKTWGLHLFSWNPSYARLDKQGNFNAWVAGSYGNDQWLQVDLGSSKEVTGIIT
+QGARNFGSVQFVASYKVAYSNDSANWTEYQDPRTGSSKIFPGNWDNHSHKKNLFETPILA
+RYVRILPVAWHNRIALRLEL
+>Q9Y4C4_PF13855_112 <unknown description>
+HLTELDVSHNRLTALGAEVVSALRELRKLNLSHNQLPALPAQLGALAHLEELDVSFNRL
+>Q9Y4C4_PF13855_181 <unknown description>
+SRLRTLDVDHNQLTAFPRQLLQLVALEELDVSSNRLRGLPEDISALRALKILWLSG
+>Q9Y4C4_PF13855_251 <unknown description>
+SLESLMLDNNGLQALPAQFSCLQRLKMLNLSSNLFEEFPAALLPLAGLEELYLSRNQL
+>Q9Y4C4_PF08477_411 <unknown description>
+KLLLMGHKAAGKTLLRHCLTEERVEGCPGGGDKEKCYPPSPPPVSKGIEVTSWTADASRG
+LRFIVYDLAGDESYEVIQPFFLSPGALYVLVVNLATYEPRHFPTTVGSFLHRVGARVPHA
+VVCIVGTHAD
+>Q8IWA4_PF00350_78 <unknown description>
+VAFFGRTSSGKSSVINAMLWDKVLPSGIGHITNCFLSVEGTDGDKAYLMTEGSDEKKSVK
+TVNQLAHALHMDKDLKAGCLVRVFWPKAKCALLRDDLVLVDSPGTDVTTELDSWIDKFCL
+DADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNN
+>Q8IWA4_PF04799_576 <unknown description>
+SQEELMITLVTGLASVTSRTSMGIIIVGGVIWKTIGWKLLSVSLTMYGALYLYERLSWTT
+HAKERAFKQQFVNYATEKLRMIVSSTSANCSHQVKQQIATTFARLCQQVDITQKQLEEEI
+ARLPKEIDQLEKIQNNSKLLRNKAVQLENELENFTKQFL
+>O95140_PF00350_99 <unknown description>
+VAFFGRTSNGKSTVINAMLWDKVLPSGIGHTTNCFLRVEGTDGHEAFLLTEGSEEKRSAK
+TVNQLAHALHQDKQLHAGSLVSVMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCL
+DADVFVLVANSESTLMQTEKHFFHKVSERLSRPNIFILNN
+>O95140_PF04799_595 <unknown description>
+TQEEFMVSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTT
+KAKERAFKRQFVEHASEKLQLVISYTGSNCSHQVQQELSGTFAHLCQQVDVTRENLEQEI
+AAMNKKIEVLDSLQSKAKLLRNKAGWLDSELNMFTHQYLQ
+>O00587_PF02434_50 <unknown description>
+KLQLHDVFIAVKTTRAFHRLRLELLLDTWVSRTREQTFVFTDSPDKGLQERLGSHLVVTN
+CSAEHSHPALSCKMAAEFDTFLASGLRWFCHVDDDNYVNPRALLQLLRAFPLARDVYVGR
+PSLNRPIHASEPQPHNRTRLVQFWFATGGAGFCINRKLALKMAPWASGSRFMDTSALIRL
+PDDCTMGYIIECKLGGRLQPSPLFHSHLETLQLLRTAQLPEQVTLSYGVFEGKLNVIKLQ
+GPFSPEEDPSR
+>Q9H019_PF05308_10 <unknown description>
+IPIWQNKPHGAARSVVRRIGTNLPLKPCARASFETLPNISDLCLRDVPPVPTLADIAWIA
+ADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALPALSRTT
+ELQDELSHLRSQIAKIVAADAASASLTPDFLSPGSSNVSSPLPCFGSSFHSTTSFVISDI
+TEETEVEVPELPSVPLLCSASPECCKPEHKAACSSSEEDDCVSLSKASSFADMMGILKDF
+HRMK
+>Q9NYZ2_PF00153_44 <unknown description>
+SVSTHMTAGAMAGILEHSVMYPVDSVKTRMQSLSPDPKAQYTSIYGALKKIMRTEGFWRP
+LRGVNVMIMGAGPAHAMYFACYENMKRTLND
+>Q9NYZ2_PF00153_142 <unknown description>
+SHLANGIAGSMATLLHDAVMNPAEVVKQRLQMYNSQHRSAISCIRTVWRTEGLGAFYRSY
+TTQLTMNIPFQSIHFITYEFLQEQVN
+>Q9NYZ2_PF00153_232 <unknown description>
+YNPQSHIISGGLAGALAAAATTPLDVCKTLLNTQENVALSLANISGRLSGMANAFRTVYQ
+LNGLAGYFKGIQARVIYQMPSTAISWSVYEFFKYFLTKR
+>Q96A46_PF00153_71 <unknown description>
+TVTTHMVAGAVAGILEHCVMYPIDCVKTRMQSLQPDPAARYRNVLEALWRIIRTEGLWRP
+MRGLNVTATGAGPAHALYFACYEKLKKTLS
+>Q96A46_PF00153_171 <unknown description>
+IANGAAGCVATLLHDAAMNPAEVVKQRMQMYNSPYHRVTDCVRAVWQNEGAGAFYRSYTT
+QLTMNVPFQAIHFMTYEFLQEHFN
+>Q96A46_PF00153_260 <unknown description>
+NPSSHVLSGACAGAVAAAATTPLDVCKTLLNTQESLALNSHITGHITGMASAFRTVYQVG
+GVTAYFRGVQARVIYQIPSTAIAWSVYEFFKYLITKR
+>Q9BY79_PF00431_144 <unknown description>
+CGGLLSGPRGFFSSPNYPDPYPPNTHCVWHIQVATDHAIQLKIEALSIESVASCLFDRLE
+LSPEPEGPLLRVCGRVPPPTLNTNASHLLVVFVSDSSVEGFGFHAWY
+>Q9BY79_PF00057_259 <unknown description>
+SCAHDEFRCDQLICLLPDSVCDGFANCADGSDETNC
+>Q9BY79_PF00431_301 <unknown description>
+CGGNLTGLQGTFSTPSYLQQYPHQLLCTWHISVPAGHSIELQFHNFSLEAQDECKFDYVE
+VYETSSSGAFSLLGRFCGAEPPPHLVSSHHELAVLFRTDHGISSGGFSATY
+>Q9BY79_PF01392_466 <unknown description>
+CEPVQVEMCLGLSYNTTAFPNIWVGMITQEEVVEVLSGYKSLTSLPCYQHFRRLLCGLLV
+PRCTPLGSVLPPCRSVCQEAEHQCQSGLALLGTPWPFNCNRLPE
+>Q14728_PF07690_28 <unknown description>
+VFLGLLLDLLAFTLLLPLLPGLLESHGRAHDPLYGSWQGGVDWFATAIGMPVEKRYNSVL
+FGGLIGSAFSVLQFLCAPLTGATSDCLGRRPVMLLCLMGVATSYAVWATSRSFAAFLASR
+LIGGISKGNVSLSTAIVADLGSPLARSQGMAVIGVAFSLGFTLGPMLGASLPLEMAPWFA
+LLFAASDLLFIFCFLPETLPLEKRAPSIALGFRDAADLLSPLALLRFSAVARGQDPPSGD
+RLSSLRRLGLVYFLYLFLFSGLEYTLSFLTHQRFQFSSLQQGKMFFLIGLTMATIQGAYA
+RRIHPGGEVAAVKRALLLLVPAFLLIGWGRSLPVLGLGLLLYSFAAAVVVPCLSSVVAGY
+GSPGQKGTVMGTLRSLGALARAAGP
+>O43934_PF05978_14 <unknown description>
+LGVAFMFMFTAFQTCGNVAQTVIRSLNRTDFHGSGYTSMAIIYGVFSASNLITPSVVAIV
+GPQLSMFASGLFYSMYIAVFIQPFPWSFYTASVFIGIAAAVLWTAQGNCLTINSDEHSIG
+RNSGIFWALLQSSLFFGNLYIYFAWQGKTQIS
+>Q6NUT3_PF13347_24 <unknown description>
+SYAVGHFLNDLCASMWFTYLLLYLHSVRAYSSRGAGLLLLLGQVADGLCTPLVGYEADRA
+ASCCARYGPRKAWHLVGTVCVLLSFPFIFSPCLGCGAATPEWAALLYYGPFIVIFQFGWA
+STQISHLSLIPELVTNDHEKVELTALRYAFTVVANITVYGAAWLLLHLQGSSRVEPTQDI
+SISDQLGGQDVPVFRNLSLLVVGVGAVFSLLFHLGTRERRRPHAEEPGEHTPLLAPATAQ
+PLLLWKHWLREPAFYQVGILYMTTRLIVNLSQTYMAMYLTYSLHLPKKFIATIPLVMYLS
+GFLSSFLMKPINKCIGRNMTYFSGLLVILAFAAWVALAEGLGVAVYAAAVLLGAGCATIL
+VTSLAMTADLIGPHTNSGAFVYGSMSFLDKVANGLAVMA
+>A6NFX1_PF13347_46 <unknown description>
+CYGIGGVPNQIASSATAFYLQLFLLDIAQIPAAQVSLVLFGGKVSGAAADPVAGFFINRS
+QRTGSGRLMPWVLGCTPFIALAYFFLWFLPPFTSLRGLWYTTFYCLFQALATFFQVPYTA
+LTMLLTPCPRERDSATAYRMTVEMAGTLMGATVHGLIVSGAHRPHRCEATATPGPVTVSP
+NAAHLYCIAAAVVVVTYPVCISLLCLGVKERPDPSAPASGPGLSFLAGLSLTTRHPPYLK
+LVISFLFISAAVQVEQSYLVLFCTHASQLHDHVQGLVLTVLVSAVLSTPLWEWVLQRFGK
+KTSAFGIFAMVPFAILLAAVPTAPVAYVVAFVSGVSIAVSLLLPWSMLPDVVDDFQLQHR
+HGPGLETIFYSSYVFFTKLSGACALGISTLSLEFSGYKAGVCKQAEEVVVTLKVLIGAVP
+TCMILAGLCILM
+>Q5TF39_PF07690_5 <unknown description>
+SFLGLGLSVAIVGPTFQDLATNVNRNISSLSFIFVGRALGYLSGSVIGGFLVDVMNYFLL
+LGISMSATTVGLYLVPFCKTAILLTVMMSIFGVSIGILDTGGNVLILAIWGDKGAPHMQA
+LHFSFALGAFLAPLLAKLALGPTASAENHTESDFHPALNQSSDADSEALFGVPNDKNLLW
+AYAVIGTYMFLVSVIFFCLFLKNSSKQEKARASAETFRRAKYHNALLCLLFLFFFFYVGA
+EVTYGSYVFSFATTHAGMKESEAAGLNSIFWGTFAACRGLAIFFATCLQPGTMIVLSNIG
+SLTSSLFLVLF
+>Q8IWD5_PF12832_28 <unknown description>
+ACVTPFLTLYLRQLGLAAPWVGTLMGTKHLIAAFWAPVCAFLAKSYRKRRALLIGSLLGS
+VGASLLMVLVPPVDKNRVHFPCNGSSGLTSTDALPGVTLPVNITSAQESASSHPAKRTAE
+VEMPGFRNPPGESDRETFRDLHVYLAPSVEGARTTSQALLHPVTSGLKDHPWEVTFEVVK
+TALPLLPGGKGPGNPANLSGTKGKAWAFDLSLEALRRTFILSLGSVAFWELLTAPLEQVA
+DDSLYEFLDFVDATDRYRSLWVWRLLGMSAGVCGITALVGQLDCFLMTSGPRGVVHFYGY
+SVVSTLALLVSIAFPIPICQQWEPSYKRVKALSIVGGDPHLILLASTTVLVGAIVSTVQN
+FLFWHMKDHGSGELVMGFSVALSLLGEILLHPFKATLLRKLSRTGLVGLGLSCLAGQLLY
+YSFLWSWWSVLPIQILSAISNRALWWAVGASVEDLATPRMERALSALFRGHFYGSGCSLG
+SFVGGFVVMRFSLAVLYQA
+>Q9H3U5_PF07690_50 <unknown description>
+CFLGFGSYFCYDNPAALQTQVKRDMQVNTTKFMLLYAWYSWPNVVLCFFGGFLIDRVFGI
+RWGTIIFSCFVCIGQVVFALGGIFNAFWLMEFGRFVFGIGGESLAVAQNTYAVSWFKGKE
+LNLVFGLQLSMARIGSTVNMNLMGWLYSKIEALLGSAGHTTLGITLMIGGITCILSLICA
+LALAYLDQRAERILHKEQGKTGEVIKLTDVKDFSLPLWLIFIICVCYYVAVFPFIGLGKV
+FFTEKFGFSSQAASAINSVVYVISAPMSPVFGLLVDKTGKNIIWVLCAVAATLVSHMMLA
+FTMWNPWIAMCLLGLSYSLLACAL
+>Q96ES6_PF07690_11 <unknown description>
+LYLVQGLPYGLQSGLLPVLLRAGGLSLTRVGLAKVLYAPWLLKLAWAPLVDAQGSARAWV
+TRSTAGLGLVCGLLAGLPPPGAGQAGLPAAVAGLLLLLNLGAAMQDVALDALAVQLLEPA
+ELGPGNTVQVVAYKLGAALAGGALLALLPTFSWPQLFLLLAATYWLAAALAWAAPALRRL
+PQQPPSEQRPHTAHLLRDVLAVPGTVWTAGFVLTYKLGEQGASSLFPLLLLDHGVSAPEL
+GLWNGVGAVVCSIAGSSLGGTLLAKHWKLLPLLRSVLRFRLGGLACQTALVFHLDTLGAS
+MDAGTILRGSALLSLCLQHFLGGLVTTVTFTGMMRCSQL
+>Q6N075_PF05631_6 <unknown description>
+YLAFVGLLASCLGLELSRCRAKPPGRACSNPSFLRFQLDFYQVYFLALAADWLQAPYLYK
+LYQHYYFLEGQIAILYVCGLASTVLFGLVASSLVDWLGRKNSCVLFSLTYSLCCLTKLSQ
+DYFVLLVGRALGGLSTALLFSAFEAWYIHEHVERHDFPAEWIPATFARAAFWNHVLAVVA
+GVAAEAVASWIGLGPVAPFVAAIPLLALAGALALRNWGENYDRQRAFSRTCAGGLRCLLS
+DRRVLLLGTIQALFESVIFIFVFLWTPVLDPHGAPLGIIFSSFMAASLLGSSLYRIATSK
+RYHLQPMHLLSLAVLIVVFSLFML
+>Q6ZSS7_PF12832_70 <unknown description>
+ISKVFYFFFYSAYGSLYPLLPVYYKQLGMSPSQSGLLVGIRYFIEFCSAPFWGVVADRFK
+KGKIVLLFSLLCWVLFNLGIGFVKPATLRCVPKIRPTTHPTNASHQLTILPTNSSFTSFL
+TISPKMREKRNLLETRLNVSDTVTLPTAPNMNSEPTLQPQTGEITNRMMDLTLNSSTATP
+VSPGSVTKETTTVIVTTTKSLPSDQVMLVYDQQEVEAIFLVILVVVIIGEFFSASSVTIV
+DTVTLQYLGKHRDRYGLQRMWGSLGWGLAMLSVGIGIDYTHIEVLIDGKGCKPPEYRNYQ
+IVFIVFGVLMTMALIVATQFRFRYNHFKNDDSKGKEVEIPQVERNNSTESSEETPTTTSH
+SQAFNFWDLIKLLCSVQYGSVLFVAWFMGFGYGFVFTFLYWHLEDLNGTTTLFGVCSVLS
+HVSELTAYFFSHKLIELIGHIRVLYIGLACNTARYIYISYLENAWTVLPMEVLQGVTHAA
+IWAACISYLSAAVPPELRTSAQGILQGLHLGLGRGCGAMIGGVLVNYFGAAATFR
+>Q8NHS3_PF07690_42 <unknown description>
+LYLTMFLSSVGFSVVMMSIWPYLQKIDPTADTSFLGWVIASYSLGQMVASPIFGLWSNYR
+PRKEPLIVSILISVAANCLYAYLHIPASHNKYYMLVARGLLGIGAGNVAVVRSYTAGATS
+LQERTSSMANISMCQALGFILGPVFQTCFTFLGEKGVTWDVIKLQINMYTTPVLLSAFLG
+ILNIILILAILREHRVDDSGRQCKSINFEEASTDEAQVPQGNIDQVAVVAINVLFFVTLF
+IFALFETIITPLTMDMYAWTQEQAVLYNGIILAALGVEAVVIFLGVKLLSKKIGERAILL
+GGLIVVWVGFFILLPWGN
+>Q8NBP5_PF07690_49 <unknown description>
+LYLVGFLDLFGVSMVVPLLSLHVKSLGASPTVAGIVGSSYGILQLFSSTLVGCWSDVVGR
+RSSLLACILLSALGYLLLGAATNVFLFVLARVPAGIFKHTLSISRALLSDVVPEKERPLV
+IGHFNTASGVGFILGPVVGGYLTELEDGFYLTAFICFLVFILNAGLVWFFPWREAKPGST
+EKGLPLRKTHVLLGRSHDTVQEAATSRRARASKKTAQPWVEVVLALRNMKNLLFSEMWDI
+FLVRLLMAMAVMLYYSNFVLALEERFGVRPKVTGYLISYSSMLGAVAGLALGPILRLYKH
+NSQALLLHSSILTCTLLLLYSLAPTMGAVVLSSTLLSFSTAIGRTCITDLQLTVGGAQAS
+GTLIGVGQSVTAVGRIIAP
+>Q9H2D1_PF00153_24 <unknown description>
+ENLIAGVSGGVLSNLALHPLDLVKIRFAVSDGLELRPKYNGILHCLTTIWKLDGLRGLYQ
+GVTPNIWGAGLSWGLYFFFYNAIKSY
+>Q9H2D1_PF00153_119 <unknown description>
+EATEYLVSAAEAGAMTLCITNPLWVTKTRLMLQYDAVVNSPHRQYKGMFDTLVKIYKYEG
+VRGLYKGFVPGLFGTSHGALQFMAYELLKLKYN
+>Q9H2D1_PF00153_222 <unknown description>
+LSTVEYISVAALSKIFAVAATYPYQVVRARLQDQHMFYSGVIDVITKTWRKEGVGGFYKG
+IAPNLIRVTPACCITFVVYENVSHFLL
+>Q2M2H8_PF00088_43 <unknown description>
+CPEIPQSERIDCTPDQEVTEDICRWQYKCCWSPVADANVPRCFFP
+>Q2M2H8_PF16863_104 <unknown description>
+GFTAQLKRLPSPSLFGNDVATTLFTAEYQTSNRFHFKITDFNNIRYEVSHENINLVDGIA
+DASNLSYYVEVTDKPFSIKIMRTSNRRVLLDTSIGPLQFAQQYLQLSFRLPS
+>Q2M2H8_PF13802_216 <unknown description>
+ANVYGLGEHVHQQYRHNMTWKTWPIFTRDATPTEGMINLYGAHTFFLCLEDARGSSFGVF
+LMNSNAMEV
+>Q2M2H8_PF01055_304 <unknown description>
+YVFLGNTPEQVVQEYLELVGRPFFPPYWSLGFQLSRRDYGGINKLKEVVSRNRLAEIPYD
+VQYSDIDYMDGKKDFTVDEVAYSGLPDFVKELHDNGQKYLIIMNPGISKNSNYEPYNNGS
+LKRVWILGSNGFAVGEGYPGPTVFPDYTNPVCTEWWTDQVAKFHDHLEFDGVWIEMNEVS
+SLLQASNNQCESNNLNFPPFLPRVLDHLLFARTLCMDTEFHGGLHYDIHSLYGHSMARTT
+NLALETIFMNNRSFILSRSTFAGSGKFAAHWLGDNAATWDDLRWSIPTILEFNLFGIPMV
+GANICGYNNNVTEELCRRWMQLGAFYPLPRNHNGPGFRDQDPAAFGVDSLLLKSSRHYLN
+IRYTLLPYLYTLFYHAHTRGETVARPLVHEFYQDSATWDVHEQFLWGPGLLITPVLYEGV
+DEVKAYIPDATWYDYETGVAISWRKQLVNMLLPGDKIGLHLRGGYI
+>Q2M2H8_PF00088_908 <unknown description>
+VSDLEKFNCYPDDPTASEESCRQRGCLWEDTSTPGVPTCYY
+>Q2M2H8_PF16863_983 <unknown description>
+ASDSLSAKISFLHLKVIYHTATMLQVKIYDPTNKRYEVPVPLNTPPQPVGDPENRLYDVR
+IQNNPFGIQIQRKNSSTVIWDSQLPGFIFNDMFLSISTRLPS
+>Q2M2H8_PF13802_1085 <unknown description>
+QYIYGFGETEHTTFRRNMNWNTWGMFAHDEPPAYKKNSYGVHPYYMALEEDGSAHGVLLL
+NSNAMD
+>Q2M2H8_PF01055_1171 <unknown description>
+YIVLGPTPELVTQQYTELIGRPAMIPYWALGFHLSRYGYQNDAEISSLYDAMVAAQIPYD
+VQHVDIDYMNRKLDFTLSANFQNLSLLIEQMKKNGMRFILILDPAISGNETQYLPFIRGQ
+ENNVFIKWPDTNDIVWGKVWPDLPNVIVDGSLDHETQVKLYRAYVAFPDFFRNSTAAWWK
+KEIEELYANPREPEKSLKFDGLWIDMNEPSNFVDGSVRGCSNEMLNNPPYMPYLESRDKG
+LSSKTLCMESQQILPDSSPVEHYNVHNLYGWSQTRPTYEAVQEVTGQRGVIITRSTFPSS
+GRWGGHRLGNNTAAWDQLGKSIIGMMEFSLFGIPYTGADICGFFGDAEYEMCVRWMQLGA
+FYPFSRNHNNIGTRRQDPVAWNSTFEMLSRKVLETRYTLLPYLYTLMHKAHVEGSTVVRP
+LLHEFTDDRTTWDIDRQFMLGPAILISPVLETSTFEISAYFPRARWYDYSTGTSSTSTGQ
+RKILKAPLDHINLHVRGGYIL
+>P26572_PF03071_12 <unknown description>
+WGAILFVAWNALLLLFFWTRPAPGRPPSVSALDGDPASLTREVIRLAQDAEVELERQRGL
+LQQIGDALSSQRGRVPTAAPPAQPRVPVTPAPAVIPILVIACDRSTVRRCLDKLLHYRPS
+AELFPIIVSQDCGHEETAQAIASYGSAVTHIRQPDLSSIAVPPDHRKFQGYYKIARHYRW
+ALGQVFRQFRFPAAVVVEDDLEVAPDFFEYFRATYPLLKADPSLWCVSAWNDNGKEQMVD
+ASRPELLYRTDFFPGLGWLLLAELWAELEPKWPKAFWDDWMRRPEQRQGRACIRPEISRT
+MTFGRKGVSHGQFFDQHLKFIKLNQQFVHFTQLDLSYLQREAYDRDFLARVYGAPQLQVE
+KVRTNDRKELGEVRVQYTGRDSFKAFAKALGVMDDLKSGVPRAGYRGIVTFQFRGRRVHL
+APPLTWEGYDPSW
+>Q10469_PF05060_93 <unknown description>
+SLVYQLNFDQTLRNVDKAGTWAPRELVLVVQVHNRPEYLRLLLDSLRKAQGIDNVLVIFS
+HDFWSTEINQLIAGVNFCPVLQVFFPFSIQLYPNEFPGSDPRDCPRDLPKNAALKLGCIN
+AEYPDSFGHYREAKFSQTKHHWWWKLHFVWERVKILRDYAGLILFLEEDHYLAPDFYHVF
+KKMWKLKQQECPECDVLSLGTYSASRSFYGMADKVDVKTWKSTEHNMGLALTRNAYQKLI
+ECTDTFCTYDDYNWDWTLQYLTVSCLPKFWKVLVPQIPRIFHAGDCGMHHKKTCRPSTQS
+AQIESLLNNNKQYMFPETLTISEKFTVVAISPPRKNGGWGDIRDHELC
+>Q09327_PF04724_201 <unknown description>
+PREVPRRVINAINVNHEFDLLDVRFHELGDVVDAFVVCESNFTAYGEPRPLKFREMLTNG
+TFEYIRHKVLYVFLDHFPPGGRQDGWIADDYLRTFLTQDGVSRLRNLRPDDVFIIDDADE
+IPARDGVLFLKLYDGWTEPFAFHMRKSLYGFFWK
+>O43451_PF00088_90 <unknown description>
+CPVVNELERINCIPDQPPTKATCDQRGCCWNPQGAVSVPWCYY
+>O43451_PF16863_150 <unknown description>
+GFTARLKNLPSSPVFGSNVDNVLLTAEYQTSNRFHFKLTDQTNNRFEVPHEHVQSFSGNA
+AASLTYQVEISRQPFSIKVTRRSNNRVLFDSSIGPLLFADQFLQLSTRLPS
+>O43451_PF01055_349 <unknown description>
+YVFLGNTPEQVVQEYLELIGRPALPSYWALGFHLSRYEYGTLDNMREVVERNRAAQLPYD
+VQHADIDYMDERRDFTYDSVDFKGFPEFVNELHNNGQKLVIIVDPAISNNSSSSKPYGPY
+DRGSDMKIWVNSSDGVTPLIGEVWPGQTVFPDYTNPNCAVWWTKEFELFHNQVEFDGIWI
+DMNEVSNFVDGSVSGCSTNNLNNPPFTPRILDGYLFCKTLCMDAVQHWGKQYDIHNLYGY
+SMAVATAEAAKTVFPNKRSFILTRSTFAGSGKFAAHWLGDNTATWDDLRWSIPGVLEFNL
+FGIPMVGPDICGFALDTPEELCRRWMQLGAFYPFSRNHNGQGYKDQDPASFGADSLLLNS
+SRHYLNIRYTLLPYLYTLFFRAHSRGDTVARPLLHEFYEDNSTWDVHQQFLWGPGLLITP
+VLDEGAEKVMAYVPDAVWYDYETGSQVRWRKQKVEMELPGDKIGLHLRGGYI
+>O43451_PF00088_959 <unknown description>
+RDEEKIDCYPDENGASAENCTARGCIWEASNSSGVPFCYF
+>O43451_PF16863_1015 <unknown description>
+ATADISLKSSVYANAFPSTPVNPLRLDVTYHKNEMLQFKIYDPNKNRYEVPVPLNIPSMP
+SSTPEGQLYDVLIKKNPFGIEIRRKSTGTIIWDSQLLGFTFSDMFIRISTRLPS
+>O43451_PF01055_1215 <unknown description>
+YVFLGPTPELVTQQYTELIGRPVMVPYWSLGFQLCRYGYQNDSEIASLYDEMVAAQIPYD
+VQYSDIDYMERQLDFTLSPKFAGFPALINRMKADGMRVILILDPAISGNETQPYPAFTRG
+VEDDVFIKYPNDGDIVWGKVWPDFPDVVVNGSLDWDSQVELYRAYVAFPDFFRNSTAKWW
+KREIEELYNNPQNPERSLKFDGMWIDMNEPSSFVNGAVSPGCRDASLNHPPYMPHLESRD
+RGLSSKTLCMESQQILPDGSLVQHYNVHNLYGWSQTRPTYEAVQEVTGQRGVVITRSTFP
+SSGRWAGHWLGDNTAAWDQLKKSIIGMMEFSLFGISYTGADICGFFQDAEYEMCVRWMQL
+GAFYPFSRNHNTIGTRRQDPVSWDAAFVNISRNVLQTRYTLLPYLYTLMQKAHTEGVTVV
+RPLLHEFVSDQVTWDIDSQFLLGPAFLVSPVLERNARNVTAYFPRARWYDYYTGVDINAR
+GEWKTLPAPLDHINLHVRGGYIL
+>O43451_PF00088_1855 <unknown description>
+RDEEKIDCYPDENGDSAENCTARGCIWEASNSSGVPFCYF
+>O43451_PF16863_1911 <unknown description>
+ATADISLKSSVHANAFPSTPVNPLRLDVTYHKNEMLQFKIYDPNNNRYEVPVPLNIPSVP
+SSTPEGQLYDVLIKKNPFGIEIRRKSTGTIIWDSQLLGFTFNDMFIRISTRLPS
+>O43451_PF01055_2111 <unknown description>
+YVFLGPTPELVTQQYTELIGRPVMVPYWSLGFQLCRYGYQNDSEISSLYDEMVAAQIPYD
+VQYSDIDYMERQLDFTLSPKFAGFPALINRMKADGMRVILILDPAISGNETQPYPAFTRG
+VEDDVFIKYPNDGDIVWGKVWPDFPDVVVNGSLDWDSQVELYRAYVAFPDFFRNSTAKWW
+KREIEELYNNPQNPERSLKFDGMWIDMNEPSSFVNGAVSPGCRDASLNHPPYMPYLESRD
+RGLSSKTLCMESQQILPDGSPVQHYNVHNLYGWSQTRPTYEAVQEVTGQRGVVITRSTFP
+SSGRWAGHWLGDNTAAWDQLKKSIIGMMEFSLFGISYTGADICGFFQDAEYEMCVRWMQL
+GAFYPFSRNHNTIGTRRQDPVSWDVAFVNISRTVLQTRYTLLPYLYTLMHKAHTEGVTVV
+RPLLHEFVSDQVTWDIDSQFLLGPAFLVSPVLERNARNVTAYFPRARWYDYYTGVDINAR
+GEWKTLPAPLDHINLHVRGGYIL
+>Q86V88_PF12689_3 <unknown description>
+RLPKLAVFDLDYTLWPFWVDTHVDPPFHKSSDGTVRDRRGQDVRLYPEVPEVLKRLQSLG
+VPGAAASRTSEIEGANQLLELFDLFRYFVHREIYPGSKITHFERLQQKTGIPFSQMIFFD
+DERRNIVDVSKLGVTCIHIQNGMNLQTLSQGLETFAK
+>Q9BQP7_PF12705_228 <unknown description>
+HETLNYIGLLDCVAEYQGKLCVIDWKTSEKPKPFIQSTFDNPLQVVAYMGAMNHDTNYSF
+QVQCGLIVVAYKDGSPAHPHFMDAELCSQYWTKWLLRLEEYTEK
+>P16455_PF02870_6 <unknown description>
+EMKRTTLDSPLGKLELSGCEQGLHEIKLLGKGTSAADAVEVPAPAAVLGGPEPLMQCTAW
+LNAYFHQPEAIEEFPVPALHHPVFQ
+>P16455_PF01035_96 <unknown description>
+RQVLWKLLKVVKFGEVISYQQLAALAGNPKAARAVGGAMRGNPVPILIPCHRVVCSSGAV
+GNYSGGLAVKEWLLAHEG
+>Q96A72_PF02792_7 <unknown description>
+FYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEELKRII
+DDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLRVFYY
+LVQDLKCLVFSLIGLHFKIKPI
+>P61326_PF02792_5 <unknown description>
+FYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEELKRII
+DDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLRVFYY
+LVQDLKCLVFSLIGLHFKIKPI
+>O60291_PF13920_275 <unknown description>
+SNECVVCLSDLRDTLILPCRHLCLCTSCADTLRYQANNCPICRLPF
+>P10620_PF01124_17 <unknown description>
+ASYATIILSKMMLMSTATAFYRLTRKVFANPEDCVAFGKGENAKKYLRTDDRVERVRRAH
+LNDLENIIPFLGIGLLYSLSGPDPSTAILHFRLFVGARIYHTIAYLTPLPQPNRALSFFV
+GYGVTLSMAYRLL
+>Q99735_PF01124_9 <unknown description>
+AAVSILSACQQSYFALQVGKARLKYKVTPPAVTGSPEFERVFRAQQNCVEFYPIFIITLW
+MAGWYFNQVFATCLGLVYIYGRHLYFWGYSEAAKKRITGFRLSLGILALLTLLG
+>O14880_PF01124_12 <unknown description>
+LLTGAASFIMVAHLAINVSKARKKYKVEYPIMYSTDPENGHIFNCIQRAHQNTLEVYPPF
+LFFLAVGGVYHPRIASGLGLAWIVGRVLYAYGYYTGEPSKRSRGALGSIALLGLV
+>Q9UM21_PF04666_104 <unknown description>
+QVPSIYYHLPHLLKNEGSLQPAVQIGNGRTGVSIVMGIPTVKREVKSYLIETLHSLIDNL
+YPEEKLDCVIVVFIGETDIDYVHGVVANLEKEFSKEISSGLVEVISPPESYYPDLTNLKE
+TFGDSKERVRWRTKQNLDYCFLMMYAQEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSE
+EWMILEFSQLGFIGKMFQAPDLTLIVEFIFMFYKEKPIDWLLDHILWVKVCNPEKDAKHC
+DRQKANLRIRFRPSLFQHVGLHSSLSGKIQKLTDKDY
+>Q9UQ53_PF04666_112 <unknown description>
+LPTVFHHLPHLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELS
+PQEKEDSVIVVLIAETDSQYTSAVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRES
+FGDPKERVRWRTKQNLDYCFLMMYAQSKGIYYVQLEDDIVAKPNYLSTMKNFALQQPSED
+WMILEFSQLGFIGKMFKSLDLSLIVEFILMFYRDKPIDWLLDHILWVKVCNPEKDAKHCD
+RQKANLRIRFKPSLFQHVGTHSSLAGKIQKLKDKDF
+>Q9UBM8_PF04666_67 <unknown description>
+ERYVHTFKDLSNFSGAINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLETIKSIFEQ
+SSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYPILDGLK
+RNYNDPEDRVKFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSKNFLTAIKKVIASLEG
+TYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKNVIRFKP
+SLFQHMGYYSSYKGTENKLKDDDF
+>A6NG13_PF04666_102 <unknown description>
+FEDLKFFFPHLRKEGRIYPDVIIGKGKTGVSFALGISTVNRGNYSYLKQTLTSVVSRMTL
+SQEKDSVVIVLVADSNEDYLHSVVKMITKKFKRQVRSGSLEVISIPAFLYSSMLNAKHLA
+EASQKLASWRIKQVLDFCILLLYAQPKAKYYLQLEDDIIAKEMYFTKITDFVGNISSNNW
+FFIEFSMLGFIGKLFRSEDLTHFVRFFLMFYKEKPIDWLLNDIFQVKVCDAGEDLRNCMK
+RKKQIRIQYKPSLFQHVGIHSSFPRKEQYEKK
+>Q09328_PF15027_7 <unknown description>
+WKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKALAEENR
+NVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVVNGTGTNSTNSTTAVPSL
+VALEKINVAD
+>Q09328_PF15024_172 <unknown description>
+CYADYGVDGSTCSFFIYLSEVENWCPHLPWRAKNPYEEADHNSLAEIRTDFNILYSMMKK
+HEEFRWMRLRIRRMADAWIQAIKSLAEKQNLEKRKRKKVLVHLGLLTKESGFKIAETAFS
+GGPLGELVQWSDLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYI
+DIVGLAQFKKTLGPSWVHYQCMLRVLDSFGTEPEFNHANYAQSKGHKTPWGKWNLNPQQF
+YTMFPHTPDNSFLGFVVEQHLNSSDIHHINEIKRQNQSLVYGKVDSFWKNKKIYLDIIHT
+YMEVHATVYGSSTKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANG
+CAFLNPKFNPPKSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTVDLNNQEEVEDAV
+KAILNQKIEPYMPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKLAEPGQSCKQ
+VCQESQLICEPSFFQHLNKDKDMLKYKVTCQSSELAKDILVPSFDPKNKHCVFQGDLLLF
+SCAGAHPRHQRVCPC
+>Q3V5L5_PF15024_184 <unknown description>
+CYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQTAAQRAPKPLPKVQAVFRSNLSHLLDL
+MGSGKESLIFMKKRTKRLTAQWALAAQRLAQKLGATQRDQKQILVHIGFLTEESGDVFSP
+RVLKGGPLGEMVQWADILTALYVLGHGLRVTVSLKELQSNLGVPPGRGSCPLTMPLPFDL
+IYTDYHGLQQMKRHMGLSFKKYRCRIRVIDTFGTEPAYNHEEYATLHGYRTNWGYWNLNP
+KQFMTMFPHTPDNSFMGFVSEELNETEKRLIKGGKASNMAVVYGKEASIWKLQGKEKFLG
+ILNKYMEIHGTVYYESQRPPEVPAFVKNHGLLPQPEFQQLLRKAKLFIGFGFPYEGPAPL
+EAIANGCIFLQSRFSPPHSSLNHEFFRGKPTSREVFSQHPYAENFIGKPHVWTVDYNNSE
+EFEAAIKAIMRTQVDPYLPYEYTCEGMLERIHAYIQHQDFCRAPDPALPEAHAPQSPFVL
+APNATHLEWARNTSLAPGAWPPAHALRAWLAVPGRACTDTCLDHGLICEPSFFPFLNSQD
+AFLKLQVPCDSTESEMNHLYPAFAQPGQECYLQKEPLLFSCAGSNTKYRRLCPC
+>A9UHW6_PF02854_7 <unknown description>
+EEYKIQSFDAETQQLLKTALKDPGAVDLEKVANVIVDHSLQDCVFSKEAGRMCYAIIQAE
+SKQAGQSVFRRGLLNRLQQEYQAREQLRARSLQGWVCYVTFICNIFDYLRVNNMPMMALV
+NPVYDCLFRLAQPDSLSKEEEVDCLVLQLHRVGEQLEKMNGQRMDELFVLIRDGFLLPTG
+LSSLAQLLLLEIIEFR
+>Q96PC5_PF07653_45 <unknown description>
+SAMRDYRGPDCRYLNFTKGEEISVYVKLAGEREDLWAGSKGKEFGYFPRDAVQI
+>Q8N4Q1_PF06747_64 <unknown description>
+CGEQFKSAFSCFHYSTEEIKGSDCVDQFRAMQECMQK
+>Q16674_PF07653_48 <unknown description>
+AVALQDYMAPDCRFLTIHRGQVVYVFSKLKGRGRLFWGGSVQGDYYGDLAARLGYFPSSI
+VRE
+>Q86YT6_PF06701_15 <unknown description>
+GARVVRGPDWKWGKQDGGEGHVGTVRSFESPEEVVVVWDNGTAANYRCSGAYDLRILD
+>Q86YT6_PF00569_79 <unknown description>
+KHDGTMCDTCRQQPIIGIRWKCAECTNYDLCTVCYHGDKHHLRH
+>Q86YT6_PF06701_154 <unknown description>
+GARVVRGVDWQWEDQDGGNGRRGKVTEIQDWSASSPHSAAYVLWDNGAKNLYRVGFEGMS
+DLKCVQ
+>Q86YT6_PF18346_246 <unknown description>
+LQIGDLVNIDLDLEIVQSLQHGHGGWTDGMFETLTTTGTVCGIDEDHDIVVQYPSGNRWT
+FNPAVL
+>Q86YT6_PF18346_333 <unknown description>
+FQVGDLVQVCYDLERIKLLQRGHGEWAEAMLPTLGKVGRVQQIYSDSDLKVEVCGTSWTY
+NPAAV
+>Q86YT6_PF12796_502 <unknown description>
+HHAAFGDEGAVIEVLHRGSADLNARNKRRQTPLHIAVNKGHLQVVKTLLDFGCHPSLQDS
+EGDTPLHDAISKKRDDILAVLLEAGADVTITN
+>Q86YT6_PF12796_594 <unknown description>
+NNGFNALHHAALRGNPSAMRVLLSKLPRPWIVDEKKDDGYTALHLAALNNHVEVAELLVH
+QGNANLDIQ
+>Q86YT6_PF13637_668 <unknown description>
+TALHLAVERQHTQIVRLLVRAGAKLDIQDKDGDTPLHEALRHHTLSQL
+>Q86YT6_PF13920_817 <unknown description>
+EECMVCSDMKRDTLFGPCGHIATCSLCSPRVKKCLICKEQVQS
+>Q86YT6_PF13920_864 <unknown description>
+EECVVCSDKKAAVLFQPCGHMCACENCANLMKKCVQCRAVVER
+>Q86YT6_PF13920_960 <unknown description>
+QTMCPVCLDRLKNMIFLCGHGTCQLCGDRMSECPICRKAIER
+>Q96AX9_PF06701_12 <unknown description>
+GMRVVRGVDWKWGQQDGGEGGVGTVVELGRHGSPSTPDRTVVVQWDQGTRTNYRAGYQGA
+HDLLLYD
+>Q96AX9_PF00569_86 <unknown description>
+HPNIICDCCKKHGLRGMRWKCRVCLDYDLCTQCYMHNKH
+>Q96AX9_PF06701_160 <unknown description>
+GAKVVRGPDWEWGSQDGGEGKPGRVVDIRGWDVETGRSVASVTWADGTTNVYRVGHKGKV
+DLKCV
+>Q96AX9_PF18346_257 <unknown description>
+FQHGDKVKCLLDTDVLREMQEGHGGWNPRMAEFIGQTGTVHRITDRGDVRVQFNHETRWT
+FHPGAL
+>Q96AX9_PF18346_328 <unknown description>
+FWVGDVVRVIGDLDTVKRLQAGHGEWTDDMAPALGRVGKVVKVFGDGNLRVAVAGQRWTF
+SPSCL
+>Q96AX9_PF12796_505 <unknown description>
+AALGNQPEATRVLLSAGCRADAINSTQSTALHVAVQRGFLEVVRALCERGCDVNLPDAHS
+DTPLHSAISAGTGASGIVEVLTEVPNIDVTAT
+>Q96AX9_PF13857_653 <unknown description>
+LIREGRCDVNVRNRKLQSPLHLAVQQAHVGLVPLLVDAGCSVNAEDEEGDTALHVA
+>Q96AX9_PF13920_830 <unknown description>
+AECLVCSELALLVLFSPCQHRTVCEECARRMKKCIRCQVVVS
+>Q96AX9_PF13920_910 <unknown description>
+TCPICIDSHIRLVFQCGHGACAPCGSALSACPICRQPIRD
+>Q5TGZ0_PF04418_3 <unknown description>
+ESELGRKWDRCLADAVVKIGTGFGLGIVFSLTFFKRRMWPLAFGSGMGLGMAYSNCQHDF
+>Q5XKP0_PF15884_25 <unknown description>
+VYDQELLGPSDKSQAALQKAGEVVPPAMYQFSQYVCQQTGLQIPQLPAPPKIYFPIRDSW
+NAGIMTVMSALSVAPSKAREY
+>Q9NX63_PF05300_59 <unknown description>
+DEELKRRVAEELALEQAKKESEDQKRLKQAKELDRERAAANEQLTRAILRERICSEEERA
+KAKHLARQLEEKDRVLKKQDAFYKEQLARLEERSSEFYRVTTEQYQKAAEEVEAKF
+>Q9BRQ6_PF05300_91 <unknown description>
+EQEHAAIQDKLFQVAKREREAATKHSKASLPTGEGSISHEEQKSVRLARELESREAELRR
+RDTFYKEQLERIERKNAEMYKLSSEQFHEAASKMESTI
+>Q9BUR5_PF09769_42 <unknown description>
+LYSVPEGQSKYVEEARSQLEESISQLRHYCEPYTTWCQETYSQTKPKMQSLVQWGLDSYD
+YLQNAPPGFFPRLGVIGFAGLIGLLLARGSKIKKLVYPPGFMGLAASLYYPQQAIVFAQV
+SGERLYDWGLRGYIVIE
+>Q6UXV4_PF09769_44 <unknown description>
+YTAPPLQSKYVEEQPGHLQMGFASIRTATGCYIGWCKGVYVFVKNGIMDTVQFGKDAYVY
+LKNPPRDFLPKMGVITVSGLAGLVSARKGSKFKKITYPLGLATLGATVCYPVQSVIIAKV
+TAKKVYATSQQIFGAV
+>Q16891_PF09731_44 <unknown description>
+GKIAGAGLLFVGGGIGGTILYAKWDSHFRESVEKTIPYSDKLFEMVLGPAAYNVPLPKKS
+IQSGPLKISSVSEVMKESKQPASQLQKQKGDTPASATAPTEAAQIISAAGDTLSVPAPAV
+QPEESLKTDHPEIGEGKPTPALSEEASSSSIRERPPEEVAARLAQQEKQEQVKIESLAKS
+LEDALRQTASVTLQAIAAQNAAVQAVNAHSNILKAAMDNSEIAGEKKSAQWRTVEGALKE
+RRKAVDEAADALLKAKEELEKMKSVIENAKKKEVAGAKPHITAAEGKLHNMIVDLDNVVK
+KVQAAQSEAKVVSQYHELVVQARDDFKRELDSITPEVLPGWKGMSVSDLADKLSTDDLNS
+LIAHAHRRIDQLNRELAEQKATEKQHITLALEKQKLEEKRAFDSAVAKALEHHRSEIQAE
+QDRKIEEVRDAMENEMRTQLRRQAAAHTDHLRDVLRVQEQELKSEFEQNLSEKLSEQELQ
+FRRLSQEQVDNFTLDINTAYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTS
+SAETPTIPLGSAVEAIKANCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLAR
+RVAMIDETRNSLYQYFLSYLQSLLLFPPQQLKPPPELCPEDINTFKLLSYASYCIEHGDL
+ELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVEILTAYAS
+>Q8TDZ2_PF01494_85 <unknown description>
+TKCLVVGAGPCGLRVAVELALLGARVVLVEKRTKFSRH
+>Q8TDZ2_PF00307_511 <unknown description>
+QEELLRWCQEQTAGYPGVHVSDLSSSWADGLALCALVYRLQPGLLEPSELQGLGALEATA
+WALKVAENELGITPVVSAQAVVAGSDPLGLIAYLSHFHSAFK
+>Q8TDZ2_PF00412_697 <unknown description>
+CALCGEHLYVLERLCVNGHFFHRSCFRCHTCEATLWPGGYEQHPGDGHFYCLQH
+>Q8TDZ2_PF12130_931 <unknown description>
+IQRRLNEIEAALRELEAEGVKLELALRRQSSSPEQQKKLWVGQLLQLVDKKNSLVAEEAE
+LMITVQELNLEEKQWQLDQELRGYMNREENLKTAADRQAEDQVLRKLVDLVNQRDALIRF
+QEERRLSE
+>O94851_PF01494_87 <unknown description>
+TKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDSFSRN
+>O94851_PF00307_521 <unknown description>
+KLLTWCQQQTEGYQHVNVTDLTTSWRSGLALCAIIHRFRPELINFDSLNEDDAVENNQLA
+FDVAEREFGIPPVTTGKEMASAQEPDKLSMVMYLSKFYELFR
+>O94851_PF00412_1002 <unknown description>
+CYFCKKRVYVMERLSAEGHFFHRECFRCSICATTLRLAAYTFDCDEGKFYCKPHFIH
+>Q7RTP6_PF01494_87 <unknown description>
+TKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDAFSRN
+>Q7RTP6_PF00307_522 <unknown description>
+SKLLGWCQRQTDGYAGVNVTDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQL
+AFDIAEKELGISPIMTGKEMASVGEPDKLSMVMYLTQFYEMFK
+>Q7RTP6_PF00412_764 <unknown description>
+CYFCQKRVYVMERLSAEGKFFHRSCFKCEYCATTLRLSAYAYDIEDGKFYCKPHYC
+>Q7RTP6_PF12130_1854 <unknown description>
+IQRQLQQVEERQRRLEERGVAVEKALRGEAGMGKKDDPKLMQEWFKLVQEKNAMVRYESE
+LMIFARELELEDRQSRLQQELRERMAVEDHLKTEEELSEEKQILNEMLEVVEQRDSLVAL
+LEEQRLREREEDKDL
+>Q29980_PF00129_25 <unknown description>
+PHSLRYNLMVLSQDGSVQSGFLAEGHLDGQPFLRYDRQKRRAKPQGQWAENVLGAKTWDT
+ETEDLTENGQDLRRTLTHIKDQKGGLHSLQEIRVCEIHEDSSTRGSRHFYYDGELFLSQN
+LETQESTVPQSSRAQTLAMNVTNFWKEDAMKTKTHYRAMQADCLQKLQRYLKSG
+>Q29980_PF07654_214 <unknown description>
+SEVSEGNITVTCRASSFYPRNITLTWRQDGVSLSHNTQQWGDVLPDGNGTYQTWVATRIR
+QGEEQRFTCYMEHSG
+>Q9BPX6_PF13202_226 <unknown description>
+AFKMFDLNGDGEVDMEEFEQV
+>Q9BPX6_PF13833_395 <unknown description>
+TMQQVARTVAKVELSDHVCDVVFALFDCDGNGELSNKEFVSIMKQ
+>Q8IYU8_PF13833_348 <unknown description>
+AEFKRAVKVATGQELSNNILDTVFKIFDLDGDECLSHEEFLGVLKN
+>Q86XE3_PF13833_451 <unknown description>
+RSIGQDEFKRAVYVATGLKFSPHLVNTVFKIFDVDKDDQLSYKEFIGIMKDR
+>Q96C03_PF03281_338 <unknown description>
+EAARLRALDDHDAGTRRRLLLLLCAVCRGCSALGQLGRGHLTQVVLRLGEDNVDWTEEAL
+GERFLQALELLIGSLEQASLPCHFNPSVNLFSSLREEEIDDI
+>Q9NQG6_PF03281_191 <unknown description>
+YDDLQVVTADHIQLIVPLVLEQNLWSCIPGEDTIMNVPGFFLVRRENPEYFPRGSSYWDR
+CVVGGYLSPKTVADTFEKVVAGSINWPAIGSLLDYVIRPAPPPEALTLEVQYERDKHLFI
+DFLPSVTLGDTVLVAKPHRLAQYDNLWRLSLRPAETARLRALDQADSGCRSLCLKILKAI
+CKSTPALGHLTASQLTNVILHLAQEEADWSPDMLADRFLQALRGLISYLEAGVLPSALNP
+KVNLFAELTPEEIDEL
+>Q8TC71_PF16026_298 <unknown description>
+RKAALLSRFSDSYSQARLDAQCLLRRCIDKAETVQRIIYIATVEAFHVAKMAFRHFKIHV
+RKSLTPSYVGSNDFENAVLDYVICHLDLYDSQSSVNDVIRAMNVNPKISFPPVVDFCLLS
+DFIQEICCIAFAMQALEPPLDIAYGADGEVFNDCKYRRSYDSDFTAPLVLYHVWPALMEN
+DCVIMKGEAVT
+>Q9BRT3_PF10262_24 <unknown description>
+RIVVEYCEPCGFEATYLELASAVKEQYPGIEIESRLGGTGAFEIEINGQLVFSKLENGGF
+PYEKDLIEAIR
+>Q8N108_PF01448_235 <unknown description>
+IMVGSMFQAEIPVGICRYKENEKVYENDDQLLWDPEYLPEDKVIIFLKDAS
+>Q8N108_PF19426_395 <unknown description>
+QTRFGKKKYNLHPGVTDYMDRLLDESESAASSRAPSPPPTASNSSNSQSEKE
+>Q8N344_PF01448_197 <unknown description>
+IMVGPQFQADLSNLHLNRHCEKIYENEDQLLWDPSVLPEREVEEFLYRA
+>Q7Z3K6_PF01448_176 <unknown description>
+IMIGLQYQAEIPPYLGEYDGNEKVYENEDQLLWCPDVVLESKVKEYLV
+>Q7Z3K6_PF19426_336 <unknown description>
+QTRFGKKRYNHHPGVTDYMDRLVDETEALGGTVNASALTSNRPEPIPDQQLNILNSFTAS
+DLTALTNSVATVCDPTDVNCLDDSFPPLGNTPRGQVNHVPVVTEELLTLPSNGESDCFNL
+FETGFYHSELNPMNMCSEESERPAKRLKMGIAVPESFMNEVSVNNLGVDFENHTHHITSA
+KMAVSVADFGSLSANETNGFISAHALHQHAALHSE
+>P14174_PF01187_2 <unknown description>
+PMFIVNTNVPRASVPDGFLSELTQQLAQATGKPPQYIAVHVVPDQLMAFGGSSEPCALCS
+LHSIGKIGGAQNRSYSKLLCGLLAERLRISPDRVYINYYDMNAANVGWNNSTFA
+>Q8NAN2_PF10265_60 <unknown description>
+YYSLSQIKFSPVAKKLFVVTAVSAISVIFLAHHFKRKRGKKKGKILPWEPEHLILEYTKR
+AASDKGSSCSSSRQNLTLSLSSTKDKGSQVCNYANGGLFSKYSGSAQSLASVQSVNSCHS
+CACGNSNSWDKADEDDIKLVNIPVTTPENLYLMGMELFEEALRRWEQALTFRNRQAEDEA
+CGSIKLGAGDAIAEENVDDIISTEFIHKLEALLQRAYRLQEEFEATLGASDPNSLADDID
+KDTDITMKGNVEDFGLRDTLSIASTDSFASAAELAEHREVRHTYSLESLCHCPFYEEAMH
+LVEEGKIYSRVLRTEMLECLGDSDFLAKLHCIRQAFQVILSESANRIFLAESGRKILSAL
+IVKARKNPKKFEDVFDEMIYFLEQTDHWGSTEMELAARGVKNLNFYDVVLDFILMDSFED
+LENPPTSIQNVVNNRWLNSSFKETAVASSCWSVLKQKRQQMKIPDGFFAHFYAICEHISP
+VLAWGFLGPRNSLYDLCCFFKNQVLLFLKDIFDFEKVRYSSTETLAEDLMQLLIRRTELL
+MAYL
+>Q7L4E1_PF10265_32 <unknown description>
+QSAFSQLRLTPGLRKVLFATALGTVALALAAHQLKRRRRRKKQVGPEMGGEQLGTVPLPI
+LLARKVPSVKKGYSSRRVQSPSSKSNDTLSGISSIEPSKHSGSSHSVASMMAVNSSSPTA
+ACSGLWDARGMEESLTTSDGNAESLYMQGMELFEEALQKWEQALSVGQRGDSGSTPMPRD
+GLRNPETASEPLSEPESQRKEFAEKLESLLHRAYHLQEEFGSTFPADSMLLDLERTLMLP
+LTEGSLRLRADDEDSLTSEDSFFSATELFESLQTGDYPIPLSRPAAAYEEALQLVKEGRV
+PCRTLRTELLGCYSDQDFLAKLHCVRQAFEGLLEDKSNQLFFGKVGRQMVTGLMTKAEKS
+PKGFLESYEEMLSYALRPETWATTRLELEGRGVVCMSFFDIVLDFILMDAFEDLENPPAS
+VLAVLRNRWLSDSFKETALATACWSVLKAKRRLLMVPDGFISHFYSVSEHVSPVLAFGFL
+GPKPQLAEVCAFFKHQIVQYLRDMFDLDNVRYTSLPALADDILQLSRRRSEILLGYL
+>Q5JXC2_PF15734_39 <unknown description>
+SSLESSSSYNSETPSTPETSSTSLSTSCPRGRSSVWGPPDACRGDLRDVARSGVASLPPA
+KCQHQESLGRPRPHSAPSLGTSSLRDPEPSGRLGDPGPQEAQTPRSILAQQSKLSKPRVT
+FSEESAVPKRSWRLRPYLGYDWIAGSLDTSSSITSQPEAFFSKLQEFRETNKEECICSHP
+EPQLPGLRESSGSGVEEDHECVYCYRVNRRLFPVPVDPGTPCRLCRTPRDQQGPGTLAQP
+AHVRVSIPLSILEPPHRYHIHRRKSFDASDTLALPRHCLLGWDIFPPKSEKSSAPRNLDL
+WSSVSAEAQHQKLSGTSSPFHPASPMQMLPPTPTWSVPQ
+>Q8N3F8_PF00307_5 <unknown description>
+RGALLAWCRRQCEGYRGVEIRDLSSSFRDGLAFCAILHRHRPDLLDFDSLSKDNVFENNR
+LAFEVAEKELGIPALLDPNDMVSMSVPDCLSIMTYVSQYYNHF
+>Q8N3F8_PF00412_164 <unknown description>
+CAACQQHVHLVQRYLADGRLYHRHCFRCRRCSSTLLPGAYENGPEEGTFVCAEHC
+>Q8N3F8_PF12130_684 <unknown description>
+IHGEMDTIERRLDALEHRGVLLEEKLRGGLNEGREDDMLVDWFKLIHEKHLLVRRESELI
+YVFKQQNLEQRQADVEYELRCLLNKPEKDWTEEDRAREKVLMQELVTLIEQRNAIINCLD
+EDRQREEEEDKM
+>Q8IY33_PF00307_5 <unknown description>
+RALQQWCRQQCEGYRDVNICNMTTSFRDGLAFCAILHRHRPDLINFSALKKENIYENNKL
+AFRVAEEHLGIPALLDAEDMVALKVPDRLSILTYVSQYYNYFH
+>Q8IY33_PF00412_188 <unknown description>
+CGVCGKHVHLVQRHLADGRLYHRSCFRCKQCSCTLHSGAYKATGEPGTFVCTSH
+>Q8IY33_PF12130_740 <unknown description>
+IQRQLQDIERRLDALELRGVELEKRLRAAEGDDAEDSLMVDWFWLIHEKQLLLRQESELM
+YKSKAQRLEEQQLDIEGELRRLMAKPEALKSLQERRREQELLEQYVSTVNDRSDIVDSLD
+EDRLREQEEDQM
+>Q7Z6M3_PF17736_44 <unknown description>
+KVVMKGQNVSMFCSHKNKSLQITYSLFRRKTHLGTQDGKGEPAIFNLSITEAHESGPYKC
+KAQVTSCSK
+>Q7Z6M3_PF17736_132 <unknown description>
+IMVIQTETDRHITLHCLSVNGSLPINYTFFENHVAISPAISKYDREPAEFNLTKKNPGEE
+EEYRCEAKNRLPNY
+>P20774_PF13855_127 <unknown description>
+RFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELSLAENQL
+>Q8N4C8_PF00069_25 <unknown description>
+FELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTEDEEEEIKQEINMLKKYSHHRNIAT
+YYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNTKGNALKEDCIAYICREILRGLAHL
+HAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDE
+NPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFID
+FIDTCLIKTYLSRPPTEQLLKFPFI
+>Q8N4C8_PF00780_1028 <unknown description>
+WGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGKRNKLRVYYL
+SWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALKSSVEVYAWAPKP
+YHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSYDIYIPVHIQ
+SQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSVAYICSNQIM
+GWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCE
+>Q9UNW1_PF00328_82 <unknown description>
+QLVALIRHGTRYPTVKQIRKLRQLHGLLQARGSRDGGASSTGSRDLGAALADWPLWYADW
+MDGQLVEKGRQDMRQLALRLASLFPALFSRENYGRLRLITSSKHRCMDSSAAFLQGLWQH
+YHPGLPPPDVADMEFGPPTVNDKLMRFFDHCEKFLTEVEKNATALYHVEAFKTGPEMQNI
+LKKVAATLQVPVNDLNADLIQVAFFTCSFDLAIKGVKSPWCDVFDIDDAKVLEYLNDLKQ
+YWKRGYGYTINSRSSCTLFQDIFQHLDKAVEQKQRSQPISSPVILQFGHAETLLPLLSLM
+GYFKDKEPLTAYNYKKQMHRKFRSGLIVPYASNLIFVLYHCENAKTPKEQFRVQMLLN
+>Q96T58_PF00076_8 <unknown description>
+LWVGNLPENVREEKIIEHFKRYGRVESVKILPKRGSEGGVAAFVDFVDIKSAQKAHNSVN
+KM
+>Q96T58_PF00076_352 <unknown description>
+KDGLFHEFKKFGKVTSVQIHGTSEERYGLVFFRQQEDQEKALTASKGKLFFGMQI
+>Q96T58_PF00076_440 <unknown description>
+LFIGNLEKTTTYHDLRNIFQRFGEIVDIDIKKVNGVPQYAFLQYCDIASVCKAIKKMDGE
+YLGNNRLK
+>Q96T58_PF00076_520 <unknown description>
+WLDGLSSNVSDQYLTRHFCRYGPVVKVVFDRLKGMALVLYNEIEYAQAAVKETKGRKIGG
+NKIK
+>Q96T58_PF07744_3500 <unknown description>
+QLLKKYPIVWQGLLALKNDTAAVQLHFVSGNNVLAHRSLPLSEGGPPLRIAQRMRLEATQ
+LEGVARRMTVETDYCLLLALPCGRDQEDVVSQTESLKAAFITYLQAKQAAGIINVPNPGS
+NQPAYVLQIFPPCEFSESHLSRLAPDLLASISNISPHLMIVIA
+>Q8N5J2_PF04424_143 <unknown description>
+MNILFLQWKVKLPPQKEVITSDELMAHLGNCLLSIKPQEKSEGLQLNFQQNVDDAMTVLP
+KLATGLDVNVRFTGVSDFEYTPECSVFDLLGIPLYHGWLVDPQSPEAVRAVGKLSYNQLV
+ERII
+>Q8NBR6_PF04424_273 <unknown description>
+NVLLLAWKVKLPPMMEIITAEQLMEYLGDYMLDAKPKEISEIQRLNYEQNMSDAMAILHK
+LQTGLDVNVRFTGVRVFEYTPECIVFDLLDIPLYHGWLVDPQIDDIVKAVGNCSYNQLVE
+KII
+>Q9H8M7_PF13898_29 <unknown description>
+WTQGFVFSESEGSALEQFEGGPCAVIAPVQAFLLKKLLFSSEKSSWRDCSEEEQKELLCH
+TLCDILESACCDHSGSYCLVSWLRGKTTEETASISGSPAESSCQVEHSSALAVEELGFER
+FHALIQKRSFRSLPELKDAVLDQYSMWGNKFGVLLFLYSVLLTKGIENIKNEIEDASEPL
+IDPVYGHGSQSLINLLLTGHAVSNVWDGDRECSGMKLLGIHEQAAVGFLTLMEALRYCKV
+GSYLKSPKFPIWIVGSETHLTVFFAKDMALVA
+>Q4G0A6_PF13898_416 <unknown description>
+KTLLFGSSFCCFNEEWKLQSFSFSNTASLKYGIVQNKGGPCGVLAAVQGCVLQKLLFEGD
+SKADCAQGLQPSDAHRTRCLVLALADIVWRAGGRERAVVALASRTQQFSPTGKYKADGVL
+ETLTLHSLTCYEDLVTFLQQSIHQFEVGPYGCILLTLSAILSRSTELIRQDFDVPTSHLI
+GAHGYCTQELVNLLLTGKAVSNVFNDVVELDSGDGNITLLRGIAARSDIGFLSLFEHYNM
+CQVGCFLKTPRFPIWVVCSESHFSILFSLQPGLLRDWRTERLFDLYYYDGLANQQEQIRL
+TIDTTQTISEDTDNDLVPPLELCIRTKWKGASVNWNG
+>Q9UGB7_PF05153_38 <unknown description>
+DRVFTTYKLMHTHQTVDFVRSKHAQFGGFSYKKMTVMEAVDLLDGLVDESDPDVDFPNSF
+HAFQTAEGIRKAHPDKDWFHLVGLLHDLGKVLALFGEPQWAVVGDTFPVGCRPQASVVFC
+DSTFQDNPDLQDPRYSTELGMYQPHCGLDRVLMSWGHDEYMYQVMKFNKFSLPPEAFYMI
+RFHSFYPWHTGRDYQQLCSQQDLAMLPWVREFNKFDLYTKCPDLPDVDKLRPYYQGLIDK
+YCPGILSW
+>Q9NXC5_PF17034_737 <unknown description>
+CNFCGKSISYSCSAVPHQGRGFSQYGVSGSPTKSKVTSCPGCRKPLPRCALCLINMGTPV
+SSCPGGTKSDEKVDLSKDKKLAQFNNWFTWCHNCRHGGHAGHMLSWFRDHAECPVSACTC
+KCM
+>Q99797_PF01432_255 <unknown description>
+HAESPDDLVREAAYKIFLYPNAGQLKCLEELLSSRDLLAKLVGYSTFSHRALQGTIAKNP
+ETVMQFLEKLSDKLSERTLKDFEMIRGMKMKLNPQNSEVMPWDPPYYSGVIRAERYNIEP
+SLYCPFFSLGACMEGLNILLNRLLGISLYAEQPAKGEVWSEDVRKLAVVHESEGLLGYIY
+CDFFQRADKPHQDCHFTIRGGRLKEDGDYQLPVVVLMLNLPRSSRSSPTLLTPSMMENLF
+HEMGHAMHSMLGRTRYQHVTGTRCPTDFAEVPSILMEYFANDYRVVNQFARHYQTGQPLP
+KNMVSRLCESKKVCAAADMQLQVFYATLDQIYHGKHPLRNSTTDILKETQEKFYGLPYVP
+NTAWQLRFSHLVGYGARYYSYLMSRAVASMVWKECFLQDPFNRAAGERYRREMLAHGGGR
+EPMLMVEGMLQKCPSVDDFVSAL
+>Q8TDR0_PF10243_5 <unknown description>
+VVRRTQEALGKVIRRPPLTEKLLSKPPFRYLHDIITEVIRMTGFMKGLYTDAEMKSDNVK
+DKDAKISFLQKAIDVVVMVSGEPLLAKPARIVAGHEPERTNELLQIIGKCCL
+>Q8TDR0_PF17749_532 <unknown description>
+EEEEKHGGLVKKILETKKDYEKLQQSPKPGEKERSLFESAWKKEKDIVSKEIEKLRTSIQ
+TLCKSALPLGKIMDYIQEDVDAMQNELQMWHSENRQHAEALQQEQRITDCAVEPLKAELA
+ELEQLIKDQQDKICAVKANILKNEEKIQKMVYSI
+>P30301_PF00230_3 <unknown description>
+ELRSASFWRAIFAEFFATLFYVFFGLGSSLRWAPGPLHVLQVAMAFGLALATLVQSVGHI
+SGAHVNPAVTFAFLVGSQMSLLRAFCYMAAQLLGAVAGAAVLYSVTPPAVRGNLALNTLH
+PAVSVGQATTVEIFLTLQFVLCIFATYDERRNGQLGSVALAVGFSLALGHLFGMYYTGAG
+MNPARSFAPAILTGNFTNHWVYWVGPIIGGGLGSLLY
+>Q8IXI2_PF00071_6 <unknown description>
+RILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVTPERVPTHIVDYSEAEQSD
+EQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDKDSRLPLILVGNKSDLVEY
+SSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAV
+>Q8IXI2_PF08356_219 <unknown description>
+TPLAPQALEDVKNVVRKHISDGVADSGLTLKGFLFLHTLFIQRGRHETTWTVLRRFGYDD
+DLDLTPEYLFPLLKIPPDCTTELNHH
+>Q8IXI2_PF08355_341 <unknown description>
+WGPDVNNTVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAVT
+VTRDKKIDLQKKQ
+>Q8IXI1_PF00071_6 <unknown description>
+RILLLGEAQVGKTSLILSLVGEEFPEEVPPRAEEITIPADVTPEKVPTHIVDYSEAEQTD
+EELREEIHKANVVCVVYDVSEEATIEKIRTKWIPLVNGGTTQGPRVPIILVGNKSDLRSG
+SSMEAVLPIMSQFPEIETCVECSAKNLRNISELFYYAQKAV
+>Q8IXI1_PF08356_220 <unknown description>
+PLAPQALEDVKTVVCRNVAGGVREDRLTLDGFLFLNTLFIQRGRHETTWTILRRFGYSDA
+LELTADYLSPLIHVPPGCSTELNHLG
+>Q8IXI1_PF08355_341 <unknown description>
+WGPELPRTVRTEAGRLPLHGYLCQWTLVTYLDVRSCLGHLGYLGYPTLCEQDQAHAITVT
+REKRLDQEKGQ
+>Q9H081_PF05859_8 <unknown description>
+YEAQFFGFTPQTCMLRIYIAFQDYLFEVMQAVEQVILKKLDGIPDCDISPVQIRKCTEKF
+LCFMKGHFDNLFSKMEQLFLQLILRIPSNILLPEDKCKETPYSEEDFQHLQKEIEQLQEK
+YKTELCTKQALLA
+>Q96FF7_PF15304_37 <unknown description>
+LRVRPVLNLPGPGPALPRALERARAGAQMQRDIEREAHRQAALARPAVPEPRARSPPQPL
+GELKRFFEAAAGSGSSAGAGDGAGPQRLPEPGGRPRSAVQGGCRVLGSAPPP
+>Q96FF7_PF15304_150 <unknown description>
+TPSLLEQEVRAVREREQELQRQRRSVYGTAEFKEPTPSLTASRGDGKLVVIWPPRRKVS
+>Q8IVT2_PF15304_325 <unknown description>
+IPTRPLLTKLSLITAPRRERGRPSLYVQRDIVQETQREEDHRREGLHVGRASTPDWVSEG
+PQPGLRRALSSDSILSPAPDARAADPAPEVRKVNRIPPDAYQPYLSPGTPQLEFSAFGAF
+GKPSSLSTAEAKAATSPKATMSPRHLSESSGKPLSTKQEASKPPRGCPQANRGVVRWEYF
+RLRPLRFRAPDEPQQAQVPHVWGWEVAGAPALRLQKSQSSDLLERERESVLRREQEVAEE
+RRNALFPEVFSPTPDENSDQNSRSSSQASGITGSYSVSESPFFSPIHLHSNVAWTVEDPV
+DSAPPGQRKKEQWYAGINPSDGINSEVLEAIRVTRHKNAMAERWESRIYA
+>Q8NDC0_PF15822_5 <unknown description>
+FSLADALPEHSPAKTSAVSNTKPGQPPQGWPGSNPWNNPSAPSSVPSGLPPSATPSTVPF
+GPAPTGMYPSVPPTGPPPGPPAPFPPSGPSCPPPGGPYPAPTVPGPGPTGPYPTPNMPFP
+ELPRPYGAPTDPAAAGPLGPWGSMSSGPWAPGMGGQYPTPNMPYPSPGPYPAPPPPQAPG
+AAPPVPWGTVPPGAWGPPAPYPAPTGSYPTPGLYPTPSNPFQVPSGPSGAPPMPGGPH
+>P03971_PF04709_77 <unknown description>
+SAYEQAFLGAVQRARWGPRDLATFGVCNTGDRQAALPSLRRLGAWLRDPGGQRLVVLHLE
+EVTWEPTPSLRFQEPPPGGAGPPELALLVLYPGPGPEVTVTRAGLPGAQSLCPSRDTRYL
+VLAVDRPAGAWRGSGLALTLQPRGEDSRLSTARLQALLFGDDHRCFTRMTPALLLLPRSE
+PAPLPAHGQLDTVPFPPPRPSAELEESPPSADPFLETLTRLVRALRVPPARASAPRLALD
+PDALAGFPQGLVNLSDPAALERLLDGEEPLLLLLRPTAATTGDPAPLHDPTSAPWATALA
+RRVAAELQAAAAELRSLPGLPPATAPLLARLLALCPGGPGGLGDPLRALLLLKALQGLRV
+EWRGRD
+>P03971_PF00019_462 <unknown description>
+CALRELSVDLRAERSVLIPETYQANNCQGVCGWPQSDRNPRYGNHVVLLLKMQVRGAALA
+RPPCCVPTAYAGKLLISLSEERISAHHVPNMVATECGC
+>Q8WV92_PF04212_14 <unknown description>
+AAATVLKRAVELDSESRYPQALVCYQEGIDLLLQVLKGTKDNTKRCNLREKISKYMDRAE
+NIKK
+>Q8WV92_PF16565_100 <unknown description>
+GFSYESLFREYLNETVTEVWIEDPYIRHTHQLYNFLRFCEMLIKRPCKVKTIHLLTSLDE
+GIEQVQQSRGLQEIEESLRSHGVLLEVQYSSSIHDREIRFNNGWMIKIGRGLDYFKKPQS
+RFSLGYCDFDLRPCHETTVDIFH
+>O75030_PF15951_56 <unknown description>
+RILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVPVSQTPAINVSVPTTLPSATQVPME
+VLKVQTHLENPTKYHIQQAQRQQVKQYLSTTLANKHANQVLSLPCPNQPGDHVMPPVPGS
+SAPNSPMAMLTLNSNCEKE
+>O75030_PF00010_312 <unknown description>
+KKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQ
+>O75030_PF11851_397 <unknown description>
+MQARAHGLSLIPSTGLCSPDLVNRIIKQEPVLENCSQDLLQHHADLTCTTTLDLTDGTIT
+FNNNLGTGTEANQAYSVPTKMGSKLEDILMDDTLSPVGVTDPLLSSVSPGASKTSSRRSS
+MSMEE
+>Q9NUT2_PF00664_132 <unknown description>
+GVAVVLALGAALVNVQIPLLLGQLVEVVAKYTRDHVGSFMTESQNLSTHLLILYGVQGLL
+TFGYLVLLSHVGERMAVDMRRALFSSLLRQDITFFDANKTGQLVSRLTTDVQEFKSSFKL
+VISQGLRSCTQVAGCLVSLSMLSTRLTLLLMVATPALMGVGTLMGSGLRKLSRQCQEQIA
+RAMGVADEALGNVRTVRAFAMEQREEERYGAELEACRCRAEELGRGIALFQGLSNIAFNC
+MVLGTLFIGGSLVAGQQLTGGDLMSFLVASQTVQRS
+>Q9NUT2_PF00005_473 <unknown description>
+LKDFTLTLPPGKIVALVGQSGGGKTTVASLLERFYDPTAGVVMLDGRDLRTLDPSWLRGQ
+VVGFISQEPVLFGTTIMENIRFGKLEASDEEVYTAAREANAHEFITSFPEGYNTVVGERG
+TTLSGGQKQRLAIARALIKQPTVLILDEATS
+>Q4VC31_PF09774_10 <unknown description>
+CEEFAEFQELLKVMRTIDDRIVHELNTTVPTASFAGKIDASQTCKQLYESLMAAHASRDR
+VIKNCIAQTSAVVKNLREEREKNLDDLTLLKQLRKEQTKLKWMQSELNVEEVVNDRSWKV
+FNERCR
+>Q9H2W2_PF00046_87 <unknown description>
+RRKRTSFSAEQLQLLELVFRRTRYPDIHLRERLAALTLLPESRIQVWFQNRRAKSRR
+>A8MYZ0_PF13898_106 <unknown description>
+RQILFGNTVHVFSYNWKKAYFRFHDPSSELAFTLEVGKGGARSIQMAVQGSIIKYLLFTR
+KGKDCNLGNLCEISKKEQEQALAAALAGILWAAGAAQKATICLVTEDIYVASTPDYSVDN
+FTERLQLFEFLEKEAAEKFIYDHLLCFRGEGSHGVILFLYSLIFSRTFERLQMDLDVTTT
+QLLQPNAGGFLCRQAVLNMILTGRASPNVFNGCEEGKSQETLHGVLTRSDVGYLQWGKDA
+SEDDRLSQVGSMLKTPKLPIWLCNINGNYSILFCTNRQLLSDWKMERLFDLYFYSGQPSQ
+KKLVRLTIDTHSHHWERDQQEEKHGPRRRFSPVEMAIRTKWSEATINWNG
+>P28482_PF00069_25 <unknown description>
+YTNLSYIGEGAYGMVCSAYDNVNKVRVAIKKISPFEHQTYCQRTLREIKILLRFRHENII
+GINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLSNDHICYFLYQILRGLKYIHSAN
+VLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTGFLTEYVATRWYRAPEIMLNSKG
+YTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINLKARNYL
+LSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRIEVEQALAHPYL
+>P27361_PF00069_42 <unknown description>
+YTQLQYIGEGAYGMVSSAYDHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVI
+GIRDILRASTLEAMRDVYIVQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSAN
+VLHRDLKPSNLLINTTCDLKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKG
+YTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYL
+QSLPSKTKVAWAKLFPKSDSKALDLLDRMLTFNPNKRITVEEALAHPYL
+>P31152_PF00069_21 <unknown description>
+VDFQPLGFGVNGLVLSAVDSRACRKVAVKKIALSDARSMKHALREIKIIRRLDHDNIVKV
+YEVLGPKGTDLQGELFKFSVAYIVQEYMETDLARLLEQGTLAEEHAKLFMYQLLRGLKYI
+HSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYSHKGYLSEGLVTKWYRSPRLL
+LSPNNYTKAIDMWAAGCILAEMLTGRMLFAGAHELEQMQLILETIPVIREEDKDELLRVM
+PSFVSSTWEVKRPLRKLLPEVNSEAIDFLEKILTFNPMDRLTAEMGLQHPYM
+>Q16659_PF00069_20 <unknown description>
+YMDLKPLGCGGNGLVFSAVDNDCDKRVAIKKIVLTDPQSVKHALREIKIIRRLDHDNIVK
+VFEILGPSGSQLTDDVGSLTELNSVYIVQEYMETDLANVLEQGPLLEEHARLFMYQLLRG
+LKYIHSANVLHRDLKPANLFINTEDLVLKIGDFGLARIMDPHYSHKGHLSEGLVTKWYRS
+PRLLLSPNNYTKAIDMWAAGCIFAEMLTGKTLFAGAHELEQMQLILESIPVVHEEDRQEL
+LSVIPVYIRNDMTEPHKPLTQLLPGISREALDFLEQILTFSPMDRLTAEEALSHPYM
+>Q13164_PF00069_55 <unknown description>
+YEIIETIGNGAYGVVSSARRRLTGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNI
+IAIKDILRPTVPYGEFKSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMH
+SAQVIHRDLKPSNLLVNENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELML
+SLHEYTQAIDLWSVGCIFGEMLARRQLFPGKNYVHQLQLIMMVLGTPSPAVIQAVGAERV
+RAYIQSLPPRQPVPWETVYPGADRQALSLLGRMLRFEPSARISAAAALRHPFL
+>P45983_PF00069_26 <unknown description>
+YQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNI
+IGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHLHSA
+GIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGYKEN
+VDLWSVGCIMGEMVCHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRTYVENRPK
+YAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSKMLVIDASKRISVDEALQHPYI
+>P45984_PF00069_26 <unknown description>
+YQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRPFQNQTHAKRAYRELVLLKCVNHKNI
+ISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIHMELDHERMSYLLYQMLCGIKHLHSA
+GIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNFMMTPYVVTRYYRAPEVILGMGYKEN
+VDIWSVGCIMGELVKGCVIFQGTDHIDQWNKVIEQLGTPSAEFMKKLQPTVRNYVENRPK
+YPGIKFEELFPDWIFPSESERDKIKTSQARDLLSKMLVIDPDKRISVDEALRHPYI
+>P53779_PF00069_64 <unknown description>
+YQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNI
+ISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHLHSA
+GIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGYKEN
+VDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVENRPK
+YAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYI
+>Q15759_PF00069_27 <unknown description>
+LRPVGSGAYGSVCSAYDARLRQKVAVKKLSRPFQSLIHARRTYRELRLLKHLKHENVIGL
+LDVFTPATSIEDFSEVYLVTTLMGADLNNIVKCQALSDEHVQFLVYQLLRGLKYIHSAGI
+IHRDLKPSNVAVNEDCELRILDFGLARQADEEMTGYVATRWYRAPEIMLNWMHYNQTVDI
+WSVGCIMAELLQGKALFPGSDYIDQLKRIMEVVGTPSPEVLAKISSEHARTYIQSLPPMP
+QKDLSSIFRGANPLAIDLLGRMLVLDSDQRVSAAEALAHAYF
+>P53778_PF00069_27 <unknown description>
+YRDLQPVGSGAYGAVCSAVDGRTGAKVAIKKLYRPFQSELFAKRAYRELRLLKHMRHENV
+IGLLDVFTPDETLDDFTDFYLVMPFMGTDLGKLMKHEKLGEDRIQFLVYQMLKGLRYIHA
+AGIIHRDLKPGNLAVNEDCELKILDFGLARQADSEMTGYVVTRWYRAPEVILNWMRYTQT
+VDIWSVGCIMAEMITGKTLFKGSDHLDQLKEIMKVTGTPPAEFVQRLQSDEAKNYMKGLP
+ELEKKDFASILTNASPLAVNLLEKMLVLDAEQRVTAGEALAHPYF
+>O15264_PF00069_28 <unknown description>
+PTHVGSGAYGSVCSAIDKRSGEKVAIKKLSRPFQSEIFAKRAYRELLLLKHMQHENVIGL
+LDVFTPASSLRNFYDFYLVMPFMQTDLQKIMGMEFSEEKIQYLVYQMLKGLKYIHSAGVV
+HRDLKPGNLAVNEDCELKILDFGLARHADAEMTGYVVTRWYRAPEVILSWMHYNQTVDIW
+SVGCIMAEMLTGKTLFKGKDYLDQLTQILKVTGVPGTEFVQKLNDKAAKSYIQSLPQTPR
+KDFTQLFPRASPQAADLLEKMLELDVDKRLTAAQALTHPFF
+>Q16539_PF00069_24 <unknown description>
+YQNLSPVGSGAYGSVCAAFDTKTGLRVAVKKLSRPFQSIIHAKRTYRELRLLKHMKHENV
+IGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQKLTDDHVQFLIYQILRGLKYIHS
+ADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMTGYVATRWYRAPEIMLNWMHYNQT
+VDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVGTPGAELLKKISSESARNYIQSLT
+QMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAAQALAHAYF
+>Q8TD08_PF00069_16 <unknown description>
+RRQLGQGAYGIVWKAVDRRTGEVVAIKKIFDAFRDKTDAQRTFREITLLQEFGDHPNIIS
+LLDVIRAENDRDIYLVFEFMDTDLNAVIRKGGLLQDVHVRSIFYQLLRATRFLHSGHVVH
+RDQKPSNVLLDANCTVKLCDFGLARSLGDLPEGPEDQAVTEYVATRWYRAPEVLLSSHRY
+TLGVDMWSLGCILGEMLRGRPLFPGTSTLHQLELILETIPPPSEEDLLALGSGCRASVLH
+QLGSRPRQTLDALLPPDTSPEALDLLRRLLVFAPDKRLSATQALQHPYV
+>Q9BYG3_PF00076_47 <unknown description>
+VYVRHLPNLLDETQIFSYFSQFGTVTRFRLSRSKRTGNSKGYAFVEFESEDVAKIVAETM
+NNYLFGERLL
+>Q9BYG3_PF12196_227 <unknown description>
+TVDSQGPTPVCTPTFLERRKSQVAELNDDDKDDEIVFKQP
+>Q9NPJ1_PF00118_29 <unknown description>
+LKRIVTSCYGPSGRLKQLHNGFGGYVCTTSQSSALLSHLLVTHPILKILTASIQNHVSSF
+SDCGLFTAILCCNLIENVQRLGLTPTTVIRLNKHLLSLCISYLKSETCGCRIPVDFSSTQ
+ILLCLVRSILTSKPACMLTRKETEHVSALILRAFLLTIPENAEGHIILGKSLIVPLKGQR
+VIDSTVLPGILIEMSEVQLMRLLPIKKSTALKVALFCTTLSGDTSDTGEGTVVVSYGVSL
+ENAVLDQLLNLGRQLISDHVDLVLCQKVIHPSLKQFLNMHRIIAIDRIGVTLMEPLTKMT
+GTQPIGSLGSICPNSYGSVKDVCTAKFGSKHFFHLIPNEATICSLLLCNRNDTAWDELKL
+TCQTALHVLQLTLKEPWALLGGGCTETHLAAYIRHKTHNDPESILKDDECTQTELQLIAE
+AFCSALESVVGSLEHDGGEILTDMKYGHLWSVQADSPCVANWPDLLSQCGCGLYNSQEEL
+NWSFLRSTRRPFVPQSCLPHEAVGSASNLTLDCLTAKLSGLQVAVETANLILDLSYVIED
+K
+>Q9UL63_PF06588_12 <unknown description>
+ECRLLPYALHKWSSFSSTYLPENILVDKPNDQSSRWSSESNYPPQYLILKLERPAIVQNI
+TFGKYEKTHVCNLKKFKVFGGMNEENMTELLSSGLKNDYNKETFTLKHKIDEQMFPCRFI
+KIVPLLSWGPSFNFSIWYVELSGIDDPDIVQPCLNWYSKYREQEAIRLCLKHFRQHNYTE
+AFESLQKKTKIALEHP
+>Q9UL63_PF13415_283 <unknown description>
+ETVYLFGGWDGTQDLADFWAYSVKENQWTCISRDTEKENGPSARSCHKMCI
+>Q9UL63_PF01344_467 <unknown description>
+NRCLYVFGGQRSKTYLNDFFSYDVDSD
+>Q9HBH9_PF00069_87 <unknown description>
+EDVLGEGAHARVQTCINLITSQEYAVKIIEKQPGHIRSRVFREVEMLYQCQGHRNVLELI
+EFFEEEDRFYLVFEKMRGGSILSHIHKRRHFNELEASVVVQDVASALDFLHNKGIAHRDL
+KPENILCEHPNQVSPVKICDFDLGSGIKLNGDCSPISTPELLTPCGSAEYMAPEVVEAFS
+EEASIYDKRCDLWSLGVILYILLSGYPPFVGRCGSDCGWDRGEACPACQNMLFESIQEGK
+YEFPDKDWAHISCAAKDLISKLLVRDAKQRLSAAQVLQHPWV
+>Q9UHC7_PF18044_60 <unknown description>
+TCRYFMHGVCKEGDNCRYSHD
+>Q9UHC7_PF18044_89 <unknown description>
+VCKYFQRGYCIYGDRCRYEH
+>Q9UHC7_PF18044_213 <unknown description>
+LCPYAAVGECRYGENCVYLHG
+>Q9UHC7_PF00097_281 <unknown description>
+CGICMEVVYEKANPSERRFGILSNCNHTYCLKCIRKWRSAKQFESKIIKSCPEC
+>Q9UHC7_PF14608_369 <unknown description>
+ACRYFDEGRGSCPFGGNCFYKHA
+>Q9UHC7_PF15815_392 <unknown description>
+YPDGRREEPQRQKVGTSSRYRAQRRNHFWELIEERENSNPFDNDEEEVVTFELGEMLLML
+LAAGGDDELTDSEDEWDLFHDELEDFYDLDL
+>Q9H000_PF18044_7 <unknown description>
+TCRYFMHGVCREGSQCLFSHD
+>Q9H000_PF00642_36 <unknown description>
+ICKYYQKGYCAYGTRCRYDH
+>Q9H000_PF00642_169 <unknown description>
+QLCPYAAAGECRFGDACVYLHGE
+>Q9H000_PF00097_238 <unknown description>
+CSICMEVILEKASASERRFGILSNCNHTYCLSCIRQWRCAKQFENPIIKSCPEC
+>Q9H000_PF14608_326 <unknown description>
+ACKYFEQGKGTCPFGSKCLYRHA
+>Q13064_PF18044_100 <unknown description>
+ICRYYIHGQCKEGENCRYSHD
+>Q13064_PF14608_244 <unknown description>
+CYYASRGVCFRGESCMYLHG
+>Q13064_PF00097_311 <unknown description>
+CGICMEVVYEKANPNDRRFGILSNCNHSFCIRCIRRWRSARQFENRIVKSCPQC
+>Q13064_PF14608_399 <unknown description>
+ACRYFAEGRGNCPFGDTCFYKH
+>Q13064_PF15815_422 <unknown description>
+YPEGWGDEPPGPGGGSFSAYWHQLVEPVRMGEGNMLYKSIKKELVVLRLASLLFKRFLSL
+RDELPFSEDQWDLLHYELEEYFNLI
+>H3BPM6_PF16044_9 <unknown description>
+ALIKFNHCEKYIYSFSVPQCCPLCQQDLGSRKLEDAPVSIANPFTNGHQEKCSFLLRPTQ
+GTFLREYDGRSDLHVGITNTNGVVYNYSAHGVQRDGEGWEESISIPLLQPNMYGMMEQWD
+KYLEDFSTSGAWLPHRYEDNHHNCYSYALTFINCVLMAEGRQQLDKGEFTEKYVVPRTRL
+ASKFITLYRAI
+>Q9NXB0_PF07162_313 <unknown description>
+LFVNGEVVSAQGYEYDNLYVHFFVELPTAHWSSPAFQQLSGVTQTCTTKSLAMDKVAHFS
+YPFTFEAFFLHEDESSDALPEWPVLYCEVLSLDFWQRYRVEGYGAVVLPATPGSHTLTVS
+TWRPVELGTVAELRRFFIGGSLELEDLSYVRIPGSFKGERLSRFGLRTETTGTVTFRLHC
+L
+>Q5HYA8_PF09773_168 <unknown description>
+DRCVRCEPTFVNTSRSCACSEPNILTGGLCFSSTGNFPLRRISAARYGEVGMSLTSEWFA
+KYLQSSAAACWVYANLTSCQALGNMCVMNMNSYDFATFDACGLFQFIFENTAGLSTVHSI
+SFWRQNLPWLFYGDQLGLAPQVLSSTSLPTNFSFKGENQNTKLKFVAASYDIRGNFLKWQ
+TLEGGVLQLCPDTETRLNAAYSFGTTYQQNCEIPISKILIDFPTPIFYDVYLEYTDENQH
+QYILAVPVLNLNLQHNKIFVNQDSNSGKWLLTRRIFLVDAVSGRENDLGTQPRVIRVATQ
+ISLSVHLVPNTINGNIYPPLITIAYSDIDIKDANSQSVKVSFSVTYEMDHGEAHVQTDIA
+LGVLGGLAVLASLLKTAGWKRRIGSPMIDLQTVVKFLVYYAGDLANVFFIITVGTGLYWL
+IFFKAQKSVSVLLPMPIQEERFVTYVGCAFALKALQFLHKLISQITIDVFFIDWERPKGK
+VLKAVEGEGGVRSATVPVSIWRTYFVANEWNEIQTVRKINSLFQVLTVLFFLEVVGFKNL
+ALMDSSSSLSRNPPSYIAPYSCILRYAVSAALWLAIGIIQVVFFAVFYERFIEDKIRQFV
+DLCSMSNISVFLLSHKCFGYYIHGRSVHGHADTNMEEMNMNLKREAENLCSQRGLVPNTD
+GQTFEIAISNQMRQHYDRIHETLIRKNGPARLLSSSASTFEQSIKAYHMMNKFLGSFIDH
+VHKEMDYFIKDKLLLERILGMEFMEPMEKSIFYNDEGYSFSSVLYYGNEATLLIFDLLFF
+CVVDLACQNFILASFLTYLQQEIFRYIRNTVGQKNLASKTLVDQRFLI
+>Q8IYA7_PF05920_88 <unknown description>
+WLYKHRDNPYPTKTEKILLALGSQMTLVQVSNWFANARRR
+>P21741_PF05196_24 <unknown description>
+KKDKVKKGGPGSECAEWAWGPCTPSSKDCGVGFREGTCGAQTQRIRCRVPCNWKKEF
+>P21741_PF01091_81 <unknown description>
+GADCKYKFENWGACDGGTGTKVRQGTLKKARYNAQCQETIRVTKPCTPKTKAKAKAKKGK
+GK
+>P19105_PF13405_32 <unknown description>
+EFKEAFNMIDQNRDGFIDKEDLHDMLASLG
+>P19105_PF08976_101 <unknown description>
+VIRNAFACFDEEATGTIQEDYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFT
+>O14950_PF13405_33 <unknown description>
+EFKEAFNMIDQNRDGFIDKEDLHDMLASLG
+>O14950_PF08976_102 <unknown description>
+VIRNAFACFDEEATGTIQEDYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFT
+>Q14165_PF11721_48 <unknown description>
+VIWAVNAGGEAHVDVHGIHFRKDPLEGRVGRASDYGMKLPILRSNPEDQILYQTERYNEE
+TFGYEVPIKEEGDYVLVLKFAEVYFAQSQQKVFDVRLNGHVVVKDLDIFDRVGHSTAHDE
+IIPMSIRKGKLSVQGEVSTFTGKLYIEFVKGYYDNPKVCAL
+>Q15773_PF10248_26 <unknown description>
+HMSRMLSGGFGYSPFLSITDGNMPGTRPASRRMQQAGAVSPFGMLGMSGGFMDMFGMMND
+MIGNMEHMTAGGNCQTFSSSTVISYSNTGDGAPKVYQETSEMRSAPGGIRETRRTVRDSD
+SGLEQMSIGHHIRDRAHILQRSRNHRTGDQEERQDYINLDESEAAAFDDEWRRE
+>P40692_PF13589_29 <unknown description>
+ANAIKEMIENCLDAKSTSIQVIVKEGGLKLIQIQDNGTGIRKEDLDIVCERFTTSKLQSF
+EDLASISTYGFRGEALASISHVAHVTITTKTADGKCAYRASY
+>P40692_PF01119_216 <unknown description>
+IRSIFGNAVSRELIEIGCEDKTLAFKMNGYISNANYSVKKCIFLLFINHRLVESTSLRKA
+IETVYAAYLPKNTHPFLYLSLEISPQNVDVNVHPTKHEVHFLHEESILERVQQHIESKL
+>P40692_PF16413_502 <unknown description>
+NLTSVLSLQEEINEQGHEVLREMLHNHSFVGCVNPQWALAQHQTKLYLLNTTKLSEELFY
+QILIYDFANFGVLRLSEPAPLFDLAMLALDSPESGWTEEDGPKEGLAEYIVEFLKKKAEM
+LADYFSLEIDEEGNLIGLPLLIDNYVPPLEGLPIFILRLATEVNWDEEKECFESLSKECA
+MFYSIRKQYISEESTLSGQQSEVPGSIPNSWKWTVEHIVYKALRSHILPPKHFTEDGNIL
+QLANLPDLYKVFERC
+>Q9UHC1_PF13589_24 <unknown description>
+QCVEELALNSIDAEAKCVAVRVNMETFQVQVIDNGFGMGSDDVEKVGNRYFTSKCHSVQD
+LENPRFYGFRGEALANIADMASAVEISSKKNRTMK
+>Q9UHC1_PF01119_213 <unknown description>
+QIYGLGKSQKLREISFKYKEFELSGYISSEAHYNKNMQFLFVNKRLVLRTKLHKLIDFLL
+RKESIICKPKNGPTSRQMNSSLRHRSTPELYGIYVINVQCQFCEYDVCMEPAKTLIEFQN
+WDTLLFCIQEGVKMFL
+>Q9UHC1_PF08676_1217 <unknown description>
+NLLVLVDQHAAHERIRLEQLIIDSYEKQQAQGSGRKKLLSSTLIPPLEITVTEEQRRLLW
+CYHKNLEDLGLEFVFPDTSDSLVLVGKVPLCFVEREANELRRGRSTVTKSIVEEFIREQL
+ELLQTTGGIQGTLPLTVQKVLASQACHGAIKFND
+>Q5VWP3_PF15274_130 <unknown description>
+MQQSDLFKAEYVLIVDSEGEDEAASRKVEQGPPGGIGTAAVRPKSLAISSSLVSDVVRPK
+TQGTDLKTSSHPEMLHGMAPQQKHGQLTS
+>Q5VWP3_PF15274_692 <unknown description>
+LGKSESTTPNHRSPVSTPSLPISLTRTEELISPCALSMSTGPENKKSKQYKTKSSYKAFA
+AIPTNTLLLEQKALDEPAKTESVSKDNTLEPPVELYFPAQLRQQTEELCATIDKVLQDSL
+SMHSSDSPSRSPKTLLGSDTVKTPTTLPRAAGRETKYANLSSPSSTVSESQLTKPGVIRP
+VPVKSRILLKKEEEVYEPNPFSKYLEDNSDLFSEQ
+>Q8NB16_PF07714_219 <unknown description>
+KGEYHRAPVAIKVFKKLQAGSIAIVRQTFNKEIKTMKKFESPNILRIFGICIDETVTPPQ
+FSIVMEYCELGTLRELLDREKDLTLGKRMVLVLGAARGLYRLHHSEAPELHGKIRSSNFL
+VTQGYQVKLAGFELRKTQTSMSLGTTREKTDRVKSTAYLSPQELEDVFYQYDVKSEIYSF
+GIVLWEIATGDIPFQGCNSEKIRKLVAVKRQQEPLGEDCPSELREIIDECRAHDPSVRPS
+VDEILKKL
+>Q9H492_PF02991_16 <unknown description>
+RCKEVQQIRDQHPSKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELVKIIRRRLQLNP
+TQAFFLLVNQHSMVSVSTPIADIYEQEKDEDGFLYMVYASQETFG
+>Q9GZQ8_PF02991_15 <unknown description>
+QRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELIKIIRRRLQLN
+ANQAFFLLVNGHSMVSVSTPISEVYESEKDEDGFLYMVYASQETFG
+>Q9BXW4_PF02991_22 <unknown description>
+RQEEVAGIRAKFPNKIPVVVERYPRETFLPPLDKTKFLVPQELTMTQFLSIIRSRMVLRA
+TEAFYLLVNNKSLVSMSATMAEIYRDYKDEDGFVYMTYASQETFG
+>Q01449_PF13202_38 <unknown description>
+KEAFSCIDQNRDGIICKADLR
+>Q01449_PF13833_109 <unknown description>
+SAFRMFDPSGKGVVNKDEFKQLLLT
+>P10916_PF00036_28 <unknown description>
+EFKEAFTIMDQNRDGFIDKNDLRDTFAA
+>Q9HAP2_PF00010_721 <unknown description>
+QMKHISAEQKRRFNIKMCFDMLNSLISNNSKLTSHAITLQKTVEYITKLQ
+>Q9NP71_PF00010_650 <unknown description>
+RRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSKATTLQKTAEYILMLQ
+>Q9UH92_PF00010_76 <unknown description>
+RRRAHTQAEQKRRDAIKRGYDDLQTIVPTCQQQDFSIGSQKLSKAIVLQKTIDYIQFL
+>Q8IVH4_PF03308_101 <unknown description>
+LAEAITLVESTHSRKKELAQVLLQKVLLYHREQEQSNKGKPLAFRVGLSGPPGAGKSTFI
+EYFGKMLTERGHKLSVLAVDPSSCTSGGSLLGDKTRMTELSRDMNAYIRPSPTRGTLGGV
+TRTTNEAILLCEGAGYDIILIETVGVGQSEFAVADMVDMFVLLLPPAGGDELQGIKRGII
+EMADLVAVTKSDGDLIVPARRIQAEYVSALKLLRKRSQVWKPKVIRISARSGEGISEMWD
+KMKDFQDLMLASGELTAKRRKQQKVWMWNLIQESVLEHFRTH
+>Q96EY8_PF01923_58 <unknown description>
+IYTKTGDKGFSSTFTGERRPKDDQVFEAVGTTDELSSAIGFALELVTEKGHTFAEELQKI
+QCTLQDVGSALATPCSSAREAHLKYTTFKAGPILELEQWIDKYTSQLPPLTAFILPSGGK
+ISSALHFCRAVCRRAERRVVPLVQMGETDANVAKFLNRLSDYLFTLARY
+>Q9Y4U1_PF16690_20 <unknown description>
+GFEVYPFQVAWYNELLPPAFHLPLPGPTLAFLVLSTPAMFDRALKPFLQSCHLRMLTDPV
+DQCVAYHLGRVRESLPELQIEIIADYEVHPNRRPKILAQTAAHVAGAAYYYQRQDVEADP
+WGNQRISGVCIHPRFGGWFAIRGVVLLPGIEVPDLPPRKPHDCVPTRADRIALLEGFNFH
+WRDWTYRDAVTPQERYSEEQKAYFSTPPAQRLALL
+>Q9H3L0_PF10229_25 <unknown description>
+VVNPKAFSTAGSSGSDESHVAAAPPDICSRTVWPDETMGPFGPQDQRFQLPGNIGFDCHL
+NGTASQKKSLVHKTLPDVLAEPLSSERHEFVMAQYVNEFQGNDAPVEQEINSAETYFESA
+RVECAIQTCPELLRKDFESLFPEVANGKLMILTVTQKTKNDMTVWSEEVEIEREVLLEKF
+INGAKEICYALRAEGYWADFIDPSSGLAFFGPYTNNTLFETDERYRHLGFSVDDLGCCKV
+IRHSLWGTHVVVGSIFTNATPDSHIMKKL
+>Q495T6_PF05649_111 <unknown description>
+PCDDFYQFACGGWLRRHVIPETNSRYSIFDVLRDELEVILKAVLENSTAKDRPAVEKART
+LYRSCMNQSVIEKRGSQPLLDILEVVGGWPVAMDRWNETVGLEWELERQLALMNSQFNRR
+VLIDLFIWNDDQNSSRHIIYIDQPTLGMPSREYYFNGGSNRKVREAYLQFMVSVATLLRE
+DANLPRDSCLVQEDMVQVLELETQLAKATVPQEERHDVIALYHRMGLEELQSQFGLKGFN
+WTLFIQTVLSSVKIKLLPDEEVVVYGIPYLQNLENIIDTYSARTIQNYLVWRLVLDRIGS
+LSQRFKDTRVNYRKALFGTMVEEVRWRECVGYVNSNMENAVGSLYVREAFPGDSKSMVRE
+LIDKVRTVFVETLDELGWMDEESKKKAQEKAMSIREQIGHP
+>Q495T6_PF01431_572 <unknown description>
+NAFYSPNRNQIVFPAGILQPPFFSKEQPQALNFGGIGMVIGHEITHGFDDNGRNFDKNGN
+MMDWWSNFSTQHFREQSECMIYQYGNYSWDLADEQNVNGFNTLGENIADNGGVRQAYKAY
+LKWMAEGGKDQQLPGLDLTHEQLFFINYAQVWCGSYRPEFAIQSIKTDVHSPLKYRVLGS
+LQNLAAFADTFHCARGTPMHPKERCRV
+>P09238_PF01471_33 <unknown description>
+LAQQYLEKYYNLEKDVKQFRRKDSNLIVKKIQGMQKFLGLEVTGKLDTDTLEVM
+>P09238_PF00413_107 <unknown description>
+KWRKTHLTYRIVNYTPDLPRDAVDSAIEKALKVWEEVTPLTFSRLYEGEADIMISFAVKE
+HGDFYSFDGPGHSLAHAYPPGPGLYGDIHFDDDEKWTEDASGTNLFLVAAHELGHSLGLF
+HSANTEALMYPLYNSFTELAQFRLSQDDVNGIQSLYG
+>P09238_PF00045_295 <unknown description>
+FDAISTLRGEYLFFKDRYFWRRSHWNPEPEFHLISAFWPSLPS
+>P09238_PF00045_339 <unknown description>
+LDAAYEVNSRDTVFIFKGNEFWAIRGNEVQAGYPRGIHTLGFPP
+>P09238_PF00045_387 <unknown description>
+IDAAVSDKEKKKTYFFAADKYWRFDENSQSMEQGFPRLIADDFPGVE
+>P09238_PF00045_436 <unknown description>
+VDAVLQAFGFFYFFSGSSQFEFDPNARMVTHILKSNSWLHC
+>P24347_PF00413_104 <unknown description>
+RWEKTDLTYRILRFPWQLVQEQVRQTMAEALKVWSDVTPLTFTEVHEGRADIMIDFARYW
+HGDDLPFDGPGGILAHAFFPKTHREGDVHFDYDETWTIGDDQGTDLLQVAAHEFGHVLGL
+QHTTAAKALMSAFYTFRYPLSLSPDDCRGVQHLYG
+>P24347_PF00045_298 <unknown description>
+FDAVSTIRGELFFFKAGFVWRLRGGQLQPGYPALASRHWQGLPS
+>P24347_PF00045_343 <unknown description>
+VDAAFEDAQGHIWFFQGAQYWVYDGEKPVLGPAPLTELG
+>P24347_PF00045_387 <unknown description>
+VHAALVWGPEKNKIYFFRGRDYWRFHPSTRRVDSPVPRRATDWRGVPS
+>P24347_PF00045_436 <unknown description>
+IDAAFQDADGYAYFLRGRLYWKFDPVKVKALEGFPRLVGPDFFGC
+>P39900_PF01471_27 <unknown description>
+KNNVLFGERYLEKFYGLEINKLPVTKMKYSGNLMKEKIQEMQHFLGLKVTGQLDTSTLEM
+M
+>P39900_PF00413_109 <unknown description>
+WRKHYITYRINNYTPDMNREDVDYAIRKAFQVWSNVTPLKFSKINTGMADILVVFARGAH
+GDFHAFDGKGGILAHAFGPGSGIGGDAHFDEDEFWTTHSGGTNLFLTAVHEIGHSLGLGH
+SSDPKAVMFPTYKYVDINTFRLSADDIRGIQSLYG
+>P39900_PF00045_288 <unknown description>
+FDAVTTVGNKIFFFKDRFFWLKVSERPKTSVNLISSLWPTLPS
+>P39900_PF00045_332 <unknown description>
+IEAAYEIEARNQVFLFKDDKYWLISNLRPEPNYPKSIHSFGFP
+>P39900_PF00045_380 <unknown description>
+IDAAVFNPRFYRTYFFVDNQYWRYDERRQMMDPGYPKLITKNFQGIG
+>P39900_PF00045_429 <unknown description>
+IDAVFYSKNKYYYFFQGSNQFEYDFLLQRITKTLKSNSWFGC
+>P45452_PF01471_33 <unknown description>
+EEDLQFAERYLRSYYHPTNLAGILKENAASSMTERLREMQSFFGLEVTGKLDDNTLDVM
+>P45452_PF00413_112 <unknown description>
+KWSKMNLTYRIVNYTPDMTHSEVEKAFKKAFKVWSDVTPLNFTRLHDGIADIMISFGIKE
+HGDFYPFDGPSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFLVAAHEFGHSLGLD
+HSKDPGALMFPIYTYTGKSHFMLPDDDVQGIQSLYG
+>P45452_PF00045_290 <unknown description>
+LDAITSLRGETMIFKDRFFWRLHPQQVDAELFLTKSFWPELP
+>P45452_PF00045_334 <unknown description>
+IDAAYEHPSHDLIFIFRGRKFWALNGYDILEGYPKKISELGLP
+>P45452_PF00045_382 <unknown description>
+ISAAVHFEDTGKTLLFSGNQVWRYDDTNHIMDKDYPRLIEEDFPGIG
+>P45452_PF00045_431 <unknown description>
+VDAVYEKNGYIYFFNGPIQFEYSIWSNRIVRVMPAN
+>P50281_PF01471_37 <unknown description>
+AWLQQYGYLPPGDLRTHTQRSPQSLSAAIAAMQKFYGLQVTGKADADTMKAM
+>P50281_PF00413_118 <unknown description>
+KWQHNEITFCIQNYTPKVGEYATYEAIRKAFRVWESATPLRFREVPYAYIREGHEKQADI
+MIFFAEGFHGDSTPFDGEGGFLAHAYFPGPNIGGDTHFDSAEPWTVRNEDLNGNDIFLVA
+VHELGHALGLEHSSDPSAIMAPFYQWMDTENFVLPDDDRRGIQQLYG
+>P50281_PF00045_323 <unknown description>
+FDTVAMLRGEMFVFKERWFWRVRNNQVMDGYPMPIGQFWRGLP
+>P50281_PF00045_368 <unknown description>
+INTAYERKDGKFVFFKGDKHWVFDEASLEPGYPKHIKELGRGLP
+>P50281_PF00045_415 <unknown description>
+IDAALFWMPNGKTYFFRGNKYYRFNEELRAVDSEYPKNIKVWEGIP
+>P50281_PF00045_471 <unknown description>
+DEVFTYFYKGNKYWKFNNQKLKVEPGYPKSALRDWMGC
+>P50281_PF11857_514 <unknown description>
+PDEGTEEETEVIIIEVDEEGGGAVSAAAVVLPVLLLLLVLAVGLAVFFFRRHGTPRRLLY
+CQRSLLDKV
+>P51511_PF01471_53 <unknown description>
+AENWLRLYGYLPQPSRHMSTMRSAQILASALAEMQRFYGIPVTGVLDEETKEWM
+>P51511_PF00413_138 <unknown description>
+KWNNHHLTFSIQNYTEKLGWYHSMEAVRRAFRVWEQATPLVFQEVPYEDIRLRRQKEADI
+MVLFASGFHGDSSPFDGTGGFLAHAYFPGPGLGGDTHFDADEPWTFSSTDLHGNNLFLVA
+VHELGHALGLEHSSNPNAIMAPFYQWKDVDNFKLPEDDLRGIQQLYG
+>P51511_PF00045_374 <unknown description>
+FDTVAMLRGEMFVFKGRWFWRVRHNRVLDNYPMPIGHFWRGLP
+>P51511_PF00045_419 <unknown description>
+ISAAYERQDGRFVFFKGDRYWLFREANLEPGYPQPLTSYGLG
+>P51511_PF00045_466 <unknown description>
+IDTAIWWEPTGHTFFFQEDRYWRFNEETQRGDPGYPKPISVWQGIP
+>P51511_PF00045_517 <unknown description>
+AFLSNDAAYTYFYKGTKYWKFDNERLRMEPGYPKSILRDFMGC
+>P51511_PF11857_606 <unknown description>
+NKDGGSRVVVQMEEVARTVNVVMVLVPLLLLLCVLGLTYALVQMQRKGAPRVLLYCKRSL
+QEWV
+>P51512_PF01471_43 <unknown description>
+VEVWLQKYGYLPPTDPRMSVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWM
+>P51512_PF00413_126 <unknown description>
+KWQHKHITYSIKNVTPKVGDPETRKAIRRAFDVWQNVTPLTFEEVPYSELENGKRDVDIT
+IIFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNPNHDGNDLFLVAV
+HELGHALGLEHSNDPTAIMAPFYQYMETDNFKLPNDDLQGIQKIYG
+>P51512_PF00045_355 <unknown description>
+REMFVFKDQWFWRVRNNRVMDGYPMQITYFWRGLPP
+>P51512_PF00045_392 <unknown description>
+IDAVYENSDGNFVFFKGNKYWVFKDTTLQPGYPHDLITLGSGIP
+>P51512_PF00045_439 <unknown description>
+IDSAIWWEDVGKTYFFKGDRYWRYSEEMKTMDPGYPKPITVWKGIP
+>P51512_PF00045_489 <unknown description>
+GAFVHKENGFTYFYKGKEYWKFNNQILKVEPGYPRSILKDFMGC
+>P51512_PF11857_538 <unknown description>
+RVKEGHSPPDDVDIVIKLDNTASTVKAIAIVIPCILALCLLVLVYTVFQFKRKGTPRHIL
+YCKRSMQEWV
+>Q9ULZ9_PF01471_46 <unknown description>
+AEDLSLGVEWLSRFGYLPPADPTTGQLQTQEELSKAITAMQQFGGLEATGILDEATLALM
+>Q9ULZ9_PF00413_132 <unknown description>
+KWNKRNLSWRVRTFPRDSPLGHDTVRALMYYALKVWSDIAPLNFHEVAGSAADIQIDFSK
+ADHNDGYPFDGPGGTVAHAFFPGHHHTAGDTHFDDDEAWTFRSSDAHGMDLFAVAVHEFG
+HAIGLSHVAAAHSIMRPYYQGPVGDPLRYGLPYEDKVRVWQLYG
+>Q9ULZ9_PF00045_336 <unknown description>
+FDAVAQIRGEAFFFKGKYFWRLTRDRHLVSLQPAQMHRFWRGLP
+>Q9ULZ9_PF00045_385 <unknown description>
+VDAVYERTSDHKIVFFKGDRYWVFKDNNVEEGYPRPVSDFSLPP
+>Q9ULZ9_PF00045_431 <unknown description>
+IDAAFSWAHNDRTYFFKDQLYWRYDDHTRHMDPGYPAQSPLWRGVPS
+>Q9ULZ9_PF00045_480 <unknown description>
+DDAMRWSDGASYFFRGQEYWKVLDGELEVAPGYPQSTARDWL
+>Q99542_PF01471_30 <unknown description>
+DYLSQYGYLQKPLEGSNNFKPEDITEALRAFQEASELPVSGQLDDATRARM
+>Q99542_PF00413_103 <unknown description>
+RWRKKHLTFRILNLPSTLPPHTARAALRQAFQDWSNVAPLTFQEVQAGAADIRLSFHGRQ
+SSYCSNTFDGPGRVLAHADIPELGSVHFDEDEFWTEGTYRGVNLRIIAAHEVGHALGLGH
+SRYSQALMAPVYEGYRPHFKLHPDDVAGIQALYG
+>Q99542_PF00045_337 <unknown description>
+LDAAVYSPRTQWIHFFKGDKVWRYINFKMSPGFPKKL
+>Q99542_PF00045_380 <unknown description>
+LDAALYWPLNQKVFLFKGSGYWQWDELARTDFSSYPKPIKGLFTGVP
+>Q99542_PF00045_430 <unknown description>
+SAAMSWQDGRVYFFKGKVYWRLNQQLRVEKGYPRNISHNWMHC
+>P03956_PF01471_28 <unknown description>
+EQDVDLVQKYLEKYYNLKNDGRQVEKRRNSGPVVEKLKQMQEFFGLKVTGKPDAETLKVM
+>P03956_PF00413_108 <unknown description>
+RWEQTHLTYRIENYTPDLPRADVDHAIEKAFQLWSNVTPLTFTKVSEGQADIMISFVRGD
+HRDNSPFDGPGGNLAHAFQPGPGIGGDAHFDEDERWTNNFREYNLHRVAAHELGHSLGLS
+HSTDIGALMYPSYTFSGDVQLAQDDIDGIQAIYG
+>P03956_PF00045_284 <unknown description>
+FDAITTIRGEVMFFKDRFYMRTNPFYPEVELNFISVFWPQLP
+>P03956_PF00045_329 <unknown description>
+EAAYEFADRDEVRFFKGNKYWAVQGQNVLHGYPKDIYSSFGFP
+>P03956_PF00045_377 <unknown description>
+IDAALSEENTGKTYFFVANKYWRYDEYKRSMDPGYPKMIAHDFPGIGH
+>P03956_PF00045_426 <unknown description>
+VDAVFMKDGFFYFFHGTRQYKFDPKTKRILTLQKANSWF
+>O60882_PF01471_36 <unknown description>
+NNYRLAQAYLDKYYTNKEGHQIGEMVARGSNSMIRKIKELQAFFGLQVTGKLDQTTMNV
+>O60882_PF00413_116 <unknown description>
+KWKKNTLTYRISKYTPSMSSVEVDKAVEMALQAWSSAVPLSFVRINSGEADIMISFENGD
+HGDSYPFDGPRGTLAHAFAPGEGLGGDTHFDNAEKWTMGTNGFNLFTVAAHEFGHALGLA
+HSTDPSALMYPTYKYKNPYGFHLPKDDVKGIQALYG
+>O60882_PF00045_347 <unknown description>
+VDAAYEVAERGTAYFFKGPHYWITRGFQMQGPPRTIYDFGFP
+>O60882_PF00045_394 <unknown description>
+IDAAVYLREPQKTLFFVGDEYYSYDERKRKMEKDYPKNTEEEFSGV
+>O60882_PF00045_443 <unknown description>
+IDAAVELNGYIYFFSGPKTYKYDTEKEDVVSVVKSSSWIGC
+>Q8N119_PF01471_48 <unknown description>
+ADLHAAQRFLSRYGWSGVWAAWGPSPEGPPETPKGAALAEAVRRFQRANALPASGELDAA
+TLAAM
+>Q8N119_PF00413_172 <unknown description>
+SKRTLSWRLLGEALSSQLSVADQRRIVALAFRMWSEVTPLDFREDLAAPGAAVDIKLGFG
+RGRHLGCPRAFDGSGQEFAHAWRLGDIHFDDDEHFTPPTSDTGISLLKVAVHEIGHVLGL
+PHTYRTGSIMQPNYIPQEPAFELDWSDRKAIQKLYG
+>Q8N119_PF00045_356 <unknown description>
+TYFFRNSWYWLYENRNNRTRYGDPIQILTGWPGIP
+>Q8N119_PF00045_403 <unknown description>
+WKRDERYFFQGNQYWRYDSDKDQALTEDEQGKSYPKLISEGFPGIPS
+>Q8N119_PF00045_452 <unknown description>
+DTAFYDRRQKLIYFFKESLVFAFDVNRNRVLNSYPKRITEVFP
+>O75900_PF00413_87 <unknown description>
+RWDHFNLTYRILSFPRNLLSPRETRRALAAAFRMWSDVSPFSFREVAPEQPSDLRIGFYP
+INHTDCLVSALHHCFDGPTGELAHAFFPPHGGIHFDDSEYWVLGPTRYSWKKGVWLTDLV
+HVAAHEIGHALGLMHSQHGRALMHLNATLRGWKALSQDELWGLHRLYG
+>O75900_PF01549_255 <unknown description>
+CLDRLFVCASWARRGFCDARRRLMKRLCPSSCDFC
+>Q9Y5R2_PF01471_81 <unknown description>
+GQNWLKSYGYLLPYDSRASALHSAKALQSAVSTMQQFYGIPVTGVLDQTTIEWM
+>Q9Y5R2_PF00413_162 <unknown description>
+KWRQKHITYSIHNYTPKVGELDTRKAIRQAFDVWQKVTPLTFEEVPYHEIKSDRKEADIM
+IFFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNANHDGNDLFLVAV
+HELGHALGLEHSSDPSAIMAPFYQYMETHNFKLPQDDLQGIQKIYG
+>Q9Y5R2_PF00045_388 <unknown description>
+ALFRGEMFVFKDRWFWRLRNNRVQEGYPMQIEQFWKGLP
+>Q9Y5R2_PF00045_429 <unknown description>
+IDAAYERADGRFVFFKGDKYWVFKEVTVEPGYPHSLGEL
+>Q9Y5R2_PF00045_476 <unknown description>
+IDTALRWEPVGKTYFFKGERYWRYSEERRATDPGYPKPITVWKGIPQ
+>Q9Y5R2_PF00045_526 <unknown description>
+GAFISKEGYYTYFYKGRDYWKFDNQKLSVEPGYPRNILRDWMGC
+>Q9Y5R2_PF11857_576 <unknown description>
+RRKERRLPQDDVDIMVTINDVPGSVNAVAVVIPCILSLCILVLVYTIFQFKNKTGPQPVT
+YYKRPVQEWV
+>Q9NPA2_PF01471_26 <unknown description>
+AQDVSLGVDWLTRYGYLPPPHPAQAQLQSPEKLRDAIKVMQRFAGLPETGRMDPGTVATM
+>Q9NPA2_PF00413_115 <unknown description>
+WKKRTLTWRVRSFPQSSQLSQETVRVLMSYALMAWGMESGLTFHEVDSPQGQEPDILIDF
+ARAFHQDSYPFDGLGGTLAHAFFPGEHPISGDTHFDDEETWTFGSKDGEGTDLFAVAVHE
+FGHALGLGHSSAPNSIMRPFYQGPVGDPDKYRLSQDDRDGLQQLYG
+>Q9NPA2_PF00045_321 <unknown description>
+FDAIANIRGETFFFKGPWFWRLQPSGQLVSPRPARLHRFWEGLP
+>Q9NPA2_PF00045_370 <unknown description>
+VQAAYARHRDGRILLFSGPQFWVFQDRQLEGGARPLTELGLPP
+>Q9NPA2_PF00045_416 <unknown description>
+VDAVFSWPQNGKTYLVRGRQYWRYDEAAARPDPGYPRDLSLW
+>Q9NPA2_PF00045_465 <unknown description>
+DDVTVSNAGDTYFFKGAHYWRFPKNSIK
+>Q9NRE1_PF00413_98 <unknown description>
+KWNKHTLTYRIINYPHDMKPSAVKDSIYNAVSIWSNVTPLIFQQVQNGDADIKVSFWQWA
+HEDGWPFDGPGGILGHAFLPNSGNPGVVHFDKNEHWSASDTGYNLFLVATHEIGHSLGLQ
+HSGNQSSIMYPTYWYHDPRTFQLSADDIQRIQHLYG
+>Q9H306_PF01471_27 <unknown description>
+EENMQLAQAYLNQFYSLEIEGNHLVQSKNRSLIDDKIREMQAFFGLTVTGKLDSNTLEIM
+>Q9H306_PF00413_106 <unknown description>
+WRKYNLTYRIINYTPDMARAAVDEAIQEGLEVWSKVTPLKFTKISKGIADIMIAFRTRVH
+GRCPRYFDGPLGVLGHAFPPGPGLGGDTHFDEDENWTKDGAGFNLFLVAAHEFGHALGLS
+HSNDQTALMFPNYVSLDPRKYPLSQDDINGIQSIYG
+>Q9H306_PF00045_285 <unknown description>
+FDAITTFRREVMFFKGRHLWRIYYDITDVEFELIASFWPSLP
+>Q9H306_PF00045_330 <unknown description>
+QAAYENPRDKILVFKDENFWMIRGYAVLPDYPKSIHTLGFP
+>Q9H306_PF00045_376 <unknown description>
+IDAAVCDKTTRKTYFFVGIWCWRFDEMTQTMDKGFPQRVVKHFPGI
+>Q9H239_PF01471_33 <unknown description>
+RKEAEAFLEKYGYLNEQVPKAPTSTRFSDAIRAFQWVSQLPVSGVLDRATLRQM
+>Q9H239_PF00413_129 <unknown description>
+KWYKQHLSYRLVNWPEHLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPADIRLTFFQG
+DHNDGLGNAFDGPGGALAHAFLPRRGEAHFDQDERWSLSRRRGRNLFVVLAHEIGHTLGL
+THSPAPRALMAPYYKRLGRDALLSWDDVLAVQSLYG
+>Q9H239_PF00045_328 <unknown description>
+FDAITVDRQQQLYIFKGSHFWEVAADGNVSEPRPLQERWVGLPP
+>Q9H239_PF00045_376 <unknown description>
+AAVSLNDGDFYFFKGGRCWRFRGPKPVWGLPQLCRAGGLP
+>P08253_PF01471_49 <unknown description>
+LAVQYLNTFYGCPKESCNLFVLKDTLKKMQKFFGLPQTGDLDQNTIETM
+>P08253_PF00413_118 <unknown description>
+KWDKNQITYRIIGYTPDLDPETVDDAFARAFQVWSDVTPLRFSRIHDGEADIMINFGRWE
+HGDGYPFDGKDGLLAHAFAPGTGVGGDSHFDDDELWTLGEGQVVRVKYGNADGEYCKFPF
+LFNGKEYNSCTDTGRSDGFLWCSTTYNFEKDGKYGFCPHEALFTMGGNAEGQPCKFPFRF
+QGTSYDSCTTEGRTDGYRWCGTTEDYDRDKKYGFCPETAMSTVGGNSEGAPCVFPFTFLG
+NKYESCTSAGRSDGKMWCATTANYDDDRKWGFCPDQGYSLFLVAAHEFGHAMGLEHSQDP
+GALMAPIYTYTKNFRLSQDDIKGIQELYG
+>P08253_PF00040_233 <unknown description>
+CKFPFLFNGKEYNSCTDTGRSDGFLWCSTTYNFEKDGKYGFC
+>P08253_PF00040_291 <unknown description>
+CKFPFRFQGTSYDSCTTEGRTDGYRWCGTTEDYDRDKKYGFC
+>P08253_PF00040_349 <unknown description>
+CVFPFTFLGNKYESCTSAGRSDGKMWCATTANYDDDRKWGFC
+>P08253_PF00045_475 <unknown description>
+FDGIAQIRGEIFFFKDRFIWRTVTPRDKPMGPLLVATFWPELP
+>P08253_PF00045_520 <unknown description>
+IDAVYEAPQEEKAVFFAGNEYWIYSASTLERGYPKPLTSLGLPP
+>P08253_PF00045_568 <unknown description>
+VDAAFNWSKNKKTYIFAGDKFWRYNEVKKKMDPGFPKLIADAWNAIP
+>P08253_PF00045_618 <unknown description>
+DAVVDLQGGGHSYFFKGAYYLKLENQSLKSVKFGSIKSDWLGC
+>P08254_PF01471_32 <unknown description>
+NLVQKYLENYYDLKKDVKQFVRRKDSGPVVKKIREMQKFLGLEVTGKLDSDTLEVM
+>P08254_PF00413_108 <unknown description>
+KWRKTHLTYRIVNYTPDLPKDAVDSAVEKALKVWEEVTPLTFSRLYEGEADIMISFAVRE
+HGDFYPFDGPGNVLAHAYAPGPGINGDAHFDDDEQWTKDTTGTNLFLVAAHEIGHSLGLF
+HSANTEALMYPLYHSLTDLTRFRLSQDDINGIQSLYG
+>P08254_PF00045_296 <unknown description>
+FDAVSTLRGEILIFKDRHFWRKSLRKLEPELHLISSFWPSLPS
+>P08254_PF00045_340 <unknown description>
+VDAAYEVTSKDLVFIFKGNQFWAIRGNEVRAGYPRGIHTLGFPP
+>P08254_PF00045_388 <unknown description>
+IDAAISDKEKNKTYFFVEDKYWRFDEKRNSMEPGFPKQIAEDFPGI
+>P08254_PF00045_437 <unknown description>
+IDAVFEEFGFFYFFTGSSQLEFDPNAKKVTHTLKSNSWLNC
+>P09237_PF01471_32 <unknown description>
+WEQAQDYLKRFYLYDSETKNANSLEAKLKEMQKFFGLPITGMLNSRVIEIM
+>P09237_PF00413_103 <unknown description>
+KWTSKVVTYRIVSYTRDLPHITVDRLVSKALNMWGKEIPLHFRKVVWGTADIMIGFARGA
+HGDSYPFDGPGNTLAHAFAPGTGLGGDAHFDEDERWTDGSSLGINFLYAATHELGHSLGM
+GHSSDPNAVMYPTYGNGDPQNFKLSQDDIKGIQKLYG
+>P22894_PF01471_28 <unknown description>
+KNTKTVQDYLEKFYQLPSNQYQSTRKNGTNVIVEKLKEMQRFFGLNVTGKPNEETLDMM
+>P22894_PF00413_107 <unknown description>
+KWERTNLTYRIRNYTPQLSEAEVERAIKDAFELWSVASPLIFTRISQGEADINIAFYQRD
+HGDNSPFDGPNGILAHAFQPGQGIGGDAHFDAEETWTNTSANYNLFLVAAHEFGHSLGLA
+HSSDPGALMYPNYAFRETSNYSLPQDDIDGIQAIYG
+>P22894_PF00045_285 <unknown description>
+FDAITTLRGEILFFKDRYFWRRHPQLQRVEMNFISLFWPSLP
+>P22894_PF00045_329 <unknown description>
+IQAAYEDFDRDLIFLFKGNQYWALSGYDILQGYPKDISNYGFPS
+>P22894_PF00045_377 <unknown description>
+IDAAVFYRSKTYFFVNDQFWRYDNQRQFMEPGYPKSISGAFPGIES
+>P14780_PF01471_41 <unknown description>
+DRQLAEEYLYRYGYTRVAEMRGESKSLGPALLLLQKQLSLPETGELDSATLKAM
+>P14780_PF00413_115 <unknown description>
+KWHHHNITYWIQNYSEDLPRAVIDDAFARAFALWSAVTPLTFTRVYSRDADIVIQFGVAE
+HGDGYPFDGKDGLLAHAFPPGPGIQGDAHFDDDELWSLGKGVVVPTRFGNADGAACHFPF
+IFEGRSYSACTTDGRSDGLPWCSTTANYDTDDRFGFCPSERLYTQDGNADGKPCQFPFIF
+QGQSYSACTTDGRSDGYRWCATTANYDRDKLFGFCPTRADSTVMGGNSAGELCVFPFTFL
+GKEYSTCTSEGRGDGRLWCATTSNFDSDKKWGFCPDQGYSLFLVAAHEFGHALGLDHSSV
+PEALMYPMYRFTEGPPLHKDDVNGIRHLYG
+>P14780_PF00040_230 <unknown description>
+CHFPFIFEGRSYSACTTDGRSDGLPWCSTTANYDTDDRFGFC
+>P14780_PF00040_288 <unknown description>
+CQFPFIFQGQSYSACTTDGRSDGYRWCATTANYDRDKLFGFC
+>P14780_PF00040_347 <unknown description>
+CVFPFTFLGKEYSTCTSEGRGDGRLWCATTSNFDSDKKWGFC
+>P14780_PF00045_521 <unknown description>
+FDAIAEIGNQLYLFKDGKYWRFSEGRGSRPQGPFLIADKWPALP
+>P14780_PF00045_568 <unknown description>
+DSVFEERLSKKLFFFSGRQVWVYTGASVLGPRRLDKLG
+>P14780_PF00045_615 <unknown description>
+GALRSGRGKMLLFSGRRLWRFDVKAQMVDPRSASEVDRMFP
+>P14780_PF00045_663 <unknown description>
+DVFQYREKAYFCQDRFYWRVSSRSELNQVDQVGYVTYDI
+>Q13201_PF07546_208 <unknown description>
+KNWCAYVHTRLSPTVILDNQVTYVPGGKGPCGWTGGSCPQRSQKISNPVYRMQHKIVTSL
+DWRCCPGYSG
+>Q13201_PF00008_1045 <unknown description>
+CSRHPCQNGGTCINGRTSFTCACRHPFTGDN
+>Q13201_PF00386_1102 <unknown description>
+AFFASHTYGMTIPGPILFNNLDVNYGASYTPRTGKFRIPYLGVYVFKYTIESFSAHISGF
+LVVDGIDKLAFESENINSEIHCDRVLTGDALLELNYGQEVWLRLAKGTIPAKFPPVTTFS
+GYLL
+>Q9H8L6_PF07546_55 <unknown description>
+RNWCPYPMSKLVTLLALCKTEKFLIHSQQPCPQGAPDCQKVKVMYRMAHKPVYQVKQKVL
+TSLAWRCCPGYTG
+>Q9H8L6_PF00386_827 <unknown description>
+AFYASFSEGTAALQTVKFNTTYINIGSSYFPEHGYFRAPERGVYLFAVSVEFGPGPGTGQ
+LVFGGHHRTPVCTTGQGSGSTATVFAMAELQKGERVWFELTQGSITKRSLSGTAFGGFLM
+>Q96T76_PF14500_50 <unknown description>
+VEALGSSLENPEPRTRARAIQLLSQVLLHCHTLLLEKEVVHLILFYENRLKDHHLVIPSV
+LQGLKALSLCVALPPGLAVSVLKAIFQEVHVQSLPQVDRHTVYNIITNFMRTREEELKSL
+GADFTFGFIQVMDGEKDPRNLLVAFRIVHDLISRDYSLGPFVEELFEVTSCYFPIDFTPP
+PNDPHGIQREDLILSLRAVLASTPRFAEFLLPLLIEKVDSEVLSAKLDSLQTLNACCAVY
+GQKELKDFLPSLWASIRREVFQ
+>Q96T76_PF12460_540 <unknown description>
+LTNGDEPTQCSRHLCCLQALSAVSTHPSIVKETLPLLLQHLWQVNRGNMVAQSSDVIAVC
+QSLRQMAEKCQQDPESCWYFHQTAIPCLLALAVQASMPEKEPSVLRKVLLEDEVLAAMVS
+VIGTATTHLSPELAAQSVTHIVPLFLDGNVSFLPENSFPSRFQPFQDGSSGQRRLIALLM
+AFVCSLPRNVEIPQLNQLMRELLELSCCHSCPFSSTAAAKCFAGLLNKHPAGQQLDEFLQ
+LAVDKVEAGLGSGPCRSQAFTLLLWVTKALVLRYHPLSSCLTARLMGLLSDPELGPAAAD
+GFSLLMSDCTDVLTRAGHAEVRIMFRQRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVL
+NRLPKPVLLPELPTLLSLLLEALSCPDCVVQLSTLSCLQPLLLEAPQVMSLHVDTLVTKF
+LNL
+>Q6ZRQ5_PF14910_26 <unknown description>
+PPYFSCAVDNRGGGKHFSGESYLCSGALKRLILNLDPLPTNFEEDTLEIFGIQWVTETAL
+VNSSRELFHLFRQQLYNLETLLQSSCDFGKVSTLHCKADNIRQQCVLFLHYVKVFIFRYL
+KVQNAESHVPVHPYEALEAQLPSVLIDELHGLLLYIGHLSELPSVNIGAFVNQNQIKLFP
+PSWHLLHLHLDIHWLVLEILYMLGEKLKQVVYGHQFMNLASDNLTNISLFEEHCETLLCD
+LISLSLNRYDKVRSSESLMSDQCPCLCIKELWVLLIHLLDHRSKWFVSESFWNWLNKLLK
+TLLEKSSDRRRSSMPVIQSRDPLGFSWWIITHVASFYKFDRHGVPDEMRKVESNWNFVEE
+LLKKSISVQGVILEEQLRMYLHCCLTLCDFWEPNIAIVTILWEYYSKNLNSSFSISWLPF
+KGLANTMKSPLSMLEMVKTCCCDKQDQELYKSSSSYTIFLCILAKVVKKAMKSNGPHPWK
+QVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAAVAEVEDVASHVLDLLNFLKPAFVTS
+QRALIWKGHMAFLLMYAQKNLDIGVLAEKFSCAFREKAKEFLVSKNEEMVQRQTIWTLLS
+IYIDGVQEVFETSYCLYPSHEKLLNDGFSMLLRACRESELRTVLSFLQAVLARIRSMHQQ
+LCQELQRDNVDLFVQSSLSAKERHLAAVASALWRHFFSFLKSQRMS
+>Q6ZRQ5_PF14911_852 <unknown description>
+QLVKLTRLLFNLSEVKSIFSKAQVEYLSISEDPKKALVRFFEAVGVTYGNVQTLSDKSAM
+VTKSLEYLGEVLKYIKPYLGKKVFSAGLQLTYGMMGILVKSWAQIFATSKAQKLLFRIID
+CLLLPHAVLQQEKELPAPMLSAIQKSLPLYLQGMCIVCCQSQNPNAYLNQLLGNVIEQYI
+GRFLPASPYVSDLGQHPVLLALRNTATIPPISSLKKCIVQVIRKSYLEYKGSSPPPRLAS
+ILAFILQLFKETNTDIYEVELLLPGILKCLVLVSEPQVKRLATENLQYMVKACQVGSEEE
+PSSQLTSVFRQFIQDYGMRYYYQVYSILETVATLDQQVVIHLISTLTQSLKDSEQKWGLG
+RNIAQREAYSKLLSHL
+>Q02252_PF00171_48 <unknown description>
+FVESKSDKWIDIHNPATNEVIGRVPQATKAEMDAAIASCKRAFPAWADTSVLSRQQVLLR
+YQQLIKENLKEIAKLITLEQGKTLADAEGDVFRGLQVVEHACSVTSLMMGETMPSITKDM
+DLYSYRLPLGVCAGIAPFNFPAMIPLWMFPMAMVCGNTFLMKPSERVPGATMLLAKLLQD
+SGAPDGTLNIIHGQHEAVNFICDHPDIKAISFVGSNKAGEYIFERGSRHGKRVQANMGAK
+NHGVVMPDANKENTLNQLVGAAFGAAGQRCMALSTAVLVGEAKKWLPELVEHAKNLRVNA
+GDQPGADLGPLITPQAKERVCNLIDSGTKEGASILLDGRKIKVKGYENGNFVGPTIISNV
+KPNMTCYKEEIFGPVLVVLETETLDEAIQIVNNNPYGNGTAIFTTNGATARKYAHLVDVG
+QVGVNVPIPVPLPMFSFTGSRSSFRGDTNFYGKQGIQFYTQLKTI
+>Q9BU76_PF10159_8 <unknown description>
+GVRGGQDQFNWEDVKTDKQRENYLGNSLMAPVGRWQKGRDLTWYAKGRAPCAGPSREEEL
+AAVREAEREALLAALG
+>Q9UPX6_PF06789_759 <unknown description>
+DWHRKSKEADRQYDIPPQHRLPKQPKDGFLVEQVFSPHPYPASLKAHMKSNPLYTDMRLT
+ELAEVKRGQPSWTIEEYARNAGDKGKLTALDLQTQESLNPNNLEYWMEDIYTPGYDSLLK
+RKEAEFRRAKVCKIAALIAAAACTVILVIVVPICTM
+>P59773_PF06789_47 <unknown description>
+HWQNLVYSQREKKNIAAQRIRGSSADSLVTADSPPPSMSSVMKNNPLYGDLSLEEAMEER
+KKNPSWTIEEYDKHSLHTNLSGHLKENPNDLRFWLGDMYTPGFDTLLKKEEKQEKHSKFC
+RMGLILLVVISILVTIVTII
+>Q9BWT6_PF03962_16 <unknown description>
+MMEIFSETKDVFQLKDLEKIAPKEKGITAMSVKEVLQSLVDDGMVDCERIGTSNYYWAFP
+>Q9BWT6_PF18517_151 <unknown description>
+VVEEIRQANKVAKEAANRWTDNIFAIKSWAKRKFGFEENKIDRTFGIPEDFDYI
+>P41218_PF02758_9 <unknown description>
+LLLKGFELMDDYHFTSIKSLLAYDLGLTTKMQEEYNRIKITDLMEKKFQGVACLDKLIEL
+AKDMPSLKNLV
+>P41218_PF02760_208 <unknown description>
+QNDPVTVVVLKATAPFKYESPENGKSTMFHATVASKTQYFHVKVFDINLKEKFVRKKVIT
+ISDYSECKGVMEIKEASSVSDFNQNFEVPNRIIEIANKTPKISQLYKQASGTMVYGLFML
+QKKSVHKKNTIYEIQDNTGSMDVVGSGKWHNIKCEKGDKLRLFCLQL
+>Q8NEH6_PF13868_115 <unknown description>
+RENSIELRELEKKLKAAYMNKERAAQIAEKDAIKYEQMKRDAEIAKTMMEEHKRIIKEEN
+AAEDKRNKAKAQYYLDLEKQLEEQEKKKQEAYEQLLKEKLMIDEIVRKIYEEDQLEKQQK
+LEKMNAMRRYIEEFQKEQALWRKKKREEMEEENRKIIEFANMQQQREEDRMAKVQENEEK
+RLQLQNALTQKLEEMLRQREDLEQVRQELYQEEQAEIYKSKLKEEAEKKLRKQKEMKQDF
+EEQMALKELVLQAAKEEEENFRKTMLAKFAEDDRIELMNAQKQRMKQLEHRRAVEKLIEE
+RRQQFLADKQRELEEWQLQQRRQGFINAIIEEERLKLLKEHATNLLGYLPK
+>Q99583_PF00010_222 <unknown description>
+REVHNKLEKNRRAHLKECFETLKRNIPNVDDKKTSNLSVLRTALRYIQSLK
+>P50219_PF00046_242 <unknown description>
+RRPRTAFTSQQLLELEHQFKLNKYLSRPKRFEVATSLMLTETQVKIWFQNRRMKWKR
+>Q8TD46_PF08205_174 <unknown description>
+VTPEVTLFQNRNRTAVCKAVAGKPAAHISWIPEGDCATKQEYWSNGTVTVKSTCHWEVHN
+VSTVTCHVSHL
+>Q6Q8B3_PF08205_126 <unknown description>
+EVNLFQSRNITAVCKAVTGKPAAQISWIPEGSILATKQEYWGNGTVTVKSTCPWEGHKST
+VTCHVSHL
+>Q9UBU8_PF11717_12 <unknown description>
+QEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKNWDEWVPESRV
+>Q9UBU8_PF05712_141 <unknown description>
+DPTVENEETFMNRVEVKVKIPEELKPWLVDDWDLITRQKQLFYLPAKKNVDSILEDYANY
+KKSRGNTDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILADHPDAPMSQVYGA
+PHLLRLFVRIGAMLAYTPLDEKSLALLLNYLHDFLKYLAKNSATLFSASDYE
+>Q15014_PF05712_104 <unknown description>
+RADPTVESEEAFKNRMEVKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYA
+NCKKSQGNVDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQVY
+GAPHLLRLFVRIGAMLAYTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYK
+>Q96BY2_PF14893_1 <unknown description>
+MTLRLLEDWCRGMDMNPRKALLIAGISQSCSVAEIEEALQAGLAPLGEYRLLGRMFRRDE
+NRKVALVGLTAETSHALVPKEIPGKGGIWRVIFKPPDPDNTFLSRLNEFLAGEGMTVGEL
+SRALGHENGSLDPEQGMIPEMWAPMLAQALEALQPALQCLKYKKLRVFSGRESPEPGEEE
+FGRWMFHTTQMIKAWQVPDVEKRRRLLESLRGPALDVIRVLKINNPLITVDECLQALEEV
+FGVTDNPRELQVKYLTTYQKDEEKLSAYVLRLEPLLQKLVQRGAIERDAVNQARLDQVIA
+GAVHKTIRRELNLPEDGPAPGFLQLL
+>Q9H8S9_PF03637_33 <unknown description>
+EATLGSGNLRQAVMLPEGEDLNEWIAVNTVDFFNQINMLYGTITEFCTEASCPVMSAGPR
+YEYHWADGTNIKKPIKCSAPKYIDYLMTWVQDQLDDETLFPSKIGVPFPKNFMSVAKTIL
+KRLFRVYAHIYHQHFDSVMQLQEEAHLNTSFKHFIFFVQEFNLIDRRELAPL
+>Q7L9L4_PF03637_33 <unknown description>
+EATLGSGNLRMAVMLPEGEDLNEWVAVNTVDFFNQINMLYGTITDFCTEESCPVMSAGPK
+YEYHWADGTNIKKPIKCSAPKYIDYLMTWVQDQLDDETLFPSKIGVPFPKNFMSVAKTIL
+KRLFRVYAHIYHQHFDPVIQLQEEAHLNTSFKHFIFFVQEFNLIDRRELAPL
+>Q70IA6_PF03637_64 <unknown description>
+ARITDFQFKELVVLPREIDLNEWLASNTTTFFHHINLQYSTISEFCTGETCQTMAVCNTQ
+YYWYDERGKKVKCTAPQYVDFVMSSVQKLVTDEDVFPTKYGREFPSSFESLVRKICRHLF
+HVLAHIYWAHFKETLALELHGHLNTLYVHFILFAREFNLLDPKETAI
+>Q96BX8_PF03637_36 <unknown description>
+QASLNAGLDLRLAVQLPPGEDLNDWVAVHVVDFFNRVNLIYGTISDGCTEQSCPVMSGGP
+KYEYRWQDEHKFRKPTALSAPRYMDLLMDWIEAQINNEDLFPTNVGTPFPKNFLQTVRKI
+LSRLFRVFVHVYIHHFDRIAQMGSEAHVNTCYKHFYYFVKEFGLIDTKELEPL
+>Q86TA1_PF03637_35 <unknown description>
+QASLNSGVDLKAAVQLPSGEDQNDWVAVHVVDFFNRINLIYGTICEFCTERTCPVMSGGP
+KYEYRWQDDLKYKKPTALPAPQYMNLLMDWIEVQINNEEIFPTCVGVPFPKNFLQICKKI
+LCRLFRVFVHVYIHHFDRVIVMGAEAHVNTCYKHFYYFVTEMNLIDRKELEPL
+>Q70IA8_PF03637_35 <unknown description>
+QASLKSGLDLRSVVRLPPGENIDDWIAVHVVDFFNRINLIYGTMAERCSETSCPVMAGGP
+RYEYRWQDERQYRRPAKLSAPRYMALLMDWIEGLINDEEVFPTRVGVPFPKNFQQVCTKI
+LTRLFRVFVHVYIHHFDSILSMGAEAHVNTCYKHFYYFIREFSLVDQRELEPL
+>Q13875_PF02318_12 <unknown description>
+LSKNQKYSEHFSIHCCPPFTFLNSKKEIVDRKYSICKSGCFYQKKEEDWICCACQKTRTS
+R
+>O96033_PF02597_9 <unknown description>
+VLYFAKSAEITGVRSETISVPQEIKALQLWKEIETRHPGLADVRNQIIFAVRQEYVELGD
+QLLVLQPGDEIAVIPPISGG
+>O96007_PF02391_50 <unknown description>
+TAEKLSVDEVSQLVISPLCGAISLFVGTTRNNFEGKKVISLEYEAYLPMAENEVRKICSD
+IRQKWPVKHIAVFHRLGLVPVSEASIIIAVSSAHRAASLEAVSYAIDTLKA
+>Q96EN8_PF00266_50 <unknown description>
+VYLDHAGATLFSQSQLESFTSDLMENTYGNPHSQNISSKLTHDTVEQVRYRILAHFHTTA
+EDYTVIFTAGSTAALKLVAEAFPWVSQGPESSGSRFCYLTDSHTSVVGMRNVTMAINVIS
+TPVRPEDLWSAEERSASASNPDCQLPHLFCYPAQSNFSGVRYPLSWIEEVKSGRLHPVST
+PGKWFVLLDAASYVSTSPLDLSAHQADFVPISFYKIFGFPTGLGALLVHNRAAPLLRKTY
+FGGGTASAYLAGEDFYIPRQSVAQRFEDGTISFLDVIALKHGFDTLERLTGGMENIKQHT
+FTLAQYTYVALSSLQYPNGAPVVRIYSDSEFSSPEVQGPIINFNVLDDKGNIIGYSQVDK
+MASLYNIHLRTGCFCNTGACQRHLGISNEMVRKHFQAGHVCGDNMDLIDGQPTGSVRISF
+GYMSTLDDVQAF
+>Q96EN8_PF03476_584 <unknown description>
+VTNLYLYPIKSCAAFEVTRWPVGNQGLLYDRSWMVVNHNGVCLSQKQEPRLCLIQPFIDL
+RQRIMVIKAKGMEPIEVPLEENSERTQIRQSRVCADRVSTYDCGEKISSWLSTFFGRP
+>Q96EN8_PF03473_731 <unknown description>
+LSLVNEAQYLLINTSSILELHRQLNTSDENGKEELFSLKDLSLRFRANIIINGKRAFEEE
+KWDEISIGSLRFQVLGPCHRCQMICIDQQTGQRNQHVFQKLSESRETKVNFGMYLMHASL
+DLSSPCFLSVGSQV
+>Q9NZB8_PF04055_74 <unknown description>
+ISLTEKCNLRCQYCMPEEGVPLTPKANLLTTEEILTLARLFVKEGIDKIRLTGGEPLIRP
+DVVDIVAQLQRLEGLRTIGVTTNGINLARLLPQLQKAGLSAINISLDTLVPAKFEFIVRR
+KGFHKVMEGIHKAIELGYNPVKVNCVVMRGLNEDELLDFAA
+>Q9NZB8_PF13353_77 <unknown description>
+TEKCNLRCQYCMPEEGVPLTPKANLLTTEEILTLARLFVKEGIDKIRLTGGEPLIRPDVV
+DIVAQLQRLEGLRTIGVTTNGINLARLLPQLQKAGLSAINISLD
+>Q9NZB8_PF06463_242 <unknown description>
+DVRFIEYMPFDGNKWNFKKMVSYKEMLDTVRQQWPELEKVPEEESSTAKAFKIPGFQGQI
+SFITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHLRAGASEQELLRIIGAAVGR
+KKRQHA
+>Q9NZB8_PF01967_493 <unknown description>
+MVDVGRKPDTERVAVASAVVLLGPVAFKLVQQNQLKKGDALVVAQLAGVQAAKVTSQLIP
+LCHHVALSHIQVQLELDSTRHAVKIQASCRARGPTGVEMEALTSAAVAALTLYDMCKAVS
+RDIVLEEIKLISKTGG
+>O95396_PF00899_63 <unknown description>
+YSRQLVLPELGVHGQLRLGTACVLIVGCGGLGCPLAQYLAAAGVGRLGLVDYDVVEMSNL
+ARQVLHGEALAGQAKAFSAAASLRRLNSAVECVPYTQALTPATALDLVRRYDVVADCSDN
+VPTRYLVNDACVLAGRPLVSASALRFEGQITVYHYDGGPCYRCIFPQPPPAETVTNCADG
+GVLGVVTGVLGCLQALEVLKIAAGLGPSYSGSLLLFDALRGHFRSIRLRSRRLDC
+>O95396_PF00581_338 <unknown description>
+VTDYKRLLDSGAFHLLLDVRPQVEVDICRLPHALHIPLKHLERRDAESLKLLKEAIWEEK
+QGTQEGAAVPIYVICKLGNDSQKAVKILQSLSAAQELDPLTVRDVVGGLMAWAA
+>Q9H3H1_PF01715_58 <unknown description>
+QVYEGLDIITNKVSAQEQRICRHHMISFVDPLVTNYTVVDFRNRATALIEDIFARDKIPI
+VVGGTNYYIESLLWKVLVNTKPQEMGTEKVIDRKVELEKEDGLVLHKRLSQVDPEMAAKL
+HPHDKRKVARSLQVFEETGISHSEFLHRQHTEEGGGPLGGPLKFSNPCILWLHADQAVLD
+ERLDKRVDDMLAAGLLEELRDFHRRYNQKNVSENSQDYQHGIFQSIGFKEFHEYLITEGK
+CTLETSNQLLKKGIEALKQVTKRYARKQNRWVKNR
+>Q9H3H1_PF12171_397 <unknown description>
+CDLCDRIIIGDREWAAHIKSKSH
+>P26038_PF09379_9 <unknown description>
+VTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWFFGLQYQDTKGFSTWLKLNKKVTAQ
+DV
+>P26038_PF00373_91 <unknown description>
+EELIQDITQRLFFLQVKEGILNDDIYCPPETAVLLASYAVQSKYGDFNKEVHKSGYLAGD
+KLLPQRVLEQHKLNKDQWEERIQVWHEEHRGMLREDAVLEYLKIAQDLEMYGVNYF
+>P26038_PF09380_210 <unknown description>
+NKKGSELWLGVDALGLNIYEQNDRLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVF
+YAPRLRINKRILALCMGNHELYMRRRKPD
+>P26038_PF00769_337 <unknown description>
+KEKIEREKEELMERLKQIEEQTKKAQQELEEQTRRALELEQERKRAQSEAEKLAKERQEA
+EEAKEALLQASRDQKKTQEQLALEMAELTARISQLEMARQKKESEAVEWQQKAQMVQEDL
+EKTRAELKTAMSTPHVAEPAENEQDEQDENGAEASADLRADAMAKDRSEEERTTEAEKNE
+RVQKHLKALTSELANARDESKKTANDMIHAENMRLGRDKYKTLRQIRQGNTKQRIDEFES
+M
+>Q9Y605_PF15155_1 <unknown description>
+MRPLDIVELAEPEEVEVLEPEEDFEQFLLPVINEMREDIASLTREHGRAYLRNRSKLWEM
+DNMLIQIKTQVEASEESALNHLQNPGDAAEGRAAKRCEKAEEKAKEIAKMAEMLVELVRR
+IEKSESS
+>Q9HD47_PF04603_8 <unknown description>
+PLFGGAFSAILPMGAIDVSDLRPVPDNQEVFCHPVTDQSLIVELLELQAHVRGEAAARYH
+FEDVGGVQGARAVHVESVQPLSLENLALRGRCQEAWVLSGKQQIAKENQQVAKDVTLHQA
+LLRLPQYQTDLLLTFNQP
+>Q13724_PF16923_94 <unknown description>
+LFWGTYRPHVYFGMKTRSPKPLLTGLMWAQQGTTPGTPKLRHTCEQGDGVGPYGWEFHDG
+LSFGRQHIQDGALRLTTEFVKRPGGQHGGDWSWRVTVEPQDSGTSALPLVSLFFYVVTDG
+KEVLLPEVGAKGQLKFISGHTSELGDFRFTLL
+>Q13724_PF03200_354 <unknown description>
+GSLLTQALESHAEGFRERFEKTFQLKEKGLSSGEQVLGQAALSGLLGGIGYFYGQGLVLP
+DIGVEGSEQKVDPALFPPVPLFTAVPSRSFFPRGFLWDEGFHQLVVQRWDPSLTREALGH
+WLGLLNADGWIGREQILGDEARARVPPEFLVQRAVHANPPTLLLPVAHMLEVGDPDDLAF
+LRKALPRLHAWFSWLHQSQAGPLPLSYRWRGRDPALPTLLNPKTLPSGLDDYPRASHPSV
+TERHLDLRCWVALGARVLTRLAEHLGEAEVAAELGPLAASLEAAESLDELHWAPELGVFA
+DFGNHTKAVQLKPRPPQGLVRVVGRPQPQLQYVDALGYVSLFPLLLRLLDPTSSRLGPLL
+DILADSRHLWSPFGLRSLAASSSFYGQRNSEHDPPYWRGAVWLNVNYLALGALHHYGHLE
+GPHQARAAKLHGELRANVVGNVWRQYQATGFLWEQYSDRDGRGMGCRPFHGWTSLVLLAM
+AE
+>Q96PD6_PF03982_41 <unknown description>
+MLIIHNYLFLYIPYLMWLYFDWHTPERGGRRSSWIKNWTLWKHFKDYFPIHLIKTQDLDP
+SHNYIFGFHPHGIMAVGAFGNFSVNYSDFKDLFPGFTSYLHVLPLWFWCPVFREYVMSVG
+LVSVSKKSVSYMVSKEGGGNISVIVLGGAKESLDAHPGKFTLFIRQRKGFVKIALTHGAS
+LVPVVSFGENELFKQTDNPEGSWIRTVQNKLQKIMGFALPLFHARGVFQYNFGLMTYRKA
+IHTVVGRPIPVRQTLNPTQEQIEELHQTYMEELRKLFEEHKGKYGIPEHETLVLK
+>Q3SYC2_PF03982_41 <unknown description>
+LLFTRFWLLTVLYAAWWYLDRDKPRQGGRHIQAIRCWTIWKYMKDYFPISLVKTAELDPS
+RNYIAGFHPHGVLAVGAFANLCTESTGFSSIFPGIRPHLMMLTLWFRAPFFRDYIMSAGL
+VTSEKESAAHILNRKGGGNLLGIIVGGAQEALDARPGSFTLLLRNRKGFVRLALTHGAPL
+VPIFSFGENDLFDQIPNSSGSWLRYIQNRLQKIMGISLPLFHGRGVFQYSFGLIPYRRPI
+TTVVGKPIEVQKTLHPSEEEVNQLHQRYIKELCNLFEAHKLKFNIPADQHLEF
+>Q86VF5_PF03982_45 <unknown description>
+FVLLFTSLWPFSVFYLVWLYVDWDTPNQGGRRSEWIRNRAIWRQLRDYYPVKLVKTAELP
+PDRNYVLGAHPHGIMCTGFLCNFSTESNGFSQLFPGLRPWLAVLAGLFYLPVYRDYIMSF
+GLCPVSRQSLDFILSQPQLGQAVVIMVGGAHEALYSVPGEHCLTLQKRKGFVRLALRHGA
+SLVPVYSFGENDIFRLKAFATGSWQHWCQLTFKKLMGFSPCIFWGRGLFSATSWGLLPFA
+VPITTVVGRPIPVPQRLHPTEEEVNHYHALYMTALEQLFEEHKESCGVPASTCLT
+>Q16653_PF07686_40 <unknown description>
+PIRALVGDEVELPCRISPGKNATGMEVGWYRPPFSRVVHLYRNGKDQDGDQAPEYRGRTE
+LLKDAIGEGKVTLRIRNVRFSDEGGFTCFFRDHSYQEEAAMELKV
+>Q9UQ07_PF00069_4 <unknown description>
+YKAIGKIGEGTFSEVMKMQSLRDGNYYACKQMKQRFESIEQVNNLREIQALRRLNPHPNI
+LMLHEVVFDRKSGSLALICELMDMNIYELIRGRRYPLSEKKIMHYMYQLCKSLDHIHRNG
+IFHRDVKPENILIKQDVLKLGDFGSCRSVYSKQPYTEYISTRWYRAPECLLTDGFYTYKM
+DLWSAGCVFYEIASLQPLFPGVNELDQISKIHDVIGTPAQKILTKFKQSRAMNFDFPFKK
+GSGIPLLTTNLSPQCLSLLHAMVAYDPDERIAAHQALQHPYF
+>Q86VX9_PF19036_156 <unknown description>
+KHVFVLSEAGKPVYSRYGSEEALSSTMGVMVALVSFLEADKNAIRSIHADGYKVVFVRRS
+PLVLVAVARTRQSAQELAQELLYIYYQILSLLTGAQLSHIFQQKQNYDLRRLLSGSERIT
+DNL
+>Q86VX9_PF19037_317 <unknown description>
+SLVFSILLARNQLVALVRRKDQFLHPIDLHLLFNLISSSSSFREGEAWTPVCLPKFNAAG
+FFHAHISYLEPDTDLCLLLVSTDREDFFAVSDCRRRF
+>Q86VX9_PF19038_445 <unknown description>
+LRHFLYKSKSSGLFTSPEIEAPYTSEEEQERLLGLYQYLHSRAHNASRPLKTIYYTGPNE
+NLLAWVTGAFELYMCYSPLGTKASAVSAIHKLMRWIRKEE
+>Q7L1V2_PF19036_110 <unknown description>
+KHVFVLSEAGKPIYSRYGSVEALSATMGVMTALVSFVQSAGDAIRAIYAEDHKLVFLQQG
+PLLLVAMSRTSQSAAQLRGELLAVHAQIVSTLTRASVARIFAHKQNYDLRRLLAGSERTL
+DRL
+>Q7L1V2_PF19037_277 <unknown description>
+VLAVGGRLITAAQERNVLAECRLDPADLQLLLDWVGAPAFAAGEAWAPVCLPRFNPDGFF
+YAYVARLDAMPVCLLLLGTQREAFHAMAACR
+>Q7L1V2_PF19038_409 <unknown description>
+GLRHFLYKPLDIPDHHRQLPQFTSPELEAPYSREEERQRLSDLYHRLHARLHSTSRPLRL
+IYHVAEKETLLAWVTSKFELYTCLSPLVTKAGAILVVTKLLRWVKKEE
+>Q7Z3U7_PF16213_10 <unknown description>
+VKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAALKENSSEVVQ
+PFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLEELKLLQTVL
+VLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERMVAED
+>Q7Z3U7_PF12783_212 <unknown description>
+CAKDAYMLFQDLCQLVNADAPYWLVGMTEMTRTFGLELLESVLNDFPQVFLQHQEFSFLL
+KERVCPLVIKLFSPNIKFRQGSSTSSSPAPVEKPYFPICMRLLRVVSVLIKQFYSLLVTE
+CEIFLSLLVKFLDADKPQWLRAVAVESIHRFCVQPQLLRSFCQSYDMKQHSTKV
+>Q7Z3U7_PF09324_851 <unknown description>
+HDPPLSQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSLGPGWPLVLGVM
+GAIRNDQGESLIRTAFQCLQ
+>Q7Z3U7_PF16206_934 <unknown description>
+TDFLPTMPCTCLQIVVDVAGSFGLHNQELNISLTSIGLLWNISDYFFQRGETIEKELNKE
+EAAQQKQAEEKGVVLNRPFHPAPPFDCLWLCLYAKLGELCVDPRPAVRKSAGQTLFSTIG
+AHGTLLQHSTWHTVIWKVLFHLLDRVRESSTTADKEKIESGGGNILIHHSRDTAEKQWAE
+TWVLTLAGVARIFNTRRYLLQPLGDFSRAWDVLLDHIQSAALSKNNEVSLAALKSFQEIL
+QIVSPVRDSDKPETPPVVNVPVPVLIGPISGMSRPFVRTDSIGEKLGRYSSSEPPIVTDE
+LEDLNLWWAAWNTWYRIGSESTKPPITFDKLTFIPSQPFLTALIQIFPALYQHIKTGFNM
+DDLQKLGVILHSAISVPISSDASPFILPSYTEAVLTSLQEAVLTALDVLQKAICVGPENM
+QIMYPAIFDQLLAFVEFSCKPPQYGQLETKHIANAKYNQIQLFAPAEWVALNYVPFAERS
+LEVVVDLYQKTACHKAVVNEKVLQNIIKTLRVPLSLKYSCPSESTWKLAVSSLLRVLSIG
+LPVARQHASSGKFDSMWPELANTFEDFLFTKSIPPDNLSIQEFQRNENIDVEVVQLISNE
+ILPYANFIPKEFVGQIMTMLNKGSIHSQSSSFTEAEIDIRLREEFSKMCFETLLQFSFSN
+KVTTPQEGYISRMALSVLLKRSQDVLHRYIEDERLSGKCPLPRQQVTEIIFVLKAVSTLI
+DSLKKTQPENVDGNTWAQVIALYPTLVECITCSSSEVCSALKEALVPFKDFMQPP
+>Q2KHM9_PF15718_1 <unknown description>
+MGPGQPASTCVHLAPRTQLDGRSDPKVLQTQNQLQFNRNVPTHSSNLAIRYSCPHAIRIE
+KLKHSYNESYHCKDADCRVGPDLGSSVSFSVISQERLSYAVHLARRDVKRRQFEKHIKEH
+HLRSQPQSSQKCGHTKYKIPDHRVERKESKSQAACQCSHQPSKVEISSSGAKVYLYSSHP
+GQSDLTVPNSPPTHDPGLQPHPRIGDHKNISEQKSLLEVQRLQKELSSCIHKIEEVTKKD
+RLEEALDPDEERRIRIRRQEQAARSARMLYVLQQQVKEIQEELDKLSPHKIKHTKKSWAM
+SKLAAAHRGAIRALQMFVTQFTDRGEHPLPARCKELGSLIRQLSLCSVKLDADPSVPDVV
+IDILQQIEALESLLEKKLSPKKVKKCFSEIRSRFPIGSQKALERWPSTSPKGERRPLTAK
+DTFPQETSRPSVAKQLLADKYQPDTELPETQRLQSELDVLDADIVLEEGPFILDQSASFK
+DEVLAVAKTKAGKKKPVTENVPFRKKDTLAPARQQGLRKAERGRQSQPHSKSRVQQTTVS
+SRLKMNRQPVKDRKAPWIPPNPTSPPASPKCAAWLKVKTSPRDATKEPLQQEDPQEESHL
+TGAVEHEAARLAWLDAETSKRLKELEELKAKEIDSMQKQRLDWLDAETSRRTKELNELKA
+EEMYRLQQLSVSATHLADKVEEAVLDRLKPLLVKAQRVNSTTEANIHLKDGSSVNTAKAQ
+PAQEVAAVDFESNNIRQLDDFLEDCASELWAVTHAKILGSETLATVEDSKDSPDLEIMMR
+RMEEMEKYQESVRQRYNKIAYADPRLWMQEENNDQKISAISEKPLSPHPIRITKTVDRKD
+PAVNIMLERPCNGNSLDESVGTEEGSEKREAPLLSLAEDSQQKEGRAPLFVPPGMQHSIG
+DYCSRFEQYLRIISHEAVGSFNPWLIAESFSEELVDEALGAVAAELQDMCEDYAEAVFTS
+EF
+>Q86VD1_PF13589_27 <unknown description>
+FLFGALAELLDNARDAGAERLDVFSVDNEKLQGGFMLCFLDDGCGMSPEEASDIIYFGRS
+KKRLSTLKFIGQYGNGLKSGSMRIGKDFILFTKKEETMTCVFFSQTFCEEESLSEVVVPM
+PSWLIRTRES
+>Q86VD1_PF17942_343 <unknown description>
+QRELKTARTLSLFYGVNVENRSQAGMFIYSNNRLIKMHEKVGSQLKLKSLLGAGVVGIVN
+IPLEVMEPSHNKQEFLNVQEYNHLLKVMGQYLVQY
+>Q86VD1_PF07496_483 <unknown description>
+IIQCDLCLKWRVLPSSTNYQEKEFFDIWICANNPNRLENSCHQVE
+>Q9Y6X9_PF13589_30 <unknown description>
+FGALAELVDNARDADATRIDIYAERREDLRGGFMLCFLDDGAGMDPSDAASVIQFGKSAK
+RTPESTQIGQYGNGLKSGSMRIGKDFILFTKKEDTMTCLFLSRTFHEEEGIDEVIVPLPT
+WNARTREPVTDNVEKFAIET
+>Q9Y6X9_PF17942_329 <unknown description>
+RVMLRQVQNRAITLRREADVKKRIKEAKQRALKEPKELNFVFGVNIEHRDLDGMFIYNCS
+RLIKMYEKVGPQLEGGMACGGVVGVVDVPYLVLEPTHNKQDFADAKEYRHLLRAMGEHLA
+QYW
+>Q9Y6X9_PF07496_496 <unknown description>
+TIQCDLCLKWRTLPFQLSSVEKDYPDTWVCSMNPDPEQDRCEASEQ
+>Q14149_PF13589_30 <unknown description>
+FSAVAELIDNAYDPDVNAKQIWIDKTVINDHICLTFTDNGNGMTSDKLHKMLSFGFSDKV
+TMNGHVPVGLYGNGFKSGSMRLGKDAIVFTKNGESMSVGLLSQTYLEVIK
+>Q14149_PF17942_249 <unknown description>
+YSLRAYCSILYLKPRMQIILRGQKVKTQLVSKSLAYIERDVYRPKFLSKTVRITFGFNCR
+NKDHYGIMMYHRNRLIKAYEKVGCQLRANNMGVGVVGIIECNFLKPTHNKQDFDYTNEYR
+LTITALGEKLNDYW
+>Q14149_PF07496_409 <unknown description>
+TWVQCDACLKWRKLPDGMDQLPEKWYCSNNPDPQFRNCEVPEE
+>Q8TE76_PF13589_51 <unknown description>
+FSAIAELLDNAVDPDVSARTVFIDVEEVKNKSCLTFTDDGCGMTPHKLHRMLSFGFTDKV
+IKKSQCPIGVFGNGFKSGSMRLGKDALVFTKNGGTLTVGLLSQTYLECVQAQA
+>Q8TE76_PF17942_266 <unknown description>
+YSLRAFCGILYMKPRMKIFLRQKKVTTQMIAKSLANVEYDTYKPTFTNKQVRITFGFSCK
+NSNQFGIMMYHNNRLIKSFEKVGCQVKPTRGEGVGVIGVIECNFLKPAYNKQDFEYTKEY
+RLTINALAQKLNAYW
+>Q8TE76_PF07496_425 <unknown description>
+TWVQCDECLKWRKLPGKIDPSMLPARWFCYYNSHPKYRRCSVPEEQ
+>Q5T089_PF02493_24 <unknown description>
+RNGYGVYVYPN
+>Q5T089_PF02493_39 <unknown description>
+YEGEWKAGRKHGHGKLLFKDGS
+>Q5T089_PF02493_62 <unknown description>
+YEGAFVDGEITGEGRRHWAW
+>Q5T089_PF02493_86 <unknown description>
+FSGQFVLGEPQGYGVMEYKAGG
+>Q5T089_PF02493_109 <unknown description>
+YEGEVSHGMREGHGFLVDRDGQ
+>Q5T089_PF02493_132 <unknown description>
+YQGSFHDNKRHGPGQMLFQNGD
+>Q5T089_PF02493_155 <unknown description>
+YDGDWVRDRRQGHGVLRCADGST
+>Q5T089_PF02493_178 <unknown description>
+YKGQWHSDVFSGLGSMA
+>Q6PF18_PF02493_38 <unknown description>
+YVGEWKDNVKHGKGTQVWK
+>Q6PF18_PF02493_62 <unknown description>
+YEGDWKFGKRDGYGTLSLPDQ
+>Q6PF18_PF02493_114 <unknown description>
+YEGDWCGSQRSGWGRMYYSNGD
+>Q6PF18_PF02493_137 <unknown description>
+YEGQWENDKPNGEGMLRLKNGNR
+>Q6PF18_PF02493_160 <unknown description>
+YEGCWERGMKNGAGRF
+>Q8NDC4_PF02493_16 <unknown description>
+YRGEWKEGRRHGFGQLMFADGGT
+>Q8NDC4_PF02493_39 <unknown description>
+YLGHFENGLFNGFGVLTFSDGSR
+>Q8NDC4_PF02493_62 <unknown description>
+YEGEFAQGKFNGVGVFIRYDN
+>Q8NDC4_PF02493_85 <unknown description>
+FEGEFKNGRVDGFGLLTFPDGS
+>Q5VZ52_PF02493_8 <unknown description>
+YIGEYVDGRMEGKAKYILPT
+>Q5VZ52_PF02493_31 <unknown description>
+YVGEMKDGMFHGEGTLYFPSGS
+>Q5VZ52_PF02493_65 <unknown description>
+KGTYTFSDG
+>Q8NHV5_PF18800_25 <unknown description>
+NPDWINTGESAGALTVGLVRQCQTIHGRDRTCIPPRLPPEWVTTLFFIIMGIISLTVTCG
+LLVASHWRREATKYARWIAFTGMILFCMAALIFPIGFYINEVGGQPYKLPNNTVVGSSYV
+LFVLSIFFTIVGLLFAGKVCLP
+>P00540_PF00069_63 <unknown description>
+LQRLGAGGFGSVYKATYRGVPVAIKQVNKCTKNRLASRRSFWAELNVARLRHDNIVRVVA
+ASTRTPAGSNSLGTIIMEFGGNVTLHQVIYGAAGHPEGDAGEPHCRTGGQLSLGKCLKYS
+LDVVNGLLFLHSQSIVHLDLKPANILISEQDVCKISDFGCSEKLEDLLCFQTPSYPLGGT
+YTHRAPELLKGEGVTPKADIYSFAITLWQMTTKQAPYSGERQHILYAVVAYDLRPSLSAA
+VFEDSLPGQRLGDVIQRCWRPSAAQRPSARLL
+>Q8TF71_PF07690_84 <unknown description>
+VFGIQNACGVLFVSMLETFGSKDDDKMVFKTAWVGSLSMGMIFFCCPIVSVFTDLFGCRK
+TAVVGAAVGFVGLMSSSFVSSIEPLYLTYGIIFACGCSFAYQPSLVILGHYFKKRLGLVN
+GIVTAGSSVFTILLPLLLRVLIDSVGLFYTLRVLCIFMFVLFLAGFTYRPLATSTKDKES
+GGSGSSLFSRKKFSPPKKIFNFAIFKVTAYAVWAVGIPLALFGYFVPYVHLMKHVNERFQ
+DEKNKEVVLMCIGVTSGVGRLLFGRIADYVPGVKKVYLQVLSFFFIGLMSMMIPL
+>Q6ZSM3_PF07690_56 <unknown description>
+FLVTICTRAVTRCISIFFVEFQTYFTQDYAQTAWIHSIVDCVTMLCAPLGSVVSNHLSCQ
+VGIMLGGLLASTGLILSSFATSLKHLYLTLGVLTGLGFALCYSPAIAMVGKYFSRRKALA
+YGIAMSGSGIGTFILAPVVQLLIEQFSWRGALLILGGFVLNLCVCGALMRPITLKEDHTT
+PEQNHVCRTQKEDIKRVSPYSSLTKEWAQTCLCCCLQQEYSFLLMSDFVVLAVSVLFMAY
+GCSPLFVYLVPYALSVGVSHQQAAFLMSILGVIDIIGNITFGWLTDRRCLKNYQYVCY
+>Q7RTY0_PF07690_21 <unknown description>
+FFQSALVFGVLRSFGVFFVEFVAAFEEQAARVSWIASIGIAVQQFGSPVGSALSTKFGPR
+PVVMTGGILAALGMLLASFATSLTHLYLSIGLLSGSGWALTFAPTLACLSCYFSRRRSLA
+TGLALTGVGLSSFTFAPFFQWLLSHYAWRGSLLLVSALSLHLVACGALLRPPSLAEDPAV
+GGPRAQLTSLLHHGPFLRYTVALTLINTGYFIPYLHLVAHLQDLDWDPLPAAFLLSVVAI
+SDLVGRVVSGWLGD
+>Q7RTX9_PF07690_41 <unknown description>
+FFVHILIMGSQMALGVLNVEWLEEFHQSRGLTAWVSSLSMGITLIVGPFIGLFINTCGCR
+QTAIIGGLVNSLGWVLSAYAANVHYLFITFGVAAGLGSGMAYLPAVVMVGRYFQKRRALA
+QGLSTTGTGFGTFLMTVLLKYLCAEYGWRNAMLIQGAVSLNLCVCGALMRPLSPGKNPND
+PGEKDVRGLPAHSTESVKSTGQQGRTEEKDGGLGNEETLCDLQAQECPDQAGHRKNMCAL
+RILKTVSWLTMRVRKGFEDWYSGYFGTASLFTNRMFVAFIFWALFAYSSFVIPFIHLPEI
+VNLYNLSEQNDVFPLTSIIAIVHIFGKVILGVIADLPCISVWNVFLLANFTLVLSIFILP
+LMHTYAGLAVICALIGFSSGYFS
+>P53985_PF07690_27 <unknown description>
+FISIGFSYAFPKSITVFFKEIEGIFHATTSEVSWISSIMLAVMYGGGPISSILVNKYGSR
+IVMIVGGCLSGCGLIAASFCNTVQQLYVCIGVIGGLGLAFNLNPALTMIGKYFYKRRPLA
+NGLAMAGSPVFLCTLAPLNQVFFGIFGWRGSFLILGGLLLNCCVAGALMRPIGPKPTKAG
+KDKSKASLEKAGKSGVKKDLHDANTDLIGRHPKQEKRSVFQTINQFLDLTLFTHRGFLLY
+LSGNVIMFFGLFAPLVFLSSYGKSQHYSSEKSAFLLSILAFVDMVARPSMGLVANTKPIR
+PRIQYFFAASVVANGVCHMLAPLSTTYVGFCVYAGFFGFAFGWLSSVLFETLMDLVGPQR
+FSSAVGLVTIVECCPVLLGP
+>O60669_PF07690_27 <unknown description>
+FISIGFSYAFPKAVTVFFKEIQQIFHTTYSEIAWISSIMLAVMYAGGPVSSVLVNKYGSR
+PVVIAGGLLCCLGMVLASFSSSVVQLYLTMGFITGLGLAFNLQPALTIIGKYFYRKRPMA
+NGLAMAGSPVFLSSLAPFNQYLFNTFGWKGSFLILGSLLLNACVAGSLMRPLGPNQTTSK
+SKNKTGKTEDDSSPKKIKTKKSTWEKVNKYLDFSLFKHRGFLIYLSGNVIMFLGFFAPII
+FLAPYAKDQGIDEYSAAFLLSVMAFVDMFARPSVGLIANSKYIRPRIQYFFSFAIMFNGV
+CHLLCPLAQDYTSLVLYAVFFGLGFGSVSSVLFETLMDLVGAPRFSSAVGLVTIVECGPV
+LLGP
+>O95907_PF07690_26 <unknown description>
+FVVTGFAYGFPKAVSVFFRALMRDFDAGYSDTAWVSSIMLAMLYGTGPVSSILVTRFGCR
+PVMLAGGLLASAGMILASFATRLLELYLTAGVLTGLGLALNFQPSLIMLGLYFERRRPLA
+NGLAAAGSPVFLSALSPLGQQLLERFGWRGGFLLLGGLLLHCCACGAVMRPPPGPGPRPR
+RDSAGDRAGDAPGEAEADGAGLQLREASPRVRPRRRLLDLAVCTDRAFAVYAVTKFLMAL
+GLFVPAILLVNYAKDAGVPDTDAAFLLSIVGFVDIVARPACGALAGLARLRPHVPYLFSL
+ALL
+>O15427_PF07690_28 <unknown description>
+CFVITGFSYAFPKAVSVFFKELIQEFGIGYSDTAWISSILLAMLYGTGPLCSVCVNRFGC
+RPVMLVGGLFASLGMVAASFCRSIIQVYLTTGVITGLGLALNFQPSLIMLNRYFSKRRPM
+ANGLAAAGSPVFLCALSPLGQLLQDRYGWRGGFLILGGLLLNCCVCAALMRPLVVTAQPG
+SGPPRPSRRLLDLSVFRDRGFVLYAVAASVMVLGLFVPPVFVVSYAKDLGVPDTKAAFLL
+TILGFIDIFARPAAGFVAGLGKVRPYSVYLFSFSMFFNGLADLAGSTAGDYGGLVVFCIF
+FGISYGMVGALQFEVLMAIVGTHKFSSAIGLVLLMEAVAVLVGP
+>O15374_PF07690_28 <unknown description>
+FLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQIGWIGSIMSSLRFCAGPLVAIICDILGEK
+TTSILGAFVVTGGYLISSWATSIPFLCVTMGLLPGLGSAFLYQVAAVVTTKYFKKRLALS
+TAIARSGMGLTFLLAPFTKFLIDLYDWTGALILFGAIALNLVPSSMLLRPIHIKSENNSG
+IKDKGSSLSAHGPEAHATETHCHETEESTIKDSTTQKAGLPSKNLTVSQNQSEEFYNGPN
+RNRLLLKSDEESDKVISWSCKQLFDISLFRNPFFYIFTWSFLLSQLAYFIPTFHLVARAK
+TLGIDIMDASYLVSVAGILETVSQIISGWVADQ
+>O15375_PF07690_32 <unknown description>
+TCIGIFFTELQWEFQASNSETSWFPSILTAVLHMAGPLCSILVGRFGCRVTVMLGGVLAS
+LGMVASSFSHNLSQLYFTAGFITGLGMCFSFQSSITVLGFYFVRRRVLANALASMGVSLG
+ITLWPLLSRYLLENLGWRGTFLVFGGIFLHCCICGAIIRPVATSVAPETKECPPPPPETP
+ALGCLAACGRTIQRHLAFDILRHNTGYCVYILGVMWSVLGFPLPQVFLVPYAMWHSVDEQ
+QAALLISIIGFSNIFLRPLAGLMAGR
+>O15403_PF07690_32 <unknown description>
+FFVEVFTYGIIKTFGVFFNDLMDSFNESNSRISWIISICVFVLTFSAPLATVLSNRFGHR
+LVVMLGGLLVSTGMVAASFSQEVSHMYVAIGIISGLGYCFSFLPTVTILSQYFGKRRSIV
+TAVASTGECFAVFAFAPAIMALKERIGWRYSLLFVGLLQLNIVIFGALLRPIFIRGPASP
+KIVIQENRKEAQYMLENEKTRTSIDSIDSGVELTTSPKNVPTHTNLELEPKADMQQVLVK
+TSPRPSEKKAPLLDFSILKEKSFICYALFGLFATLGFFAPSLYIIPLGISLGIDQDRAAF
+LLSTMAIAEVFGRIGAGFVLNREPIRKIYIELICVILLTVSLFAFTFATEFWGLMSCSIF
+FGFMVGTIGGTHIPLLAEDDVVGIEKMSSAAGVYIFIQSIAGLAGP
+>P36021_PF07690_140 <unknown description>
+EFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATAGAAVAFIGLHTSSFTSSLSLRYF
+TYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGVVSAGSSIFSMSFPFLIRMLGDKIKL
+AQTFQVLSTFMFVLMLLSLTYRPLLPSSQDTPSKRGVRTLHQRFLAQLRKYFNMRVFRQR
+TYRIWAFGIAAAALGYFVPYVHLMKYVEEEFSEIKETWVLLVCIGATSGLGRLVSGHISD
+SIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELV
+G
+>Q7RTY1_PF07690_21 <unknown description>
+LTQFLCYGSPLAVGVLYIEWLDAFGEGKGKTAWVGSLASGVGLLASPVCSLCVSSFGARP
+VTIFSGFMVAGGLMLSSFAPNIYFLFFSYGIVVGLGCGLLYTATVTITCQYFDDRRGLAL
+GLISTGSSVGLFIYAALQRMLVEFYGLDGCLLIVGALALNILACGSLMRPLQSSDCPLPK
+KIAPEDLPDKYSIYNEKGKNLEENINILDKSYSSEEKCRITLANGDWKQDSLLHKNPTVT
+HTKEPETYKKKVAEQTYFCKQLAKRKWQLYKNYCGETVALFKNKVFSALFIAILLFDIGG
+FPPSLLMEDVARSSNVKEEEFIMPLISIIGIMTAVGKLLLGILADFKWINTLYLYVATLI
+IMGLALCAIPFAKSYVTLALLSGILGFLTGNWSIFPYVTTK
+>P12872_PF04644_27 <unknown description>
+VPIFTYGELQRMQEKERNKGQKKSLSV
+>P12872_PF04643_62 <unknown description>
+PVDPAEPIREEENEMIKLTAPLEIGMRMNSRQLEKYPATLEGLLSEMLPQHA
+>Q9HCE1_PF13604_500 <unknown description>
+NPEQLQAMRHIVTGTTRPAPYIIFGPPGTGKTVTLVEAIKQVVKHLPKAHILACAPSNSG
+ADLLCQRLRVHLPSSIYRLLAPSRDIRMVPEDIKPCCNWDAKKGEYVFPAKKKLQEYRVL
+ITTLITAGRLVSAQFPIDHFTHIFIDEAGHCMEPESLVAIAGLMEVKETGDPGGQLVLAG
+DPRQLGPVLR
+>Q9HCE1_PF13087_700 <unknown description>
+YSLLERLLTYNSLYKKGPDGYDPQFITKLLRNYRSHPTILDIPNQLYYEGELQACADVVD
+RERFCRWAGLPRQGFPIIFHGVMGKDEREGNSPSFFNPEEAATVTSYLKLLLAPSSKKGK
+ARLSPRSVGVISPYRKQVEKIRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILIS
+TVRSSQSFVQLDLDFNLGFLKNPKRFNVAVTRAKALLIIVGNP
+>Q6UVY6_PF03351_34 <unknown description>
+EGKYWLGWSQRGSQIAFRLQVRTAGYVGFGFSPTGAMASADIVVGGVAHGRPYLQDYFTN
+ANRELKKDAQQDYHLEYAMENSTHTIIEFTRELHTCDINDKSITDSTVRVIWAYH
+>Q6UVY6_PF01082_187 <unknown description>
+FDLVNQDVPIPNKDTTYWCQMFKIPVFQEKHHVIKVEPVIQRGHESLVHHILLYQCSNNF
+NDSVLESGHECYHPNMPDAFLTCETVIFAWAIGGEGFSYPPHVGLSLGTPLDPHYVLLEV
+HYDNPTY
+>Q6UVY6_PF03712_334 <unknown description>
+YDAGVIEAGLWVSLFHTIPPGMPEFQSEGHCTLECLEEALEAEKPSGIHVFAVLLHAHLA
+GRGIRLRHFRKGKEMKLLAYDDDFDFNFQEFQYLKEEQTILPGDNLITECRYNTKDRAEM
+TWGGLSTRSEMCLSYLLYYPRINLTRCAS
+>Q2QL34_PF04117_107 <unknown description>
+ILQGKDDIFLDLKQKFWNTYLSGLMYWPFVQLTNFSLVPVQWRTAYAGVCGFLWATFIC
+>Q02750_PF00069_68 <unknown description>
+FEKISELGAGNGGVVFKVSHKPSGLVMARKLIHLEIKPAIRNQIIRELQVLHECNSPYIV
+GFYGAFYSDGEISICMEHMDGGSLDQVLKKAGRIPEQILGKVSIAVIKGLTYLREKHKIM
+HRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQGTHYSVQSDIW
+SMGLSLVEMAVGRYPIPPPDAKELELMFGCQVEGDAAETPPRPRTPGRPLSSYGMDSRPP
+MAIFELLDYIVNEPPPKLPSGVFSLEFQDFVNKCLIKNPAERADLKQLMVHAFI
+>P36507_PF00069_72 <unknown description>
+FERISELGAGNGGVVTKVQHRPSGLIMARKLIHLEIKPAIRNQIIRELQVLHECNSPYIV
+GFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEEILGKVSIAVLRGLAYLREKHQIM
+HRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMAPERLQGTHYSVQSDIW
+SMGLSLVELAVGRYPIPPPDAKELEAIFGRPVVDGEEGEPHSISPRPRPPGRPVSGHGMD
+SRPAMAIFELLDYIVNEPPPKLPNGVFTPDFQEFVNKCLIKNPAERADLKMLTNHTFI
+>P46734_PF00069_66 <unknown description>
+TISELGRGAYGVVEKVRHAQSGTIMAVKRIRATVNSQEQKRLLMDLDINMRTVDCFYTVT
+FYGALFREGDVWICMELMDTSLDKFYRKVLDKNMTIPEDILGEIAVSIVRALEHLHSKLS
+VIHRDVKPSNVLINKEGHVKMCDFGISGYLVDSVAKTMDAGCKPYMAPERINPELNQKGY
+NVKSDVWSLGITMIEMAILRFPYESWGTPFQQLKQVVEEPSPQLPADRFSPEFVDFTAQC
+LRKNPAERMSYLELMEHPFF
+>P45985_PF00069_104 <unknown description>
+DLGEIGRGAYGSVNKMVHKPSGQIMAVKRIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQ
+FYGALFREGDCWICMELMSTSFDKFYKYVYSVLDDVIPEEILGKITLATVKALNHLKENL
+KIIHRDIKPSNILLDRSGNIKLCDFGISGQLVDSIAKTRDAGCRPYMAPERIDPSASRQG
+YDVRSDVWSLGITLYELATGRFPYPKWNSVFDQLTQVVKGDPPQLSNSEEREFSPSFINF
+VNLCLTKDESKRPKYKELLKHPFI
+>Q13163_PF00564_19 <unknown description>
+IRIKIPNSGAVDWTVHSGPQLLFRDVLDVIGQVLPEATTTAFEYEDEDGDRITVRSDEEM
+KAMLSYYYSTVME
+>Q13163_PF00069_170 <unknown description>
+DTLGHGNGGTVYKAYHVPSGKILAVKVILLDITLELQKQIMSELEILYKCDSSYIIGFYG
+AFFVENRISICTEFMDGGSLDVYRKMPEHVLGRIAVAVVKGLTYLWSLKILHRDVKPSNM
+LVNTRGQVKLCDFGVSTQLVNSIAKTYVGTNAYMAPERISGEQYGIHSDVWSLGISFMEL
+ALGRFPYPQIQKNQGSLMPLQLLQCIVDEDSPVLPVGEFSEPFVHFITQCMRKQPKERPA
+PEELMGHPFI
+>P52564_PF00069_56 <unknown description>
+IMELGRGAYGVVEKMRHVPSGQIMAVKRIRATVNSQEQKRLLMDLDISMRTVDCPFTVTF
+YGALFREGDVWICMELMDTSLDKFYKQVIDKGQTIPEDILGKIAVSIVKALEHLHSKLSV
+IHRDVKPSNVLINALGQVKMCDFGISGYLVDSVAKTIDAGCKPYMAPERINPELNQKGYS
+VKSDIWSLGITMIELAILRFPYDSWGTPFQQLKQVVEEPSPQLPADKFSAEFVDFTSQCL
+KKNSKERPTYPELMQHPFF
+>O14733_PF00069_122 <unknown description>
+NLGEMGSGTCGQVWKMRFRKTGHVIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIVQ
+CFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVIH
+RDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYDI
+RADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDCL
+TKDHRKRPKYNKLLEHSFI
+>A6NCE7_PF02991_15 <unknown description>
+QRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELIKIIRRRLQLN
+ANQAFFLLVNGHSMVSVSTPISEVYESEKDEDGFLYMVCASQETFG
+>P0DKB6_PF03650_16 <unknown description>
+QSKEFREYVSSTHFWGPAFSWGLPLAAFKDMKASPEIISGRMTTALILYSAIFMRFAYRV
+QPRNLLLMACHCTNVMAQSVQASRYLLYYYGGGGA
+>Q9Y5U8_PF03650_21 <unknown description>
+YLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLL
+FACHATNEVAQLIQGGRLIKHEMTKTA
+>O95563_PF03650_28 <unknown description>
+LRPLYNHPAGPRTVFFWAPIMKWGLVCAGLADMARPAEKLSTAQSAVLMATGFIWSRYSL
+VIIPKNWSLFAVNFFVGAAGASQLFRIWRYNQELKAK
+>Q00325_PF00153_70 <unknown description>
+LGGIISCGTTHTALVPLDLVKCRMQVDPQKYKGIFNGFSVTLKEDGVRGLAKGWAPTFLG
+YSMQGLCKFGFYEVFKVLYSN
+>Q00325_PF00153_165 <unknown description>
+YLAASASAEFFADIALAPMEAAKVRIQTQPGYANTLRDAAPKMYKEEGLKAFYKGVAPLW
+MRQIPYTMMKFACFERTVEALYK
+>Q00325_PF00153_262 <unknown description>
+QLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSSASLVLKRLGFKGVWKGLFARIIM
+IGTLTALQWFIYDSVKVYF
+>O75970_PF09045_6 <unknown description>
+DKNRALHAAERLQTKLRERGDVANEDKLSLLKSVLQSPLFSQILSLQTSVQQLKDQVN
+>O75970_PF00595_142 <unknown description>
+KPPSGGLGFSVVGLRSENRGELGIFVQEIQEGSVAHRDGRLKETDQILAINGQALDQTIT
+HQQAISILQKAKDTVQLVI
+>O75970_PF00595_263 <unknown description>
+DGSGLGFGIIGGKATGVIVKTILPGGVADQHGRLCSGDHILKIGDTDLAGMSSEQVAQVL
+RQCGNRVKLMI
+>O75970_PF00595_378 <unknown description>
+VELTKNVQGLGITIAGYIGDKKLEPSGIFVKSITKSSAVEHDGRIQIGDQIIAVDGTNLQ
+GFTNQQAVEVLRHTGQTVLLTL
+>O75970_PF00595_561 <unknown description>
+ENSGLGISLEATVGHHFIRSVLPEGPVGHSGKLFSGDELLEVNGITLLGENHQDVVNILK
+ELPIEVTM
+>O75970_PF00595_701 <unknown description>
+IELEKGSKGLGFSILDYQDPIDPASTVIIIRSLVPGGIAEKDGRLLPGDRLMFVNDVNLE
+NSSLEEAVEALKGAPSGTVRIGV
+>O75970_PF00595_1009 <unknown description>
+INIAKGNSSLGMTVSANKDGLGMIVRSIIHGGAISRDGRIAIGDCILSINEESTISVTNA
+QARAMLRR
+>O75970_PF00595_1158 <unknown description>
+PSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIVEVDGMDLR
+DASHEQAVEAIRKAGNPV
+>O75970_PF00595_1351 <unknown description>
+IELEKGHSGLGLSLAGNKDRSRMSVFIVGIDPNGAAGKDGRLQIADELLEINGQILYGRS
+HQNASSIIKCAPSKVKI
+>O75970_PF00595_1486 <unknown description>
+LPKDQGGLGIAISEEDTLSGVIIKSLTEHGVAATDGRLKVGDQILAVDDEIVVGYPIEKF
+ISLLKTAKMTVKLTI
+>O75970_PF16667_1562 <unknown description>
+AENPDSQAVPSAAGAASGEKKNSSQSLMVPQSGSPEPESIRNTSRSSTPAIFASDPATCP
+IIPGC
+>O75970_PF00595_1630 <unknown description>
+IEISKGRTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEVNGIDLRKAT
+HDEAINVLRQTPQRVRLTL
+>O75970_PF00595_1726 <unknown description>
+IELQKKPGKGLGLSIVGKRNDTGVFVSDIVKGGIADADGRLMQGDQILMVNGEDVRNATQ
+EAVAALLKCSLGTVTLEV
+>O75970_PF00595_1863 <unknown description>
+VEMKKGPTDSLGISIAGGVGSPLGDVPIFIAMMHPTGVAAQTQKLRVGDRIVTICGTSTE
+GMTHTQAVNLLKNASGSIEMQV
+>O75970_PF00595_1988 <unknown description>
+ITLERGPDGLGFSIVGGYGSPHGDLPIYVKTVFAKGAASEDGRLKRGDQIIAVNGQSLEG
+VTHEEAVAILKRTKGTVTLMV
+>Q2M385_PF01823_126 <unknown description>
+NGKFSTEFQRMKTLQVKDQAITTRVQVRNLVYTVKINPTLELSSGFRKELLDISDRLENN
+QTRMATYLAELLVLNYGTHVTTSVDAGAALIQEDHLRASFLQDSQSSRSAVTASAGLAFQ
+NTVNFKFEENYTSQNVLTKSYLSNRTNSRVQSIGGVPFYPGITLQAWQQGITNHLVAIDR
+SGLPLHFFINPNMLPDLPGPLVKKVSKTVETAVKRY
+>Q99547_PF10175_8 <unknown description>
+RLSKNLLRMKFMQRGLDSETKKQLEEEEKKIISEEHWYLDLPELKEKESFIIEEQSFLLC
+EDLLYGRMSFRGFNPEVEKLMLQMNAKHKAEEVEDETVELDVSDEEMARRYETLVGTIGK
+KFARKRD
+>P30304_PF06617_97 <unknown description>
+NLENPMRRIHSLPQKLLGCSPALKRSHSDSLDHDIFQLIDPDENKENEAFEFKKPVRPVS
+RGCLHSHGLQEGKDLFTQRQNSAPARMLSSNERDSSEPGNFIPLFTPQSPVTATLSDEDD
+GFVDLLDGENLKNEEETPSCMASLWTAPLVMRTTNLDNRCKLFDSPSLCSSSTRSVLKRP
+ERSQEESPPGSTKRRKSMSGASPKESTNPEKAHETLHQSLSLASS
+>P30304_PF00581_380 <unknown description>
+FVIIDCRYPYEYEGGHIKGAVNLHMEEEVEDFLLKKPIVPTDGKRVIVVFHCEFSSERGP
+RMCRYVRERDRLGNEYPKLHYPELYVLKGGYKEF
+>P30305_PF06617_113 <unknown description>
+MDSPSPMDPHMAEQTFEQAIQAASRIIRNEQFAIRRFQSMPVRLLGHSPVLRNITNSQAP
+DGRRKSEAGSGAASSSGEDKENDGFVFKMPWKPTHPSSTHALAEWASRREAFAQRPSSAP
+DLMCLSPDRKMEVEELSPLALGRFSLTPAEGDTEEDDGFVDILESDLKDDDAVPPGMESL
+ISAPLVKTLEKEEEKDLVMYSKCQRLFRSPSMPCSVIRPILKRLERPQDRDTPVQNKRRR
+SVTPPEEQQEAEEPKARVLRSKSLCHDEIEN
+>P30305_PF00581_434 <unknown description>
+KFVIVDCRYPYEYEGGHIKTAVNLPLERDAESFLLKSPIAPCSLDKRVILIFHCEFSSER
+GPRMCRFIRERDRAVNDYPSLYYPEMYILKGGYKEF
+>P30307_PF06617_200 <unknown description>
+KDQEAKVSRSGLYRSPSMPENLNRPRLKQVEKFKDNTIPDKVKKKY
+>P30307_PF00581_327 <unknown description>
+VIDCRYPYEYLGGHIQGALNLYSQEELFNFFLKKPIVPLDTQKRIIIVFHCEFSSERGPR
+MCRCLREEDRSLNQYPALYYPELYILKGGYRDF
+>P34949_PF01238_6 <unknown description>
+VFPLSCAVQQYAWGKMGSNSEVARLLASSDPLAQIAEDKPYAELWMGTHPRGDAKILDNR
+ISQKTLSQWIAENQDSLGSKVKDTFNGNLPFLFKVLSVETPLSIQAHPNKELAEKLHLQA
+PQHYPDANHKPEMAIALTPFQGLCGFRPVEEIVTFLKKVPEFQFLIGDEAATHLKQTMSH
+DSQAVASSLQSCFSHLMKSEKKVVVEQLNLLVKRISQQAAAGNNMEDIFGELLLQLHQQY
+PGDIGCFAIYFLNLLTLKPGEAMFLEANVPHAYLKGDCVECMACSDNTVRAGLTPKFIDV
+PTLCEMLSYTPSSSKDRLFLPTRSQEDPYLSIYDPPVPDFTIMKTEVPGSVTEYKVLALD
+SASILLMVQGTVIA
+>Q8TAP9_PF15502_98 <unknown description>
+QQQFGYSPGQQQTHPQGSPRTSTPFGSGRVREKRMSNELENYFKPSMLEDPWAGLEPVSV
+VDISQQYSNTQTFTGKKGRYFC
+>O00566_PF04006_25 <unknown description>
+PECFLTIQEGLASKFTSLTKVLYDFNKILENGRIHGSPLQKLVIENFDDEQIWQQLELQN
+EPILQYFQNAVSETINDEDISLLPESEEQEREEDGSEIEADDKEDLEDLEEEEVSDMGND
+DPEMGERAENSSKSDLRKSPVFSDEDSDLDFDISKLEQQSKVQNKGQGKPREKSIVDDKF
+FKLSEMEAYLENIEKEEERKDDNDEEEEDIDFFEDIDSDEDEGGLFGSKKLKSGKSSRNL
+KYKDFFDPVESDEDITNVHDDELDSNKEDDEIAEEEAEELSISETDEDDDLQENEDNKQH
+KESLKRVTFALPDDAETEDTGVLNVKKNSDEVKSSFEKRQEKMNEKIASLEKELLEKKPW
+QLQGEVTAQKRPENSLLEETLHFDHAVRMAPVITEETTLQLEDIIKQRIRDQAWDDVVRK
+EKPKEDAYEYKKRLTLDHEKSKLSLAEIYEQEYIKLNQQKTAEEENPEHVEIQKMMDSLF
+LKLDALSNFHFIPKPPVPEIKVVSNLPAITMEEVAPVSVSDAALLAPEEIKEKNKAGDIK
+TAAEKTATDKKRERRKKKYQKRMKIKEKEK
+>Q13368_PF02828_13 <unknown description>
+TLALLTSQLRPDSNHKEEMGFLRDVFSEKSLSYLMKIHEKLRYYERQSPTP
+>Q13368_PF02828_69 <unknown description>
+VALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLP
+>Q13368_PF00595_139 <unknown description>
+RLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVGDELREVNGIAVLHKRPDE
+ISQILAQSQGSITLKI
+>Q13368_PF00625_385 <unknown description>
+PRLVVLIGSLGARLHELKQKVVAENPQHFGVAVPHTTRPRKSHEKEGVEYHFVSKQAFEA
+DLHHNKFLEHGEYKENLYGTSLEAIQAVMAKNKVCLVDVEPEALKQLRTSEFKPYIIFVK
+PAIQEKRKTPPMSPACEDTAAPFDEQQQEMAASAAFIDRHYGHLVDAVLVKEDLQGAYSQ
+LKVVLEK
+>Q96JB8_PF02828_91 <unknown description>
+SYEVVELLRETPTSPEIQELRQMLQAPHFKALLSAHDTIAQKDFEP
+>Q96JB8_PF00595_159 <unknown description>
+KNQQPLGATIKRHEMTGDILVARIIHGGLAERSGLLYAGDKLVEVNGVSVEGLDPEQVIH
+ILAMSRGTIMFKV
+>Q96JB8_PF00625_427 <unknown description>
+YRLIVLMGPSGVGVNELRRQLIEFNPSHFQSAVPHTTRTKKSYEMNGREYHYVSKETFEN
+LIYSHRMLEYGEYKGHLYGTSVDAVQTVLVEGKICVMDLEPQDIQGVRTHELKPYVIFIK
+PSNMRCMKQSRKNAKVITDYYVDMKFKDEDLQEMENLAQRMETQFGQFFDHVIVNDSLHD
+ACAQLLSAIQK
+>Q5T2T1_PF02828_16 <unknown description>
+ELLAALPAQLQPHVDSQEDLTFLWDMFGEKSLHSLVKIHEKLHYYEKQSPVP
+>Q5T2T1_PF02828_75 <unknown description>
+LADDLAEELQNKPLNSEIRELLKLLSKPNVKALLSVHDTVAQKNYDPVLP
+>Q5T2T1_PF00595_141 <unknown description>
+RLVKNREPLGATIKKDEQTGAIIVARIMRGGAADRSGLIHVGDELREVNGIPVEDKRPEE
+IIQILAQSQGAITFKI
+>Q5T2T1_PF00018_234 <unknown description>
+KALFDYNPNEDKAIPCKEAGLSFKKGDILQIMSQDDATWWQAKHEADANPRAGLIPS
+>Q5T2T1_PF00625_368 <unknown description>
+YRLVVLVGPVGVGLNELKRKLLISDTQHYGVTVPHTTRARRSQESDGVEYIFISKHLFET
+DVQNNKFIEYGEYKNNYYGTSIDSVRSVLAKNKVCLLDVQPHTVKHLRTLEFKPYVIFIK
+PPSIERLRETRKNAKIISSRDDQGAAKPFTEEDFQEMIKSAQIMESQYGHLFDKIIINDD
+LTVAFNELKTTFD
+>Q99549_PF00385_59 <unknown description>
+FEVEKILDMKTEGGKVLYKVRWKGYTSDDDTWEPEIHLEDCKEVLLEFRKK
+>Q99549_PF12796_572 <unknown description>
+DAVKNGDYITVKVALNSNEEYNLDQEDSSGMTLVMLAAAGGQDDLLRLLITKGAKVNGRQ
+KNGTTALIHAAEKNFLTTVAILLEAGAFVNVQ
+>Q99549_PF12796_664 <unknown description>
+QSNGETALMKACKRGNSDIVRLVIECGADCNILSKHQNSALHFAKQSNNVLVYD
+>Q10713_PF00675_77 <unknown description>
+RVASQNKFGQFCTVGILINSGSRYEAKYLSGIAHFLEKLAFSSTARFDSKDEILLTLEKH
+GGICDCQTSRDTTMYAVSADSKGLDTVVALLADVVLQPRLTDEEVEMTRMAVQFELEDLN
+LRPDPEPLLTEMIHEAAYRENTVGLHRFCP
+>Q10713_PF05193_232 <unknown description>
+KINREVLHSYLRNYYTPDRMVLAGVGVEHEHLVDCARKYLLGVQPAWGSAEAVDIDRSVA
+QYTGGIAKLERDMSNVSLGPTPIPELTHIMVGLESCSFLEEDFIPFAVLNMMMGGGGSFS
+AGGPGKGMFSRLYLNVLNRHHWMYNATSYHHSYEDTGLLCIHASADPRQVREMVEIITKE
+FILMGGTVDTVELERAKTQL
+>O75439_PF00675_68 <unknown description>
+RVASEDSGLSTCTVGLWIDAGSRYENEKNNGTAHFLEHMAFKGTKKRSQLDLELEIENMG
+AHLNAYTSREQTVYYAKAFSKDLPRAVEILADIIQNSTLGEAEIERERGVILREMQEVET
+NLQEVVFDYLHATAYQNTALGRTILGP
+>O75439_PF05193_220 <unknown description>
+SISRKDLVDYITTHYKGPRIVLAAAGGVSHDELLDLAKFHFGDSLCTHKGEIPALPPCKF
+TGSEIRVRDDKMPLAHLAIAVEAVGWAHPDTICLMVANTLIGNWDRSFGGGMNLSSKLAQ
+LTCHGNLCHSFQSFNTSYTDTGLWGLYMVCESSTVADMLHVVQKEWMRLCTSVTESEVAR
+ARNLL
+>O15442_PF00149_91 <unknown description>
+RFVCVSDTHSRTDPIQMPYGDVLIHAGDFTELGLPSEVKKFNEWLGSLPYEYKIVIAGNH
+ELTFDQEFMADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRGFRIYGSP
+WQPWFYGWGFNLPRGQALLEKWNLIPEGVDILITHGPPLGFLDWVPKKMQRVGCVELLNT
+VQRRVQPRLHVFGHIHE
+>Q15777_PF00149_59 <unknown description>
+RFVCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNH
+ELTFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAP
+WTPWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNT
+VQRRVRPKLHVFGGIHE
+>Q53F39_PF00149_71 <unknown description>
+KAMFLADTHLLGEFLGHWLDKLRREWQMERAFQTALWLLQPEVVFILGDIFDEGKWSTPE
+AWADDVERFQKMFRHPSHVQLKVVAGNHDIGFHYEMNTYKVERFEKVFSSERLFSWKGIN
+FVMVNSVALNGDGCGICSETEAELIEVSHRLNCSREARGSSRCGPGPLLPTSAPVLLQHY
+PLYRRSDANCSGEDAAPAEERDIPFKENYDVLSREASQKLLWWLQPRLVLSGHTHS
+>P20645_PF02157_24 <unknown description>
+SWQTEEKTCDLVGEKGKESEKELALVKRLKPLFNKSFESTVGQGSDTYIYIFRVCREAGN
+HTSGAGLVQINKSNGKETVVGRLNETHIFNGSNWIMLIYKGGDEYDNHCGKEQRRAVVMI
+SCNRHTLADNFNPVSEERGKVQDCFYLFEMDSSLACSPEISHLSVGSILLVTFASLVAVY
+VVGGFLYQRLVVGAKGMEQFPHLAFWQDLGNLVADGCDFVCRSKPRNVPAAYRGVGDDQL
+GEESEERDDHLLPM
+>P11717_PF00878_127 <unknown description>
+QSSIAFLCGKTLGTPEFVTATECVHYFEWRTTAACKKDIFKANKEVPCYVFDEELRKHDL
+NPLIKLSGAYLVDDSDPDTSLFINVCRDIDTLRDPGSQLRACPPGTAACLVRGHQAFDVG
+QPRDGLKLVRKDRLVLSYV
+>P11717_PF00878_281 <unknown description>
+AVTITFVCPSERREGTIPKLTAKSNCRYEIEWITEYACHRDYLESKTCSLSGEQQDVSID
+LTPLAQSGGSSYISDGKEYLFYLNVCGETEIQFCNKKQAAVCQVKKSDTSQVKAAGRYHN
+QTLRYSDGDLTLIYFGGDEC
+>P11717_PF00878_423 <unknown description>
+GFQRMSVINFECNKTAGNDGKGTPVFTGEVDCTYFFTWDTEYACVKEKEDLLCGATDGKK
+RYDLSALVRHAEPEQNWEAVDGSQTETEKKHFFINICHRVLQEGKARGCPEDAAVCAVDK
+NGSKNLGKFISSPMKEKGNIQLSYSDGDDCG
+>P11717_PF00878_576 <unknown description>
+KKIKTNITLVCKPGDLESAPVLRTSGEGGCFYEFEWHTAAACVLSKTEGENCTVFDSQAG
+FSFDLSPLTKKNGAYKVETKKYDFYINVCGPVSVSPCQPDSGACQVAKSDEKTWNLGLSN
+AKLSYYDGMIQLNYRGGTPY
+>P11717_PF00878_723 <unknown description>
+RATLITFLCDRDAGVGFPEYQEEDNSTYNFRWYTSYACPEEPLECVVTDPSTLEQYDLSS
+LAKSEGGLGGNWYAMDNSGEHVTWRKYYINVCRPLNPVPGCNRYASACQMKYEKDQGSFT
+EVVSISNLGMAKTGPVVEDSGSLLLEYVNGSAC
+>P11717_PF00878_885 <unknown description>
+YTTRIHLVCSRGRLNSHPIFSLNWECVVSFLWNTEAACPIQTTTDTDQACSIRDPNSGFV
+FNLNPLNSSQGYNVSGIGKIFMFNVCGTMPVCGTILGKPASGCEAETQTEELKNWKPARP
+VGIEKSLQLSTEGFITLTY
+>P11717_PF00878_1032 <unknown description>
+TADAFIVRFVCNDDVYSGPLKFLHQDIDSGQGIRNTYFEFETALACVPSPVDCQVTDLAG
+NEYDLTGLSTVRKPWTAVDTSVDGRKRTFYLSVCNPLPYIPGCQGSAVGSCLVSEGNSWN
+LGVVQMSPQAAANGSLSIMYVNGDKCG
+>P11717_PF00878_1183 <unknown description>
+STRITFECAQISGSPAFQLQDGCEYVFIWRTVEACPVVRVEGDNCEVKDPRHGNLYDLKP
+LGLNDTIVSAGEYTYYFRVCGKLSSDVCPTSDKSKVVSSCQEKREPQGFHKVAGLLTQKL
+TYENGLLKMNFTGGDTC
+>P11717_PF00878_1323 <unknown description>
+YQRSTAIFFYCDRGTQRPVFLKETSDCSYLFEWRTQYACPPFDLTECSFKDGAGNSFDLS
+SLSRYSDNWEAITGTGDPEHYLINVCKSLAPQAGTEPCPPEAAACLLGGSKPVNLGRVRD
+GPQWRDGIIVLKYVDGDLCP
+>P11717_PF00878_1466 <unknown description>
+RKKSTTIRFTCSESQVNSRPMFISAVEDCEYTFAWPTATACPMKSNEHDDCQVTNPSTGH
+LFDLSSLSGRAGFTAAYSEKGLVYMSICGENENCPPGVGACFGQTRISVGKANKRLRYVD
+QVLQLVYKDGSPC
+>P11717_PF00878_1607 <unknown description>
+KSVISFVCRPEARPTNRPMLISLDKQTCTLFFSWHTPLACEQATECSVRNGSSIVDLSPL
+IHRTGGYEAYDESEDDASDTNPDFYINICQPLNPMHGVPCPAGAAVCKVPIDGPPIDIGR
+VAGPPIL
+>P11717_PF00878_1758 <unknown description>
+YTSLIAFHCKRGVSMGTPKLLRTSECDFVFEWETPVVCPDEVRMDGCTLTDEQLLYSFNL
+SSLSTSTFKVTRDSRTYSVGVCTFAVGPEQGGCKDGGVCLLSGTKGASFGRLQSMKLDYR
+HQDEAVVLSYVNGDRCP
+>P11717_PF00040_1903 <unknown description>
+CVFPFIFNGKSYEECIIESRAKLWCSTTADYDRDHEWGFC
+>P11717_PF00878_1949 <unknown description>
+RTSSIIFKCDEDEDIGRPQVFSEVRGCDVTFEWKTKVVCPPKKLECKFVQKHKTYDLRLL
+SSLTGSWSLVHNGVSYYINLCQKIYKGPLGCSERASICRRTTTGDVQVLGLVHTQKLGVI
+GDKVVVTYSKGYPCG
+>P11717_PF00878_2087 <unknown description>
+TASSVIELTCTKTVGRPAFKRFDIDSCTYYFSWDSRAACAVKPQEVQMVNGTITNPINGK
+SFSLGDIYFKLFRASGDMRTNGDNYLYEIQLSSITSSRNPACSGANICQVKPNDQHFSRK
+VGTSDKTKYYLQDGDLDVVFASSSKC
+>P11717_PF00878_2240 <unknown description>
+VSSTIFFHCDPLVEDGIPEFSHETADCQYLFSWYTSAVCP
+>O75352_PF04193_42 <unknown description>
+LSKGLGLGIVAGSLLVKLPQVFKILGAKSAEGLSLQSVMLELVALTGTMVYSITNNFPFS
+>O75352_PF04193_172 <unknown description>
+QAATNYHNGHTGQLSAITVFLLFGGSLARIFTSIQETGDP
+>P39210_PF04117_109 <unknown description>
+GALNGLSAQDNWAKLQRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAVIWNSY
+LS
+>O95297_PF07686_42 <unknown description>
+TPKEIFVANGTQGKLTCKFKSTSTTGGLTSVSWSFQPEGADTTVSFFHYSQGQVYLGNYP
+PFKDRISWAGDLDKKDASINIENMQFIHNGTYICDVKNPPDIVVQPGHIRLYVV
+>O60487_PF07686_31 <unknown description>
+TSRVLEAVNGTDARLKCTFSSFAPVGDALTVTWNFRPLDGGPEQFVFYYHIDPFQPMSGR
+FKDRVSWDGNPERYDASILLWKLQFDDNGTYTCQVKNPPDVDGVIGEIRLSV
+>Q6UWV2_PF07686_40 <unknown description>
+VRGYVGEKIKLKCTFKSTSDVTDKLTIDWTYRPPSSSHTVSIFHYQSFQYPTTAGTFRDR
+ISWVGNVYKGDASISISNPTIKDNGTFSCAVKNPPDVHHNIPMTELTVT
+>Q96HT8_PF15155_1 <unknown description>
+MRPLDIDEVEAPEEVEVLEPEEDFEQFLLPVINEMREDIASLIREHGRAYLRTRSKLWEM
+DNMLIQIKTQVEASEESALNHVQHPSGEADERVSELCEKAEEKAKEIAKMAEMLVELVWR
+IERSESS
+>Q96G30_PF15183_14 <unknown description>
+QSASNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVIFMFFVLTLLTKTGAP
+HQDNAESSEKRFRMNSFVSDFGRPLE
+>Q8TCY5_PF15183_1 <unknown description>
+MANGTNASAPYYSYEYYLDYLDLIPVDEKKLKAHKHSIVIAFWVSLAAFVVLLFLILLYM
+SWSASPQMRNSPKHHQTCPWSHGLNLHLC
+>P22897_PF00652_26 <unknown description>
+LIYNEDHKRCVDAVSPSAVQTAACNQDAESQKFRWVSESQIMSVAFKLCLGVPSKTDWVA
+ITLYACDSKSEFQKWECKNDT
+>P22897_PF00040_168 <unknown description>
+CAFPFKFENKWYADCTSAGRSDGWLWCGTTTDYDTDKLFGYC
+>P22897_PF00059_237 <unknown description>
+ALTWHQARKSCQQQNAELLSITEIHEQTYLTGLTSSLTSGLWIGLNSLSFNSGWQWSDRS
+PFRYLNWLPGSPSAEPGKSCVSLNPGKNAKWENLECVQKLGYICKK
+>P22897_PF00059_384 <unknown description>
+QRDALTTCRKEGGDLTSIHTIEELDFIISQLGYEPNDELWIGLNDIKIQMYFEWSDGTPV
+TFTKWLRGEPSHENNRQEDCVVMKGKDGYWADRGCEWPLGYICKM
+>P22897_PF00059_523 <unknown description>
+STFAEANQTCNNENAYLTTIEDRYEQAFLTSFVGLRPEKYFWTGLSDIQTKGTFQWTIEE
+EVRFTHWNSDMPGRKPGCVAMRTGIAGGLWDVLKCDEKAKFVCK
+>P22897_PF00059_669 <unknown description>
+EKKTWFESRDFCRALGGDLASINNKEEQQTIWRLITASGSYHKLFWLGLTYGSPSEGFTW
+SDGSPVSYENWAYGEPNNYQNVEYCGELKGDPTMSWNDINCEHLNNWICQ
+>P22897_PF00059_818 <unknown description>
+KETMDNARAFCKRNFGDLVSIQSESEKKFLWKYVNRNDAQSAYFIGLLISLDKKFAWMDG
+SKVDYVSWATGEPNFANEDENCVTMYSNSGFWNDINCGYPNAFICQR
+>P22897_PF00059_967 <unknown description>
+RKNWQEARKACIGFGGNLVSIQNEKEQAFLTYHMKDSTFSAWTGLNDVNSEHTFLWTDGR
+GVHYTNWGKGYPGGRRSSLSYEDADCVVIIGGASNEAGKWMDDTCDSKRGYICQT
+>P22897_PF00059_1115 <unknown description>
+QWHEAETYCKLHNSLIASILDPYSNAFAWLQMETSNERVWIALNSNLTDNQYTWTDKWRV
+RYTNWAADEPKLKSACVYLDLDGYWKTAHCNESFYFLCKR
+>P22897_PF00059_1254 <unknown description>
+RNWGQASLECLRMGSSLVSIESAAESSFLSYRVEPLKSKTNFWIGLFRNVEGTWLWINNS
+PVSFVNWNTGDPSGERNDCVALHASSGFWSNIHCSSYKGYICKR
+>Q9UBG0_PF00040_187 <unknown description>
+CTIPFKYDNQWFHGCTSTGREDGHLWCATTQDYGKDERWGFC
+>Q9UBG0_PF00059_256 <unknown description>
+TLSWREAWASCEQQGADLLSITEIHEQTYINGLLTGYSSTLWIGLNDLDTSGGWQWSDNS
+PLKYLNWESDQPDNPSEENCGVIRTESSGGWQNRDCSIALPYVCKK
+>Q9UBG0_PF00059_400 <unknown description>
+KRSWQESKKACLRGGGDLVSIHSMAELEFITKQIKQEVEELWIGLNDLKLQMNFEWSDGS
+LVSFTHWHPFEPNNFRDSLEDCVTIWGPEGRWNDSPCNQSLPSICKK
+>Q9UBG0_PF00059_539 <unknown description>
+QVTYSEARRLCTDHGSQLVTITNRFEQAFVSSLIYNWEGEYFWTALQDLNSTGSFFWLSG
+DEVMYTHWNRDQPGYSRGGCVALATGSAMGLWEVKNCTSFRARYICR
+>Q9UBG0_PF00059_694 <unknown description>
+KKSWVQAQGACQELGAQLLSLASYEEEHFVANMLNKIFGESEPEIHEQHWFWIGLNRRDP
+RGGQSWRWSDGVGFSYHNFDRSRHDDDDIRGCAVLDLASLQWVAMQCDTQLDWICK
+>Q9UBG0_PF00059_844 <unknown description>
+STWAQAQRICTWFQAELTSVHSQAELDFLSHNLQKFSRAQEQHWWIGLHTSESDGRFRWT
+DGSIINFISWAPGKPRPVGKDKKCVYMTASREDWGDQRCLTALPYICKR
+>Q9UBG0_PF00059_995 <unknown description>
+VKWSEAQFSCEQQEAQLVTITNPLEQAFITASLPNVTFDLWIGLHASQRDFQWVEQEPLM
+YANWAPGEPSGPSPAPSGNKPTSCAVVLHSPSAHFTGRWDDRSCTEETHGFICQK
+>Q9UBG0_PF00059_1144 <unknown description>
+LRWHDALLLCESRNASLAYVPDPYTQAFLTQAARGLRTPLWIGLAGEEGSRRYSWVSEEP
+LNYVGWQDGEPQQPGGCTYVDVDGAWRTTSCDTKLQGAVC
+>Q9UBG0_PF00059_1288 <unknown description>
+HKEARQRCQRAGGAVLSILDEMENVFVWEHLQSYEGQSRGAWLGMNFNPKGGTLVWQDNT
+AVNYSNWGPPGLGPSMLSHNSCYWIQSNSGLWRPGACTNITMGVVCK
+>Q5VT25_PF00069_77 <unknown description>
+FEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETACFREERDVLVNGDNKW
+ITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEDMARFYLAEMVIAIDSVHQLH
+YVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGTPDYISPEILQAMEDGK
+GRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKERFQFPAQVTDVSENAKD
+LIRRLICSREHRLGQNGIEDFKKHPFF
+>Q5VT25_PF00433_362 <unknown description>
+VSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTY
+>Q5VT25_PF15796_529 <unknown description>
+QIKAYEKQIKTLQQEREDLNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTE
+LHTQKQKLARHVRDKEEEVD
+>Q5VT25_PF08826_881 <unknown description>
+ELQSALDAEIRAKQAIQEELNKVKASNIITECKLKDSEKKNLELLSEIEQLIKDTEELRS
+E
+>Q5VT25_PF00130_1035 <unknown description>
+HQFFVKSFTTPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTTCP
+>Q5VT25_PF00780_1260 <unknown description>
+HERIALGNEEGLFVVHVTKDEIIRVGDNKKIHQIELIPNDQLVAVISGRNRHVRLFPMSA
+LDGRETDFYKLSETKGCQTVTSGKVRHGALTCLCVAMKRQVLCYELFQSKTRHRKFKEIQ
+VPYNVQWMAIFSEQLCVGFQSGFLRYPLNGEGNPYSMLHSNDHTLSFIAHQPMDAICAVE
+ISSKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSVYSENAVDIFDVNS
+MEWIQTLPLKKVRPLNN
+>Q9Y5S2_PF00069_76 <unknown description>
+FEIIKVIGRGAFGEVAVVKMKNTERIYAMKILNKWEMLKRAETACFREERDVLVNGDCQW
+ITALHYAFQDENHLYLVMDYYVGGDLLTLLSKFEDKLPEDMARFYIGEMVLAIDSIHQLH
+YVHRDIKPDNVLLDVNGHIRLADFGSCLKMNDDGTVQSSVAVGTPDYISPEILQAMEDGM
+GKYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHEERFQFPSHVTDVSEEAKD
+LIQRLICSRERRLGQNGIEDFKKHAFF
+>Q9Y5S2_PF15796_527 <unknown description>
+RLRGLEKQHRVVRQEKEELHKQLVEASERLKSQAKELKDAHQQRKLALQEFSELNERMAE
+LRAQKQKVSRQLRDKEEEME
+>Q9Y5S2_PF08826_878 <unknown description>
+ELQSALEAEIRAKQLVQEELRKVKDANLTLESKLKDSEAKNRELLEEMEILKKKMEEKFR
+AD
+>Q9Y5S2_PF00130_1026 <unknown description>
+HQFSIKSFSSPTQCSHCTSLMVGLIRQGYACEVCSFACHVSCKDGAPQVCP
+>Q9Y5S2_PF00780_1252 <unknown description>
+DRIAVGLEEGLYVIEVTRDVIVRAADCKKVHQIELAPREKIVILLCGRNHHVHLYPWSSL
+DGAEGSFDIKLPETKGCQLMATATLKRNSGTCLFVAVKRLILCYEIQRTKPFHRKFNEIV
+APGSVQCLAVLRDRLCVGYPSGFCLLSIQGDGQPLNLVNPNDPSLAFLSQQSFDALCAVE
+LESEEYLLCFSHMGLYVDPQGRRARAQELMWPAAPVACSCSPTHVTVYSEYGVDVFDVRT
+MEWVQTIGLRRIRPLNSE
+>Q6DT37_PF00069_71 <unknown description>
+FEILKVIGRGAFGEVTVVRQRDTGQIFAMKMLHKWEMLKRAETACFREERDVLVKGDSRW
+VTTLHYAFQDEEYLYLVMDYYAGGDLLTLLSRFEDRLPPELAQFYLAEMVLAIHSLHQLG
+YVHRDVKPDNVLLDVNGHIRLADFGSCLRLNTNGMVDSSVAVGTPDYISPEILQAMEEGK
+GHYGPQCDWWSLGVCAYELLFGETPFYAESLVETYGKIMNHEDHLQFPPDVPDVPASAQD
+LIRQLLCRQEERLGRGGLDDFRNHPFF
+>Q6DT37_PF08826_744 <unknown description>
+ELQSALEAEIRAKQGLQERLTQVQEAQLQAERRLQEAEKQSQALQQELAMLREELRA
+>Q6DT37_PF00130_879 <unknown description>
+HTLRPRSFPSPTKCLRCTSLMLGLGRQGLGCDACGYFCHTTCAPQAPP
+>Q6DT37_PF00780_1103 <unknown description>
+QDRLALGTEEGLFVIHLRSNDIFQVGECRRVQQLTLSPSAGLLVVLCGRGPSVRLFALAE
+LENIEVAGAKIPESRGCQVLAAGSILQARTPVLCVAVKRQVLCYQLGPGPGPWQRRIREL
+QAPATVQSLGLLGDRLCVGAAGGFALYPLLNEAAPLALGAGLVPEELPPSRGGLGEALGA
+VELSLSEFLLLFTTAGIYVDGAGRKSRGHELLWPAAPMGWGYAAPYLTVFSENSIDVFDV
+RRAEWVQTVPLKKVRPLN
+>P49959_PF00149_13 <unknown description>
+FKILVATDIHLGFMEKDAVRGNDTFVTLDEILRLAQENEVDFILLGGDLFHENKPSRKTL
+HTCLELLRKYCMGDRPVQFEILSDQSVNFGFSKFPWVNYQDGNLNISIPVFSIHGNHDDP
+TGADALCALDILSCAGFVNHFGRSMSVEKIDISPVLLQKGSTKIALYGLGSIPDERLYRM
+FVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGSTNFIPEQFLDDFIDLVIWGHEHEC
+>P49959_PF04152_294 <unknown description>
+MNMHKIPLHTVRQFFMEDIVLANHPDIFNPDNPKVTQAIQSFCLEKIEEMLENAERERLG
+NSHQPEKPLVRLRVDYSGGFEPFSVLRFSQKFVDRVANPKDIIHFFRHREQKEKTGEEIN
+FGKLITKPSEGTTLRVEDLVKQYFQTAEKNVQLSLLTERGMGEAVQEF
+>Q8N565_PF15812_46 <unknown description>
+RDDEKNLWSMPHDVSHTEADDDRTLYNLIVIRNQQAKDSEEWQKLNYDIHTLRQVRREVR
+NRWKCILEDLGFQKEADSLLSVTKLSTISDSKNTRKAREMLLKLAEETNIFPTSWELSER
+YLFVVDRLIALDAAEEFFKLARRTYPK
+>Q96LU7_PF05224_258 <unknown description>
+FVCQKKNHFQITIHIQVWGSPKFVETEMGLKPIEMFYLKVFGTKVEATNQIIAIEQSQAD
+RSKKIFNPVKIDLLADQVTKVTLGRLHFSETTANNMRKKGKPNPDQRYFMLVVGLYAANQ
+DQFYLLSAHISERIIVRASNPGQFEN
+>Q96LU7_PF13884_451 <unknown description>
+SDSRAKQNIQEVDTNEQLKRIAQMRIVEYDYKPEFASAMGINTAHQTGMIAQEVQEILP
+>Q96LU7_PF13887_530 <unknown description>
+LMVDKDQIFMENVGAVKQLCKLTNNLEERIEELEIW
+>Q96LU7_PF13888_768 <unknown description>
+ISSIQIMEIQQIIDHQYCIQSLQCGSGNYNYNIPVNKHTPTNVKFSLEINTTEPLIVFQC
+KFTLGNICFHSKRGTKGLESHREISQEMTQGYQHIWSLPVAPFSDSMFHFRVAAPDLADC
+STDPYFAGIFFTDYFFYFYRRC
+>Q9NV56_PF07904_36 <unknown description>
+EVCLFHAMLGHKPVGVNRHFHMICIRDKFSQNIGRQVPSKVIWDHLSTMYDMQALHESEI
+>Q8TDS7_PF00001_44 <unknown description>
+GNSMVIWLLGFRMHRNPFCIYILNLAAADLLFLFSMASTLSLETQPLVNTTDKVHELMKR
+LMYFAYTVGLSLLTAISTQRCLSVLFPIWFKCHRPRHLSAWVCGLLWTLCLLMNGLTSSF
+CSKFLKFNEDRCFRVDMVQAALIMGVLTPVMTLSSLTLFVWVRRSSQQWRRQPTRLFVVV
+LASVLVFLICSLPLSIYWFVLYWLSLPPEMQVLCFSLSRLSSSVSSSANPVIY
+>Q86SM8_PF00001_41 <unknown description>
+GNGAVLWLLSSNVYRNPFAIYLLDVACADLIFLGCHMVAIVPDLLQGRLDFPGFVQTSLA
+TLRFFCYIVGLSLLAAVSVEQCLAALFPAWYSCRRPRHLTTCVCALTWALCLLLHLLLSG
+ACTQFFGEPSRHLCRTLWLVAAVLLALLCCTMCGASLMLL
+>Q96LB2_PF00001_44 <unknown description>
+GNAVVLWLLGCRMRRNAFSIYILNLAAADFLFLSGRLIYSLLSFISIPHTISKILYPVMM
+FSYFAGLSFLSAVSTERCLSVLWPIWYRCHRPTHLSAVVCVLLWALSLLRSILEWMLCGF
+LFSGADSAWCQTSDFITVAWLIFLCVVLCGSSLVLLIRILCGSRKIPLTRLYVTILLTVL
+VFLLCGLPFGIQFFLFLWIHVDREVLFCHVHLVSIFLSALNSSANPIIY
+>Q96LB1_PF00001_47 <unknown description>
+GNGFVLWLLGFRMRRNAFSVYVLSLAGADFLFLCFQIINCLVYLSNFFCSISINFPSFFT
+TVMTCAYLAGLSMLSTVSTERCLSVLWPIWYRCRRPRHLSAVVCVLLWALSLLLSILEGK
+FCGFLFSDGDSGWCQTFDFITAAWLIFLFMVLCGSSLALLVRILCGSRGLPLTRLYLTIL
+LTVLVFLLCGLPFGIQWFLILWIWKDSDVLFCHIHPVSVVLSSLNSSANPIIY
+>Q96LB0_PF00001_44 <unknown description>
+GNAVVLWLLGCRMRRNAVSIYILNLVAADFLFLSGHIICSPLRLINIRHPISKILSPVMT
+FPYFIGLSMLSAISTERCLSILWPIWYHCRRPRYLSSVMCVLLWALSLLRSILEWMFCDF
+LFSGANSVWCETSDFITIAWLVFLCVVLCGSSLVLLVRILCGSRKMPLTRLYVTILLTVL
+VFLLCGLPFGIQWALFSRIHLDWKVLFCHVHLVSIFLSALNSSANPIIY
+>Q96LA9_PF00001_44 <unknown description>
+GNAVVLWLLGYRMRRNAVSIYILNLAAADFLFLSFQIIRLPLRLINISHLIRKILVSVMT
+FPYFTGLSMLSAISTERCLSVLWPIWYRCRRPTHLSAVVCVLLWGLSLLFSMLEWRFCDF
+LFSGADSSWCETSDFIPVAWLIFLCVVLCVSSLVLLVRILCGSRKMPLTRLYVTILLTVL
+VFLLCGLPFGILGALIYRMHLNLEVLYCHVYLVCMSLSSLNSSANPIIY
+>Q6IN84_PF08032_51 <unknown description>
+LLFGMTPCLLALQAARRSVARLLLQAGKAGLQGKRAELLRMAEARDIPVLRPRRQKLDTM
+CRYQVHQGVCMEVSPLRP
+>Q6IN84_PF00588_146 <unknown description>
+LWLVLDGIQDPRNFGAVLRSAHFLGVDKVITSRRNSCPLTPVVSKSSAGAMEVMDVFSTD
+DLTGFLQTKAQQGWLVAGTVGCPSTEDPQSSEIPIMSCLEFLWERPTLLVLGNEGSGLSQ
+EVQASCQLLLTILPRRQLPPGLESLNVSVAAGILLH
+>Q9UI43_PF01728_52 <unknown description>
+YRCRSAFKLLEVNERHQILRPGLRVLDCGAAPGAWSQVAVQKVNAAGTDPSSPVGFVLGV
+DLLHIFPLEGATFLCPADVTDPRTSQRILEVLPGRRADVILSDMAPNATGFRDLDHDRLI
+SLCLTLLSVTPDILQPGGTFLCKTWAGSQSRRLQRRLTEEFQNVRIIKPEASRKESSEVY
+FLATQ
+>Q9HC36_PF00588_210 <unknown description>
+LLLICDNLRDPGNLGTILRSAAGAGCSKVLLTKGCVDAWEPKVLRAGMGAHFRMPIINNL
+EWETVPNYLPPDTRVYVADNCGLYAQAEMSNKASDHGWVCDQRVMKFHKYEEEEDVETGA
+SQDWLPHVEVQSYDSDWTEAPAAVVIGGETYGVSLESLQLAESTGGKRLLIPVVPGVDSL
+NSAMAASILLF
+>Q6NTE8_PF15749_9 <unknown description>
+VLRCCSCRLFQAHQVKKSVKWTCKACGEKQSFLQAYGEGSGADCRRHVQKLNLLQGQVSE
+LPLRSLEETVSASEEENVGHQQAGNVKQQEKSQPSESRWLKY
+>Q8NDA8_PF02985_1612 <unknown description>
+IAALQILLKDPAPEVRTRAAEALGRLVK
+>P33527_PF00664_326 <unknown description>
+LMSFFFKAIHDLMMFSGPQILKLLIKFVNDTKAPDWQGYFYTVLLFVTACLQTLVLHQYF
+HICFVSGMRIKTAVIGAVYRKALVITNSARKSSTVGEIVNLMSVDAQRFMDLATYINMIW
+SAPLQVILALYLLWLNLGPSVLAGVAVMVLMVPVNAVMAMKTKTYQVAHMKSKDNRIKLM
+NEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLKKSAYLSAVGTFTWVCTPFLVALCTF
+AVYVTIDENNILDAQTAFVSLALFNILRFPL
+>P33527_PF00005_661 <unknown description>
+LNGITFSIPEGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVAIKGSVAYVPQQAWIQND
+SLRENILFGCQLEEPYYRSVIQACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLAR
+AVYSNADIYLFDDPL
+>P33527_PF00664_976 <unknown description>
+FLSIFLFMCNHVSALASNYWLSLWTDDPIVNGTQEHTKVRLSVYGALGISQGIAVFGYSM
+AVSIGGILASRCLHVDLLHSILRSPMSFFERTPSGNLVNRFSKELDTVDSMIPEVIKMFM
+GSLFNVIGACIVILLATPIAAIIIPPLGLIYFFVQRFYVASSRQLKRLESVSRSPVYSHF
+NETLLGVSVIRAFEEQERFIHQSDLKVDENQKAYYPSIVANRWLAVRLECVGNCIVLFAA
+LFAVISRHSLSAGLVGLSVSYSLQVTT
+>P33527_PF00005_1310 <unknown description>
+LRHINVTINGGEKVGIVGRTGAGKSSLTLGLFRINESAEGEIIIDGINIAKIGLHDLRFK
+ITIIPQDPVLFSGSLRMNLDPFSQYSDEEVWTSLELAHLKDFVSALPDKLDHECAEGGEN
+LSVGQRQLVCLARALLRKTKILVLDEATA
+>Q92887_PF00664_324 <unknown description>
+KSFLLKLVNDIFTFVSPQLLKLLISFASDRDTYLWIGYLCAILLFTAALIQSFCLQCYFQ
+LCFKLGVKVRTAIMASVYKKALTLSNLARKEYTVGETVNLMSVDAQKLMDVTNFMHMLWS
+SVLQIVLSIFFLWRELGPSVLAGVGVMVLVIPINAILSTKSKTIQVKNMKNKDKRLKIMN
+EILSGIKILKYFAWEPSFRDQVQNLRKKELKNLLAFSQLQCVVIFVFQLTPVLVSVVTFS
+VYVLVDSNNILDAQKAFTSITLFNILRFPL
+>Q92887_PF00005_655 <unknown description>
+RDVNLDIMAGQLVAVIGPVGSGKSSLISAMLGEMENVHGHITIKGTTAYVPQQSWIQNGT
+IKDNILFGTEFNEKRYQQVLEACALLPDLEMLPGGDLAEIGEKGINLSGGQKQRISLARA
+TYQNLDIYLLDDPL
+>Q92887_PF00664_979 <unknown description>
+IFFIILAFVMNSVAFIGSNLWLSAWTSDSKIFNSTDYPASQRDMRVGVYGALGLAQGIFV
+FIAHFWSAFGFVHASNILHKQLLNNILRAPMRFFDTTPTGRIVNRFAGDISTVDDTLPQS
+LRSWITCFLGIISTLVMICMATPVFTIIVIPLGIIYVSVQMFYVSTSRQLRRLDSVTRSP
+IYSHFSETVSGLPVIRAFEHQQRFLKHNEVRIDTNQKCVFSWITSNRWLAIRLELVGNLT
+VFFSALMMVIY
+>Q92887_PF00005_1318 <unknown description>
+RGITCDIGSMEKIGVVGRTGAGKSSLTNCLFRILEAAGGQIIIDGVDIASIGLHDLREKL
+TIIPQDPILFSGSLRMNLDPFNNYSDEEIWKALELAHLKSFVASLQLGLSHEVTEAGGNL
+SIGQRQLLCLGRALLRKSKILVLDEATA
+>O15438_PF00664_312 <unknown description>
+LISACFKLIQDLLSFINPQLLSILIRFISNPMAPSWWGFLVAGLMFLCSMMQSLILQHYY
+HYIFVTGVKFRTGIMGVIYRKALVITNSVKRASTVGEIVNLMSVDAQRFMDLAPFLNLLW
+SAPLQIILAIYFLWQNLGPSVLAGVAFMVLLIPLNGAVAVKMRAFQVKQMKLKDSRIKLM
+SEILNGIKVLKLYAWEPSFLKQVEGIRQGELQLLRTAAYLHTTTTFTWMCSPFLVTLITL
+WVYVYVDPNNVLDAEKAFVSVSLFNILRLP
+>O15438_PF00005_644 <unknown description>
+LHSLDIQVPKGALVAVVGPVGCGKSSLVSALLGEMEKLEGKVHMKGSVAYVPQQAWIQNC
+TLQENVLFGKALNPKRYQQTLEACALLADLEMLPGGDQTEIGEKGINLSGGQRQRVSLAR
+AVYSDADIFLLDDPL
+>O15438_PF00664_972 <unknown description>
+LAICLLYVGQSAAAIGANVWLSAWTNDAMADSRQNNTSLRLGVYAALGILQGFLVMLAAM
+AMAAGGIQAARVLHQALLHNKIRSPQSFFDTTPSGRILNCFSKDIYVVDEVLAPVILMLL
+NSFFNAISTLVVIMASTPLFTVVILPLAVLYTLVQRFYAATSRQLKRLESVSRSPIYSHF
+SETVTGASVIRAYNRSRDFEIISDTKVDANQRSCYPYIISNRWLSIGVEFVGNCVVLFAA
+LFAVIGRSSLNPGLVGLSVSYSLQVTFA
+>O15438_PF00005_1306 <unknown description>
+LRDLSLHVHGGEKVGIVGRTGAGKSSMTLCLFRILEAAKGEIRIDGLNVADIGLHDLRSQ
+LTIIPQDPILFSGTLRMNLDPFGSYSEEDIWWALELSHLHTFVSSQPAGLDFQCSEGGEN
+LSVGQRQLVCLARALLRKSRILVLDEATA
+>O15439_PF00664_94 <unknown description>
+VLGIFTLIEESAKVIQPIFLGKIINYFENYDPMDSVALNTAYAYATVLTFCTLILAILHH
+LYFYHVQCAGMRLRVAMCHMIYRKALRLSNMAMGKTTTGQIVNLLSNDVNKFDQVTVFLH
+FLWAGPLQAIAVTALLWMEIGISCLAGMAVLIILLPLQSCFGKLFSSLRSKTATFTDARI
+RTMNEVITGIRIIKMYAWEKSFSNLITNLRKKEISKILRSSCLRGMNLASFFSASKIIVF
+VTFTTYVLLGSVITASRVFVAVTLY
+>O15439_PF00005_428 <unknown description>
+LQGLSFTVRPGELLAVVGPVGAGKSSLLSAVLGELAPSHGLVSVHGRIAYVSQQPWVFSG
+TLRSNILFGKKYEKERYEKVIKACALKKDLQLLEDGDLTVIGDRGTTLSGGQKARVNLAR
+AVYQDADIYLLDDPL
+>O15439_PF00664_715 <unknown description>
+IFLILLNTAAQVAYVLQDWWLSYWANKQSMLNVTVNGGGNVTEKLDLNWYLGIYSGLTVA
+TVLFGIARSLLVFYVLVNSSQTLHNKMFESILKAPVLFFDRNPIGRILNRFSKDIGHLDD
+LLPLTFLDFIQTLLQVVGVVSVAVAVIPWIAIPLVPLGIIFIFLRRYFLETSRDVKRLES
+TTRSPVFSHLSSSLQGLWTIRAYKAEERCQELFDAHQDLHSEAWFLFLTTSRWFAVRLDA
+ICAMFVIIVAFGSLILAKTLDAGQVGLALSYALTLMG
+>O15439_PF00005_1058 <unknown description>
+LKHLTALIKSQEKVGIVGRTGAGKSSLISALFRLSEPEGKIWIDKILTTEIGLHDLRKKM
+SIIPQEPVLFTGTMRKNLDPFNEHTDEELWNALQEVQLKETIEDLPGKMDTELAESGSNF
+SVGQRQLVCLARAILRKNQILIIDEATA
+>O15440_PF00664_179 <unknown description>
+LILSIVCLMITQLAGFSGPAFMVKHLLEYTQATESNLQYSLLLVLGLLLTEIVRSWSLAL
+TWALNYRTGVRLRGAILTMAFKKILKLKNIKEKSLGELINICSNDGQRMFEAAAVGSLLA
+GGPVVAILGMIYNVIILGPTGFLGSAVFILFYPAMMFASRLTAYFRRKCVAATDERVQKM
+NEVLTYIKFIKMYAWVKAFSQSVQKIREEERRILEKAGYFQSITVGVAPIVVVIASVVTF
+SVHMTLGFDLTAAQAFTVVTVFNSMTFA
+>O15440_PF00005_578 <unknown description>
+LHSIDLEIQEGKLVGICGSVGSGKTSLISAILGQMTLLEGSIAISGTFAYVAQQAWILNA
+TLRDNILFGKEYDEERYNSVLNSCCLRPDLAILPSSDLTEIGERGANLSGGQRQRISLAR
+ALYSDRSIYILDDPL
+>O15440_PF00664_860 <unknown description>
+LVIMALFMLNVGSTAFSTWWLSYWIKQGSGNTTVTRGNETSVSDSMKDNPHMQYYASIYA
+LSMAVMLILKAIRGVVFVKGTLRASSRLHDELFRRILRSPMKFFDTTPTGRILNRFSKDM
+DEVDVRLPFQAEMFIQNVILVFFCVGMIAGVFPWFLVAVGPLVILFSVLHIVSRVLIREL
+KRLDNITQSPFLSHITSSIQGLATIHAYNKGQEFLHRYQELLDDNQAPFFLFTCAMRWLA
+VRLDLISIALITTTGLMIVLMHGQIPPAYAGLAISYAVQLTG
+>O15440_PF00005_1210 <unknown description>
+LKKVSFTIKPKEKIGIVGRTGSGKSSLGMALFRLVELSGGCIKIDGVRISDIGLADLRSK
+LSIIPQEPVLFSGTVRSNLDPFNQYTEDQIWDALERTHMKECIAQLPLKLESEVMENGDN
+FSVGERQLLCIARALLRHCKILILDEATA
+>O95255_PF00664_312 <unknown description>
+LLGTLSLIISDVFRFTVPKLLSLFLEFIGDPKPPAWKGYLLAVLMFLSACLQTLFEQQNM
+YRLKVLQMRLRSAITGLVYRKVLALSSGSRKASAVGDVVNLVSVDVQRLTESVLYLNGLW
+LPLVWIVVCFVYLWQLLGPSALTAIAVFLSLLPLNFFISKKRNHHQEEQMRQKDSRARLT
+SSILRNSKTIKFHGWEGAFLDRVLGIRGQELGALRTSGLLFSVSLVSFQVSTFLVALVVF
+AVHTLVAENAMNAEKAFVTLTVLNILN
+>O95255_PF00005_646 <unknown description>
+LHRINLTVPQGCLLAVVGPVGAGKSSLLSALLGELSKVEGFVSIEGAVAYVPQEAWVQNT
+SVVENVCFGQELDPPWLERVLEACALQPDVDSFPEGIHTSIGEQGMNLSGGQKQRLSLAR
+AVYRKAAVYLLDDPL
+>O95255_PF00664_948 <unknown description>
+LYALFLFLCQQVASFCRGYWLSLWADDPAVGGQQTQAALRGGIFGLLGCLQAIGLFASMA
+AVLLGGARASRLLFQRLLWDVVRSPISFFERTPIGHLLNRFSKETDTVDVDIPDKLRSLL
+MYAFGLLEVSLVVAVATPLATVAILPLFLLYAGFQSLYVVSSCQLRRLESASYSSVCSHM
+AETFQGSTVVRAFRTQAPFVAQNNARVDESQRISFPRLVADRWLAANVELLGNGLVFAAA
+TCAVLSKAHLSAGLVGFSVSAALQVTQ
+>O95255_PF00005_1283 <unknown description>
+QGVSFKIHAGEKVGIVGRTGAGKSSLASGLLRLQEAAEGGIWIDGVPIAHVGLHTLRSRI
+SIIPQDPILFPGSLRMNLDLLQEHSDEAIWAALETVQLKALVASLPGQLQYKCADRGEDL
+SVGQKQLLCLARALLRKTQILILDEATA
+>Q5T3U5_PF00664_288 <unknown description>
+LGLLKLVGTMLGFSGPLLLSLLVGFLEEGQEPLSHGLLYALGLAGGAVLGAVLQNQYGYE
+VYKVTLQARGAVLNILYCKALQLGPSRPPTGEALNLLGTDSERLLNFAGSFHEAWGLPLQ
+LAITLYLLYQQVGVAFVGGLILALLLVPVNKVIATRIMASNQEMLQHKDARVKLVTELLS
+GIRVIKFCGWEQALGARVEACRARELGRLRVIKYLDAACVYLWAALPVVISIVIFITYVL
+MGHQLTATKVFTALALVRMLILP
+>Q5T3U5_PF00005_620 <unknown description>
+HLEVKKGMLVGIVGKVGCGKSSLLAAIAGELHRLRGHVAVRGLSKGFGLATQEPWIQFAT
+IRDNILFGKTFDAQLYKEVLEACALNDDLSILPAGDQTEVGEKGVTLSGGQRARIALARA
+VYQEKELYLLDDPL
+>Q5T3U5_PF00664_887 <unknown description>
+AILFSLLLMQATRNAADWWLSHWISQLKAENSSQEAQPSTSPASMGLFSPQLLLFSPGNL
+YIPVFPLPKAAPNGSSDIRFYLTVYATIAGVNSLCTLLRAVLFAAGTLQAAATLHRRLLH
+RVLMAPVTFFNATPTGRILNRFSSDVACADDSLPFILNILLANAAGLLGLLAVLGSGLPW
+LLLLLPPLSIMYYHVQRHYRASSRELRRLGSLTLSPLYSHLADTLAGLSVLRATGATYRF
+EEENLRLLELNQRCQFATSATMQWLDIRLQLMGAAVVSAIAGIALVQHQQGLANPGLVGL
+SLSYALSLTG
+>Q5T3U5_PF00005_1263 <unknown description>
+LDGVTFCVQPGEKLGIVGRTGSGKSSLLLVLFRLLEPSSGRVLLDGVDTSQLELAQLRSQ
+LAIIPQEPFLFSGTVRENLDPQGLHKDRALWQALKQCHLSEVITSMGGLDGELGEGGRSL
+SLGQRQLLCLARALLTDAKILCIDEATA
+>Q96J66_PF00664_218 <unknown description>
+LSFSSSWIINQRTAIRFRAAVSSFAFEKLIQFKSVIHITSGEAISFFTGDVNYLFEGVCY
+GPLVLITCASLVICSISSYFIIGYTAFIAILCYLLVFPLAVFMTRMAVKAQHHTSEVSDQ
+RIRVTSEVLTCIKLIKMYTWEKPFAKIIEDLRRKERKLLEKCGLVQSLTSITLFIIPTVA
+TAVWVLIHTSLKLKLTASMAFSMLASLNLL
+>Q96J66_PF00005_527 <unknown description>
+LHKINLVVSKGMMLGVCGNTGSGKSSLLSAILEEMHLLEGSVGVQGSLAYVPQQAWIVSG
+NIRENILMGGAYDKARYLQVLHCCSLNRDLELLPFGDMTEIGERGLNLSGGQKQRISLAR
+AVYSDRQIYLLDDPL
+>Q96J66_PF00664_807 <unknown description>
+CIIFFFVVLIVFLTIFSFWWLSYWLEQGSGTNSSRESNGTMADLGNIADNPQLSFYQLVY
+GLNALLLICVGVCSSGIFTKVTRKASTALHNKLFNKVFRCPMSFFDTIPIGRLLNCFAGD
+LEQLDQLLPIFSEQFLVLSLMVIAVLLIVSVLSPYILLMGAIIMVICFIYYMMFKKAIGV
+FKRLENYSRSPLFSHILNSLQGLSSIHVYGKTEDFISQFKRLTDAQNNYLLLFLSSTRWM
+ALRLEIMTNLVTLAVALFVAFGISSTPYSFKVMAVNIVLQ
+>Q96J66_PF00005_1158 <unknown description>
+LHGINLTIRGHEVVGIVGRTGSGKSSLGMALFRLVEPMAGRILIDGVDICSIGLEDLRSK
+LSVIPQDPVLLSGTIRFNLDPFDRHTDQQIWDALERTFLTKAISKFPKKLHTDVVENGGN
+FSVGERQLLCIARAVLRNSKIILIDEATA
+>Q96J65_PF00664_155 <unknown description>
+TSGKVWVGIGLCIALFATEFTKVFFWALAWAINYRTAIRLKVALSTLVFENLVSFKTLTH
+ISVGEVLNILSSDSYSLFEAALFCPLPATIPILMVFCAAYAFFILGPTALIGISVYVIFI
+PVQMFMAKLNSAFRRSAILVTDKRVQTMNEFLTCIRLIKMYAWEKSFTNTIQDIRRRERK
+LLEKAGFVQSGNSALAPIVSTIAIVLTLSCHILLRRKLTAPVAFSVIAMFNVM
+>Q96J65_PF00005_496 <unknown description>
+LHSISFVVRKGKILGICGNVGSGKSSLLAALLGQMQLQKGVVAVNGTLAYVSQQAWIFHG
+NVRENILFGEKYDHQRYQHTVRVCGLQKDLSNLPYGDLTEIGERGLNLSGGQRQRISLAR
+AVYSDRQLYLLDDPL
+>Q96J65_PF00664_798 <unknown description>
+TVFLFLLMIGSAAFSNWWLGLWLDKGSRMTCGPQGNRTMCEVGAVLADIGQHVYQWVYTA
+SMVFMLVFGVTKGFVFTKTTLMASSSLHDTVFDKILKSPMSFFDTTPTGRLMNRFSKDMD
+ELDVRLPFHAENFLQQFFMVVFILVILAAVFPAVLLVVASLAVGFFILLRIFHRGVQELK
+KVENVSRSPWFTHITSSMQGLGIIHAYGKKESCIT
+>Q96J65_PF00005_1137 <unknown description>
+LDSLNLNIQSGQTVGIVGRTGSGKSSLGMALFRLVEPASGTIFIDEVDICILSLEDLRTK
+LTVIPQDPVLFVGTVRYNLDPFESHTDEMLWQVLERTFMRDTIMKLPEKLQAEVTENGEN
+FSVGERQLLCVARALLRNSKIILLDEATA
+>O15091_PF16953_342 <unknown description>
+VRKSGQCSGCGKTIESIQLSPEEYECLKGKIMRDVIDGGDQYRKTTPQELKRFENFIKSR
+PPFDVVIDGLNVAKMFPKVRESQLLLNVVSQLAKRNLRLLVLGRKHMLRRSSQWSRDEME
+EVQKQASCFFADDISEDDPFLLYATLHSGNHCRFITRDLMRDHKACLPDAKTQRLFFKWQ
+QGHQLAIVNRFPGSKLTFQRILSYDTVVQTTGDSWHIPYDEDLVERCSCEVPTKWLC
+>P49006_PF02063_3 <unknown description>
+SQSSKAPRGDVTAEEAAGASPAKANGQENGHVKSNGDLSPK
+>P49006_PF02063_56 <unknown description>
+EAAGATGDAIEPAPPSQGAEAKGEVPPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGD
+SSASSPTEEEQEQGEIGACSDEGTAQEGKAAATPESQEPQAKGAEASAASEEEAGPQATE
+PSTPSGPESGPTPASAEQ
+>Q9UKD2_PF00466_21 <unknown description>
+ELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAWKHSRMFFGKNKVMMVALGRS
+PSDEYKDNLHQVSKRLRGEVGLLFTNRTKEEVNEWFTKY
+>Q9UKD2_PF17777_125 <unknown description>
+ARAGNKAAFTVSLDPGPLEQFPHSMEPQLRQLGLPTALKRGVVTLLSDYEVCKEGDVLTP
+EQARVLKLFG
+>Q9ULH7_PF02755_96 <unknown description>
+FLKHKIRSRPDRSELVRMHILEET
+>Q9ULH7_PF02755_141 <unknown description>
+LNEKIAQRPGPMELVEKNILPV
+>Q9ULH7_PF02037_401 <unknown description>
+DDLKVSELKTELKLRGLPVSGTKPDLIERLKPY
+>B2RBV5_PF15155_1 <unknown description>
+MRPVDADEAREPREEPGSPLSPAPRAGRENLASLERERARAHWRARRKLLEIQSLLDAIK
+SEVEAEERGARAPAPRPRAEAEERVARLCAEAERKAAEAARMGRRIVELHQRIAGCECC
+>Q9NYP9_PF03226_81 <unknown description>
+LVFLCSGCRRPLGDSLSWVASQEDTNCILLRCVSCNVSVDKEQKLSKREKENGCVLETLC
+CAGCSLNLGYVYRCTPKNLDYKRDLFCLSVEAIESYVLGSSEKQI
+>O43482_PF03226_77 <unknown description>
+VFQCAQCHAVLADSVHLAWDLSRSLGAVVFSRVTNNVVLEAPFLVGIEGSLKGSTYNLLF
+CGSCGIPVGFHLYSTHAALAALRGHFCLSSDKMVCYLLKTKAIVNAS
+>Q8N5Y2_PF11717_13 <unknown description>
+SGEKVLCFEPDPTKARVLYDAKIVDVIVGKDEKGRKIPEYLIHFNGWNRSWDRWAAEDH
+>Q8N5Y2_PF05712_154 <unknown description>
+EVKEEPELQTRREMEERTITIEIPEVLKKQLEDDCYYINRRKRLVKLPCQTNIITILESY
+VKHFAINAAFSANERPRHHHVMPHANMNVHYIPAEKNVDLCKEMVDGLRITFDYTLPLVL
+LYPYEQAQYKKVTSSKFFLPIKESATSTNRSQEELSPSPPLLNPSTPQSTESQPTTGEPA
+TPKRRKAEPEALQSLRRSTRHSANCDRLSESSASPQPKRRQQDTSASMPKLFLHLEKKTP
+VHSRSSSPIPLTPSKEGSAVFAGFEGRRTNEINEVLSWKLVPDNYPPGDQPPPPSYIYGA
+QHLLRLFVKLPEILGKMSFSEKNLKALLKHFDLFLRFLAEYHDDFFPESAYV
+>Q96HJ5_PF04103_50 <unknown description>
+VLGAIQILNAAMILALGVFLGSLQYPYHFQKHFFFFTFYTGYPIWGAVFFCSSGTLSVVA
+GIKPTRTWIQNSFGMNIASATIALVGTAFLSLNIAVNIQSLRSCHSSSESPDLCNYMGSI
+SNGMVSLLLILTLLELCVTISTIAMWC
+>Q9H3V2_PF04103_50 <unknown description>
+LGTIQILFGIMTFSFGVIFLFTLLKPYPRFPFIFLSGYPFWGSVLFINSGAFLIAVKRKT
+TETLIILSRIMNFLSALGAIAGIILLTFGFILDQNYICGYSHQNSQCKAVTVLFLGILIT
+LMTFSIIELFISLPFSILGC
+>Q9GZW8_PF04103_47 <unknown description>
+VLGTVQILCCLLISSLGAILVFAPYPSHFNPAISTTLMSGYPFLGALCFGITGSLSIISG
+KQSTKPFDLSSLTSNAVSSVTAGAGLFLLADSMVALRTASQHCGSEMDYLSSLPYSEYYY
+PIYEIKDCLLTSVSLTGVLVVMLIFTVLELLLAAYSSVFWW
+>Q6ZTZ1_PF13837_44 <unknown description>
+RNWTDAEMRGLMLVWEEFFDELKQTKRNAKVYEKMASKLFEMTGERRLGEEIKIKITNMT
+FQYRKLKCMTDSESAPPDWPYYLAID
+>Q6P1R3_PF13837_102 <unknown description>
+MSWTPAETNALIAVWGNERLVEARYQQLEGAGTVFGSKAPGPAMYERVSRALAELGYERT
+PSQCRERIKTLRRCYSRVKEHGVGKRKSSYTFEQLEQ
+>Q96H12_PF13873_10 <unknown description>
+AKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQPSVSLRDFKQ
+LKKCWENIKARTKKIMAH
+>Q8NCY6_PF13873_8 <unknown description>
+RKSNFSVQETQTLLKEITKRKEVIFSKQLNTTINVMKRMAWEEIAQCVNAVGEGEQRTGT
+EVKRRYLDWRALMKRKRM
+>A0A1W2PQ72_PF13837_11 <unknown description>
+RWSRQETRTLLSILGEAEYIQRLQTVHHNADVYQAVSKRMQQEGFRRTERQCRSKFKVLK
+ALYLKAYVAHATSMGEPPHCPFYDTLDQL
+>A6NI15_PF00010_125 <unknown description>
+RRRKASEREKLRMRTLADALHTLRNYLPPVYSQRGQPLTKIQTLKYTIKYIGEL
+>P43246_PF01624_18 <unknown description>
+GFVRFFQGMPEKPTTTVRLFDRGDFYTAHGEDALLAAREVFKTQGVIKYMGPAGAKNLQS
+VVLSKMNFESFVKDLLLVRQYRVEVYKNRAGNKASKENDWYLAYKASPGNLSQF
+>P43246_PF05188_156 <unknown description>
+DGQRQVGVGYVDSIQRKLGLCEFPDNDQFSNLEALLIQIGPKECVLPGGETAGDMGKLRQ
+IIQRGGILITERKKADFSTKDIYQDLNRLLKGKKGEQMNSAVLPEMENQVAVSSLSAVIK
+FLELLSDDSNFGQF
+>P43246_PF05192_306 <unknown description>
+AVRALNLFQGSVEDTTGSQSLAALLNKCKTPQGQRLVNQWIKQPLMDKNRIEERLNLVEA
+FVEDAELRQTLQEDLLRRFPDLNRLAKKFQRQAANLQDCYRLYQGINQLPNVIQALEKHE
+GKHQKLLLAVFVTPLTDLRSDFSKFQEMIETTLDMDQVENHEFLVKPSFDPNLSELREIM
+NDLEKKMQSTLISAARDLGLDPGKQIKLDSSAQFGYYFRVTCKEEKVLRNNKNFSTVDIQ
+KNGVKFTNSKLTSLNEEYTKNKTEYEEAQDAIVKEIVNISSGYVEPMQTLNDVLAQLDAV
+VSFA
+>P43246_PF05190_474 <unknown description>
+FDPNLSELREIMNDLEKKMQSTLISAARDLGLDPGKQIKLDSSAQFGYYFRVTCKEEKVL
+RNNKNFSTVDIQKNGVKFTNSKLTSLNEEYTKNKT
+>P43246_PF00488_666 <unknown description>
+IITGPNMGGKSTYIRQTGVIVLMAQIGCFVPCESAEVSIVDCILARVGAGDSQLKGVSTF
+MAEMLETASILRSATKDSLIIIDELGRGTSTYDGFGLAWAISEYIATKIGAFCMFATHFH
+ELTALANQIPTVNNLHVTALTTEETLTMLYQVKKGVCDQSFGIHVAELANFPKHVIECAK
+QKALELE
+>P20585_PF01624_230 <unknown description>
+TPLELQYIEMKQQHKDAVLCVECGYKYRFFGEDAEIAARELNIYCHLDHNFMTASIPTHR
+LFVHVRRLVAKGYKVGVVKQTETAALKAIGDNRSSLFSRKLTALYTKSTLIG
+>P20585_PF05188_366 <unknown description>
+SYLLCISENKENVRDKKKGNIFIGIVGVQPATGEVVFDSFQDSASRSELETRMSSLQPVE
+LLLPSALSEQTEALIHRATSVSVQDDRIRVERMDNIYFEYSHAFQAVTEFYAKDTVDIKG
+SQIISGIVNLEKPVICSLAAIIKYLKEFNLEKMLSKP
+>P20585_PF05192_540 <unknown description>
+TTLRNLEILQNQTDMKTKGSLLWVLDHTKTSFGRRKLKKWVTQPLLKLREINARLDAVSE
+VLHSESSVFGQIENHLRKLPDIERGLCSIYHKKCSTQEFFLIVKTLYHLKSEFQAIIPAV
+NSHIQSDLLRTVILEIPELLSPVEHYLKILNEQAAKVGDKTELFKDLSDFPLIKKRKDEI
+QGVIDEIRMHLQEIRKILKNPSAQYVTVSGQEFMIEIKNSAVSCIPTDWVKVGSTKAVSR
+FHSPFIVENYRHLNQLREQLVLDCSAEWLDFLEKFSEHYHSLCKAVHHLATVDCIFSLA
+>P20585_PF00488_892 <unknown description>
+MIITGPNMGGKSSYIKQVALITIMAQIGSYVPAEEATIGIVDGIFTRMGAADNIYKGQST
+FMEELTDTAEIIRKATSQSLVILDELGRGTSTHDGIAIAYATLEYFIRDVKSLTLFVTHY
+PPVCELEKNYSHQVGNYHMGFLVSEDESKLDPGAAEQVPDFVTFLYQITRGIAARSYGLN
+VAKLADVPGEILKKAAHKSKELE
+>O15457_PF05188_155 <unknown description>
+SVIVAVVEGRGLARGEIGMASIDLKNPQIILSQFADNTTYAKVITKLKILSPLEIIMSNT
+ACAVGNSTKLFTLITENFKNVNFTTIQRKYFNETKGLEYIEQLCIAEFSTVLMEVQSKYY
+CLAAVAALLKYVEFIQNS
+>O15457_PF05192_316 <unknown description>
+SAQNLELLINNQDYRNNHTLFGVLNYTKTPGGSRRLRSNILEPLVDIETINMRLDCVQEL
+LQDEELFFGLQSVISRFLDTEQLLSVLVQIPKQDTVNAAESKITNLIYLKHTLELVDPLK
+IAMKNCNTPLLRAYYGSLEDKRFGIILEKIKTVINDDARYMKGCLNMRTQKCYAVRSNIN
+EFLDIARRTYTEIVDDIAGMISQLGEKYSLPLRTSFSSARGFFIQMTTDCIALPSDQLPS
+EFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMTYMIVCKLLSEIYEHIHCLYKLSD
+TVSMLDMLLSFA
+>O15457_PF05190_493 <unknown description>
+NINEFLDIARRTYTEIVDDIAGMISQLGEKYSLPLRTSFSSARGFFIQMTTDCIALPSDQ
+LPSEFIKISKVKNSYSFTSADLIKMNERCQESL
+>O15457_PF00488_676 <unknown description>
+LIITGPNMSGKSTYLKQIALCQIMAQIGSYVPAEYSSFRIAKQIFTRISTDDDIETNSST
+FMKEMKEIAYILHNANDKSLILIDELGRGTNTEEGIGICYAVCEYLLSLKAFTLFATHFL
+ELCHIDALYPNVENMHFEVQHVKNTSRNKEAILYTYKLSKGLTEEKNYGLKAAEVSSLPP
+SIVLDAKEITTQI
+>O43196_PF05192_226 <unknown description>
+TYSVLQIFKSESHPSVYKVASGLKEGLSLFGILNRCHCKWGEKLLRLWFTRPTHDLGELS
+SRLDVIQFFLLPQNLDMAQMLHRLLGHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALG
+LRDACRSLPQSIQLFRDIAQEFSDDLHHIASLIGKVVDFEGSLAENRFTVLPNIDPEIDE
+KKRRLMGLPSFLTEVARKELENLDSRIPSCSVIYIPLIGFLLSIPRLPSMVEASDFEING
+LDFMFLSEEKLHYRSARTKELDALLGDLHCEIRDQETLLMYQLQCQVLARAAVLTRVLDL
+ASRLDVLLALA
+>O43196_PF05190_398 <unknown description>
+NIDPEIDEKKRRLMGLPSFLTEVARKELENLDSRIPSCSVIYIPLIGFLLSIPRLPSMVE
+ASDFEINGLDFMFLSEEKLHYRSARTKELDALLGDLHCE
+>O43196_PF00488_589 <unknown description>
+VITGPNSSGKSIYLKQVGLITFMALVGSFVPAEEAEIGAVDAIFTRIHSCESISLGLSTF
+MIDLNQVAKAVNNATAQSLVLIDEFGKGTNTVDGLALLAAVLRHWLARGPTCPHIFVATN
+FLSLVQLQLLPQGPLVQYLTMETCEDGNDLVFFYQVCEGVAKASHASHTAAQAGLPDKLV
+ARGKEVSD
+>P52701_PF00855_93 <unknown description>
+GDLVWAKMEGYPWWPCLVYNHPFDGTFIREKGKSVRVHVQFFDDSPTRGWVSKRLLKPYT
+GSKSKEAQKGGHFYSAKPEILRAMQRADEAL
+>P52701_PF01624_407 <unknown description>
+TPGMRKWWQIKSQNFDLVICYKVGKFYELYHMDALIGVSELGLVFMKGNWAHSGFPEIAF
+GRYSDSLVQKGYKVARVEQTETPEMMEARCRKMAHISKYDRVVRREICRIITKGTQTY
+>P52701_PF05188_538 <unknown description>
+YLLSLKEKEEDSSGHTRAYGVCFVDTSLGKFFIGQFSDDRHCSRFRTLVAHYPPVQVLFE
+KGNLSKETKTILKSSLSCSLQEGLIPGSQFWDASKTLRTLLEEEYFREKLSDGIGVMLPQ
+VLKGMTSESDSIGLTPGEKSELALSALGGCVFYLKKCLIDQE
+>P52701_PF05192_739 <unknown description>
+TLNNLEIFLNGTNGSTEGTLLERVDTCHTPFGKRLLKQWLCAPLCNHYAINDRLDAIEDL
+MVVPDKISEVVELLKKLPDLERLLSKIHNVGSPLKSQNHPDSRAIMYEETTYSKKKIIDF
+LSALEGFKVMCKIIGIMEEVADGFKSKILKQVISLQTKNPEGRFPDLTVELNRWDTAFDH
+EKARKTGLITPKAGFDSDYDQALADIRENEQSLLEYLEKQRNRIGCRTIVYWGIGRNRYQ
+LEIPENFTTRNLPEEYELKSTKKGCKRYWTKTIEKKLANLINAEERRDVSLKDCMRRLFY
+NFDKNYKDWQSAVECIAVLDVLLCLA
+>P52701_PF05190_932 <unknown description>
+GFDSDYDQALADIRENEQSLLEYLEKQRNRIGCRTIVYWGIGRNRYQLEIPENFTTRNLP
+EEYELKSTKKGCKRYWTKTIEKKLANLINAEER
+>P52701_PF00488_1131 <unknown description>
+LVTGPNMGGKSTLMRQAGLLAVMAQMGCYVPAEVCRLTPIDRVFTRLGASDRIMSGESTF
+FVELSETASILMHATAHSLVLVDELGRGTATFDGTAIANAVVKELAETIKCRTLFSTHYH
+SLVEDYSQNVAVRLGHMACMVENECEDPSQETITFLYKFIKGACPKSYGFNAARLANLPE
+EVIQKGHRKAREF
+>Q01726_PF00001_55 <unknown description>
+ENALVVATIAKNRNLHSPMYCFICCLALSDLLVSGSNVLETAVILLLEAGALVARAAVLQ
+QLDNVIDVITCSSMLSSLCFLGAIAVDRYISIFYALRYHSIVTLPRARRAVAAIWVASVV
+FSTLFIAYYDHVAVLLCLVVFFLAMLVLMAVLYVHMLARACQHAQGIARLHKRQRPVHQG
+FGLKGAVTLTILLGIFFLCWGPFFLHLTLIVLCPEHPTCGCIFKNFNLFLALIICNAIID
+PLIY
+>O43347_PF00076_23 <unknown description>
+FIGGLSWQTTQEGLREYFGQFGEVKECLVMRDPLTKRSRGFGFVTFMDQAGVDKVLAQSR
+HELDSKTI
+>O43347_PF00076_111 <unknown description>
+IFVGGLSVNTTVEDVKQYFEQFGKVDDAMLMFDKTTNRHRGFGFVTFESEDIVEKVCEIH
+FHEINNK
+>Q96DH6_PF00076_24 <unknown description>
+FIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKRSRGFGFVTFADPASVDKVLGQPH
+HELDSKT
+>Q96DH6_PF00076_112 <unknown description>
+IFVGGLSANTVVEDVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIH
+FHEINNK
+>Q68DK7_PF16801_215 <unknown description>
+ASSQAACLKQILLLQLDLIEQQQQQLQAKEKEIEEL
+>Q68DK7_PF15275_474 <unknown description>
+AVPSWRDHSVEPLRDPNPSDLLENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQR
+LQLRMYKKKGIQESEPEVTSFFPEPDDVESLMITPFLPVVAFGRPLPKLTPQNFELPW
+>Q9HCI7_PF16685_42 <unknown description>
+LSCCVCGHLLQDPIAPTNSTCQHYVCKTCKGKKMMMKPSCSWCKDYEQFEENKQLSILVN
+CYKKLCEYIT
+>Q9HCI7_PF16682_456 <unknown description>
+PQEKKGCKCGRATQNPSVLTCRGQRCPCYSNRKACLDCICRGCQNSYMANGEK
+>Q13421_PF06060_1 <unknown description>
+MALPTARPLLGSCGTPALGSLLFLLFSLGWVQPSRTLAGETGQEAAPLDGVLANPPNISS
+LSPRQLLGFPCAEVSGLSTERVRELAVALAQKNVKLSTEQLRCLAHRLSEPPEDLDALPL
+DLLLFLNPDAFSGPQACTRFFSRITKANVDLLPRGAPERQRLLPAALACWGVRGSLLSEA
+DVRALGGLACDLPGRFVAESAEVLLPRLVSCPGPLDQDQQEAARAALQGGGPPYGPPSTW
+SVSTMDALRGLLPVLGQPIIRSIPQGIVAAWRQRSSRDPSWRQPERTILRPRFRREVEKT
+ACPSGKKAREIDESLIFYKKWELEACVDAALLATQMDRVNAIPFTYEQLDVLKHKLDELY
+PQGYPESVIQHLGYLFLKMSPEDIRKWNVTSLETLKALLEVNKGHEMSPQVATLIDRFVK
+GRGQLDKDTLDTLTAFYPGYLCSLSPEELSSVPPSSIWAVRPQDLDTCDPRQLDVLYPKA
+RLAFQNMNGSEYFVKIQSFLGGAPTEDLKALSQQNVSMDLATFMKLRTDAVLPLTVAEVQ
+KLLGPHVEGLKAEERHRPVRDWILRQRQDDLDTLGLGLQGGIPNGYLVLDLSMQEALSGT
+PCLLGPGPVLTVLALLLASTL
+>P08118_PF05825_21 <unknown description>
+SCYFIPNEGVPGDSTRKCMDLKGNKHPINSEWQTDNCETCTCYETEISCCTLVSTPVGYD
+KDNCQRIFKKEDCKYIVVEKKDPKKTCSVSEWII
+>Q15800_PF04116_145 <unknown description>
+CAVIEDTWHYFLHRLLHHKRIYKYIHKVHHEFQAPFGMEAEYAHPLETLILGTGFFIGIV
+LLCDHVILLWAWVTIRLLETIDVHSGYDIPLNPLNLIPFYAGSRHHDFHHMNFIGNYAST
+FTWWDRIFGT
+>Q1L6U9_PF05825_48 <unknown description>
+YEGKYFTLGESWLRKDCFHCTCLHPVGVGCCDTSQHPIDFPAGCEVRQEAGTCQFSLVQK
+SDPRLPCK
+>Q9UJG1_PF00635_17 <unknown description>
+VFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKYVVVDAAGAVKPQCCVD
+IVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEV
+>Q8NHP6_PF00650_93 <unknown description>
+GVIYLHGYDKEGNKLFWIRVKYHVKDQKTILDKKKLIAFWLERYAKRENGKPVTVMFDLS
+ETGINSIDMDFVRFIINCFKVYYPKYLSKIVIFDMPWLMNAAFKIVKTWLGPEAVSLLKF
+TSKNEVQDYVSVEYLPPHMGG
+>Q8NHP6_PF00635_328 <unknown description>
+LHISPAEELYFGSTESGEKKTLIVLTNVTKNIVAFKVRTTAPEKYRVKPSNSSCDPGASV
+DIVVSPHGGLTVSAQDRFLIMAAEMEQSSGTGPAELTQFWKEV
+>O75425_PF00635_34 <unknown description>
+VLVFPPDLVFRADQRSGPRQLLTLYNPTGTALRFRVLCTAPAKYTVFDAEGYVKPQSCID
+IVIRHVAPIPSHYDVQDRFRIELSEE
+>Q9UJ68_PF01625_68 <unknown description>
+AVFGMGCFWGAERKFWVLKGVYSTQVGFAGGYTSNPTYKEVCSEKTGHAEVVRVVYQPEH
+MSFEELLKVFWENHDPTQGMRQGNDHGTQYRSAIYPTSAKQMEAALSSKENYQKVLSEHG
+FGPITTDIREGQTFYYAEDYHQQYLSKNPNGYC
+>Q9NZV6_PF01641_11 <unknown description>
+VFQNHFEPGVYVCAKCGYELFSSRSKYAHSSPWPAFTETIHADSVAKRPEHNRSEALKVS
+CGKCGNGLGHEFLNDGPKPGQSRFUIFSSSLKFVP
+>Q9Y3D2_PF01641_53 <unknown description>
+EWQKKLTPEQFYVTREKGTEPPFSGIYLNNKEAGMYHCVCCDSPLFSSEKKYCSGTGWPS
+FSEAHGTSGSDESHTGILRRLDTSLGSARTEVVCKQCEAHLGHVFPDGPGPNGQRFCINS
+VALKFKP
+>Q8IXL7_PF01641_42 <unknown description>
+ELRKRLTPLQYHVTQEKGTESAFEGEYTHHKDPGIYKCVVCGTPLFKSETKFDSGSGWPS
+FHDVINSEAITFTDDFSYGMHRVETSCSQCGAHLGHIFDDGPRPTGKRYCINSAALSFTP
+>P21757_PF03523_121 <unknown description>
+MEKRIQHILDMEANLMDTEHFQNFSMTTDQRFNDILLQLSTLFSSVQGH
+>P21757_PF01391_276 <unknown description>
+GPPGEKGDRGPTGESGPRGFPGPIGPPGLKGDRGAIGFPGSRGLPGYAGRPGNSGPKGQ
+>P21757_PF00530_353 <unknown description>
+VGGSGPHEGRVEILHSGQWGTICDDRWEVRVGQVVCRSLGYPGVQAVHKAAHFGQGTGPI
+WLNEVFCFGRESSIEECKIRQWGTRACSHSEDAGVTCT
+>P47224_PF04421_28 <unknown description>
+SRVLQPGTALFSRRQLFLPSMRKKPALSDGSNPDGDLLQEHWLVEDMFIFENVGFTKDVG
+NIKFLVCADCEIGPIGWHCLDDKNSFYVALERVSH
+>Q4VC12_PF01753_105 <unknown description>
+CAHCRALPSGLSDSKVLRHCKRCRNVYYCGPECQKSDWPAHRRVC
+>Q8IVN3_PF15682_9 <unknown description>
+APIKKKRPPVKDEDLKGARGNLTKNQEIKSKTYQVMRECEQAGSAAPSVFSRTRTGTETV
+FEKPKAGPTKSVFG
+>Q9BUK6_PF10644_6 <unknown description>
+REVLTLQLGHFAGFVGAHWWNQQDAALGRATDSKEPPGELCPDVLYRTGRTLHGQETYTP
+RLILMDLKGSLSSLKEEGGLYRDKQLDAAIAWQGKLTTHKEELYPKNPYLQDF
+>Q9BUK6_PF14881_157 <unknown description>
+TEASIRVWSDFLRVHLHPRSICMIQKYNHDGEAGRLEAFGQGESVLKEPKYQEELEDRLH
+FYVEECDYLQGFQILCDLHDGFSGVGAKAAELLQDEYSGRGIITWGLLPGPYHRGEAQRN
+IYRLLNTAFGLVHLTAHSSLVCPLSLGGSLGLRPEPPVSFPYLHYDATLPFHCSAILATA
+LDTVTVPYRL
+>P28360_PF00046_173 <unknown description>
+RKPRTPFTTAQLLALERKFRQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKR
+>P35548_PF00046_143 <unknown description>
+RKPRTPFTTSQLLALERKFRQKQYLSIAERAEFSSSLNLTETQVKIWFQNRRAKAKR
+>P04731_PF00131_1 <unknown description>
+MDPNCSCATGGSCTCTGSCKCKECKCTSCKKSCCSCCPMSCAKCAQGCICKGASEKCSCC
+A
+>P07438_PF00131_1 <unknown description>
+MDPNCSCTTGGSCACAGSCKCKECKCTSCKKCCCSCCPVGCAKCAQGCVCKGSSEKCRCC
+A
+>P04732_PF00131_1 <unknown description>
+MDPNCSCATGGSCTCAGSCKCKECKCTSCKKSECGA
+>P04733_PF00131_1 <unknown description>
+MDPNCSCAAGVSCTCAGSCKCKECKCTSCKKSCCSCCPVGCSKCAQGCVCKGASEKCSCC
+>P13640_PF00131_1 <unknown description>
+MDPNCSCAAAGVSCTCASSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGASEKCSC
+CA
+>P80294_PF00131_1 <unknown description>
+MDPNCSCEAGGSCACAGSCKCKKCKCTSCKKSCCSCCPLGCAKCAQGCICKGASEKCSCC
+A
+>Q8N339_PF00131_1 <unknown description>
+MDPNCSCTTGVSCACTGSCTCKECKCTSCKKSCCSCCPVGCAKCAHGCVCKGTLENCSCC
+A
+>P80297_PF00131_1 <unknown description>
+MDPNCSCSPVGSCACAGSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGTSDKCSCC
+A
+>Q8WXB1_PF10294_27 <unknown description>
+ANHTIQIRQDWRHLGVAAVVWDAAIVLSTYLEMGAVELRGRSAVELGAGTGLVGIVAALL
+GAHVTITDRKVALEFLKSNVQANLPPHIQTKTVVKELTWGQNLGSFSPGEFDLILGADII
+YLEETFTDLLQTLEHLCSNHSVILLACRIRYERDNNFLAMLER
+>Q5VZV1_PF10294_80 <unknown description>
+IQESIESYGAVVWPGAMALCQYLEEHAEELNFQDAKILEIGAGPGLVSIVASILGAQVTA
+TDLPDVLGNLQYNLLKNTLQCTAHLPEVKELVWGEDLDKNFPKSAFYYDYVLASDVVYHH
+YFLDKLLTTMVYLSQPGTVLLWANKFRFSTDYEFLDKFKQ
+>Q9H867_PF10294_23 <unknown description>
+RDGTVLRLQQYSSGGVGCVVWDAAIVLSKYLETPEFSGDGAHALSRRSVLELGSGTGAVG
+LMAATLGADVVVTDLEELQDLLKMNINMNKHLVTGSVQAKVLKWGEEIEGFPSPPDFILM
+ADCIYYEESLEPLLKTLKDISGFETCIICCYEQRTMGKNPEIEKKYFELLQ
+>Q96FB5_PF13679_133 <unknown description>
+KKQHEIRRLGELVKKLSDFTGCTQVVDVGSGQGHLSRFMALGLGLMVKSIEGDQRLVERA
+QRLDQELLQALEKEEKRNPQVVQTSPRHSPHHVVRWVDPTALCEELLLPLENPCQGRARL
+LLTGLHACGDLSVALLRHFSCCPEVVALASVGCCYMKLSD
+>P02795_PF00131_1 <unknown description>
+MDPNCSCAAGDSCTCAGSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGASDKCSCC
+A
+>P25713_PF00131_1 <unknown description>
+MDPETCPCPSGGSCTCADSCKCEGCKCTSCKKSCCSCCPAECEKCAKDCVCKGGEAAEAE
+AEKCSCCQ
+>P47944_PF00131_1 <unknown description>
+MDPRECVCMSGGICMCGDNCKCTTCNCKTYWKSCCPCCPPGCAKCARGCICKGGSDKCSC
+C
+>Q13330_PF01426_4 <unknown description>
+NMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSTLIALADKHA
+TLSVCYKAGPGADNGEEGEIEEEMENPEMVDLPEKLKHQLRHRELFLSRQLESLPATHIR
+GKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADK
+>Q13330_PF01448_167 <unknown description>
+IRVGNRYQADITDLLKEGEEDGRDQSRLETQVWEAHNPLTDKQIDQFLVVARS
+>Q13330_PF00249_287 <unknown description>
+EWSASEANLFEEALEKYGKDFTDIQQDFLPWKSLTSIIEYYYMWK
+>Q13330_PF00320_393 <unknown description>
+CESCYTTQSYQWYSWGPPNMQCRLCASCWTYWKKYGG
+>Q13330_PF17226_464 <unknown description>
+AMKTRQAFYLHTTKLTRIARRLCREILRPWHAARHPYLPINSAAIKAECTARLPEASQSP
+LVLKQAVRKPLEAVLRYL
+>Q13330_PF17226_658 <unknown description>
+FYMATEETRKIRKLLSSSETKRAARRPYKPIAL
+>O94776_PF01426_4 <unknown description>
+NMYRVGDYVYFENSSSNPYLVRRIEELNKTANGNVEAKVVCLFRRRDISSSLNSLADSNA
+REFEEESKQPGVSEQQRHQLKHRELFLSRQFESLPATHIRGKCSVTLLNETDILSQYLEK
+EDCFFYSLVFDPVQKTLLAD
+>O94776_PF01448_147 <unknown description>
+IRVGCKYQAEIPDRLVEGESDNRNQQKMEMKVWDPDNPLTDRQIDQFLVVAR
+>O94776_PF00320_367 <unknown description>
+CESCHTTQSAQWYAWGPPNMQCRLCASCWIYWKKYGG
+>O94776_PF17226_448 <unknown description>
+AKNRQTFLLQTTKLTRLARRMCRDLLQPRRAARRPYAPINANAIKAECSIRLPKAAKTPL
+KIHPLVRLPLATIVKDL
+>Q9BTC8_PF01426_4 <unknown description>
+NMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLADKHA
+KEIEEESETTVEADLTDKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESVLSY
+LDKEDTFFYSLVYDPSLKTLLADK
+>Q9BTC8_PF01448_150 <unknown description>
+IRVGPRYQADIPEMLLEGESDEREQSKLEVKVWDPNSPLTDRQIDQFLVVAR
+>Q9BTC8_PF00249_270 <unknown description>
+EWSASEASLFEEALEKYGKDFNDIRQDFLPWKSLTSIIEYYYMWK
+>Q9BTC8_PF00320_379 <unknown description>
+CESCYATQSHQWYSWGPPNMQCRLCAICWLYWKKYGG
+>Q9BTC8_PF17226_461 <unknown description>
+AVKTRQAFFLHTTYFTKFARQVCKNTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSP
+LKSKSTRKPLACIIGYL
+>Q86U44_PF05063_389 <unknown description>
+FAVVMADPPWDIHMELPYGTLTDDEMRRLNIPVLQDDGFLFLWVTGRAMELGRECLNLWG
+YERVDEIIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVGVKGNPQGFNQGLDCDVIVAEVR
+STSHKPDEIYGMIERLSPGTRKIELFGRPHNVQPNWITLGNQ
+>P11137_PF08377_377 <unknown description>
+DKMAEAPPSEAMTLPKDAHIPVVEEHVMGKVLEEEKEAINQETVQQRDTFTPSGQEPILT
+EKETELKLEEKTTISDKEAVPKESKPPKPADEEIGIIQTSTEHTFSEQKDQEPTTDMLKQ
+DSFPVSLEQAVTDSAMTSKTLEKAMTEPSALIEKSSIQELFEMRVDDKDKIEGVGAATSA
+ELDMPFYEDKSGMSKYFETSALKEEATKSIEPGSDYYELSDTRESVHESIDTMSPMHKNG
+DKEFQTGKESQPSPPAQEAGYSTLAQSYPSDLPEEPSSPQERMFTIDPKVYGEKRDLHSK
+NKDDLTLSRSLGLGGRSAIEQRSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTS
+GSMDEGDDYLPATTPALEKAPCFPVESKEEEQIEKVKATGEESTQAEISCESPFLAKDFY
+KNGTVMAPDLPEMLDLAGTRSRLASVSADAEVARRKSVPSETVVEDSRTGLPPVTDENHV
+IVKTDSQLEDLGYCVFNKYTVPLPSPVQDSENLSGESGTFYEGTDDKVRRDLATDLSLIE
+VKLAAAGRVKDEFSVDKEASAHISGDKSGLSKEFDQEKKANDRLDTVLEKSEEHADSKEH
+AKKTEEAGDEIETFGLGVTYEQALAKDLSIPTDASSEKAEKGLSSVPEIAEVEPSKKVEQ
+GLDFAVQGQLDVKISDFGQMASGLNIDDRRATELKLEATQDMTPSSKAPQEADAFMGVES
+GHMKEGTKVSETEVKEKVAKPDLVHQEAVDKEESYESSGEHESLTMESLKADEGKKETSP
+ESSLIQDEIAVKLSVEIPCPPAVSEADLATDERADVQMEFIQGPKEESKETPDISITPSD
+VAEPLHETIVSEPAEIQSEEEEIEAQGEYDKLLFRSDTLQITDLGVSGAREEFVETCPSE
+HKGVIESVVTIEDDFITVVQTTTDEGESGSHSVRFAALEQPEVERRPSPHDEEEFEVEEA
+AEAQAEPKDGSPEAPASPEREEVALSEYKTETYDDYKDETTIDDSIMDADSLWVDTQDDD
+RSIMTEQLETIPKEEKAEKEARRSSLEKHRKEKPFKTGRGRISTPERKVAKKEPSTVSRD
+EVRRKKAVYKKAELAKKTEVQAHSPSRKFILKPAIKYTRPTHLSCVKRK
+>P11137_PF00418_1664 <unknown description>
+NQPLPDLKNVKSKIGSTDNIKYQPKGGQ
+>P11137_PF00418_1692 <unknown description>
+VQIVTKKIDLSHVTSKCGSLKNIRHRPGGG
+>P11137_PF00418_1723 <unknown description>
+VKIESVKLDFKEKAQAKVGSLDNAHHVPGGGN
+>Q13126_PF01048_11 <unknown description>
+KIGIIGGTGLDDPEILEGRTEKYVDTPFGKPSDALILGKIKNVDCVLLARHGRQHTIMPS
+KVNYQANIWALKEEGCTHVIVTTACGSLREEIQPGDIVIIDQFIDRTTMRPQSFYDGSHS
+CARGVCHIPMAEPFCPKTREVLIETAKKLGLRCHSKGTMVTIEGPRFSSRAESFMFRTWG
+ADVINMTTVPEVVLAKEAGICYASIAMATDYDCWKEHEEAVSVDRVLKTLKENANKAKSL
+LLTTI
+>Q96DY7_PF14918_1 <unknown description>
+MDRYLLLVIWGEGKFPSAASREAEHGPEVSSGEGTENQPDFTAANVYHLLKRSISASINP
+EDSTFPACSVGGIPGSKKWFFAVQAIYGFYQFCSSDWQEIHFDTEKDKIEDVLQTNIEEC
+LGAVECFEEEDSNSRESLSLADLYEEAAENLHQLSDKLPAPGRAMVDIILLLSDKDPPKL
+KDYLPTVGALKHLREWYSAKITIAGNHCEINCQKIAEYLSANVVSLEDLRNVIDSKELWR
+GKIQIWERKFGFEISFPEFCLKGVTLKNF
+>Q96DY7_PF14919_294 <unknown description>
+KVFHYYGPALEFVQMIKLSDLPSCYMSDIEFELGLTNSTKQNSVLLLEQISSLCSKVGAL
+FVLPCTISNILIPPPNQLSSRKWKEYIAKKPKTISVPDVEVKGECSSYYLLLQGNGNRRC
+KATLIHSANQINGSFALNLIHGKMKTKTEEAKLSFPFDLLSLPHFSGEQIVQREKQLANV
+QVLALEECLKRRKLAKQPETVSVAELKSLLVLTRKHFLDYFDAVIPKMILRKMDKIKTFN
+ILNDFSPVEPNSSSLMETNPLEWPERHVLQNLETFEKTKQKMRTGSLPHSSEQLLGHKEG
+PRDSITLLDAKELLKYFTSDGLPIGDLQPLPIQKGEKTF
+>Q96DY7_PF14920_636 <unknown description>
+PELSPGKLQVLPFEKASVCHYHGIEYCLDDRKALERDGGFSELQSRLIRYETQTTCTRES
+FPVPTVLSPLPSPVVSSDPGSVPDGEVLQNELRTEVSRLKRRSKDLNCLYPRKRLVKSES
+SESLLSQTTGNSNHYHHHVTSRKPQTERSLPVTCPLVPIPSCETPKLATKTSSGQKSMHE
+SKTSRQIKESRSQKHTRILKEVVTETLKKHSITETHECFTACSQRLFEISKFYLKDLKTS
+RGLFEEMKKTANNNAVQVI
+>Q9NZJ7_PF00153_204 <unknown description>
+MQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSIGKIFKEEGLLGFFVGLIPHLLGD
+VV
+>Q9Y6C9_PF00153_128 <unknown description>
+MIARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIITIYREEGILGFFAGLVPRLL
+GDIL
+>P56278_PF01840_5 <unknown description>
+DVGAPPDHLWVHQEGIYRDEYQRTWVAVVEEETSFLRARVQQIQVPLGDAARPSHLLTSQ
+LPLMWQLYPEERYMDNNSRLWQIQHHLMVRGVQELLLKLL
+>Q9H903_PF00763_54 <unknown description>
+ISGTEMAKHIQKEIQRGVESWVSLGNRRPHLSIILVGDNPASHTYVRNKIRAASAVGICS
+ELILKPKDVSQEELLDVTDQLNMDPRVSGILVQLPLPDHVDERTICNGIAPEKDVD
+>Q9H903_PF02882_172 <unknown description>
+HIINIGRLCLDQHSLIPATASAVWEIIKRTGIQTFGKNVVVAGRSKNVGMPIAMLLHTDG
+EHERPGGDATVTIAHRYTPKEQLKIHTQLADIIIVAAGIPKLITSDMVKEGAAVIDVGIN
+YVHDPVTGKTKLVGDVDFEAVKKKAGFITPVPGGVGPMTVAMLLKNTLLAAKK
+>P13995_PF00763_40 <unknown description>
+ISGRKLAQQIKQEVRQEVEEWVASGNKRPHLSVILVGENPASHSYVLNKTRAAAVVGINS
+ETIMKPASISEEELLNLINKLNNDDNVDGLLVQLPLPEHIDERRICNAVSPDKDVD
+>P13995_PF02882_158 <unknown description>
+HVINVGRMCLDQYSMLPATPWGVWEIIKRTGIPTLGKNVVVAGRSKNVGMPIAMLLHTDG
+AHERPGGDATVTISHRYTPKEQLKKHTILADIVISAAGIPNLITADMIKEGAAVIDVGIN
+RVHDPVTAKPKLVGDVDFEGVRQKAGYITPVPGGVGPMTVAMLMKNTIIAAKK
+>Q99551_PF02536_76 <unknown description>
+LKNLLTMGVDIDMARKRQPGVFHRMITNEQDLKMFLLSKGASKEVIASIISRYPRAITRT
+PENLSKRWDLWRKIVTSDLEIVNILERSPESFFRSNNNLNLENNIKFLYSVGLTRKCLCR
+LLTNAPRTFSNSLDLNKQMVEFLQAAGLSLGHNDPADFVRKIIFKNPFILIQSTKRVKAN
+IEFLRSTFNLNSEELLVLICGPGAEILDLSNDYARRSYANIKEKLFSLGCTEEEVQKFVL
+SYPDVIFLAEKKFNDKIDCLMEENISISQIIENPRVLDSSISTLKSRIKELVNAGCNLST
+LNITLLSWSKKRYE
+>Q49AM1_PF02536_58 <unknown description>
+DIRKIRRLKGWVLLEDETYVEEIANILQELGADETAVASILERCPEAIVCSPTAVNTQRK
+LWQLVCKNEEELIKLIEQFPESFFTIKDQENQKLNVQFFQELGLKNVVISRLLTAAPNVF
+HNPVEKNKQMVRILQESYLDVGGSEANMKVWLLKLLSQNPFILLNSPTAIKETLEFLQEQ
+GFTSFEILQLLSKLKGFLFQLCPRSIQNSISFSKNAFKCTDHDLKQLVLKCPALLYYSVP
+VLEERMQGLLREGISIAQIRETPMVLELTPQIVQYRIRKLNSSGYRIKDGHLANLNGSKK
+EF
+>Q96E29_PF02536_163 <unknown description>
+GVDLSKIEKHPEAANLLLRLDFEKDIKQMLLFLKDVGIEDNQLGAFLTKNHAIFSEDLEN
+LKTRVAYLHSKNFSKADVAQMVRKAPFLLNFSVERLDNRLGFFQKELELSVKKTRDLVVR
+LPRLLTGSLEPVKENMKVYRLELGFKHNEIQHMITRIPKMLTANKMKLTETFDFVHNVMS
+IPHHIIVKFPQVFNTRLFKVKERHLFLTYLGRAQYDPAKPNYISLDKLVSIPDEIF
+>Q7Z6M4_PF02536_105 <unknown description>
+SNAHINELLSVRRGASLQQLLDIISEFILLGLNPEPVCVVLKKSPQLLKLPIMQMRKRSS
+YLQKLGLGEGKLKRVLYCCPEIFTMRQQDINDTVRLLKEKCLFTVQQVTKILHSCPSVLR
+EDLGQLEYKFQYAYFRMGIKHPDIVKSEYLQYSLTKIKQRHIYLERLGRYQTPDKKGQTQ
+IPNPLLKDILRVSEAEF
+>Q14872_PF00096_140 <unknown description>
+YQCTFEGCPRTYSTAGNLRTHQKTH
+>Q14872_PF00096_170 <unknown description>
+FVCNQEGCGKAFLTSYSLRIHVRVH
+>Q14872_PF00096_200 <unknown description>
+FECDVQGCEKAFNTLYRLKAHQRLH
+>Q14872_PF00096_229 <unknown description>
+FNCESEGCSKYFTTLSDLRKHIRTH
+>Q14872_PF00096_259 <unknown description>
+FRCDHDGCGKAFAASHHLKTHVRTH
+>Q14872_PF00096_289 <unknown description>
+FFCPSNGCEKTFSTQYSLKSHMKGH
+>Q9Y483_PF18104_49 <unknown description>
+GQDVLARWSDGLFYLGTIKKINILKQSCFIIFEDSS
+>Q9Y483_PF00628_104 <unknown description>
+VCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCV
+>Q9Y483_PF14061_545 <unknown description>
+LNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQYLVEWEGAT
+>Q9UDX5_PF10558_19 <unknown description>
+VRYLGYANEVGEAFRSLVPAAVVWLSYGVASSYVLADAIDKGKK
+>Q9UDX5_PF10558_75 <unknown description>
+ARVTVAVVDTFVWQALASVAIPGFTINRVCAASLYVLGTATRWPLAVRKWTTTALGLLTI
+PIIIHPIDRSVD
+>Q15390_PF05308_23 <unknown description>
+VLWSRKPYGSSRSIVRKIGTNLSLIQCPRVQFQINSHATEWSPSHPGEDAVASFADVGWV
+AKEEGECSARLRTEVRSRPPLQDDLLFFEKAPSRQISLPDLSQEEPQLKTPALANEEALQ
+KICALENELAALRAQIAKIVTQQEQQNLTAGDLDSTTFGTIPPHPPPPPPPLPPPALGLH
+QSTSAVDLIKERREKRANAGKTLVKNNPKKPEMPNMLEILKEMNSVKLR
+>Q6P444_PF05308_24 <unknown description>
+LIWENKDYGSTRSIVRIIGKMLPLEPCRRPNFELIPLLNSVDSDNCGSMVPSFADILYVA
+NDEEASYLRFRNSIWKNEEEKVEIFHPLRLVRDPLSPAVRQKETVKNDLPVNEAAIRKIA
+ALENELTFLRSQIAAIVEMQELKNSTNSSSFGLSDERISLGQLSSSRAAHLSVDPDQLPG
+SVLSPPPPPPLPPQFSSLQPPCFPPVQPGSNNICDSDNPATEMSKQNPAANKTNYSHHSK
+SQRNKDIPNMLDVLKDMNKVKLR
+>O75081_PF07531_174 <unknown description>
+LSKLKRFLTTLQQFGSDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVI
+PFLKANLPLLQRELLHCARLAKQTPAQYL
+>O75081_PF08788_379 <unknown description>
+QEEVIDHKLTEREWAEEWKHLNNLLNCIMDMVEKTRRSLTVLRRCQEADREELNHWARRY
+SDAEDTK
+>O75081_PF01753_556 <unknown description>
+CWNCGRKASETCSGCNAARYCGSFCQHRDWEKHHHVC
+>Q9BT17_PF01926_146 <unknown description>
+IMVIGVPNVGKSSLINSLRRQHLRKGKATRVGGEPGITRAVMSKIQVSERPLMFLLDTPG
+VLAPRIESVETGLKLALCGTVLDHLVGEETMADYLLYTLN
+>Q9H4K7_PF01018_73 <unknown description>
+VDYRRVLVCGGNGGAGASCFHSEPRKEFGGPDGGDGGNGGHVILRVDQQVKSLSSVLSRY
+QGFSGEDGGSKNCFGRSGAVLYIRVPVGTLVKEGGRVVADLSCVGDEYIAALGGAGGKGN
+RFFLANNNRAPVTCTPGQPGQQRVLHLELKT
+>Q9H4K7_PF01926_227 <unknown description>
+AGMVGFPNAGKSSLLRAISNARPAVASYPFTTLKPHVGIVHYEGHLQIAVADIPGIIRGA
+HQNRGLGSAFLRHIERCRFLLFVVDLSQPEPWTQVDDLKYELEMYEKGLSARPHAIVANK
+>O43439_PF07531_107 <unknown description>
+LSKLKRFLTTLQQFGNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVI
+PFLKANLPLLQRELLHCARAAKQTPSQYL
+>O43439_PF08788_323 <unknown description>
+DELVDHRLTEREWADEWKHLDHALNCIMEMVEKTRRSMAVLRRCQESDREELNYWKRRYN
+ENTELR
+>O43439_PF01753_498 <unknown description>
+CWNCGRKASETCSGCNIARYCGSFCQHKDWERHHRLC
+>P49914_PF01812_10 <unknown description>
+KRSLRGELKQRLRAMSAEERLRQSRVLSQKVIAHSEYQKSKRISIFLSMQDEIETEEIIK
+DIFQRGKICFIPRYRFQSNHMDMVRIESPEEISLLPKTSWNIPQPGEGDVREEALSTGGL
+DLIFMPGLGFDKHGNRLGRGKGYYDAYLKRCLQHQEVKPYTLALAFKEQICLQVPVNEND
+MKVDEVLYE
+>P42898_PF02219_48 <unknown description>
+KMRRRLESGDKWFSLEFFPPRTAEGAVNLISRFDRMAAGGPLYIDVTWHPAGDPGSDKET
+SSMMIASTAVNYCGLETILHMTCCRQRLEEITGHLHKAKQLGLKNIMALRGDPIGDQWEE
+EEGGFNYAVDLVKHIRSEFGDYFDICVAGYPKGHPEAGSFEADLKHLKEKVSAGADFIIT
+QLFFEADTFFRFVKACTDMGITCPIVPGIFPIQGYHSLRQLVKLSKLEVPQEIKDVIEPI
+KDNDAAIRNYGIELAVSLCQELLASGLVPGLHFYTLNREMATTEVLKRLG
+>Q2M296_PF01812_10 <unknown description>
+KQDIREQIWGYMESQNLADFPRPVHHRIPNFKGSYLACQNIKDLDVFARTQEVKVDPDKP
+LEGVRLLVLQSKKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRNYSVPIGLD
+SRVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMGAVSKETPVVTIVHDCQVVDI
+PEELVEEHDITVDYILTP
+>Q2M296_PF00076_308 <unknown description>
+VYVGNLPGDARVSDLKRALRELGSVPLRLTWQGPRRRAFLHYPDSAAAQQAVSCLQGLRL
+GTDTL
+>Q96S19_PF06080_3 <unknown description>
+VAAAAERNKDPILHVLRQYLDPAQRGVRVLEVASGSGQHAAHFARAFPLAEWQPSDVDQR
+CLDSIAATTQAQGLTNVKAPLHLDVTWGWEHWGGILPQSLDLLLCINMAHVSPLRCTEGL
+FRAAGHLLKPRALLITYGPYAINGKISPQSNVDFDLMLRCRNPEWGLRDTALLEDLGKAS
+GLLLERMVDMPANNKCLIFRK
+>Q9Y4I5_PF03638_368 <unknown description>
+KGCNCRRSGCLKNYCECYEAQIMCSSICKCIGCKNY
+>O43193_PF00001_55 <unknown description>
+GNVVTVMLIGRYRDMRTTTNLYLGSMAVSDLLILLGLPFDLYRLWRSRPWVFGPLLCRLS
+LYVGEGCTYATLLHMTALSVERYLAICRPLRARVLVTRRRVRALIAVLWAVALLSAGPFL
+FLVGVE
+>O43193_PF00001_233 <unknown description>
+RECRPSPAQLGALRVMLWVTTAYFFLPFLCLSILYGLIGRELWSSRRPLRGPAASGRERG
+HRQTVRVLLVVVLAFIICWLPFHVGRIIYINTEDSRMMYFSQYFNIVALQLFYLSASINP
+ILY
+>Q13496_PF02893_37 <unknown description>
+RLPGETLITDKEVIYICPFNGPIKGRVYITNYRLYLRSLETDSSLILDVPLGVISRIEKM
+GGATSRGENSYGLDITCKDMRNLRFALKQEGHSRRDMFEILTRYAFP
+>Q13496_PF06602_151 <unknown description>
+FAFLNEEKFNVDGWTVYNPVEEYRRQGLPNHHWRITFINKCYELCDTYPALLVVPYRASD
+DDLRRVATFRSRNRIPVLSWIHPENKTVIVRCSQPLVGMSGKRNKDDEKYLDVIRETNKQ
+ISKLTIYDARPSVNAVANKATGGGYESDDAYHNAELFFLDIHNIHVMRESLKKVKDIVYP
+NVEESHWLSSLESTHWLEHIKLVLTGAIQVADKVSSGKSSVLVHCSDGWDRTAQLTSLAM
+LMLDSFYRSIEGFEILVQKEWISFGHKFASRIGHGDKNHTDADRSPIFLQFIDCVWQMSK
+QFPTAFEFNEQFLIIILDHLYSCRFGTFLFNCESAR
+>Q13614_PF02893_75 <unknown description>
+EPPLLPGENIKDMAKDVTYICPFTGAVRGTLTVTNYRLYFKSMERDPPFVLDASLGVINR
+VEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFENLMKYAFP
+>Q13614_PF06602_192 <unknown description>
+LFAFEYKEVFPENGWKLYDPLLEYRRQGIPNESWRITKINERYELCDTYPALLVVPANIP
+DEELKRVASFRSRGRIPVLSWIHPESQATITRCSQPMVGVSGKRSKEDEKYLQAIMDSNA
+QSHKIFIFDARPSVNAVANKAKGGGYESEDAYQNAELVFLDIHNIHVMRESLRKLKEIVY
+PNIEETHWLSNLESTHWLEHIKLILAGALRIADKVESGKTSVVVHCSDGWDRTAQLTSLA
+MLMLDGYYRTIRGFEVLVEKEWLSFGHRFQLRVGHGDKNHADADRSPVFLQFIDCVWQMT
+RQFPTAFEFNEYFLITILDHLYSCLFGTFLCNSEQQR
+>Q13615_PF06602_158 <unknown description>
+TSRFKNEVERMGFDMNNAWRISNINEKYKLCGSYPQELIVPAWITDKELESVSSFRSWKR
+IPAVIYRHQSNGAVIARCGQPEVSWWGWRNADDEHLVQSVAKACASDSRSSGSKLSTRNT
+SRDFPNGGDLSDVEFDSSLSNASGAESLAIQPQKLLILDARSYAAAVANRAKGGGCECPE
+YYPNCEVVFMGMANIHSIRRSFQSLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALL
+VVHAVDQDQRPVLVHCSDGWDRTPQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFA
+DRCGHGENSDDLNERCPVFLQWLDCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFL
+CNNAKER
+>Q13615_PF01363_1115 <unknown description>
+RWLPDHLAAHCYACDSAFWLASRKHHCRNCGNVFCSSCCNQKVPVPSQQLFEPSRVCKSC
+YSSL
+>O95248_PF03456_26 <unknown description>
+QILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPERNPPTFFVAVLTDINSERHYCACLTF
+>O95248_PF02141_129 <unknown description>
+APKTLVLVSRLDHTEVFRNSLGLIYAIHVEGLNVCLENVIGNLLTCTVPLAGGSQRTISL
+GAGDRQVIQTPLADSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLSRSYQRLAD
+ACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELLDVIVADLD
+G
+>O95248_PF12335_542 <unknown description>
+GPPMTAILERCSGLHVNSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRCL
+AQELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIAAALLPLVTAFCRKLSPG
+VTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPTEDLAPAQEVGEAPSQEDER
+SALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRM
+>O95248_PF02893_881 <unknown description>
+KPKLLRPRLLPGEECVLDGLRVYLLPDGREEGAGGSAGGPALLPAEGAVFLTTYRVIFTG
+MPTDPLVGEQVVVRSFPVAALTKEKRISVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVG
+SDSAELFRKQLHKLRYP
+>O95248_PF06602_1127 <unknown description>
+CRDYQRLGLGTLSSSLSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSVQDNALQRVSRC
+YRQNRFPVVCWRSGRSKAVLLRSGGLHGKGVVGLFKAQNAPSPGQSQADSSSLEQEKYLQ
+AVVSSMPRYADASGRNTLSGFSSAHMGSHVPSPRARVTTLSNPMAASASRRTAPRGKWGS
+VRTSGRSSGLGTDVGSRLAGRDALAPPQANGGPPDPGFLRPQRAALYILGDKAQLKGVRS
+DPLQQWELVPIEVFEARQVKASFKKLLKACVPGCPAAEPSPASFLRSLEDSEWLIQIHKL
+LQVSVLVVELLDSGSSVLVGLEDGWDITTQVVSLVQLLSDPFYRTLEGFRLLVEKEWLSF
+GHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQVHLQFPMEFEFSQFYLKFLGYHHVSRRF
+RTFLLDSDYER
+>O95248_PF00169_1790 <unknown description>
+YEGTLYKKGAFMKPWKARWFVLDKTKHQLRYYDHRVDTECKGVIDLAEVEAVAPGTPTMG
+APKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDRIQSCL
+>Q9Y217_PF06602_107 <unknown description>
+LYAFSYNPKQNDSERLQGWQLIDLAEEYKRMGVPNSHWQLSDANRDYKICETYPRELYVP
+RIASKPIIVGSSKFRSKGRFPVLSYYHQDKEAAICRCSQPLSGFSARCLEDEHLLQAISK
+ANPVNRYMYVMDTRPKLNAMANRAAGKGYENEDNYSNIRFQFVGIENIHVMRSSLQKLLE
+VNGTKGLSVNDFYSGLESSGWLRHIKAVMDAAIFLAKAITVENASVLVHCSDGWDRTSQV
+CSLGSLLLDSYYRTIKGFMVLIEKDWISFGHKFSERCGQLDGDPKEVSPVFTQFLECVWH
+LTEQFPQAFEFSEAFLLQIHEHIHSCQFGNFLGNCQKERE
+>Q9Y216_PF06602_109 <unknown description>
+LYCFSFNPMLDKEEREQGWVLIDLSEEYTRMGLPNHYWQLSDVNRDYRVCDSYPTELYVP
+KSATAHIIVGSSKFRSRRRFPVLSYYYKDNHASICRSSQPLSGFSARCLEDEQMLQAIRK
+ANPGSDFVYVVDTRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLE
+VCELKSPSMSDFLWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQV
+CSVASLLLDPHYRTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIECVWQ
+LMEQFPCAFEFNERFLIHIQHHIYSCQFGNFLCNSQKERR
+>Q96EF0_PF06602_109 <unknown description>
+LYAFSYNPKSSKEMRESGWKLIDPISDFGRMGIPNRNWTITDANRNYEICSTYPPEIVVP
+KSVTLGTVVGSSKFRSKERVPVLSYLYKENNAAICRCSQPLSGFYTRCVDDELLLEAISQ
+TNPGSQFMYVVDTRPKLNAMANRAAGKGYENEDNYANIRFRFMGIENIHVMRSSLQKLLE
+VCELKTPTMSEFLSGLESSGWLRHIKAIMDAGIFITKAVKVEKASVLVHCSDGWDRTAQV
+CSVASILLDPFYRTFKGLMILIEKEWISMGHKFSQRCGHLDGDSKEVSPIFTQFLDCIWQ
+LMEQFPCAFEFNENFLLEIHDHVFSCQFGNFLGNCQKDRE
+>Q96QG7_PF06602_108 <unknown description>
+MYPFFYRPMFEVIEDGWHSFLPEQEFELYSSATSEWRLSYVNKEFAVCPSYPPIVTVPKS
+IDDEALRKVATFRHGGRFPVLSYYHKKNGMVIMRSGQPLTGTNGRRCKEDEKLINATLRA
+GKRGYIIDTRSLNVAQQTRAKGGGFEQEAHYPQWRRIHKSIERYHILQESLIKLVEACND
+QTHNMDRWLSKLEASNWLTHIKEILTTACLAAQCIDREGASILIHGTEGTDSTLQVTSLA
+QIILEPRSRTIRGFEALIEREWLQAGHPFQQRCAQSAYCNTKQKWEAPVFLLFLDCVWQI
+LRQFPCSFEFNENFLIMLFEHAYASQFGTFLGNNESER
+>Q9NXD2_PF06602_226 <unknown description>
+DWDREIKRTGASGWRVCSINEGYMISTCLPEYIVVPSSLADQDLKIFSHSFVGRRMPLWC
+WSHSNGSALVRMALIKDVLQQRKIDQRICNAITKSHP
+>Q9NXD2_PF06602_335 <unknown description>
+TLPNIQEVQAAFVKLKQLCVNEPFEETEEKWLSSLENTRWLEYVRAFLKHSAELVYMLES
+KHLSVVLQEEEGRDLSCCVASLVQVMLDPYFRTITGFQSLIQKEWVMAGYQFLDRCNHLK
+RSEKESPLFLLFLDATWQLLEQYPAAFEFSETYLAVLYDSTRISLFGTFLFNSPHQR
+>Q9NXD2_PF12578_574 <unknown description>
+KRTKKSYSSTLRGMPSALKNGIISDQELLPRRNSLILKPKPDPAQQTDSQNSDTEQYFRE
+WFSKPANLHGVILPRVSGTHIKLWKLCYFRWVPEAQISLGGSITAFHKLSLLADEVDVLS
+RMLRQQRSGPL
+>A4FU01_PF06602_205 <unknown description>
+ERKKQAARGWRVSTVNERFDVATSLPRYFWVPNRILDSEVRRAFGHFHQGRGPRLSWHHP
+GGSDLLRCGGFYTASDPNKEDIRAVELMLQAGHSDVVLVDT
+>A4FU01_PF06602_319 <unknown description>
+HLRLRALCLPDSSVAEDKWLSALEGTRWLDYVRACLRKASDISVLVTSRVRSVILQERGD
+RDLNGLLSSLVQLLSAPEARTLFGFQSLVQREWVAAGHPFLTRLGGTGASEEAPVFLLFL
+DCVWQLLQQFPADFEFSEFFLLALHDSVRVPDTLTFLRNTPWER
+>A4FU01_PF12578_552 <unknown description>
+FMVPGPPSSVWLFSRGALTPLNQLCPWRDSPSLLAVSSRWLPRPAISSESLADQEWGLPS
+HWGACPLPPGLLLPGYLGPQIRLWRRCYLRGRPEVQMGLSAPTISGLQDELSHLQELLRK
+WTPRIS
+>Q9C0I1_PF06602_317 <unknown description>
+LSSNFLSLQEIQTAYSKFKQLFLIDNSTEFWDTDIKWFSLLESSSWLDIIRRCLKKAIEI
+TECMEAQNMNVLLLEENASDLCCLISSLVQLMMDPHCRTRIGFQSLIQKEWVMGGHCFLD
+RCNHLRQNDKEEVPVFLLFLDCVWQLVHQHPPAFEFTETYLTVLSDSLYIPIFSTFFFNS
+PHQK
+>Q9C0I1_PF12578_559 <unknown description>
+HQRQLSLPLTQSKSSPKRGFFREETDHLIKNLLGKRISKLINSSDELQDNFREFYDSWHS
+KSTDYHGLLLPHIEGPEIKVWAQRYLRWIPEAQILGGGQVATLSKLLEMMEEVQSLQEKI
+DERHHSQ
+>Q86WG5_PF03456_26 <unknown description>
+KIIQRFPQKDWDDTPFPQGIELFCQPGGWQLSRERKQPTFFVVVLTDIDSDRHYCSCLTF
+>Q86WG5_PF02141_117 <unknown description>
+APKSLVLVSRLYYPEIFRACLGLIYTVYVDSLNVSLESLIANLCACLVPAAGGSQKLFSL
+GAGDRQLIQTPLHDSLPITGTSVALLFQQLGIQNVLSLFCAVLTENKVLFHSASFQRLSD
+ACRALESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSVFKTDVHELLDVIIADLD
+G
+>Q86WG5_PF12335_530 <unknown description>
+GPPVVSIMDKVTTVFNSAQRLEVVRNCISFIFENKILETEKTLPAALRALKGKAARQCLT
+DELGLHVQQNRAILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGV
+SQFAYTCVQDHPIWTNQQFWETTFYNAVQEQVRSLYLSAKEDNHAPHLKQKDKLPDDHYQ
+EKTAMDLAAEQLRLWPTLSKSTQQELVQHEESTVFSQAIHFANLM
+>Q86WG5_PF02893_870 <unknown description>
+KPKILRPALLPGEEIVCEGLRVLLDPDGREEATGGLLGGPQLLPAEGALFLTTYRILFRG
+TPHDQLVGEQTVVRSFPIASITKEKKITMQNQLQQNMQEGLQITSASFQLIKVAFDEEVS
+PEVVEIFKKQLMKFRYP
+>Q86WG5_PF06602_1114 <unknown description>
+CFRDYQRLGLGTISGSSSRSRPEYFRITASNRMYSLCRSYPGLLVVPQAVQDSSLPRVAR
+CYRHNRLPVVCWKNSRSGTLLLRSGGFHGKGVVGLFKSQNSPQAAPTSSLESSSSIEQEK
+YLQALLNAVSVHQKLRGNSTLTVRPAFALSPGVWASLRSSTRLISSPTSFIDVGARLAGK
+DHSASFSNSSYLQNQLLKRQAALYIFGEKSQLRNFKVEFALNCEFVPVEFHEIRQVKASF
+KKLMRACIPSTIPTDSEVTFLKALGDSEWFPQLHRIMQLAVVVSEVLENGSSVLVCLEEG
+WDITAQVTSLVQLLSDPFYRTLEGFQMLVEKEWLSFGHKFSQRSSLTLNCQGSGFAPVFL
+QFLDCVHQVHNQYPTEFEFNLYYLKFLAFHYVSNRFKTFLLDSDYER
+>Q86WG5_PF00169_1746 <unknown description>
+FEGTLYKRGALLKGWKPRWFVLDVTKHQLRYYDSGEDTSCKGHIDLAEVEMVIPAGPSMG
+APKHTSDKAFFDLKTSKRVYNFCAQDGQSAQQWMDKIQSCIS
+>Q9BV20_PF01008_44 <unknown description>
+KVRGAPAIALVGCLSLAVELQAGAGGPGLAALVAFVRDKLSFLVTARPTAVNMARAARDL
+ADVAAREAEREGATEEAVRERVICCTEDMLEKDLRDNRSIGDLGARHLLERVAPSGGKVT
+VLTHCNTGALATAGYGTALGVIRSLHSLGRLEHAFCTETRPYNQGARLTAFELVYEQIPA
+TLITDSMVAAAMAHRGVSAVVVGADRVVANGDTANKVGTYQLAIVAKHHGIPFYVAAPSS
+SCDLRLETGKEIIIEERPGQELTDVNGVRIAAPGIGVWNPAFDVTPHDLITGGIITELGV
+FAP
+>Q96GX9_PF00596_28 <unknown description>
+IPELCKQFYHLGWVTGTGGGISLKHGDEIYIAPSGVQKERIQPEDMFVCDINEKDISGPS
+PSKKLKKSQCTPLFMNAYTMRGAGAVIHTHSKAAVMATLLFPGREFKITHQEMIKGIKKC
+TSGGYYRYDDMLVVPIIENTPEEKDLKDRMAHAMNEYPDSCAVLVRRHGVYVWGETWEKA
+KTMCECYDYLFDIA
+>Q9BV57_PF03079_3 <unknown description>
+QAWYMDDAPGDPRQPHRPDPGRPVGLEQLRRLGVLYWKLDADKYENDPELEKIRRERNYS
+WMDIITICKDKLPNYEEKIKMFYEEHLHLDDEIRYILDGSGYFDVRDKEDQWIRIFMEKG
+DMVTLPAGIYHRFTVDEKNYTKAMRLFVGEPVWTA
+>Q9Y2Z2_PF01134_38 <unknown description>
+DVIVIGGGHAGTEAATAAARCGSRTLLLTHRVDTIGQMSCNPSFGGIGKGHLMREVDALD
+GLCSRICDQSGVHYKVLNRRKGPAVWGLRAQIDRKLYKQNMQKEILNTPLLTVQEGAVED
+LILTEPEPEHTGKCRVSGVVLVDGSTVYAESVILTTGTFLRGMIVIGLETHPAGRLGDQP
+SIGLAQTLEKLGFVVGRLKTGTPPRIAKESINFSILNKHIPDNPSIPFSFTNETVWIKPE
+DQLPCYLTHTNPRVDEIVLKNLHLNSHVKETTRGPRYCPSIESKVLRFPNRLHQVWLEPE
+GMDSDLIYPQGLSMTLPAELQEKMITCIRGLEKAKVIQPGYGVQYDYLDPRQITPSLETH
+LVQRLFFAGQINGTTGYEEAAAQGVIAGINASLRVSRK
+>Q9Y2Z2_PF13932_438 <unknown description>
+FVVSRTEGYIGVLIDDLTTLGTSEPYRMFTSRVEFRLSLRPDNADSRLTLRGYKDAGCVS
+QQRYERACWMKSSLEEGISVLKSIEFLSSKWKKLIPEASISTSRSLPVRALDVLKYEEVD
+MDSLAKAVPEPLKKYTKCRELAERLKIEATYESVLFHQLQEIKGVQQDEALQLPKDLDYL
+TIRDVSLSHEVREKLHFSRPQTIGAASRIPGVTPAAIINLL
+>P42345_PF11865_854 <unknown description>
+VVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHKVNIGMIDQSRDAS
+AVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFRDQSLSHHHTMVVQ
+AITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLVSFVK
+>P42345_PF02259_1513 <unknown description>
+ARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLAQQCIDKARDLLD
+AELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWWERLQGCQRIVED
+WQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVDPSRQLDHPLPTV
+HPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHKQELHKLMARCFL
+KLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEAVLHYKHQNQARD
+EKKKLRHASGANITNATTAATTAATATTTASTEGSNSESEAESTENSPTPSPLQKKVTED
+LSKTLLMYTVPAVQGFFRSISLSRGNNLQDTLRVLT
+>P42345_PF08771_2015 <unknown description>
+ELIRVAILWHEMWHEGLEEASRLYFGERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAY
+GRDLMEAQEWCRKYMKSGNVKDLTQAWDLYYHVFRRISK
+>P42345_PF00454_2183 <unknown description>
+VFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKNLSIQRYAVIPLSTNSGLIGWVPH
+CDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLTLMQKVEVFEHAVNNTAGDDLAKL
+LWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDRHPSNLMLDRLSGKILHIDFGDCF
+EVAMTREKFPEKIPFRLTRMLTNAMEVTGLDGNYRITCHTVMEVLREHKDSVMAVLEAFV
+YDPLLNWR
+>P42345_PF02260_2519 <unknown description>
+LDVPTQVELLIKQATSHENLCQCYIGWCPFW
+>P58546_PF12796_6 <unknown description>
+FMWALKNGDLDEVKDYVAKGEDVNRTLEGGRKPLHYAADCGQLEILEFLLLKGADINAPD
+KHHITPLLSAVYEGHVSCVKLLLSKGADKTVK
+>P55157_PF01347_29 <unknown description>
+NNDRLYKLTYSTEVLLDRGKGKLQDSVGYRISSNVDVALLWRNPDGDDDQLIQITMKDVN
+VENVNQQRGEKSIFKGKSPSKIMGKENLEALQRPTLLHLIHGKVKEFYSYQNEAVAIENI
+KRGLASLFQTQLSSGTTNEVDISGNCKVTYQAHQDKVIKIKALDSCKIARSGFTTPNQVL
+GVSSKATSVTTYKIEDSFVIAVLAEETHNFGLNFLQTIKGKIVSKQKLELKTTEAGPRLM
+SGKQAAAIIKAVDSKYTAIPIVGQVFQSHCKGCPSLSELWRSTRKYLQPDNLSKAEAVRN
+FLAFIQHLRTAKKEEILQILKMENKEVLPQLVDAVTSAQTSDSLEAILDFLDFKSDSSII
+LQERFLYACGFASHPNEELLRALISKFKGSIGSSDIRETVMIITGTLVRKLCQNEGCKLK
+AVVEAKKLILGGLEKAEKKEDTRMYLLALKNALLPEGIPSLLKYAEAGEGPISHLATTAL
+QRYDLPFITDEVKKTLNRIYHQNRKVHEKTVRTAAAAIILNNNPSYMDVKNILLSIGELP
+QEMNKYMLAIV
+>P55157_PF19444_592 <unknown description>
+IVRRVLKEMVAHNYDRFSRSGSSSAYTGYIERSPRSASTYSLDILYSGSGILRRSNLNIF
+QYIGKAGLHGSQVVIEAQGLEALIAATPDEGEENLDSYAGMSAILFDVQLRPVTFFNGYS
+DLMSKMLSASGDPISVVKGLILLIDHSQELQLQSGLKANIEVQGGLAIDISGAMEFSLWY
+RESKTRVKNRVTVVITTDITVDSSFVKAGLETSTETEAGLEFISTVQFSQYPFLVCMQMD
+KDEAPFRQFEKKYERLSTGRGYVSQKRKESVLAGCEFPLHQENSEMCKVVFA
+>P48039_PF00001_44 <unknown description>
+GNLLVILSVYRNKKLRNAGNIFVVSLAVADLVVAIYPYPLVLMSIFNNGWNLGYLHCQVS
+GFLMGLSVIGSIFNITGIAINRYCYICHSLKYDKLYSSKNSLCYVLLIWLLTLAAVLPNL
+RAGTLQYDPRIYSCTFAQSVSSAYTIAVVVFHFLVPMIIVIFCYLRIWILVLQVRQRVKP
+DRKPKLKPQDFRNFVTMFVVFVLFAICWAPLNFIGLAVASDPASMVPRIPEWLFVASYYM
+AYFNSCLNAIIY
+>P49286_PF00001_57 <unknown description>
+GNLLVILSVLRNRKLRNAGNLFLVSLALADLVVAFYPYPLILVAIFYDGWALGEEHCKAS
+AFVMGLSVIGSVFNITAIAINRYCYICHSMAYHRIYRRWHTPLHICLIWLLTVVALLPNF
+FVGSLEYDPRIYSCTFIQTASTQYTAAVVVIHFLLPIAVVSFCYLRIWVLVLQARRKAKP
+ESRLCLKPSDLRSFLTMFVVFVIFAICWAPLNCIGLAVAINPQEMAPQIPEGLFVTSYLL
+AYFNSCLNAIVY
+>Q13585_PF00001_45 <unknown description>
+GNSMVILAVTKNKKLRNSGNIFVVSLSVADMLVAIYPYPLMLHAMSIGGWDLSQLQCQMV
+GFITGLSVVGSIFNIVAIAINRYCYICHSLQYERIFSVRNTCIYLVITWIMTVLAVLPNM
+YIGTIEYDPRTYTCIFNYLNNPVFTVTIVCIHFVLPLLIVGFCYVRIWTKVLAARDPAGQ
+NPDNQLAEVRNFLTMFVIFLLFAVCWCPINVLTVLVAVSPKEMAGKIPNWLYLAAYFIAY
+FNSCLNAVIY
+>P42285_PF00270_143 <unknown description>
+FQREAIQCVDNNQSVLVSAHTSAGKTVCAEYAIALALREKQRVIFTSPIKALSNQKYREM
+YEEFQDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREVAWVIFDEIHYMRDSER
+GVVWEETIILLPDNVHYVFLSATIP
+>P42285_PF00271_422 <unknown description>
+EVFSNAIDCLSDEDKKLPQVEHVLPLLKRGIGIHHGGLLPILKETIEILFSEGLIKALFA
+TETFAMGINMPARTVLFTNARKFDGKDFRWISSGEYIQMSGRAGRRG
+>P42285_PF13234_582 <unknown description>
+EYMLEKSFYQFQHYRAIPGVVEKVKNSEEQYNKIVIPNEESVVIYYKIRQQLAKLGKEIE
+EYIHKPKYCLPFLQPGRLVKVKNEGDDFGWGVVVNFSKKSNVKPNSGELDPLYVVEVLLR
+CSKESLKNSATEAAKPAKPDEKGEMQVVPVLVHLLSAISSVRLYIPKDLRPVDNRQSVLK
+SIQEVQKRFPDGIPLLDPIDDMGIQDQGLKKVIQKVEAFEHRMYSHPLHNDPNLETVYTL
+CEKKAQIAIDIKSAKRELKK
+>P42285_PF08148_868 <unknown description>
+DVIEMKGRVACEISSADELLLTEMMFNGLFNDLSAEQATALLSCFVFQENSSEMPKLTEQ
+LAGPLRQMQECAKRIAKVSAEAKLEIDEETYLSSFKPHLMDVVYTWATGATFAHICKMTD
+VFEGSIIRCMRRLEELLRQMCQAAKAIGNTELENKFAEGITKIKRDIVFA
+>Q9H3J6_PF00472_53 <unknown description>
+LSLDENELEEQFVKGHGPGGQATNKTSNCVVLKHIPSGIVVKCHQTRSVDQNRKLARKIL
+QEKVDVFYNGENSPVHKEKREAAKKKQERKKRAKE
+>Q9UBK8_PF00258_6 <unknown description>
+LLYATQQGQAKAIAEEICEQAVVHGFSADLHCISESDKYDLKTETAPLVVVVSTTGTGDP
+PDTARKFVKEIQNQTLPVDFFAHLRYGLLGLGDSEYTYFCNGGKIIDKRLQELGARHFYD
+TGHADDCVGLELVVEPW
+>Q9UBK8_PF00667_271 <unknown description>
+DPVFQVPISKAVQLTTNDAIKTTLLVELDISNTDFSYQPGDAFSVICPNSDSEVQSLLQR
+LQLEDKREHCVLLKIKADTKKKGATLPQHIPAGCSLQFIFTWCLEIRAIPKKAFLRALVD
+YTSDSAEKRRLQELCSKQGAADYSRFVRDACACLLDLLLAFPSCQPPLSLLLEHLPKLQP
+RPYSCASSSLFHPGKLHFVFNIVEFLSTATTEVLRKGVCTGW
+>Q9UBK8_PF00175_542 <unknown description>
+MVGPGTGIAPFIGFLQHREKLQEQHPDGNFGAMWLFFGCRHKDRDYLFRKELRHFLKHGI
+LTHLKVSFSRDAPVGEEEAPAKYVQDNIQLHGQQVARILLQENGHIYVCGDAKNMAKDVH
+>O43312_PF08397_16 <unknown description>
+FQTIISDMKGSYPVWEDFINKAGKLQSQLRTTVVAAAAFLDAFQKVADMATNTRGGTREI
+GSALTRMCMRHRSIEAKLRQFSSALIDCLINPLQEQMEEWKKVANQLDKDHAKEYKKARQ
+EIKKKSSDTLKLQKKAKKGRGDIQPQLDSALQDVNDKYLLLEETEKQAVRKALIEERGRF
+CTFISMLRPVIEEEISMLGEITHLQTISEDLKSLTMDPHKLP
+>O43312_PF02205_725 <unknown description>
+TPQGEDMLNAIRRGVKLKKTTTNDRS
+>Q765P7_PF08397_15 <unknown description>
+FQAIVNDMKSSYPIWEDFNSKATKLHSQLRTTVLAAVAFLDAFQKVADMATNTRGATRDI
+GSALTRMCMRHRSIETKLRQFTNALLESLINPLQERIEDWKKAANQLDKDHAKEYKRARH
+EIKKKSSDTLKLQKKARKELLGKGDLQPQLDSALQDVNDMYLLLEETEKQAVRRALIEER
+GRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTAEPHKLP
+>O75648_PF03054_6 <unknown description>
+HVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEHGVCTADKDCEDAYRVCQILD
+IPFHQVSYVKEYWNDVFSDFLNEYEKGRTPNPDIVCNKHIKFSCFFHYAVDNLGADAIAT
+GHYARTSLEDEEVFEQKHVKKPEGLFRNRFEVRNAVKLLQAADSFKDQTFFLSQVSQDAL
+RRTIFPLGGLTKEFVKKIAAENRLHHVLQKKESMGMCFIGKRNFEHFLLQYLQPRPGHFI
+SIEDNKVLGTHKGWFLYTLGQRANIGGLREPWYVVEKDSVKGDVFVAPRTDHPALYRDLL
+RTSRVHWIAEEPPAALVRDKMMECHFRFRHQMALVPCVLTLNQDGTVWVTAVQAVRALAT
+GQFAVFYKGDECLGSGKI
+>Q8N3F0_PF15167_4 <unknown description>
+QQLADVAEKWCSNTPFELIATEETERRMDFYADPGVSFYVLCPDNGCGDNFHVWSESEDC
+LPFLQLAQDYISSCGKKTLHEVLEKVFKSFRPLLGLPDADDDAFEEYSADVEEEEPEADH
+PQMGVSQQ
+>Q13505_PF10568_172 <unknown description>
+SLAVLTYARFTGAPLKVHKISNPWQSPSGTLPALRTSHGEVISVPHKIITHLRKEKYNAD
+YDLSARQGADTLAFMSLLEEKLLPVLVHTFWIDTKNYVEVTRKWYAEAMPFPLNFFLPGR
+MQ
+>Q13505_PF17171_323 <unknown description>
+ARECLTLLSQRLGSQKFFFGDAPASLDAFVFSYLALLLQAKLPSGKLQVHLRGLHNLCAY
+CTHI
+>O75431_PF10568_41 <unknown description>
+SLAVQAFLQMCNLPIKVVCRANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLS
+DGLEEVQKAEMKAYMELVNNMLLTAELYLQWCDEATVGEITHARYGSPYPWPLNHILAYQ
+KQ
+>O75431_PF17171_187 <unknown description>
+DQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQLTNDELSEKVKNYSNLLAFC
+RRI
+>Q5HYI7_PF10568_23 <unknown description>
+SLVVMAYAKFSGAPLKVNVIDNTWRGSRGDVPILTTEDDMVSQPAKILNFLRKQKYNADY
+ELSAKQGADTLAYIALLEEKLLPAVLHTFWVESDNYFTVTKPWFASQIPFPLSLILPGRM
+>Q5HYI7_PF17171_173 <unknown description>
+AKECLNLLSNRLGTSQFFFGDTPSTLDAYVFGFLAPLYKVRFPKVQLQEHLKQLSNLCRF
+CDDI
+>Q9UKN1_PF01390_5032 <unknown description>
+TVKVTYRNFTEKMNDASSQEYQNFSTLFKNRMDVVLKGDNLPQYRGVNIRRLLNGSIVVK
+NDVILEADYTLEYEELFENLAEIVKAKIMNET
+>Q9H3R2_PF01390_215 <unknown description>
+VFPGKISVTVSETFDPEEKHSMAYQDLHSEITSLFKDVFGTSVYGQTVILTVSTSLSPRS
+EMRADDKFVNVTIVTILAETTSDNEKTVTEKINKAIRSSSSN
+>Q685J3_PF01390_4187 <unknown description>
+QMELTVTVTSVKFTEELKNHSSQEFQEFKQTFTEQMNIVYSGIPEYVGVNITKLRLGSVV
+VEHDVLLRTKYTPEYKTVLDNATEVVKEKITKVTTQ
+>P43121_PF07686_33 <unknown description>
+PELVEVEVGSTALLKCGLSQSQGNLSHVDWFSVHKEKRTLIFRVRQGQGQSEPGEYEQRL
+SLQDRGATLALTQVTPQDERIFLCQGKRPRSQEYRIQLRV
+>P43121_PF08205_139 <unknown description>
+PNIQVNPLGIPVNSKEPEEVATCVGRNGYPIPQVIWYKNGRPLKEEKNRVHIQSSQTVES
+SGLYTLQSILKAQLVKEDKDAQFYCELNYRLPSGNH
+>P43121_PF13927_262 <unknown description>
+LKEGDRVEIRCLADGNPPPHFSISKQNPSTREAEEETTNDNGVLVLEPARKEHSGRYECQ
+>P43121_PF00047_354 <unknown description>
+ERQEGSSLTLTCEAESSQDLEFQWLREETGQVLERGPVLQLHDLKREAGGGYRCVASVP
+>Q5SSG8_PF05647_21 <unknown description>
+ATNSNETSTSANTGSSVISSGASTATNSGSSVTSSGVSTATISGSSVTSNGVSIVTNSEF
+HTT
+>Q5SSG8_PF05647_76 <unknown description>
+TNSEFHTTSSGISTATNSEFSTVSSGISIATNSESSTTSSGASTATNSESSTPSSGASTA
+TN
+>Q5SSG8_PF05647_136 <unknown description>
+TNSDSSTTSSGASTATNSDSSTTSSEASTATNSESSTTSSGASTATNSESSTVSS
+>Q5SSG8_PF05647_181 <unknown description>
+TNSESSTVSSRASTATNSESSTTSSGASTATNSESRTTSNGAGTATNSESSTTSSGASTA
+T
+>Q5SSG8_PF05647_217 <unknown description>
+TTSNGAGTATNSESSTTSSGASTATNSESSTPSSGAGTATNSESSTTSSGAGTATNS
+>Q5SSG8_PF05647_271 <unknown description>
+TNSESSTVSSGISTVTNSESSTPSSGANTATNSESSTTSSGANTATNSDSSTTSSGASTA
+T
+>Q5SSG8_PF05647_331 <unknown description>
+TNSESSTTSSGASTATNSESSTTSSGASTATNSGSSTTSSGTSTATNSESSTVSSGASTA
+TT
+>Q5SSG8_PF05647_393 <unknown description>
+SESSTTSSGASTATNSESSTVSSGASTATNSESSTTSSGANTATNSGSSVTSAGSGTAAL
+TGMHTT
+>Q5SSG8_PF14654_463 <unknown description>
+STAVSEAKPGGSLVPWEIFLITLVSVVAAVGLFAGLFFCVRNSLSLRNTFNTAVYHPHGL
+NHGLGPGPGGNHGAPHRPRWSPNWFWRRPV
+>E2RYF6_PF14654_1645 <unknown description>
+SHTPTNVIKPSGYLQPWAIILISLAAVVAAVGLSVGLSFCLRNLFFPLRYCGIYYPHGHS
+HSLGLDLNLGLGSGTFHSLGNALVHGGELEM
+>Q04900_PF05283_60 <unknown description>
+TCEGRNSCVSCFNVSVVNTTCFWIECKDESYCSHNSTVSDCQVGNTTDFCSVSTATPVPT
+ANSTAKPTVQPSPSTTSKTVTTSGTTNNTVTPTSQPVRKSTFDAASFIGGIVLVLGVQAV
+IFFLYKFCKSKERNYHTL
+>Q99102_PF06119_4468 <unknown description>
+NTYQAILSTDGSRSYALFLYQSGGMQWDVAQRSGNPVLMGFSSGDGYFENSPLMSQPVWE
+RYRPDRFLNSNSGLQGLQFYRLH
+>Q99102_PF00094_4683 <unknown description>
+WMFGDPHITTLDGVSYTFNGLGDFLLVGAQDGNSSFLLQGRTAQTGSAQATNFIAFAAQY
+RSSSLGPVTVQWLLEPHDAIRVLLDNQTVTFQPDHEDGGGQETFNATGVLLSRNGSEVSA
+SFDGWATVSVIALSNILHASASLPPEYQNRTEGLLGVWNNNPEDDFRMPNG
+>P98088_PF00094_81 <unknown description>
+CSTWGSFHYKTFDGDVFRFPGLCNYVFSEHCGAAYEDFNIQLRRSQESAAPTLSRVLMKV
+DGVVIQLTKGSVLVNGHPVLLPFSQSGVLIQQSSSYTKVEARLGLVLMWNHDDSLLLELD
+TKYANKTCGLCGDFNGMP
+>P98088_PF08742_266 <unknown description>
+CEELLHGQLFSGCVALVDVGSYLEACRQDLCFCEDTDLLSCVCHTLAEYSRQCTHAGGLP
+QDWRGPDFC
+>P98088_PF01826_338 <unknown description>
+CPNNMQYHECRSPCADTCSNQEHSRACEDHCVAGCFCPEGTVLDDIGQTGCVPVSKC
+>P98088_PF00094_434 <unknown description>
+CSVLGGAHFSTFDGKQYTVHGDCSYVLTKPCDSSAFTVLAELRRCGLTDSETCLKSVTLS
+LDGAQTVVVIKASGEVFLNQIYTQLPISAANVTIFRPSTFFIIAQTSLGLQLNLQLVPTM
+QLFMQLAPKLRGQTCGLCGNFNSIQADDFRTLSG
+>P98088_PF08742_630 <unknown description>
+WCSQLTDADGPFGRCHAAVKPGTYYSNCMFDTCNCERSEDCLCAALSSYVHACAAKGVQL
+GGWRD
+>P98088_PF01826_704 <unknown description>
+CPKSMTYHYHVSTCQPTCRSLSEGDITCSVGFIPVDGCICPKGTFLDDTGKCVQASNC
+>P98088_PF00094_903 <unknown description>
+CAVYGDGHYLTFDGQSYSFNGDCEYTLVQNHCGGKDSTQDSFRVVTENVPCGTTGTTCSK
+AIKIFLGGFELKLSHGKVEVIGTDESQEVPYTIRQMGIYLVVDTDIGLVLLWDKKTSIFI
+NLSPEFKGRVCGLCGNFDDIAVNDFATRS
+>P98088_PF08742_1094 <unknown description>
+QCSILHGPTFAACHAHVEPARYYEACVNDACACDSGGDCECFCTAVAAYAQACHEVGLCV
+SWRTPSIC
+>P98088_PF13330_1389 <unknown description>
+WSPWMDVSRPGRGTDSGDFDTLENLRAHGYRVCESPRSVECRAEDAPGVPLRALGQRVQC
+SPDVGLTCRNREQASGLCYNYQIRVQC
+>P98088_PF13330_1584 <unknown description>
+WTEWIDGSYPAPGINGGDFDTFQNLRDEGYTFCESPRSVQCRAESFPNTPLADLGQDVIC
+SHTEGLICLNKNQLPPICYNYEIRIQC
+>P98088_PF13330_1749 <unknown description>
+WTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF13330_1957 <unknown description>
+WTEWIDGSYPAPGINGGDFDTFQNLRDEGYTFCESPRSVQCRAESFPNTPLADLGQDVIC
+SHTEGLICLNKNQLPPICYNYEIRIQC
+>P98088_PF13330_2122 <unknown description>
+WTTWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF13330_3228 <unknown description>
+WTKWFDIDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVSIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF13330_3526 <unknown description>
+WTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF13330_3959 <unknown description>
+WTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVSIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF13330_4633 <unknown description>
+WTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVNIEHLGQV
+VQCSREEGLVCRNQDQQGPFKMCLNYEVRVLC
+>P98088_PF00094_4921 <unknown description>
+CSGWGDPHYITFDGTYYTFLDNCTYVLVQQIVPVYGHFRVLVDNYFCGAEDGLSCPRSII
+LEYHQDRVVLTRKPVHGVMTNEIIFNNKVVSPGFRKNGIVVSRIGVKMYATIPELGVQVM
+FSGLIFSVEVPFSKFANNTEGQCGTCTNDRKDECRTPRGT
+>P98088_PF08742_5147 <unknown description>
+CQLILSKVFEPCHTVIPPLLFYEGCVFDRCHMTDLDVVCSSLELYAALCASHDICIDWRG
+RT
+>Q9HC84_PF00094_77 <unknown description>
+CSTWGDFHYKTFDGDVFRFPGLCNYVFSEHCRAAYEDFNVQLRRGLVGSRPVVTRVVIKA
+QGLVLEASNGSVLINGQREELPYSRTGLLVEQSGDYIKVSIRLVLTFLWNGEDSALLELD
+PKYANQTCGLCGDFNGLPA
+>Q9HC84_PF08742_262 <unknown description>
+CHRTLLGPAFAECHALVDSTAYLAACAQDLCRCPTCPCATFVEYSRQCAHAGGQPRNWRC
+PELC
+>Q9HC84_PF01826_329 <unknown description>
+CPLNMQHQECGSPCTDTCSNPQRAQLCEDHCVDGCFCPPGTVLDDITHSGCLPLGQC
+>Q9HC84_PF00094_425 <unknown description>
+CSVQGGAHISTYDEKLYDLHGDCSYVLSKKCADSSFTVLAELRKCGLTDNENCLKAVTLS
+LDGGDTAIRVQADGGVFLNSIYTQLPLSAANITLFTPSSFFIVVQTGLGLQLLVQLVPLM
+QVFVRLDPAHQGQMCGLCGNFNQNQADDFTALSG
+>Q9HC84_PF08742_621 <unknown description>
+WCSRLTDPNSAFSRCHSIINPKPFHSNCMFDTCNCERSEDCLCAALSSYVHACAAKGVQL
+SDWRD
+>Q9HC84_PF01826_695 <unknown description>
+CPKSQRYAYVVDACQPTCRGLSEADVTCSVSFVPVDGCTCPAGTFLNDAGACVPAQEC
+>Q9HC84_PF00094_895 <unknown description>
+CVAYGDGHFITFDGDRYSFEGSCEYILAQDYCGDNTTHGTFRIVTENIPCGTTGTTCSKA
+IKLFVESYELILQEGTFKAVARGPGGDPPYKIRYMGIFLVIETHGMAVSWDRKTSVFIRL
+HQDYKGRVCGLCGNFDDNAINDFATRS
+>Q9HC84_PF08742_1084 <unknown description>
+QCSILHGPTFAACRSQVDSTKYYEACVNDACACDSGGDCECFCTAVAAYAQACHDAGLCV
+SWRTPDTC
+>Q9HC84_PF13330_1340 <unknown description>
+WSSWYNGHRPEPGLGGGDFETFENLRQRGYQVCPVLADIECRAAQLPDMPLEELGQQVDC
+DRMRGLMCANSQQSPPLCHDYELRVLC
+>Q9HC84_PF13330_1509 <unknown description>
+WTEWFDEDYPKSEQLGGDVESYDKIRAAGGHLCQQPKDIECQAESFPNWTLAQVGQKVHC
+DVHFGLVCRNWEQEGVFKMCYNYRIRVLC
+>Q9HC84_PF13330_1790 <unknown description>
+WTEWFDVDFPTSGVAGGDMETFENIRAAGGKMCWAPKSIECRAENYPEVSIDQVGQVLTC
+SLETGLTCKNEDQTGRFNMCFNYNVRVLC
+>Q9HC84_PF13330_2320 <unknown description>
+WSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVEC
+SLDFGLVCRNREQVGKFKMCFNYEIRVFC
+>Q9HC84_PF13330_2877 <unknown description>
+WSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVEC
+SLDFGLVCRNREQVGKFKMCFNYEIRVFC
+>Q9HC84_PF13330_3577 <unknown description>
+WSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVEC
+SLDFGLVCRNREQVGKFKMCFNYEIRVFC
+>Q9HC84_PF13330_4134 <unknown description>
+WSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLGELGQVVEC
+SLDFGLVCRNREQVGKFKMCFNYEIRVFC
+>Q9HC84_PF00094_5075 <unknown description>
+CSMWGGSHYSTFDGTSYTFRGNCTYVLMREIHARFGNLSLYLDNHYCTASATAAAARCPR
+ALSIHYKSMDIVLTVTMVHGKEEGLILFDQIPVSSGFSKNGVLVSVLGTTTMRVDIPALG
+VSVTFNGQVFQARLPYSLFHNNTEGQCGTCTNNQRDDCLQRDGT
+>Q9HC84_PF08742_5291 <unknown description>
+CDLMLSQVFAECHNLVPPGPFFNACISDHCRGRLEVPCQSLEAYAELCRARGVCSDWRGA
+T
+>Q6W4X9_PF00094_45 <unknown description>
+CSTWGAGHFSTFDHHVYDFSGTCNYIFAATCKDAFPTFSVQLRRGPDGSISRIIVELGAS
+VVTVSEAIISVKDIGVISLPYTSNGLQITPFGQSVRLVAKQLELELEVVWGPDSHLMVLV
+ERKYMGQMCGLCGNFDGKVTNEFVSEEG
+>Q6W4X9_PF08742_244 <unknown description>
+SVSKEPFVLSCQADVAAAPQPGPQNSSCATLSEYSRQCSMVGQPVRRWRSPGLC
+>Q6W4X9_PF01826_302 <unknown description>
+CPANQVYQECGSACVKTCSNPQHSCSSSCTFGCFCPEGTVLNDLSNNHTCVPVTQC
+>Q6W4X9_PF00094_397 <unknown description>
+CSLEGGSFVTTFDARPYRFHGTCTYILLQSPQLPEDGALMAVYDKSGVSHSETSLVAVVY
+LSRQDKIVISQDEVVTNNGEAKWLPYKTRNITVFRQTSTHLQMATSFGLELVVQLRPIFQ
+AYVTVGPQFRGQTRGLCGNFNGDTTDDFTTSMG
+>Q6W4X9_PF08742_591 <unknown description>
+HCSMLLRTGTVFERCHATVNPAPFYKRCVYQACNYEETFPHICAALGDYVHACSLRGVLL
+WGWRSSVDNC
+>Q6W4X9_PF01826_764 <unknown description>
+CQAPKTFKSCSQSSENKFGAACAPTCQMLATGVACVPTKCEPGCVCAEGLYENADGQCVP
+PEEC
+>Q6W4X9_PF00094_868 <unknown description>
+CTLYGEGHVITFDGQRFVFDGNCEYILATDVCGVNDSQPTFKILTENVICGNSGVTCSRA
+IKIFLGGLSVVLADRNYTVTGEEPHVQLGVTPGALSLVVDISIPGRYNLTLIWNRHMTIL
+IRIARASQDPLCGLCGNFNGNMKDDFETRS
+>Q6W4X9_PF08742_1060 <unknown description>
+KCSVINSQTFATCHSKVYHLPYYEACVRDACGCDSGGDCECLCDAVAAYAQACLDKGVCV
+DWRTPAFC
+>Q9ULC0_PF07010_1 <unknown description>
+MELLQVTILFLLPSICSSNSTGVLEAANNSLVVTTTKPSITTPNTESLQKNVVTPTTGTT
+PKGTITNELLKMSLMSTATFLTSKDEGLKATTTDVRKNDSIISNVTVTSVTLPNAVSTLQ
+SSKPKTETQSSIKTTEIPGSVLQPDASPSKTGTLTSIPVTIPENTSQSQVIGTEGGKNAS
+TSATSRSYSSIILPVVIALIVITLSVFVLVGLYRMCWKADPGTPENGNDQPQSDKESVKL
+LTVKTISHESGEHSAQGKTKN
+>Q969V5_PF12483_98 <unknown description>
+EHKMVWNRTTHLWNDCSKIIHQRTNTVPFDLVPHEDGVDVAVRVLKPLDSVDLGLETVYE
+KFHPSIQSFTDVIGHYISGERPKGIQETEEMLKVGATLTGVGELVLDNNSVRLQPPKQGM
+QYYLSSQDFDSLLQRQESSVRLWKVLALVFGFAT
+>Q969V5_PF13920_300 <unknown description>
+SACVVCLSSFKSCVFLECGHVCSCTECYRALPEPKKCPICRQAITRV
+>Q96NY9_PF02732_277 <unknown description>
+ETRGGGHRPELLRELQRLHVTHTVRKLHVGDFVWVAQETNPRDPANPGELVLDHIVERKR
+LDDLCSSIIDGRFREQKFRLKRCGLERRVYLVEEHGSVHNLSLPESTLLQAVTNTQVIDG
+FFVKRTADIKESAAYLA
+>O60682_PF00010_108 <unknown description>
+QRNAANARERARMRVLSKAFSRLKTSLPWVPPDTKLSKLDTLRLASSYIAHLR
+>O15146_PF07679_28 <unknown description>
+PVITTPLETVDALVEEVATFMCAVESYPQPEISWTRNKILIKLFDTRYSIRENGQLLTIL
+SVEDSDDGIYCCTANNGVGGAVES
+>O15146_PF07679_121 <unknown description>
+PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDSPLRENSRIAVLESGSLRIHNV
+QKEDAGQYRCVAKNSLGTAYSKV
+>O15146_PF13927_217 <unknown description>
+APESHNVTFGSFVTLHCTATGIPVPTITWIENGNAVSSGSIQESVKDRVIDSRLQLFITK
+PGLYTCIATN
+>O15146_PF01392_317 <unknown description>
+CAQYRGEVCNAVLAKDALVFLNTSYADPEEAQELLVHTAWNELKVVSPVCRPAAEALLCN
+HIFQECSPGVVPTPIPICREYCLAVKELFCAKEWLVMEEKTHRGLYRSEMHLLSVPECSK
+LPSM
+>O15146_PF07714_576 <unknown description>
+EYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDN
+PNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSMRAQVSSPGPP
+PLSCAEQLCIARQVAAGMAYLSERKFVHRDLATRNCLVGENMVVKIADFGLSRNIYSADY
+YKANENDAIPIRWMPPESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYV
+RDGNILSCPENCPVELYNLMRLCWSKLPADRPSFTSIHRIL
+>Q8N9H8_PF01612_390 <unknown description>
+LQCHQVVGVDVEWTPVFVAGGRPRPSLLQVAVEGHVFLLDVLALSQPPTGQGAQAFSRLV
+AQLLSDPSITKLGYGMVGDLQKLGTSCPALAHVEKQILGGMDLLLVHRQMRVASVPAPAV
+DRARELRGLSLLVQQVLGTALDKTQQLSNWDRRPLCEEQVIYAAADAYCLLEVHQALC
+>Q8N9H8_PF01927_631 <unknown description>
+RVVCDNMLQGLARSLRCLGVDARMLGNGEDHRRAAEVARQEGRIILTSGQPFHKLRAQVG
+AGRCLSVDCSLKAQQQAKAVLKHFNVRVTHADIFSRCQACNCD
+>P22033_PF01642_63 <unknown description>
+TPEGISIKPLYSKRDTMDLPEELPGVKPFTRGPYPTMYTFRPWTIRQYAGFSTVEESNKF
+YKDNIKAGQQGLSVAFDLATHRGYDSDNPRVRGDVGMAGVAIDTVEDTKILFDGIPLEKM
+SVSMTMNGAVIPVLANFIVTGEEQGVPKEKLTGTIQNDILKEFMVRNTYIFPPEPSMKII
+ADIFEYTAKHMPKFNSISISGYHMQEAGADAILELAYTLADGLEYSRTGLQAGLTIDEFA
+PRLSFFWGIGMNFYMEIAKMRAGRRLWAHLIEKMFQPKNSKSLLLRAHCQTSGWSLTEQD
+PYNNIVRTAIEAMAAVFGGTQSLHTNSFDEALGLPTVKSARIARNTQIIIQEESGIPKVA
+DPWGGSYMMECLTNDVYDAALKLINEIEEMGGMAKAVAEGIPKLRIEECAARRQARIDSG
+SEVIVGVNKYQLEKEDAVEVLAIDNTSVRNRQIEKLKKIKSSRDQALAERCLAALTECAA
+SGDGNILALAVDASRARCTVGEITDALKKVFG
+>P22033_PF02310_616 <unknown description>
+RLLVAKMGQDGHDRGAKVIATGFADLGFDVDIGPLFQTPREVAQQAVDADVHAVGISTLA
+AGHKTLVPELIKELNSLGRPDILVMCGGVIPPQDYEFLFEVGVSNVFGPGTRI
+>Q9UIF7_PF00730_104 <unknown description>
+VSEVMLQQTQVATVINYYTGWMQKWPTLQDLASASLEEVNQLWAGLGYYSRGRRLQEGAR
+KVVEELGGHMPRTAETLQQLLPGVGRYTAGAIASIAFGQATGVVDGNVARVLCRVRAIGA
+DPSSTLVSQQLWGL
+>Q9UIF7_PF00633_169 <unknown description>
+LGGHMPRTAETLQQLLPGVGRYTAGAIASI
+>Q9UIF7_PF14815_357 <unknown description>
+AQILLVQRPNSGLLAGLWEFPSVTWEPSEQLQRKALLQELQRWAGPLPATHLRHLGEVVH
+TFSHIKLTYQVYGLALEGQTPVTTVPPGARWLTQEEFHTAAVSTAMKKVF
+>P53602_PF00288_111 <unknown description>
+HVASVNNFPTAAGLASSAAGYACLAYTLARVYGVESDLSEVARRGSGSACRSLYGG
+>P53602_PF18376_197 <unknown description>
+VLILVVSAEKKLTGSTVGMRASVETSPLLRFRAESVVPARMAEMARCIRERDFPSFAQLT
+MKDSNQFHATCLDTFPPISYLNAISWRIIHLVHRFNAHHGDTKVAYTFDAGPNAVIFTLD
+DTVAEFVAAVWHGFPPGSNGDTFLKGLQVRPAPLSAELQAALAMEPTPGGVKYIIVTQVG
+PGPQIL
+>Q14764_PF17794_50 <unknown description>
+MVTVPPRHYCTVANPVSRDAQGLVLFDVTGQVRLRHADLEIRLAQDPFPLYPGEVLEKDI
+>Q14764_PF01505_113 <unknown description>
+QVVLPNTALHLKALLDFEDKDGDKVVAGDEWLFEGPGTYIPR
+>Q14764_PF01505_167 <unknown description>
+IIRQNQALRLRARKECWDRDGKERVTGEEWLVTTVGAYLP
+>Q14764_PF01505_220 <unknown description>
+ILTEKTALHLRARRNFRDFRGVSRRTGEEWLVTVQDTEAHVPD
+>Q14764_PF17794_273 <unknown description>
+ITTLGPHNYCVILDPVGPDGKNQLGQKRVVKGEKSFFLQPGEQLEQGI
+>Q14764_PF01505_324 <unknown description>
+YVLSEQQGLLLRALQPLEEGEDEEKVSHQAGDHWLIRGPLEYVP
+>Q14764_PF17796_379 <unknown description>
+AIPLDENEGIYVQDVKTGKVRAVIGSTYMLTQDEVLWEKELPPGVEELLNKGQDPLADRG
+>Q14764_PF17795_457 <unknown description>
+VVSYRVPHNAAVQVYDYREKRARVVFGPELVSLGPEEQFTVLSLSAGRPKRPHARRALCL
+LL
+>Q14764_PF11978_519 <unknown description>
+GPDFFTDVITIETADHARLQLQLAYNWHFEVNDRKDPQETAKLFSVPDFVGDACKAIASR
+VRGAVASVTFDDFHKNSARIIRTAVFGFETSEAKGPDGMALPRPRDQAVFPQNGLVVSSV
+DVQSVEPVD
+>P20591_PF00350_73 <unknown description>
+IAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLKKLVNEDKWRGKVSYQDYEIE
+ISDASEVEKEINKAQNAIAGEGMGISHELITLEISSRDVPDLTLIDLPGITRVAVGNQPA
+DIGYKIKTLIKKYIQRQETISLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILTK
+>P20591_PF01031_259 <unknown description>
+KVVDVVRNLVFHLKKGYMIVKCRGQQEIQDQLSLSEALQREKIFFENHPYFRDLLEEGKA
+TVPCLAEKLTSELITHICKSLPLLENQIKETHQRITEELQKYGVDIPEDENEKMFFLIDK
+VNAFNQDITALMQGEETVGEEDIRLFTRLRHEFHKWSTIIENNFQEGHKILSRKIQKFEN
+QYRGRELPGFVNYRTFETIVKQQIKALEEPAVDMLHTVTDMVRLAFTDVSIKNFEEFFNL
+HRTAKSKIEDIRAEQEREGEKLIRLHFQMEQIVYCQDQVYRGALQKVRE
+>P20591_PF02212_571 <unknown description>
+DSSMEEIFQHLMAYHQEASKRISSHIPLIIQFFMLQTYGQQLQKAMLQLLQDKDTYSWLL
+KERSDTSDKRKFLKERLARLTQARRRLAQF
+>P20592_PF00350_121 <unknown description>
+IAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLKKQPCEAWAGRISYRNTELEL
+QDPGQVEKEIHKAQNVMAGNGRGISHELISLEITSPEVPDLTIIDLPGITRVAVDNQPRD
+IGLQIKALIKKYIQRQQTINLVVVPCNVDIATTEALSMAHEVDPEGDRTIGILTK
+>P20592_PF01031_307 <unknown description>
+VMNVVRNLTYPLKKGYMIVKCRGQQEITNRLSLAEATKKEITFFQTHPYFRVLLEEGSAT
+VPRLAERLTTELIMHIQKSLPLLEGQIRESHQKATEELRRCGADIPSQEADKMFFLIEKI
+KMFNQDIEKLVEGEEVVRENETRLYNKIREDFKNWVGILATNTQKVKNIIHEEVEKYEKQ
+YRGKELLGFVNYKTFEIIVHQYIQQLVEPALSMLQKAMEIIQQAFINVAKKHFGEFFNLN
+QTVQSTIEDIKVKHTAKAENMIQLQFRMEQMVFCQDQIYSVVLKKVR
+>P20592_PF02212_621 <unknown description>
+SSFTEIGIHLNAYFLETSKRLANQIPFIIQYFMLRENGDSLQKAMMQILQEKNRYSWLLQ
+EQSETATKRRILKERIYRLTQARHALCQF
+>P50539_PF00010_136 <unknown description>
+RSTHNELEKNRRAHLRLCLERLKVLIPLGPDCTRHTTLGLLNKAKAHIKKLE
+>Q9NR99_PF13855_104 <unknown description>
+SLQVFKFSYNKLRVITGQTLQGLSNLMRLHIDHNKIEFIHPQAFNGLTSLRLLHLEGNLL
+>Q9NR99_PF13927_487 <unknown description>
+RDQTVLEGGPCQLSCNVKASESPSIFWVLPDGSILKAPMDDPDSKFSILSSGWLRIKSME
+PSDSGLYQCIAQ
+>Q9NR99_PF13927_586 <unknown description>
+TIGKNPGESVTLPCNALAIPEAHLSWILPNRRIINDLANTSHVYMLPNGTLSIPKVQVSD
+SGYYRCVAVN
+>Q9NR99_PF13927_1852 <unknown description>
+KPQILTKSPQTVSVTAETDTVFPCEATGKPKPFVTWTKVSTGALMTPNTRIQRFEVLKNG
+TLVIRKVQVQDRGQYMCTASN
+>Q9NR99_PF07679_1956 <unknown description>
+HYQDVTVYLGDTIAMECLAKGTPAPQISWIFPDRRVWQTVSPVEGRITLHENRTLSIKEA
+SFSDRGVYKCVASNAAGADSLAIRLHV
+>Q9NR99_PF07679_2052 <unknown description>
+EKLENISLPPGLSIHIHCTAKAAPLPSVRWVLGDGTQIRPSQFLHGNLFVFPNGTLYIRN
+LAPKDSGRYECVAANLVGSARRTVQLNV
+>Q9NR99_PF13927_2148 <unknown description>
+ITGTSPRRTDVRYGGTLKLDCSASGDPWPRILWRLPSKRMIDALFSFDSRIKVFANGTLV
+VKSVTDKDAGDYLCVARN
+>Q9NR99_PF07679_2251 <unknown description>
+NDHKVFYGGDLKVDCVATGLPNPEISWSLPDGSLVNSFMQSDDSGGRTKRYVVFNNGTLY
+FNEVGMREEGDYTCFAENQVGKDEMRVRVKV
+>Q9NR99_PF07679_2355 <unknown description>
+AVQVPYGDVVTVACEAKGEPMPKVTWLSPTNKVIPTSSEKYQIYQDGTLLIQKAQRSDSG
+NYTCLVRNSAGEDRKTVWIH
+>Q9NR99_PF07679_2458 <unknown description>
+GGSRKLIDCKAEGIPTPRVLWAFPEGVVLPAPYYGNRITVHGNGSLDIRSLRKSDSVQLV
+CMARNEGGEARLILQLTV
+>Q9NR99_PF07679_2549 <unknown description>
+SEKITAMAGHTISLNCSAAGTPTPSLVWVLPNGTDLQSGQQLQRFYHKADGMLHISGLSS
+VDAGAYRCVARNAAGHTERLVSLKV
+>Q9NR99_PF13927_2732 <unknown description>
+PPRITSEPTPVIYTRPGNTVKLNCMAMGIPKADITWELPDKSHLKAGVQARLYGNRFLHP
+QGSLTIQHATQRDAGFYKCMAKN
+>Q9BRK3_PF07686_39 <unknown description>
+ESAVSWEAGARAVLRCQSPRMVWTQDRLHDRQRVLHWDLRGPGGGPARRLLDLYSAGEQR
+VYEARDRGRLELSASAFDDGNFSLLIRAVEETDAGLYTCNLHHHYCHLYESLAVRLEVT
+>Q9BRK3_PF07686_172 <unknown description>
+EVLAVARGAPALLTCVNRGHVWTDRHVEEAQQVVHWDRQPPGVPHDRADRLLDLYASGER
+RAYGPLFLRDRVAVGADAFERGDFSLRIEPLEVADEGTYSCHLHHHYCGLHERRVFHLTV
+>Q92614_PF17820_268 <unknown description>
+LGLVPGDRLVEINGHNVESKSRDEIVEMIRQSGDSVRLKVQ
+>Q92614_PF00063_408 <unknown description>
+EDLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLGPRGAPAVYSEKVMHMFKGCRR
+EDMAPHIYAVAQTAYRAMLMSRQDQSIILLGSSGSGKTTSCQHLVQYLATIAGISGNKVF
+SVEKWQALYTLLEAFGNSPTIINGNATRFSQILSLDFDQAGQVASASIQTMLLEKLRVAR
+RPASEATFNVFYYLLACGDGTLRTELHLNHLAENNVFGIVPLAKPEEKQKAAQQFSKLQA
+AMKVLGISPDEQKACWFILAAIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLE
+ELSSAIFKHQHKGGTLQRSTSFRQGPEESGLGDGTGPKLSALECLEGMAAGLYSELFTLL
+VSLVNRALKSSQHSLCSMMIVDTPGFQNPEQGGSARGASFEELCHNYTQDRLQRLFHERT
+FVQELERYKEENIELAFDDLEPPTDDSVAAVDQASHQSLVRSLARTDEARGLLWLLEEEA
+LVPGASEDTLLERLFSYYGPQEGDKKGQSPLLHSSKPHHFLLGHSHGTNWVEYNVTGWLN
+YTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRK
+TFTTGMAAVKKKSLCIQMKLQVDALIDTIKKSKLHFVHCFLPVA
+>Q92614_PF00063_1086 <unknown description>
+QLDVPLLRTQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVDE
+RRAVEELLECLDLEKSSCCMGLSRVFFR
+>Q92614_PF01576_1219 <unknown description>
+RCVQKNIKKNKGVKDWPWWKLFTTVRPLIEVQLSEEQIRNKDEEIQQLRSKLEKAEKERN
+ELRLNSDRLESRISELTSELTDERNTGESASQLLDAETAERLRAEKEMKELQTQYDALKK
+QMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQEFEDKLE
+VEQQNKRQLERRLGDLQADSEESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEK
+KQRRFDSELSQAHEEAQREKLQREKLQREKDMLLAEAFSLKQQLEEKDMDIAGFTQKVVS
+LEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGTIQMLEQAKLRLEME
+MERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQKVLREKRELEGKLAT
+LSDQVNRRDFESEKRLRKDLKRTKALLADAQLMLDHLKNS
+>Q8IUG5_PF00063_573 <unknown description>
+VEDLASLISVNESSVLNTLLQRYKAQLLHTCTGPDLIVLQPRGPSVPSAGKVPKGRRDGL
+PAHIGSMAQRAYWALLNQRRDQSIVALGWSGAGKTTCCEQVLEHLVGMAGSVDGRVSVEK
+IRATFTVLRAFGSVSMAHSRSATRFSMVMSLDFNATGRITAAQLQTMLLEKSRVARQPEG
+ESNFLVFSQMLAGLDLDLRTELNLHQMADSSSFGMGVWSKPEDKQKAAAAFAQLQGAMEM
+LGISESEQRAVWRVLAAIYHLGAAGACKVGRKQFMRFEWANYAAEALGCEYEELNTATFK
+HHLRQIIQQMTFGPSRWGLEDEETSSGLKMTGVDCVEGMASGLYQELFAAVVSLINRSFS
+SHHLSMASIMVVDSPGFQNPRHQGKDRAATFEELCHNYAHERLQLLFYQRTFVSTLQRYQ
+EEGVPVQFDLPDPSPGTTVAVVDQNPSQVRLPAGGGAQDARGLFWVLDEEVHVEGSSDSV
+VLERLCAAFEKKGAGTEGSSALRTCEQPLQCEIFHQLGWDPVRYDLTGWLHRAKPNLSAL
+DAPQVLHQSKREELRSLFQARAKLPPVCRAVAGLEGTSQQALQRSRMVRRTFASSLAAVR
+RKAPCSQIKLQMDALTSMIKRSRLHFIHCLVPNPVV
+>Q96S97_PF01284_32 <unknown description>
+LTQPLGLLRLLQLVSTCVAFSLVASVGAWTGSMGNWSMFTWCFCFSVTLIILIVELCGLQ
+ARFPLSWRNFPITFACYAALFCLSASIIYPTTYVQFLSHGRSRDHAIAATFFSCIACVAY
+ATE
+>Q96S97_PF01284_169 <unknown description>
+MATVPGLLKVLETFVACIIFAFISDPNLYQHQPALEWCVAVYAICFILAAIAILLNLGEC
+TNVLPIPFPSFLSGLALLSVLLYATALVLWPLYQFDEKYGGQPRRSRDVSCSRSHAYYVC
+AWDRRLAVAILTAINLLAYVADLV
+>P10243_PF13921_38 <unknown description>
+WTRDEDDKLKKLVEQHGTDDWTLIASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQR
+V
+>P10243_PF00249_139 <unknown description>
+KSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHWNS
+>P10243_PF07988_240 <unknown description>
+GNCIEHVQPTSAFIQQPFIDEDPDKEKKIKELEMLLMSAENEVRRKR
+>P10243_PF09316_486 <unknown description>
+FSPSQFFNTCPGNEQLNIENPSFTSTPICGQKALITTPLHKETTPKDQKENVGFRTPTIR
+RSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDLFLKEED
+EPAYKSCKQENTASGKKVRKSLVLDNWEKEESGTQL
+>P10244_PF13921_34 <unknown description>
+WTHEEDEQLRALVRQFGQQDWKFLASHFPNRTDQQCQYRWLRVLNPDLVKGPWTKEEDQK
+V
+>P10244_PF00249_135 <unknown description>
+KSCWTEEEDRIICEAHKVLGNRWAEIAKMLPGRTDNAVKNHWNS
+>P10244_PF09316_451 <unknown description>
+FSPSQFLNFWNKQDTLELESPSLTSTPVCSQKVVVTTPLHRDKTPLHQKHAAFVTPDQKY
+SMDNTPHTPTPFKNALEKYGPLKPLPQTPHLEEDLKEVLRSEAGIELIIEDDIRPEKQKR
+KPGLRRSPIKKVRKSLALDIVDEDV
+>Q13203_PF00041_72 <unknown description>
+SAPLLLTLDDVSSSSVTVSWEPPERLGRLGLQGYVLELCREGASEWVPVSARPMMVTQQT
+VRNLALGDKFLLRVSAVSSAGAGPP
+>Q13203_PF07679_186 <unknown description>
+RQVGETVNLQIPFQGKPKPQATWTHNGHALDSQRVSMRTGDQDSILFIRSAQRSDSGRYE
+LTVRVEDLEAK
+>Q13203_PF00041_269 <unknown description>
+PPSSIRLLDVWGCNAALQWTPPQDTGNTELLGYMVQKADKKTGQWFTVLERYHPTTCTIS
+DLIIGNSYSFRVFSEN
+>Q13203_PF07679_382 <unknown description>
+PSFTQPLADHTSTPGYSTQLFCSVRASPKPKIIWMKNKMEIQGNPKYRALSEQGVCTLEI
+RKPSPFDSGVYTCKAINVLGEASVDCRLEV
+>Q8TBZ2_PF14646_194 <unknown description>
+PQHNFLKNWQRNTALRKKQQEALSEHLKKPVSELLMHTGETYRRIQEERELIDCTLPTRR
+DRKSWENSGFWSRLEYLGDEMTGLVMTKTKTQRGLMEPITHIRKPHSIRVETGLPAQRDA
+SYRYTWDRSLFLIYRRKELQRIMEELDFSQQDIDGLEVVGKGWPFSAVTVEDYTVFERSQ
+GSSSEDTAYLGTLASSSDVSMPILGPSLLFCGKPACWIRGSNPQDKRQVGIAAHLTFETL
+EGEKTSSELTVVNNGTVAIWYDWRRQHQPDTFQDLKKNRMQRFYFDNREGVILPGEIKTF
+TFFFKSLTAGVFREFWEFRTHPTLLGGAILQVNLHAVSLTQDVFEDERKVLESKLTAHEA
+VTVVREVLQELLMGVLTPERTPSPVDAYLTEEDLFRHRNPPLHYEHQVVQSLHQLWRQY
+>P10242_PF00249_41 <unknown description>
+TRWTREEDEKLKKLVEQNGTDDWKVIANYLPNRTDVQCQHRWQKVL
+>P10242_PF00249_92 <unknown description>
+KGPWTKEEDQRVIELVQKYGPKRWSVIAKHLKGRIGKQCRERWHNHL
+>P10242_PF00249_145 <unknown description>
+TSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNAIKNHWNS
+>P10242_PF07988_268 <unknown description>
+NIVNVPQPAAAAIQRHYNDEDPEKEKRIKELELLLMSTENELKGQQ
+>P10242_PF09316_518 <unknown description>
+FSPSQFLNTSSNHENSDLEMPSLTSTPLIGHKLTVTTPFHRDQTVKTQKENTVFRTPAIK
+RSILESSPRTPTPFKHALAAQEIKYGPLKMLPQTPSHLVEDLQDVIKQESDESGIVAEFQ
+ENGPPLLKKIKQEVESPTDKSGNFFCSHHWEGDSLNTQLF
+>O75592_PF13540_683 <unknown description>
+VTQVAMGKAHTCVLMKNGEVWTFGVNNKGQ
+>O75592_PF00415_958 <unknown description>
+NGDVYTFGYGQHGQLGHGDVNSRGCPTLVQALPGPSTQVTAGSNHTAVL
+>O75592_PF08005_1235 <unknown description>
+NRFESHGGGWGYSAHSVEAIRFSADTDILLGGLGLFGGRGEYTAKIKLFELGPDGGDHET
+DGDLLAETDVLAYDCAAREKYAMMFDEPVLLQAGWWYVAWARVSGPSSDCGSHGQASITT
+DDGVVFQFKSSKKSNNGTDVNAGQIPQLLY
+>O75592_PF08005_1726 <unknown description>
+NRFTKTSQGRSWNTGNGSPDAICFSVDKPGIVVVGFSVYGGGGIHEYELEVLVDDSEHAG
+DSTHSHRWTSLELVKGTYTTDDSPSDIAEIRLDKVVPLKENVKYAVRLRNYGSRTANGDG
+GMTTVQCPDGVTFTFSTCSLSSNGTNQTRGQIPQILYY
+>O75592_PF08239_2478 <unknown description>
+GLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKYVP
+>O75592_PF03256_3756 <unknown description>
+MIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFL
+TGKAVEDLCRIKQVDLDSRHIGWVTSELPGGDNHIIKIE
+>O75592_PF13639_4426 <unknown description>
+DMCMICFTEALSAAPAIQLDCSHIFHLQCCRRVLENRWLGPRITFGFISCPICK
+>Q8IZQ8_PF02755_108 <unknown description>
+LNEKIALRPGPLELVEKNILPV
+>Q8IZQ8_PF02037_372 <unknown description>
+DDLKVSELRQQLRIRGLPVSGTKTALMDRLRPF
+>P12524_PF01056_1 <unknown description>
+MDYDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGLGPGAGDPAPGIGP
+PEPWPGGCTGDEAESRGHSKGWGRNYASIIRRDCMWSGFSARERLERAVSDRLAPGAPRG
+NPPKASA
+>P12524_PF01056_160 <unknown description>
+ESPSDSENEEIDVVTVEKRQSLGIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFP
+PE
+>P12524_PF00010_282 <unknown description>
+KRKNHNFLERKRRNDLRSRFLALRDQVPTLASCSKAPKVVILSKALEYLQAL
+>P04198_PF01056_9 <unknown description>
+MPGMICKNPDLEFDSLQPCFYPDEDDFYFGGPDSTPPGEDIWKKFELLPTPPLSPSRGFA
+EHSSEPPSWVTEMLLENELWGSPAEEDAFGLGGLGGLTPNPVILQDCMWSGFSAREKLER
+AVSEKLQHGRGPPTAGSTAQSPGAGAASPAGRGHGGAAGAGRAGAALPAELAHPAAECVD
+PAVVFPFPVNKREPAPVPAAPASAPAAGPAVASGAGIAAPAGAPGVAPPRPGGRQTSGGD
+HKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNTKAVTTFTITVRPKNAAL
+GPGRAQSSELILKRCLPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSVIPPKAK
+SLSP
+>P04198_PF00010_382 <unknown description>
+RRRNHNILERQRRNDLRSSFLTLRDHVPELVKNEKAAKVVILKKATEYVHSLQ
+>Q8N699_PF15179_49 <unknown description>
+MANNTTSLGSPWPENFWEDLIMSFTVSMAIGLVLGGFIWAVFICLSRRRRASAPISQWSS
+SRRSRSSYTHGLNRTGFYRHSGCERRSNLSLASLTFQRQASLEQANSFPRKSSFRASTFH
+PFLQCPPLPVETESQLVTLPSSNISPTISTSHSLSRPDYWSSNSLRVGLSTPPPPAYESI
+IKAFPD
+>Q96QE2_PF00083_84 <unknown description>
+VAVFSALGGFLFGYDTGVVSGAMLLLKRQLSLDALWQELLVSSTVGAAAVSALAGGALNG
+VFGRRAAILLASALFTAGSAVLAAANNKETLLAGRLVVGLGIGIASMTVPVYIAEVSPPN
+LRGRLVTINTLFITGGQFFASVVDGAFSYLQKDGWRYMLGLAAVPAVIQFFGFLFLPESP
+RWLIQKGQTQKARRILSQMRGNQTIDEEYDSIKNNIEEEEKEVGSAGPVICRMLSYPPTR
+RALIVGCGLQMFQQLSGINTIMYYSATILQMSGVEDDRLAIWLASVTAFTNFIFTLVGVW
+LVEKVGRRKLTFGSLAGTTVALIILALGFVL
+>Q96QE2_PF00083_507 <unknown description>
+WTALLGLILYLVFFAPGMGPMPWTVNSEIYPLWARSTGNACSSGINWIFNVLVSLTFLHT
+AEYLTYYGAFFLYAGFAAVGLLFIYGCLPETKGKKLEEIESLF
+>P01106_PF01056_17 <unknown description>
+PLNVSFTNRNYDLDYDSVQPYFYCDEEENFYQQQQQSELQPPAPSEDIWKKFELLPTPPL
+SPSRRSGLCSPSYVAVTPFSLRGDNDGGGGSFSTADQLEMVTELLGGDMVNQSFICDPDD
+ETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSGSPNPARGHSVCSTSSLYLQDL
+SAAASECIDPSVVFPYPLNDSSSPKSCASQDSSAFSPSSDSLLSSTESSPQGSPEPLVLH
+EETPPTTSSDSEEEQEDEEEIDVVSVEKRQAPGKRSESGSPSAGGHSKPPHSPLVLKRCH
+VSTHQHNYAAPPSTRKDYPAAKRVKLDSVRVLRQISNNRKCTSP
+>P01106_PF00010_370 <unknown description>
+KRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQ
+>P01106_PF02344_423 <unknown description>
+AEEQKLISEEDLLRKRREQLKHKLEQLRNSC
+>Q99836_PF00531_30 <unknown description>
+RRRLSLFLNVRTQVAADWTALAEEMDFEYLEIRQLETQADPTGRLLDAWQGRPGASVGRL
+LELLTKLGRDDVL
+>Q99836_PF01582_173 <unknown description>
+QFVQEMIRQLEQTNYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDDYLQSKE
+CDFQTKFALSLSPGAHQKRLIPIKYKAMKKE
+>Q969H8_PF10572_40 <unknown description>
+FDVRPGGVVHSFSHNVGPGDKYTCMFTYASQGGTNEQWQMSLGTSEDHQHFTCTIWRPQG
+KSYLYFTQFKAEVRGAEIEYAMAYSKAAFERESDVPLKTEEFEVTKTAVAHRPGAFKAEL
+SKLVIVAKAS
+>P13349_PF01586_14 <unknown description>
+YFYDGSCIPSPEGEFGDEFVPRVAAFGAHKAELQGSDEDEHVRAPTGHHQAGHCLMWACK
+ACKRKSTTMD
+>P13349_PF00010_84 <unknown description>
+RRKAATMRERRRLKKVNQAFETLKRCTTTNPNQRLPKVEILRNAIRYIESLQ
+>P13349_PF12232_143 <unknown description>
+ENYYSLPGQSCSEPTSPTSNCSDGMPECNSPVWSRKSSTFDSIYCPDVSNVYATDKNSLS
+SLDCLSNIVDRI
+>P23409_PF01586_3 <unknown description>
+MDLFETGSYFFYLDGENVTLQPLEVAEGSPLYPGSDGTLSPCQDQMPPEAGSDSSGEEHV
+LAPPGLQPPHCPGQCLIWACKTCKRKSAPTD
+>P23409_PF00010_94 <unknown description>
+RRKAATLRERRRLKKINEAFEALKRRTVANPNQRLPKVEILRSAISYIERLQ
+>Q9HB07_PF03690_47 <unknown description>
+IGTHNGTFHCDEALACALLRLLPEYRDAEIVRTRDPEKLASCDIVVDVGGEYDPRRHRYD
+HHQRSFTETMSSLSPGKPWQTKLSSAGLIYLHFGHKLLAQLLGTSEEDSMVGTLYDKMYE
+NFVEEVDAVDNGISQWAEGEPRYALTTTLSARVARLNPTWNHPDQDTEAGFKRAMDLVQE
+EFLQRLDFYQHSWLPARALVEEALAQRFQVDPSGEIVELAKGACPWKEHLYHLESGLSPP
+VAIFFVIYTDQAGQWRIQCVPKEPHSFQSRLPLPEPWRGLRDEALDQVSGIPGCIFVHAS
+GFTGGHHTREGALSMARATL
+>P02144_PF00042_7 <unknown description>
+EWQLVLNVWGKVEADIPGHGQEVLIRLFKGHPETLEKFDKFKHLKSEDEMKASEDLKKHG
+ATVLTALGGILKKKGHHEAEIKPLAQSHATKHKIPVKYLEFISECI
+>P35580_PF02736_32 <unknown description>
+AKKLVWIPSERHGFEAASIKEERGDEVMVELAENGKKAMVNKDDI
+>P35580_PF00063_87 <unknown description>
+VEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGLFCVVINPYKNLPIYSENIIEMYRGKK
+RHEMPPHIYAISESAYRCMLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSHKGRK
+DHNIPQESPKPVKHQGELERQLLQANPILESFGNAKTVKNDNSSRFGKFIRINFDVTGYI
+VGANIETYLLEKSRAVRQAKDERTFHIFYQLLSGAGEHLKSDLLLEGFNNYRFLSNGYIP
+IPGQQDKDNFQETMEAMHIMGFSHEEILSMLKVVSSVLQFGNISFKKERNTDQASMPENT
+VAQKLCHLLGMNVMEFTRAILTPRIKVGRDYVQKAQTKEQADFAVEALAKATYERLFRWL
+VHRINKALDRTKRQGASFIGILDIAGFEIFELNSFEQLCINYTNEKLQQLFNHTMFILEQ
+EEYQREGIEWNFIDFGLDLQPCIDLIERPANPPGVLALLDEECWFPKATDKTFVEKLVQE
+QGSHSKFQKPRQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNVATLLHQSSDRFVA
+ELWKDEIQNIQRASFYDSVSGLHEPPVDRIVGLDQVTGMTETAFGSAYKTKKGMFRTVGQ
+LYKESLTKLMATLRNTNPNFVRCIIPNHEKRAGKLDPHLVLDQLRCNGVLEGIRICRQGF
+PNRIVFQEFRQRYEILTPNAIPKGFMDGKQACERMIRALELDPNLYRIGQSKIFFR
+>P35580_PF00612_819 <unknown description>
+DIIIFFQAVCRGYLARKAF
+>P35580_PF01576_879 <unknown description>
+TRQEEELQAKDEELLKVKEKQTKVEGELEEMERKHQQLLEEKNILAEQLQAETELFAEAE
+EMRARLAAKKQELEEILHDLESRVEEEEERNQILQNEKKKMQAHIQDLEEQLDEEEGARQ
+KLQLEKVTAEAKIKKMEEEILLLEDQNSKFIKEKKLMEDRIAECSSQLAEEEEKAKNLAK
+IRNKQEVMISDLEERLKKEEKTRQELEKAKRKLDGETTDLQDQIAELQAQIDELKLQLAK
+KEEELQGALARGDDETLHKNNALKVVRELQAQIAELQEDFESEKASRNKAEKQKRDLSEE
+LEALKTELEDTLDTTAAQQELRTKREQEVAELKKALEEETKNHEAQIQDMRQRHATALEE
+LSEQLEQAKRFKANLEKNKQGLETDNKELACEVKVLQQVKAESEHKRKKLDAQVQELHAK
+VSEGDRLRVELAEKASKLQNELDNVSTLLEEAEKKGIKFAKDAASLESQLQDTQELLQEE
+TRQKLNLSSRIRQLEEEKNSLQEQQEEEEEARKNLEKQVLALQSQLADTKKKVDDDLGTI
+ESLEEAKKKLLKDAEALSQRLEEKALAYDKLEKTKNRLQQELDDLTVDLDHQRQVASNLE
+KKQKKFDQLLAEEKSISARYAEERDRAEAEAREKETKALSLARALEEALEAKEEFERQNK
+QLRADMEDLMSSKDDVGKNVHELEKSKRALEQQVEEMRTQLEELEDELQATEDAKLRLEV
+NMQAMKAQFERDLQTRDEQNEEKKRLLIKQVRELEAELEDERKQRALAVASKKKMEIDLK
+DLEAQIEAANKARDEVIKQLRKLQAQMKDYQRELEEARASRDEIFAQSKESEKKLKSLEA
+EILQLQEELASSERARRHAEQERDELADEITNSASGKSALLDEKRRLEARIAQLEEELEE
+EQSNMELLNDRFRKTTLQVDTLNAELAAERSAAQKSDNARQQLERQNKELKAKLQELEGA
+VKSKFKATISALEAKIGQLEEQLEQEAKERAAANKLVRRTEKKLKEIFMQVEDERRHADQ
+YKEQMEKANARMKQLKRQLEEAEEEATRANASRRKLQRELDDATEANEGLSREVSTLKNR
+L
+>P35749_PF02736_32 <unknown description>
+AKRLVWVPSEKQGFEAASIKEEKGDEVVVELVENGKKVTVGKDDI
+>P35749_PF00063_87 <unknown description>
+VEDMAELTCLNEASVLHNLRERYFSGLIYTYSGLFCVVVNPYKHLPIYSEKIVDMYKGKK
+RHEMPPHIYAIADTAYRSMLQDREDQSILCTGESGAGKTENTKKVIQYLAVVASSHKGKK
+DTSITGELEKQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVTGYIVGANIETYLL
+EKSRAIRQARDERTFHIFYYMIAGAKEKMRSDLLLEGFNNYTFLSNGFVPIPAAQDDEMF
+QETVEAMAIMGFSEEEQLSILKVVSSVLQLGNIVFKKERNTDQASMPDNTAAQKVCHLMG
+INVTDFTRSILTPRIKVGRDVVQKAQTKEQADFAVEALAKATYERLFRWILTRVNKALDK
+THRQGASFLGILDIAGFEIFEVNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEW
+NFIDFGLDLQPCIELIERPNNPPGVLALLDEECWFPKATDKSFVEKLCTEQGSHPKFQKP
+KQLKDKTEFSIIHYAGKVDYNASAWLTKNMDPLNDNVTSLLNASSDKFVADLWKDVDRIV
+GLDQMAKMTESSLPSASKTKKGMFRTVGQLYKEQLGKLMTTLRNTTPNFVRCIIPNHEKR
+SGKLDAFLVLEQLRCNGVLEGIRICRQGFPNRIVFQEFRQRYEILAANAIPKGFMDGKQA
+CILMIKALELDPNLYRIGQSKIFFR
+>P35749_PF01576_848 <unknown description>
+TRQEEEMQAKEDELQKTKERQQKAENELKELEQKHSQLTEEKNLLQEQLQAETELYAEAE
+EMRVRLAAKKQELEEILHEMEARLEEEEDRGQQLQAERKKMAQQMLDLEEQLEEEEAARQ
+KLQLEKVTAEAKIKKLEDEILVMDDQNNKLSKERKLLEERISDLTTNLAEEEEKAKNLTK
+LKNKHESMISELEVRLKKEEKSRQELEKLKRKLEGDASDFHEQIADLQAQIAELKMQLAK
+KEEELQAALARLDDEIAQKNNALKKIRELEGHISDLQEDLDSERAARNKAEKQKRDLGEE
+LEALKTELEDTLDSTATQQELRAKREQEVTVLKKALDEETRSHEAQVQEMRQKHAQAVEE
+LTEQLEQFKRAKANLDKNKQTLEKENADLAGELRVLGQAKQEVEHKKKKLEAQVQELQSK
+CSDGERARAELNDKVHKLQNEVESVTGMLNEAEGKAIKLAKDVASLSSQLQDTQELLQEE
+TRQKLNVSTKLRQLEEERNSLQDQLDEEMEAKQNLERHISTLNIQLSDSKKKLQDFASTV
+EALEEGKKRFQKEIENLTQQYEEKAAAYDKLEKTKNRLQQELDDLVVDLDNQRQLVSNLE
+KKQRKFDQLLAEEKNISSKYADERDRAEAEAREKETKALSLARALEEALEAKEELERTNK
+MLKAEMEDLVSSKDDVGKNVHELEKSKRALETQMEEMKTQLEELEDELQATEDAKLRLEV
+NMQALKGQFERDLQARDEQNEEKRRQLQRQLHEYETELEDERKQRALAAAAKKKLEGDLK
+DLELQADSAIKGREEAIKQLRKLQAQMKDFQRELEDARASRDEIFATAKENEKKAKSLEA
+DLMQLQEDLAAAERARKQADLEKEELAEELASSLSGRNALQDEKRRLEARIAQLEEELEE
+EQGNMEAMSDRVRKATQQAEQLSNELATERSTAQKNESARQQLERQNKELRSKLHEMEGA
+VKSKFKSTIAALEAKIAQLEEQVEQEAREKQAATKSLKQKDKKLKEILLQVEDERKMAEQ
+YKEQAEKGNARVKQLKRQLEEAEEESQRINANRRKLQRELDEATESNEAMGREVNALKSK
+L
+>Q9UKX3_PF02736_33 <unknown description>
+DSKKACFVADNKEMYVKGMIQTRENDKVIVKTLDDRMLTLNNDQV
+>Q9UKX3_PF00063_89 <unknown description>
+EDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVAAYRGKKR
+QEAPPHIFSISDNAYQFMLTDRDNQSILITGESGAGKTVNTKRVIQYFATIAVTGDKKKE
+TQPGKMQGTLEDQIIQANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETY
+LLEKSRVTFQLSSERSYHIFYQIMSNKKPELIDLLLISTNPFDFPFVSQGEVTVASIDDS
+EELLATDNAIDILGFSSEEKVGIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAGY
+LMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQQVTNSVGALAKAVYEKMFLWMVTRINQQ
+LDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGI
+EWEFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSNNFQKP
+KPAKGKAEAHFSLVHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSSLKLLSFLFSNYAG
+AETGDSGGSKKGGKKKGSSFQTVSAVFRENLNKLMTNLRSTHPHFVRCLIPNETKTPGVM
+DHYLVMHQLRCNGVLEGIRICRKGFPSRILYADFKQRYRILNASAIPEGQFIDSKNASEK
+LLNSIDVDREQFRFGNTKVFFK
+>Q9UKX3_PF01576_850 <unknown description>
+EKEMATMKEDFERTKEELARSEARRKELEEKMVSLLQEKNDLQLQVQSETENLMDAEERC
+EGLIKSKILLEAKVKELTERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDDLELTLTKVE
+KEKHATENKVKNLSEEMTALEENISKLTKEKKSLQEAHQQTLDDLQVEEDKVNGLIKINA
+KLEQQTDDLEGSLEQEKKLRADLERAKRKLEGDLKMSQESIMDLENDKQQIEEKLKKKEF
+ELSQLQAKIDDEQVHSLQFQKKIKELQARIEELEEEIEAEHTLRAKIEKQRSDLARELEE
+ISERLEEASGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKQADSVAELGE
+QIDNLQRVKQKLEKEKSELKMEIDDMASNIEALSKSKSNIERTCRTVEDQFSEIKAKDEQ
+QTQLIHDLNMQKARLQTQNGELSHRVEEKESLISQLTKSKQALTQQLEELKRQMEEETKA
+KNAMAHALQSSRHDCDLLREQYEEEQEAKAELQRALSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQEAEENTETANSKCASLEKTKQRLQGEVEDLMRDLERSHTACATLDKK
+QRNFDKVLAEWKQKLDESQAELEAAQKESRSLSTELFKMRNAYEEVVDQLETLRRENKNL
+QEEISDLTEQIAETGKNLQEAEKTKKLVEQEKSDLQVALEEVEGSLEHEESKILRVQLEL
+SQVKSELDRKVIEKDEEIEQLKRNSQRAAEALQSVLDAEIRSRNDALRLKKKMEGDLNEM
+EIQLGHSNRQMAETQKHLRTVQGQLKDSQLHLDDALRSNEDLKEQLAIVERRNGLLLEEL
+EEMKVALEQTERTRRLSEQELLDASDRVQLLHSQNTSLINTKKKLEADIAQCQAEVENSI
+QESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLAL
+KGGKKQIQKLENRVRELENELDVEQKRGAEALKGAHKYERKVKEMTYQAEEDHKNILRLQ
+DLVDKLQAKVKSYKRQAEEAEEQANTQLSRCRRVQHELEEAAERADIAESQVNKLRAK
+>Q7Z406_PF02736_52 <unknown description>
+ARRLVWVPSELHGFEAAALRDEGEEEAEVELAESGRRLRLPRDQI
+>Q7Z406_PF00063_108 <unknown description>
+EDMAELTCLNEASVLHNLRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKR
+HEVPPHVYAVTEGAYRSMLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKE
+PGVPASVSTVSYGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVAGYIVGA
+NIETYLLEKSRAIRQAKDECSFHIFYQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPG
+QERELFQETLESLRVLGFSHEEIISMLRMVSAVLQFGNIALKRERNTDQATMPDNTAAQK
+LCRLLGLGVTDFSRALLTPRIKVGRDYVQKAQTKEQADFALEALAKATYERLFRWLVLRL
+NRALDRSPRQGASFLGILDIAGFEIFQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQ
+REGIPWTFLDFGLDLQPCIDLIERPANPPGLLALLDEECWFPKATDKSFVEKVAQEQGGH
+PKFQRPRHLRDQADFSVLHYAGKVDYKANEWLMKNMDPLNDNVAALLHQSTDRLTAEIWK
+DEHGGFQQFSFLGSFPPSPPGSAERCSSAISPPGVEGIVGLEQVSSLGDGPPGGRPRRGM
+FRTVGQLYKESLSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQLRCNGVLEGIR
+ICRQGFPNRILFQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPNLYRVGQSKIF
+FR
+>Q7Z406_PF01576_906 <unknown description>
+TRQDEVLQARAQELQKVQELQQQSAREVGELQGRVAQLEEERARLAEQLRAEAELCAEAE
+ETRGRLAARKQELELVVSELEARVGEEEECSRQMQTEKKRLQQHIQELEAHLEAEEGARQ
+KLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSKERKLLEDRLAEFSSQAAEEEEKVKSLNK
+LRLKYEATIADMEDRLRKEEKGRQELEKLKRRLDGESSELQEQMVEQQQRAEELRAQLGR
+KEEELQAALARAEDEGGARAQLLKSLREAQAALAEAQEDLESERVARTKAEKQRRDLGEE
+LEALRGELEDTLDSTNAQQELRSKREQEVTELKKTLEEETRIHEAAVQELRQRHGQALGE
+LAEQLEQARRGKGAWEKTRLALEAEVSELRAELSSLQTARQEGEQRRRRLELQLQEVQGR
+AGDGERARAEAAEKLQRAQAELENVSGALNEAESKTIRLSKELSSTEAQLHDAQELLQEE
+TRAKLALGSRVRAMEAEAAGLREQLEEEAAARERAGRELQTAQAQLSEWRRRQEEEAGAL
+EAGEEARRRAAREAEALTQRLAEKTETVDRLERGRRRLQQELDDATMDLEQQRQLVSTLE
+KKQRKFDQLLAEEKAAVLRAVEERERAEAEGREREARALSLTRALEEEQEAREELERQNR
+ALRAELEALLSSKDDVGKSVHELERACRVAEQAANDLRAQVTELEDELTAAEDAKLRLEV
+TVQALKTQHERDLQGRDEAGEERRRQLAKQLRDAEVERDEERKQRTLAVAARKKLEGELE
+ELKAQMASAGQGKEEAVKQLRKMQAQMKELWREVEETRTSREEIFSQNRESEKRLKGLEA
+EVLRLQEELAASDRARRQAQQDRDEMADEVANGNLSKAAILEEKRQLEGRLGQLEEELEE
+EQSNSELLNDRYRKLLLQVESLTTELSAERSFSAKAESGRQQLERQIQELRGRLGEEDAG
+ARARHKMTIAALESKLAQAEEQLEQETRERILSGKLVRRAEKRLKEVVLQVEEERRVADQ
+LRDQLEKGNLRVKQLKRQLEEAEEEASRAQAGRRRLQRELEDVTESAESMNREVTTLRNR
+L
+>P12882_PF02736_33 <unknown description>
+DAKTSVFVVDPKESFVKATVQSREGGKVTAKTEAGATVTVKDDQV
+>P12882_PF00063_89 <unknown description>
+EDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNAEVVTAYRGKKR
+QEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKE
+EVTSGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIET
+YLLEKSRVTFQLKAERSYHIFYQIMSNKKPDLIEMLLITTNPYDYAFVSQGEITVPSIDD
+QEELMATDSAIEILGFTSDERVSIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAA
+YLQNLNSADLLKALCYPRVKVGNEYVTKGQTVQQVYNAVGALAKAVYDKMFLWMVTRINQ
+QLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEG
+IEWTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYEQHLGKSNNFQK
+PKPAKGKPEAHFSLIHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSAMKTLALLFVGAT
+GAEAEAGGGKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAM
+EHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKASEK
+LLGSIDIDHTQYKFGHTKVFFK
+>P12882_PF01576_850 <unknown description>
+EKEMANMKEEFEKTKEELAKTEAKRKELEEKMVTLMQEKNDLQLQVQAEADSLADAEERC
+DQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKVNTLTKAKI
+KLEQQVDDLEGSLEQEKKIRMDLERAKRKLEGDLKLAQESTMDIENDKQQLDEKLKKKEF
+EMSGLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEE
+ISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKHADSVAELGE
+QIDNLQRVKQKLEKEKSEMKMEIDDLASNMETVSKAKGNLEKMCRALEDQLSEIKTKEEE
+QQRLINDLTAQRARLQTESGEYSRQLDEKDTLVSQLSRGKQAFTQQIEELKRQLEEEIKA
+KSALAHALQSSRHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVERTNAACAALDKK
+QRNFDKILAEWKQKCEETHAELEASQKESRSLSTELFKIKNAYEESLDQLETLKRENKNL
+QQEISDLTEQIAEGGKRIHELEKIKKQVEQEKSELQAALEEAEASLEHEEGKILRIQLEL
+NQVKSEVDRKIAEKDEEIDQMKRNHIRIVESMQSTLDAEIRSRNDAIRLKKKMEGDLNEM
+EIQLNHANRMAAEALRNYRNTQAILKDTQLHLDDALRSQEDLKEQLAMVERRANLLQAEI
+EELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDISQIQGEMEDII
+QEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLAL
+KGGKKQIQKLEARVRELEGEVESEQKRNVEAVKGLRKHERKVKELTYQTEEDRKNILRLQ
+DLVDKLQAKVKSYKRQAEEAEEQSNVNLSKFRRIQHELEEAEERADIAESQVNKLRVK
+>Q9UKX2_PF02736_33 <unknown description>
+DAKTSVFVAEPKESFVKGTIQSREGGKVTVKTEGGATLTVKDDQV
+>Q9UKX2_PF00063_89 <unknown description>
+EDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVTAYRGKKR
+QEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKE
+EITSGKIQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIET
+YLLEKSRVVFQLKAERSYHIFYQITSNKKPELIEMLLITTNPYDYPFVSQGEISVASIDD
+QEELMATDSAIDILGFTNEEKVSIYKLTGAVMHYGNLKFKQKQREEQAEPDGTEVADKAA
+YLQSLNSADLLKALCYPRVKVGNEYVTKGQTVEQVSNAVGALAKAVYEKMFLWMVARINQ
+QLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEG
+IEWTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSANFQK
+PKVVKGKAEAHFALIHYAGVVDYNITGWLEKNKDPLNETVVGLYQKSAMKTLAQLFSGAQ
+TAEGEGAGGGAKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPG
+AMEHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKAS
+EKLLASIDIDHTQYKFGHTKVFFK
+>Q9UKX2_PF01576_852 <unknown description>
+EKEMATMKEEFQKIKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQAEAEGLADAEERC
+DQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKVNTLTKAKI
+KLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESIMDIENEKQQLDEKLKKKEF
+EISNLQSKIEDEQALGIQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEE
+ISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKHADSVAELGE
+QIDNLQRVKQKLEKEKSEMKMEIDDLASNVETVSKAKGNLEKMCRTLEDQLSELKSKEEE
+QQRLINDLTAQRGRLQTESGEFSRQLDEKEALVSQLSRGKQAFTQQIEELKRQLEEEIKA
+KNALAHALQSSRHDCDLLREQYEEEQESKAELQRALSKANTEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERTNAACAALDKK
+QRNFDKILAEWKQKCEETHAELEASQKEARSLGTELFKIKNAYEESLDQLETLKRENKNL
+QQEISDLTEQIAEGGKRIHELEKIKKQVEQEKCELQAALEEAEASLEHEEGKILRIQLEL
+NQVKSEVDRKIAEKDEEIDQLKRNHIRIVESMQSTLDAEIRSRNDAIRLKKKMEGDLNEM
+EIQLNHANRMAAEALRNYRNTQGILKDTQIHLDDALRSQEDLKEQLAMVERRANLLQAEI
+EELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDISQMQGEMEDIL
+QEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQLRLDEAEQLAL
+KGGKKQIQKLEARVRELEGEVESEQKRNAEAVKGLRKHERRVKELTYQTEEDRKNILRLQ
+DLVDKLQAKVKSYKRQAEEAEEQSNTNLAKFRKLQHELEEAEERADIAESQVNKLRVK
+>P11055_PF02736_33 <unknown description>
+DAKTYCFVVDSKEEYAKGKIKSSQDGKVTVETEDNRTLVVKPEDV
+>P11055_PF00063_89 <unknown description>
+EDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSGLFCVTVNPYKWLPVYNPEVVEGYRGKKR
+QEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAATGDLAKK
+KDSKMKGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYL
+LEKSRVTFQLKAERSYHIFYQILSNKKPELIELLLITTNPYDYPFISQGEILVASIDDAE
+ELLATDSAIDILGFTPEEKSGLYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKTAYL
+MGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQVHHAVNALSKSVYEKLFLWMVTRINQQL
+DTKLPRQHFIGVLDIAGFEIFEYNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIE
+WTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSNNFQKPK
+VVKGRAEAHFSLIHYAGTVDYSVSGWLEKNKDPLNETVVGLYQKSSNRLLAHLYATFATA
+DADSGKKKVAKKKGSSFQTVSALFRENLNKLMSNLRTTHPHFVRCIIPNETKTPGAMEHS
+LVLHQLRCNGVLEGIRICRKGFPNRILYGDFKQRYRVLNASAIPEGQFIDSKKACEKLLA
+SIDIDHTQYKFGHTKVFFK
+>P11055_PF01576_847 <unknown description>
+EKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLLDAEERC
+DQLIKAKFQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEELSGLDETIAKLTREKKALQEAHQQALDDLQAEEDKVNSLNKTKS
+KLEQQVEDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDERLKKKDF
+EYCQLQSKVEDEQTLGLQFQKKIKELQARIEELEEEIEAERATRAKTEKQRSDYARELEE
+LSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEAMVAALRKKHADSVAELGE
+QIDNLQRVKQKLEKEKSEFKLEIDDLSSSMESVSKSKANLEKICRTLEDQLSEARGKNEE
+IQRSLSELTTQKSRLQTEAGELSRQLEEKESIVSQLSRSKQAFTQQTEELKRQLEEENKA
+KNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQGEVEDLMVDVERANSLAAALDKK
+QRNFDKVLAEWKTKCEESQAELEASLKESRSLSTELFKLKNAYEEALDQLETVKRENKNL
+EQEIADLTEQIAENGKTIHELEKSRKQIELEKADIQLALEEAEAALEHEEAKILRIQLEL
+TQVKSEIDRKIAEKDEEIEQLKRNYQRTVETMQSALDAEVRSRNEAIRLKKKMEGDLNEI
+EIQLSHANRQAAETLKHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRANLLQAEV
+EELRATLEQTERARKLAEQELLDSNERVQLLHTQNTSLIHTKKKLETDLMQLQSEVEDAS
+RDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLAL
+KGGKKQIQKLETRIRELEFELEGEQKKNTESVKGLRKYERRVKELTYQSEEDRKNVLRLQ
+DLVDKLQVKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNKLRAK
+>Q9Y623_PF02736_33 <unknown description>
+DAKTSVFVVDPKESYVKAIVQSREGGKVTAKTEAGATVTVKEDQV
+>Q9Y623_PF00063_89 <unknown description>
+EDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNPEVVTAYRGKKR
+QEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKE
+EPASGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIET
+YLLEKSRVTFQLKAERSYHIFYQILSNKKPELIEMLLITTNPYDFAFVSQGEITVPSIDD
+QEELMATDSAVDILGFTADEKVAIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAA
+YLTSLNSADLLKSLCYPRVKVGNEFVTKGQTVQQVYNAVGALAKAIYEKMFLWMVTRINQ
+QLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEG
+IEWEFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYEQHLGKSNNFQK
+PKPAKGKPEAHFSLVHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSAMKTLAFLFSGAQ
+TAEAEGGGGKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAM
+EHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKASEK
+LLGSIEIDHTQYKFGHTKVFFK
+>Q9Y623_PF01576_850 <unknown description>
+EKEMANMKEEFEKTKEELAKTEAKRKELEEKMVTLMQEKNDLQLQVQAEADALADAEERC
+DQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQMEEDKVNTLTKAKT
+KLEQQVDDLEGSLEQEKKLCMDLERAKRKLEGDLKLAQESTMDTENDKQQLNEKLKKKEF
+EMSNLQGKIEDEQALAIQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEE
+ISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEESTLQHEATAAALRKKHADSVAELGE
+QIDSLQRVKQKLEKEKSELKMEINDLASNMETVSKAKANFEKMCRTLEDQLSEIKTKEEE
+QQRLINELSAQKARLHTESGEFSRQLDEKDAMVSQLSRGKQAFTQQIEELKRQLEEETKA
+KSTLAHALQSARHDCDLLREQYEEEQEAKAELQRGMSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQDAEEHVEAVNSKCASLEKTKQRLQNEVEDLMIDVERSNAACIALDKK
+QRNFDKVLAEWKQKYEETQAELEASQKESRSLSTELFKVKNAYEESLDHLETLKRENKNL
+QQEISDLTEQIAEGGKHIHELEKVKKQLDHEKSELQTSLEEAEASLEHEEGKILRIQLEL
+NQVKSEIDRKIAEKDEELDQLKRNHLRVVESMQSTLDAEIRSRNDALRIKKKMEGDLNEM
+EIQLNHANRQAAEALRNLRNTQGILKDTQLHLDDAIRGQDDLKEQLAMVERRANLMQAEV
+EELRASLERTERGRKMAEQELLDASERVQLLHTQNTSLINTKKKLETDISQIQGEMEDIV
+QEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQLRLDEAEQLAL
+KGGKKQIQKLEARVRELESEVESEQKHNVEAVKGLRKHERRVKELTYQTEEDRKNILRLQ
+DLVDKLQTKVKAYKRQAEEAEEQSNVNLAKFRKLQHELEEAKERADIAESQVNKLRVK
+>P13533_PF02736_32 <unknown description>
+DIRTECFVPDDKEEFVKAKILSREGGKVIAETENGKTVTVKEDQV
+>P13533_PF00063_88 <unknown description>
+EDMAMLTFLHEPAVLFNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNAEVVAAYRGKKR
+SEAPPHIFSISDNAYQYMLTDRENQSILITGESGAGKTVNTKRVIQYFASIAAIGDRGKK
+DNANANKGTLEDQIIQANPALEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETY
+LLEKSRVIFQLKAERNYHIFYQILSNKKPELLDMLLVTNNPYDYAFVSQGEVSVASIDDS
+EELMATDSAFDVLGFTSEEKAGVYKLTGAIMHYGNMKFKQKQREEQAEPDGTEDADKSAY
+LMGLNSADLLKGLCHPRVKVGNEYVTKGQSVQQVYYSIGALAKAVYEKMFNWMVTRINAT
+LETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGI
+EWTFIDFGMDLQACIDLIEKPMGIMSILEEECMFPKATDMTFKAKLYDNHLGKSNNFQKP
+RNIKGKQEAHFSLIHYAGTVDYNILGWLEKNKDPLNETVVALYQKSSLKLMATLFSSYAT
+ADTGDSGKSKGGKKKGSSFQTVSALHRENLNKLMTNLRTTHPHFVRCIIPNERKAPGVMD
+NPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQRYRILNPVAIPEGQFIDSRKGTEKL
+LSSLDIDHNQYKFGHTKVFFK
+>P13533_PF01576_848 <unknown description>
+EKEMATMKEEFGRIKETLEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLNDAEERC
+DQLIKNKIQLEAKVKEMNERLEDEEEMNAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQVEEDKVNSLSKSKV
+KLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKLQLEEKLKKKEF
+DINQQNSKIEDEQVLALQLQKKLKENQARIEELEEELEAERTARAKVEKLRSDLSRELEE
+ISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSVAELGE
+QIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKVSRTLEDQANEYRVKLEE
+AQRSLNDFTTQRAKLQTENGELARQLEEKEALISQLTRGKLSYTQQMEDLKRQLEEEGKA
+KNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAAALDKK
+QRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKRENKNL
+QEEISDLTEQLGEGGKNVHELEKVRKQLEVEKLELQSALEEAEASLEHEEGKILRAQLEF
+NQIKAEIERKLAEKDEEMEQAKRNHQRVVDSLQTSLDAETRSRNEVLRVKKKMEGDLNEM
+EIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNLLQAEL
+EELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMESDLTQLQSEVEEAV
+QECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEAEQIAL
+KGGKKQLQKLEARVRELEGELEAEQKRNAESVKGMRKSERRIKELTYQTEEDKKNLLRLQ
+DLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKLRAK
+>P12883_PF02736_33 <unknown description>
+LKKDVFVPDDKQEFVKAKIVSREGGKVTAETEYGKTVTVKEDQV
+>P12883_PF00063_88 <unknown description>
+EDMAMLTFLHEPAVLYNLKDRYGSWMIYTYSGLFCVTVNPYKWLPVYTPEVVAAYRGKKR
+SEAPPHIFSISDNAYQYMLTDRENQSILITGESGAGKTVNTKRVIQYFAVIAAIGDRSKK
+DQSPGKGTLEDQIIQANPALEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETYL
+LEKSRVIFQLKAERDYHIFYQILSNKKPELLDMLLITNNPYDYAFISQGETTVASIDDAE
+ELMATDNAFDVLGFTSEEKNSMYKLTGAIMHFGNMKFKLKQREEQAEPDGTEEADKSAYL
+MGLNSADLLKGLCHPRVKVGNEYVTKGQNVQQVIYATGALAKAVYERMFNWMVTRINATL
+ETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIE
+WTFIDFGMDLQACIDLIEKPMGIMSILEEECMFPKATDMTFKAKLFDNHLGKSANFQKPR
+NIKGKPEAHFSLIHYAGIVDYNIIGWLQKNKDPLNETVVGLYQKSSLKLLSTLFANYAGA
+DAPIEKGKGKAKKGSSFQTVSALHRENLNKLMTNLRSTHPHFVRCIIPNETKSPGVMDNP
+LVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQRYRILNPAAIPEGQFIDSRKGAEKLLS
+SLDIDHNQYKFGHTKVFFK
+>P12883_PF01576_845 <unknown description>
+REKEMASMKEEFTRLKEALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLADAEER
+CDQLIKNKIQLEAKVKEMNERLEDEEEMNAELTAKKRKLEDECSELKRDIDDLELTLAKV
+EKEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQAEEDKVNTLTKAK
+VKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKQQLDERLKKKD
+FELNALNARIEDEQALGSQLQKKLKELQARIEELEEELEAERTARAKVEKLRSDLSRELE
+EISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSVAELG
+EQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKMCRTLEDQMNEHRSKAE
+ETQRSVNDLTSQRAKLQTENGELSRQLDEKEALISQLTRGKLTYTQQLEDLKRQLEEEVK
+AKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAIQRTE
+ELEEAKKKLAQRLQEAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAAALDK
+KQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKRENKN
+LQEEISDLTEQLGSSGKTIHELEKVRKQLEAEKMELQSALEEAEASLEHEEGKILRAQLE
+FNQIKAEIERKLAEKDEEMEQAKRNHLRVVDSLQTSLDAETRSRNEALRVKKKMEGDLNE
+MEIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNLLQAE
+LEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMDADLSQLQTEVEEA
+VQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEAEQIA
+LKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDRKNLLRL
+QDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKLRAK
+>P13535_PF02736_35 <unknown description>
+DAKTSVFVAEPKESYVKSTIQSKEGGKVTVKTEGGATLTVREDQV
+>P13535_PF00063_91 <unknown description>
+EDMAMMTHLHEPGVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVAAYRGKKR
+QEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKD
+ESGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYL
+LEKSRVTFQLKAERSYHIFYQITSNKKPDLIEMLLITTNPYDYAFVSQGEITVPSIDDQE
+ELMATDSAIDILGFTPEEKVSIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAAYL
+QSLNSADLLKALCYPRVKVGNEYVTKGQTVQQVYNAVGALAKAVYEKMFLWMVTRINQQL
+DTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIE
+WTFIDFGMDLAACIELIEKPLGIFSILEEECMFPKATDTSFKNKLYDQHLGKSANFQKPK
+VVKGKAEAHFSLIHYAGTVDYNITGWLDKNKDPLNDTVVGLYQKSAMKTLASLFSTYASA
+EADSSAKKGAKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHE
+LVLHQLRCNGVLEGIRICRKGFPSRILYGDFKQRYKVLNASAIPEGQFIDSKKASEKLLA
+SIDIDHTQYKFGHTKVFFK
+>P13535_PF01576_849 <unknown description>
+EKEMATMKEEFQKTKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQSEADSLADAEERC
+EQLIKNKIQLEAKIKEVTERAEEEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVE
+KEKHATENKVKNLTEEMAGLDETIAKLSKEKKALQETHQQTLDDLQAEEDKVNILTKAKT
+KLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDMENDKQQLDEKLEKKEF
+EISNLISKIEDEQAVEIQLQKKIKELQARIEELGEEIEAERASRAKAEKQRSDLSRELEE
+ISERLEEAGGATSAQVELNKKREAEFQKLRRDLEEATLQHEAMVAALRKKHADSMAELGE
+QIDNLQRVKQKLEKEKSELKMETDDLSSNAEAISKAKGNLEKMCRSLEDQVSELKTKEEE
+QQRLINDLTAQRARLQTEAGEYSRQLDEKDALVSQLSRSKQASTQQIEELKHQLEEETKA
+KNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDAIQRTEE
+LEEAKKKLAQRLQEAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERSNAACAALDKK
+QRNFDKVLSEWKQKYEETQAELEASQKESRSLSTELFKVKNVYEESLDQLETLRRENKNL
+QQEISDLTEQIAEGGKQIHELEKIKKQVEQEKCEIQAALEEAEASLEHEEGKILRIQLEL
+NQVKSEVDRKIAEKDEEIDQLKRNHTRVVETMQSTLDAEIRSRNDALRVKKKMEGDLNEM
+EIQLNHANRLAAESLRNYRNTQGILKETQLHLDDALRGQEDLKEQLAIVERRANLLQAEI
+EELWATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLENDVSQLQSEVEEVI
+QESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLAL
+KGGKKQIQKLEARVRELEGEVENEQKRNAEAVKGLRKHERRVKELTYQTEEDRKNVLRLQ
+DLVDKLQAKVKSYKRQAEEAEEQSNANLSKFRKLQHELEEAEERADIAESQVNKLRVK
+>P35579_PF02736_28 <unknown description>
+AKKLVWVPSDKSGFEPASLKEEVGEEAIVELVENGKKVKVNKDDI
+>P35579_PF00063_83 <unknown description>
+VEDMAELTCLNEASVLHNLKERYYSGLIYTYSGLFCVVINPYKNLPIYSEEIVEMYKGKK
+RHEMPPHIYAITDTAYRSMMQDREDQSILCTGESGAGKTENTKKVIQYLAYVASSHKSKK
+DQGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVNGYIVGANIETYLLEKS
+RAIRQAKEERTFHIFYYLLSGAGEHLKTDLLLEPYNKYRFLSNGHVTIPGQQDKDMFQET
+MEAMRIMGIPEEEQMGLLRVISGVLQLGNIVFKKERNTDQASMPDNTAAQKVSHLLGINV
+TDFTRGILTPRIKVGRDYVQKAQTKEQADFAIEALAKATYERMFRWLVLRINKALDKTKR
+QGASFIGILDIAGFEIFDLNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFI
+DFGLDLQPCIDLIEKPAGPPGILALLDEECWFPKATDKSFVEKVMQEQGTHPKFQKPKQL
+KDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNIATLLHQSSDKFVSELWKDVDRIIGLD
+QVAGMSETALPGAFKTRKGMFRTVGQLYKEQLAKLMATLRNTNPNFVRCIIPNHEKKAGK
+LDPHLVLDQLRCNGVLEGIRICRQGFPNRVVFQEFRQRYEILTPNSIPKGFMDGKQACVL
+MIKALELDSNLYRIGQSKVFFR
+>P35579_PF01576_841 <unknown description>
+SRQEEEMMAKEEELVKVREKQLAAENRLTEMETLQSQLMAEKLQLQEQLQAETELCAEAE
+ELRARLTAKKQELEEICHDLEARVEEEEERCQHLQAEKKKMQQNIQELEEQLEEEESARQ
+KLQLEKVTTEAKLKKLEEEQIILEDQNCKLAKEKKLLEDRIAEFTTNLTEEEEKSKSLAK
+LKNKHEAMITDLEERLRREEKQRQELEKTRRKLEGDSTDLSDQIAELQAQIAELKMQLAK
+KEEELQAALARVEEEAAQKNMALKKIRELESQISELQEDLESERASRNKAEKQKRDLGEE
+LEALKTELEDTLDSTAAQQELRSKREQEVNILKKTLEEEAKTHEAQIQEMRQKHSQAVEE
+LAEQLEQTKRVKANLEKAKQTLENERGELANEVKVLLQGKGDSEHKRKKVEAQLQELQVK
+FNEGERVRTELADKVTKLQVELDNVTGLLSQSDSKSSKLTKDFSALESQLQDTQELLQEE
+NRQKLSLSTKLKQVEDEKNSFREQLEEEEEAKHNLEKQIATLHAQVADMKKKMEDSVGCL
+ETAEEVKRKLQKDLEGLSQRHEEKVAAYDKLEKTKTRLQQELDDLLVDLDHQRQSACNLE
+KKQKKFDQLLAEEKTISAKYAEERDRAEAEAREKETKALSLARALEEAMEQKAELERLNK
+QFRTEMEDLMSSKDDVGKSVHELEKSKRALEQQVEEMKTQLEELEDELQATEDAKLRLEV
+NLQAMKAQFERDLQGRDEQSEEKKKQLVRQVREMEAELEDERKQRSMAVAARKKLEMDLK
+DLEAHIDSANKNRDEAIKQLRKLQAQMKDCMRELDDTRASREEILAQAKENEKKLKSMEA
+EMIQLQEELAAAERAKRQAQQERDELADEIANSSGKGALALEEKRRLEARIAQLEEELEE
+EQGNTELINDRLKKANLQIDQINTDLNLERSHAQKNENARQQLERQNKELKVKLQEMEGT
+VKSKYKASITALEAKIAQLEEQLDNETKERQAACKQVRRTEKKLKDVLLQVDDERRNAEQ
+YKDQADKASTRLKQLKRQLEEAEEEAQRANASRRKLQRELEDATETADAMNREVSSLKNK
+L
+>Q9BUA6_PF13833_101 <unknown description>
+DGFIDKEDLRDTFAALGRINVKNEELEAMVKEA
+>Q96A32_PF13405_29 <unknown description>
+EFKEAFTVIDQNRDGIIDKEDLRDTFA
+>Q02045_PF00036_34 <unknown description>
+EFKEAFTLMDQNRDGFIDKEDLKDT
+>Q02045_PF13202_106 <unknown description>
+LNAFKMLDPDGKGKINKE
+>P24844_PF13405_33 <unknown description>
+EFKEAFNMIDQNRDGFIDKEDLHDMLASLG
+>P24844_PF13833_115 <unknown description>
+SGFIHEDHLRELLTTMGDRFTDEEVDEMYREAPIDKKGNFNYVEFTR
+>Q8WY64_PF09379_5 <unknown description>
+VTRPDAVLMEVEVEAKANGEDCLNQVCRRLGIIEVDYFGLQFTGSKGESLWLNLRNRISQ
+QMD
+>Q8WY64_PF00373_86 <unknown description>
+LILQEQTRHIFFLHIKEALLAGHLLCSPEQAVELSALLAQTKFGDYNQNTAKYNYEELCA
+KELSSATLNSIVAKHKELEGTSQASAEYQVLQIVSAMENYGIEWH
+>Q8WY64_PF09380_194 <unknown description>
+DSEGQKLLIGVGPEGISICKDDFSPINRIAYPVVQMATQSGKNVYLTVTKESGNSIVLLF
+KMISTRAASGLYRAITETHAFYRCD
+>Q8WY64_PF13920_385 <unknown description>
+MLCMVCCEEEINSTFCPCGHTVCCESCAAQLQSCPVCRSRVEHV
+>Q9H1R3_PF00069_287 <unknown description>
+SKEALGGGKFGAVCTCMEKATGLKLAAKVIKKQTPKDKEMVLLEIEVMNQLNHRNLIQLY
+AAIETPHEIVLFMEYIEGGELFERIVDEDYHLTEVDTMVFVRQICDGILFMHKMRVLHLD
+LKPENILCVNTTGHLVKIIDFGLARRYNPNEKLKVNFGTPEFLSPEVVNYDQISDKTDMW
+SMGVITYMLLSGLSPFLGDDDTETLNNVLSGNWYFDEETFEAVSDEAKDFVSNLIVKDQR
+ARMNAAQCLAHPWL
+>Q32MK0_PF00069_518 <unknown description>
+HEVLGGGRFGQVHRCTEKSTGLPLAAKIIKVKSAKDREDVKNEINIMNQLSHVNLIQLYD
+AFESKHSCTLVMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYLHQHYILHLDL
+KPENILCVNQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYEFVSFPTDMWS
+VGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFEGLSEEAKDFVSRLLVKEKSC
+RMSATQCLKHEWL
+>Q86YV6_PF00069_108 <unknown description>
+KTEILGGGRFGQVHKCEETATGLKLAAKIIKTRGMKDKEEVKNEISVMNQLDHANLIQLY
+DAFESKNDIVLVMEYVDGGELFDRIIDESYNLTELDTILFMKQICEGIRHMHQMYILHLD
+LKPENILCVNRDAKQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYDFVSFPTDMW
+SVGVIAYMLLSGLSPFLGDNDAETLNNILACRWDLEDEEFQDISEEAKEFISKLLIKEKS
+WRISASEALKHPWL
+>Q15746_PF07679_33 <unknown description>
+PAFILPPRNLCIKEGATAKFEGRVRGYPEPQVTWHRNGQPITSGGRFLLDCGIRGTFSLV
+IHAVHEEDRGKYTCEATNGSGARQVTVELTV
+>Q15746_PF07679_161 <unknown description>
+PKFATKLGRVVVKEGQMGRFSCKITGRPQPQVTWLKGNVPLQPSARVSVSEKNGMQVLEI
+HGVNQDDVGVYTCLVVNGSGKASMSAELSI
+>Q15746_PF16620_251 <unknown description>
+QGLDSANRSFVRETKATNSDVRKEVTNVISKESKLDSLEAAAKSKNCSSPQRGGSPPWAA
+NSQPQPPRESKLESCKDSPRTAPQTPVLQKTSSSITLQAARVQPEPRAPGLGVLSPSGEE
+RKRPAPPRPATFPTRQPGLGSQDVVSKAANRRIPMEGQRDS
+>Q15746_PF07679_414 <unknown description>
+PKFESKPQSQEVKENQTVKFRCEVSGIPKPEVAWFLEGTPVRRQEGSIEVYEDAGSHYLC
+LLKARTRDSGTYSCTASNAQGQLSCSWTLQV
+>Q15746_PF07679_514 <unknown description>
+PSFSSVLKDCAVIEGQDFVLQCSVRGTPVPRITWLLNGQPIQYARSTCEAGVAELHIQDA
+LPEDHGTYTCLAENALGQVSCSAWVTV
+>Q15746_PF07679_624 <unknown description>
+IFLQGLSDLKVMDGSQVTMTVQVSGNPPPEVIWLHNGNEIQESEDFHFEQRGTQHSLCIQ
+EVFPEDTGTYTCEAWNSAGEVRTQAVLTV
+>Q15746_PF07679_722 <unknown description>
+WFISKPRSVTASLGQSVLISCAIAGDPFPTVHWLRDGKALCKDTGHFEVLQNEDVFTLVL
+KKVQPWHAGQYEILLKNRVGECSCQVSL
+>Q15746_PF07679_1098 <unknown description>
+PAFKQKLQDVHVAEGKKLLLQCQVSSDPPATIIWTLNGKTLKTTKFIILSQEGSLCSVSI
+EKALPEDRGLYKCVAKNDAGQAECSCQVTV
+>Q15746_PF07679_1238 <unknown description>
+PQIIQFPEDQKVRAGESVELFGKVTGTQPITCTWMKFRKQIQESEHMKVENSENGSKLTI
+LAARQEHCGCYTLLVENKLGSRQAQVNLTV
+>Q15746_PF00041_1334 <unknown description>
+PAGTPCASDIRSSSLTLSWYGSSYDGGSAVQSYSIEIWDSANKTWKELATCRSTSFNVQD
+LLPDHEYKFRVRAINVYGTSEPS
+>Q15746_PF00069_1464 <unknown description>
+YDIEERLGSGKFGQVFRLVEKKTRKVWAGKFFKAYSAKEKENIRQEISIMNCLHHPKLVQ
+CVDAFEEKANIVMVLEIVSGGELFERIIDEDFELTERECIKYMRQISEGVEYIHKQGIVH
+LDLKPENIMCVNKTGTRIKLIDFGLARRLENAGSLKVLFGTPEFVAPEVINYEPIGYATD
+MWSIGVICYILVSGLSPFMGDNDNETLANVTSATWDFDDEAFDEISDDAKDFISNLLKKD
+MKNRLDCTQCLQHPWL
+>Q15746_PF07679_1809 <unknown description>
+PYFSKTIRDLEVVEGSAARFDCKIEGYPDPEVVWFKDDQSIRESRHFQIDYDEDGNCSLI
+ISDVCGDDDAKYTCKAVNSLGEATCTAELIV
+>A6NI61_PF12036_5 <unknown description>
+VAKLLLPTLSSLAFLPTVSIAAKRRFHMEAMVYLFTLFFVALHHACNGPGLSVLCFMRHD
+ILEYFSVYGTALSMWVSLMALADFDEPKRSTFVMFGVLTIAVRIYHDRWGYGVYSGPIGT
+AILIIAAKWLQKMKEKKGLYPDKSVYTQQIGPGLCFGALALMLRFFFEDWDYTYVHSFYH
+C
+>Q9NPC7_PF00651_14 <unknown description>
+LNKQREAGFLCDCTIVIGEFQFKAHRNVLASFSEYFGAIYRSTSENNVFLDQSQVKADGF
+QKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKM
+>Q9NPC7_PF00096_304 <unknown description>
+CNTCGKVFSEASSLRRHMRIH
+>Q9NPC7_PF00096_330 <unknown description>
+YVCHLCGKAFTQCNQLKTHVRTH
+>Q9NPC7_PF00096_387 <unknown description>
+YKCDVCNLQFATSSNLKIHARKH
+>Q9NPC7_PF00096_415 <unknown description>
+YVCDRCGQRFAQASTLTYHVRRH
+>Q9NPC7_PF00096_443 <unknown description>
+YVCDTCGKAFAVSSSLITHSRKH
+>Q9NPC7_PF00096_471 <unknown description>
+YICGICGKSFISSGELNKHFRSH
+>Q9NPC7_PF13912_499 <unknown description>
+FICELCGNSYTDIKNLKKHKTKVH
+>Q9HD67_PF18597_7 <unknown description>
+EGTRVWLRENGQHFPSTVNSCAEGIVVFRTDYGQVFTYKQSTITHQKVTAMH
+>Q9HD67_PF00063_65 <unknown description>
+VDDMASLTELHGGSIMYNLFQRYKRNQIYTYIGSILASVNPYQPIAGLYEPATMEQYSRR
+HLGELPPHIFAIANECYRCLWKRHDNQCILISGESGAGKTESTKLILKFLSVISQQSLEL
+SLKEKTSCVERAILESSPIMEAFGNAKTVYNNNSSRFGKFVQLNICQKGNIQGGRIVDYL
+LEKNRVVRQNPGERNYHIFYALLAGLEHEEREEFYLSTPENYHYLNQSGCVEDKTISDQE
+SFREVITAMDVMQFSKEEVREVSRLLAGILHLGNIEFITAGGAQVSFKTALGRSAELLGL
+DPTQLTDALTQRSMFLRGEEILTPLNVQQAVDSRDSLAMALYACCFEWVIKKINSRIKGN
+EDFKSIGILDIFGFENFEVNHFEQFNINYANEKLQEYFNKHIFSLEQLEYSREGLVWEDI
+DWIDNGECLDLIEKKLGLLALINEESHFPQATDSTLLEKLHSQHANNHFYVKPRVAVNNF
+GVKHYAGEVQYDVRGILEKNRDTFRDDLLNLLRESRFDFIYDLFEHVSSRNNQDTLKCGS
+KHRRPTVSSQFKDSLHSLMATLSSSNPFFVRCIKPNMQKMPDQFDQAVVLNQLRYSGMLE
+TVRIRKAGYAVRRPFQDFYKRYKVLMRNLALPEDVRGKCTSLLQLYDASNSEWQLGKTKV
+FLR
+>Q9HD67_PF00612_744 <unknown description>
+HAAMVIRAHVLGFLARKQYR
+>Q9HD67_PF00612_768 <unknown description>
+CVVIIQKNYRAFLLRRRF
+>Q9HD67_PF00612_790 <unknown description>
+KAAIVFQKQLRGQIARRVYR
+>Q9HD67_PF16735_883 <unknown description>
+ENKQVEEILRLEKEIEDLQRMKEQQELSLTEASLQKLQERRDQELRRLEEE
+>Q9HD67_PF00169_1214 <unknown description>
+LKQGWLHKKGGGSSTLSRRNWKKRWFVLRQSKLMYFENDSEEKLKGTVEVRTAKEIIDNT
+TKENGIDIIMADRTFHLIAESPEDASQWFSVLSQV
+>Q9HD67_PF00169_1396 <unknown description>
+RGWLHKEVKNSPKMSSLKLKKRWFVLTHNSLDYYKSSEKNALKLGTLVLNSLCSVVPPDE
+KIFKETGYWNVTVYGRKHCYRLYTKLLNEATRWSSAIQNV
+>Q9HD67_PF00784_1589 <unknown description>
+IQGILQTGHDLRPLRDELYCQLIKQTNKVPHPGSVGNLYSWQILTCLSCTFLPSRGILKY
+LKFHLKRIREQFPGSEMEKYALFTYESLKKTKCREFVPSRDEIEA
+>Q9HD67_PF00788_1711 <unknown description>
+SCKITINSHTTAGEVVEKLIRGLAMEDSRNMFALFEYNGHVD
+>Q9HD67_PF00373_1796 <unknown description>
+NVPKDSVEFAFMFEQAHEAVIHGHHPAPEENLQVLAALRLQYLQGDYTLHAAIPPLEEVY
+SLQRLKARISQSTKTFTPCERLEKRRTSFLEGTLRRSFRTGSVVRQKVEEEQMLDMWIKE
+EVSSARASIIDKWRKFQGMNQEQAMAKYMALIKEWPGYGSTLF
+>Q9UKN7_PF00063_1224 <unknown description>
+VEDMTQLEDLQETTVLSNLKIRFERNLIYTYIGSILVSVNPYQMFGIYGPEQVQQYNGRA
+LGENPPHLFAVANLAFAKMLDAKQNQCIIISGESGSGKTEATKLILRYLAAMNQKREVMQ
+QIKILEATPLLESFGNAKTVRNDNSSRFGKFVEIFLEGGVISGAITSQYLLEKSRIVFQA
+KNERNYHIFYELLAGLPAQLRQAFSLQEAETYYYLNQGGNCEIAGKSDADDFRRLLAAME
+VLGFSSEDQDSIFRILASILHLGNVYFEKYETDAQEVASVVSAREIQAVAELLQISPEGL
+QKAITFKVTETMREKIFTPLTVESAVDARDAIAKVLYALLFSWLITRVNALVSPRQDTLS
+IAILDIYGFEDLSFNSFEQLCINYANENLQYLFNKIVFQEEQEEYIREQIDWQEITFADN
+QPCINLISLKPYGILRILDDQCCFPQATDHTFLQKCHYHHGANPLYSKPKMPLPEFTIKH
+YAGKVTYQVHKFLDKNHDQVRQDVLDLFVRSRTRVVAHLFSSHAPQAAPQRLGKSSSVTR
+LYKAHTVAAKFQQSLLDLVEKMERCNPLFMRCLKPNHKKEPGLFEPDVVMAQLRYSGVLE
+TVRIRKEGFPVRLPFQGFIDRYCCLVALKHDLPANGDMCVSVLSRLCKVMPNMYRVGVSK
+LFLK
+>Q9UKN7_PF00612_1927 <unknown description>
+HKIILLQSRARGYLARQRYQ
+>Q9UKN7_PF00784_2111 <unknown description>
+NYIVQKGLAVPELRDEILAQLANQVWHNHNAHNAERGWLLLAACLSGFAPSPCFNKYLLK
+FVSDYGRNGFQAVCQHRLMQAMGRAQQQGSGAARTLPPTQLEWTA
+>Q9UKN7_PF07653_2871 <unknown description>
+YVVAVRNFLPEDPALLAFHKGDIIHLQPLEPPRVGYSAGCVVRRKVVYLEELRRRGPDFG
+WRFGTIHGRVGRFPSELVQPA
+>Q9UKN7_PF00784_3098 <unknown description>
+NLLKLCGDHEVMRDECYCQVVKQITDNTSSKQDSCQRGWRLLYIVTAYHSCSEVLHPHLT
+RFLQDVSRTPGLPFQGIAKACEQNLQKTLRFGGRLELPSSIELRA
+>Q96H55_PF00063_38 <unknown description>
+DDLTRVNPVTLETVLRCLQARYMADTFYTNAGCTLVALNPFKPVPQLYSPELMREYHAAP
+QPQKLKPHVFTVGEQTYRNVKSLIEPVNQSIVVSGESGAGKTWTSRCLMKFYAVVATSPA
+SWESHKIAERIEQRILNSNPVMEAFGNACTLRNNNSSRFGKFIQLQLNRAQQMTGAAVQT
+YLLEKTRVACQASSERNFHIFYQICKGASEDERLQWHLPEGAAFSWLPNPERSLEEDCFE
+VTREAMLHLGIDTPTQNNIFKVLAGLLHLGNIQFAASEDEAQPCQPMDDAKYSVRTAASL
+LGLPEDVLLEMVQIRTIRAGRQQQVFRKPCARAECDTRRDCLAKLIYARLFDWLVSVINS
+SICADTDSWTTFIGLLDVYGFESFPDNSLEQLCINYANEKLQQHFVAHYLRAQQEEYAVE
+GLEWSFINYQDNQPCLDLIEGSPISICSLINEECRLNRPSSAAQLQTRIETALAGSPCLG
+HNKLSREPSFIVVHYAGPVRYHTAGLVEKNKDPIPPELTRLLQQSQDPLLMGLFPTNPKE
+KTQEEPPGQSRAPVLTVVSKFKASLEQLLQVLHSTTPHYIRCIKPNSQGQAQTFLQEEVL
+SQLEACGLVETIHISAAGFPIRVSHRNFVERYKLLRR
+>Q9UBC5_PF00063_10 <unknown description>
+VEDLVLLEPLVEESLLKNLQLRYENKEIYTYIGNVVISVNPYQQLPIYGPEFIAKYQDYT
+FYELKPHIYALANVAYQSLRDRDRDQCILITGESGSGKTEASKLVMSYVAAVCGKGEQVN
+SVKEQLLQSNPVLEAFGNAKTIRNNNSSRFGKYMDIEFDFKGSPLGGVITNYLLEKSRLV
+KQLKGERNFHIFYQLLAGADEQLLKALKLERDTTGYAYLNHEVSRVDGMDDASSFRAVQS
+AMAVIGFSEEEIRQVLEVTSMVLKLGNVLVADEFQASGIPASGIRDGRGVREIGEMVGLN
+SEEVERALCSRTMETAKEKVVTALNVMQAQYARDALAKNIYSRLFDWIVNRINESIKVGI
+GEKKKVMGVLDIYGFEILEDNSFEQFVINYCNEKLQQVFIEMTLKEEQEEYKREGIPWTK
+VDYFDNGIICKLIEHNQRGILAMLDEECLRPGVVSDSTFLAKLNQLFSKHGHYESKVTQN
+AQRQYDHTMGLSCFRICHYAGKVTYNVTSFIDKNNDLLFRDLLQAMWKAQHPLLRSLFPE
+GNPKQASLKRPPTAGAQFKSSVAILMKNLYSKSPNYIRCIKPNEHQQRGQFSSDLVATQA
+RYLGLLENVRVRRAGYAHRQGYGPFLERYRLLSRSTWPHWNGGDREGVEKVLGELSMSSG
+ELAFGKTKIFIR
+>Q9UBC5_PF00612_700 <unknown description>
+LATLIQKIYRGWRCRTHYQ
+>Q9UBC5_PF00612_745 <unknown description>
+ASVLLIQAFVRGWKARKNYR
+>Q9UBC5_PF06017_847 <unknown description>
+KLCASELFKGKKASYPQSVPIPFCGDYIGLQGNPKLQKLKGGEEGPVLMAEAVKKVNRGN
+GKTSSRILLLTKGHVILTDTKKSQAKIVIGLDNVAGVSVTSLKDGLFSLHLSEMSSVGSK
+GDFLLVSEHVIELLTKMYRAVLDATQRQLTVTVTEKFSVRFKENSVAVKVVQGPAGGDNS
+KLRYKK
+>O43795_PF00063_18 <unknown description>
+GDMVLLEPLNEETFINNLKKRFDHSEIYTYIGSVVISVNPYRSLPIYSPEKVEEYRNRNF
+YELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKLVMSYVAAVCGKGAEVNQ
+VKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDPLGGVISNYLLEKSRVVK
+QPRGERNFHVFYQLLSGASEELLNKLKLERDFSRYNYLSLDSAKVNGVDDAANFRTVRNA
+MQIVGFMDHEAESVLAVVAAVLKLGNIEFKPESRVNGLDESKIKDKNELKEICELTGIDQ
+SVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRLFSWLVNRINESIKAQTK
+VRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTLKEEQEEYIREDIEWTHI
+DYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLNQVCATHQHFESRMSKCS
+RFLNDTSLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQAMWKASHALIKSLFPEG
+NPAKINLKRPPTAGSQFKASVATLMKNLQTKNPNYIRCIKPNDKKAAHIFNEALVCHQIR
+YLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPARSGVEVLFNELEIPVEE
+YSFGRSKIFIR
+>O43795_PF00612_707 <unknown description>
+LATLIQKIYRGWKCRTHF
+>O43795_PF00612_752 <unknown description>
+SSALVIQSYIRGWKARKIL
+>O43795_PF06017_941 <unknown description>
+KLEASELFKDKKALYPSSVGQPFQGAYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRAN
+GKSTSRIFLLTNNNLLLADQKSGQIKSEVPLVDVTKVSMSSQNDGFFAVHLKEGSEAASK
+GDFLFSSDHLIEMATKLYRTTLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSV
+PTCK
+>O00159_PF00063_49 <unknown description>
+QDFVLLENFTSEAAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQIYSRQHMERYRGVS
+FYEVPPHLFAVADTVYRALRTERRDQAVMISGESGAGKTEATKRLLQFYAETCPAPERGG
+AVRDRLLQSNPVLEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGGHILSYLLEKSRVV
+HQNHGERNFHIFYQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKVSSINDKSDWKVVR
+KALTVIDFTEDEVEDLLSIVASVLHLGNIHFAANEESNAQVTTENQLKYLTRLLSVEGST
+LREALTHRKIIAKGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVGKINRSLASKDVES
+PSWRSTTVLGLLDIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLKSEQEEYEAEGIAW
+EPVQYFNNKIICDLVEEKFKGIISILDEECLRPGEATDLTFLEKLEDTVKHHPHFLTHKL
+ADQRTRKSLGRGEFRLLHYAGEVTYSVTGFLDKNNDLLFRNLKETMCSSKNPIMSQCFDR
+SELSDKKRPETVATQFKMSLLQLVEILQSKEPAYVRCIKPNDAKQPGRFDEVLIRHQVKY
+LGLLENLRVRRAGFAYRRKYEAFLQRYKSLCPETWPTWAGRPQDGVAVLVRHLGYKPEEY
+KMGRTKIFIR
+>O00159_PF00612_737 <unknown description>
+LATKIQAAWRGFHWRQKF
+>O00159_PF00612_759 <unknown description>
+RSAICIQSWWRGTLGRRK
+>O00159_PF06017_874 <unknown description>
+KAVASEIFKGKKDNYPQSVPRLFISTRLGTDEISPRVLQALGSEPIQYAVPVVKYDRKGY
+KPRSRQLLLTPNAVVIVEDAKVKQRIDYANLTGISVSSLSDSLFVLHVQRADNKQKGDVV
+LQSDHVIETLTKTALSANRVNSININQGSITFAGGPGRDGTIDFTPGS
+>O94832_PF00063_13 <unknown description>
+DFVLMDTVSMPEFMANLRLRFEKGRIYTFIGEVVVSVNPYKLLNIYGRDTIEQYKGRELY
+ERPPHLFAIADAAYKAMKRRSKDTCIVISGESGAGKTEASKYIMQYIAAITNPSQRAEVE
+RVKNMLLKSNCVLEAFGNAKTNRNDNSSRFGKYMDINFDFKGDPIGGHINNYLLEKSRVI
+VQQPGERSFHSFYQLLQGGSEQMLRSLHLQKSLSSYNYIHVGAQLKSSINDAAEFRVVAD
+AMKVIGFKPEEIQTVYKILAAILHLGNLKFVVDGDTPLIENGKVVSIIAELLSTKTDMVE
+KALLYRTVATGRDIIDKQHTEQEASYGRDAFAKAIYERLFCWIVTRINDIIEVKNYDTTI
+HGKNTVIGVLDIYGFEIFDNNSFEQFCINYCNEKLQQLFIQLVLKQEQEEYQREGIPWKH
+IDYFNNQIIVDLVEQQHKGIIAILDDACMNVGKVTDEMFLEALNSKLGKHAHFSSRKLCA
+SDKILEFDRDFRIRHYAGDVVYSVIGFIDKNKDTLFQDFKRLMYNSSNPVLKNMWPEGKL
+SITEVTKRPLTAATLFKNSMIALVDNLASKEPYYVRCIKPNDKKSPQIFDDERCRHQVEY
+LGLLENVRVRRAGFAFRQTYEKFLHRYKMISEFTWPNHDLPSDKEAVKKLIERCGFQDDV
+AYGKTKIFIR
+>O94832_PF00612_700 <unknown description>
+RIVLFLQKVWRGTLARMRYK
+>O94832_PF06017_803 <unknown description>
+KVAAVEMLKGQRADLGLQRAWEGNYLASKPDTPQTSGTFVPVANELKRKDKYMNVLFSCH
+VRKVNRFSKVEDRAIFVTDRHLYKMDPTKQYKVMKTIPLYNLTGLSVSNGKDQLVVFHTK
+DNKDLIVCLFSKQPTHESRIGELVGVLVNHFKSEKRHLQVNVTNPVQCSLHGKKCTVSVE
+TR
+>Q12965_PF00063_21 <unknown description>
+VDDMVLLSKITENSIVENLKKRYMDDYIFTYIGSVLISVNPFKQMPYFGEKEIEMYQGAA
+QYENPPHIYALADNMYRNMIIDRENQCVIISGESGAGKTVAAKYIMSYISRVSGGGTKVQ
+HVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSPGGEPDGGKISNFLLEKSRVV
+MRNPGERSFHIFYQLIEGASAEQKHSLGITSMDYYYYLSLSGSYKVDDIDDRREFQETLH
+AMNVIGIFAEEQTLVLQIVAGILHLGNISFKEVGNYAAVESEEFLAFPAYLLGINQDRLK
+EKLTSRQMDSKWGGKSESIHVTLNVEQACYTRDALAKALHARVFDFLVDSINKAMEKDHE
+EYNIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVQEGIRWTPIEY
+FNNKIVCDLIENKVNPPGIMSILDDVCATMHAVGEGADQTLLQKLQMQIGSHEHFNSWNQ
+GFIIHHYAGKVSYDMDGFCERNRDVLFMDLIELMQSSELPFIKSLFPENLQADKKGRPTT
+AGSKIKKQANDLVSTLMKCTPHYIRCIKPNETKKPRDWEESRVKHQVEYLGLKENIRVRR
+AGYAYRRIFQKFLQRYAILTKATWPSWQGEEKQGVLHLLQSVNMDSDQFQLGRSKVFIK
+>Q12965_PF06017_719 <unknown description>
+REEASDLLLNKKERRRNSINRNFIGDYIGMEEHPELQQFVGKREKIDFADTVTKYDRRFK
+GVKRDLLLTPKCLYLIGREKVKQGPDKGLVKEVLKRKIEIERILSVSLSTMQDDIFILHE
+QEYDSLLESVFKTEFLSLLAKRYEEKTQKQLPLKFSNTLELKLKKENWGPWSAGGSRQVQ
+FHQGFGDLAVLKPSNKVLQVSI
+>Q12965_PF00018_1057 <unknown description>
+KALYAYDAQDTDELSFNANDIIDIIKEDPSGWWTGRLRGKQGLFP
+>O00160_PF00063_19 <unknown description>
+VDDMVLLPQITEDAIAANLRKRFMDDYIFTYIGSVLISVNPFKQMPYFTDREIDLYQGAA
+QYENPPHIYALTDNMYRNMLIDCENQCVIISGESGAGKTVAAKYIMGYISKVSGGGEKVQ
+HVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSRGGEPDGGKISNFLLEKSRVV
+MQNENERNFHIYYQLLEGASQEQRQNLGLMTPDYYYYLNQSDTYQVDGTDDRSDFGETLS
+AMQVIGIPPSIQQLVLQLVAGILHLGNISFCEDGNYARVESVDLLAFPAYLLGIDSGRLQ
+EKLTSRKMDSRWGGRSESINVTLNVEQAAYTRDALAKGLYARLFDFLVEAINRAMQKPQE
+EYSIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVQEGIRWTPIQY
+FNNKVVCDLIENKLSPPGIMSVLDDVCATMHATGGGADQTLLQKLQAAVGTHEHFNSWSA
+GFVIHHYAGKVSYDVSGFCERNRDVLFSDLIELMQTSEQAFLRMLFPEKLDGDKKGRPST
+AGSKIKKQANDLVATLMRCTPHYIRCIKPNETKRPRDWEENRVKHQVEYLGLKENIRVRR
+AGFAYRRQFAKFLQRYAILTPETWPRWRGDERQGVQHLLRAVNMEPDQYQMGSTKVFVK
+>O00160_PF06017_717 <unknown description>
+REEASNILLNKKERRRNSINRNFVGDYLGLEERPELRQFLGKRERVDFADSVTKYDRRFK
+PIKRDLILTPKCVYVIGREKVKKGPEKGQVCEVLKKKVDIQALRGVSLSTRQDDFFILQE
+DAADSFLESVFKTEFVSLLCKRFEEATRRPLPLTFSDTLQFRVKKEGWGGGGTRSVTFSR
+GFGDLAVLKVGGRTLTV
+>O00160_PF00018_1047 <unknown description>
+RALYQYVGQDVDELSFNVNEVIEILMEDPSGWWKGRLHGQEGLFP
+>B0I1T2_PF00063_13 <unknown description>
+DFVLLDQVTMEDFMRNLQLRFEKGRIYTYIGEVLVSVNPYQELPLYGPEAIARYQGRELY
+ERPPHLYAVANAAYKAMKHRSRDTCIVISGESGAGKTEASKHIMQYIAAVTNPSQRAEVE
+RVKDVLLKSTCVLEAFGNARTNRNHNSSRFGKYMDINFDFKGDPIGGHIHSYLLEKSRVL
+KQHVGERNFHAFYQLLRGSEDKQLHELHLERNPAVYNFTHQGAGLNMTVHSALDSDEQSH
+QAVTEAMRVIGFSPEEVESVHRILAAILHLGNIEFVETEEGGLQKEGLAVAEEALVDHVA
+ELTATPRDLVLRSLLARTVASGGRELIEKGHTAAEASYARDACAKAVYQRLFEWVVNRIN
+SVMEPRGRDPRRDGKDTVIGVLDIYGFEVFPVNSFEQFCINYCNEKLQQLFIQLILKQEQ
+EEYEREGITWQSVEYFNNATIVDLVERPHRGILAVLDEACSSAGTITDRIFLQTLDMHHR
+HHLHYTSRQLCPTDKTMEFGRDFRIKHYAGDVTYSVEGFIDKNRDFLFQDFKRLLYNSTD
+PTLRAMWPDGQQDITEVTKRPLTAGTLFKNSMVALVENLASKEPFYVRCIKPNEDKVAGK
+LDENHCRHQVAYLGLLENVRVRRAGFASRQPYSRFLLRYKMTCEYTWPNHLLGSDKAAVS
+ALLEQHGLQGDVAFGHSKLFIR
+>B0I1T2_PF06017_815 <unknown description>
+KVAAMGALQGLRQDWGCRRAWARDYLSSATDNPTASSLFAQRLKTLQDKDGFGAVLFSSH
+VRKVNRFHKIRNRALLLTDQHLYKLDPDRQYRVMRAVPLEAVTGLSVTSGGDQLVVLHAR
+GQDDLVVCLHRSRPPLDNRVGELVGVLAAHCQGEGRTLEVRVSDCIPLSHRG
+>Q8NEV4_PF00069_22 <unknown description>
+EITETIGKGTYGKVFKVLNKKNGQKAAVKILDPIHDIDEEIEAEYNILKALSDHPNVVRF
+YGIYFKKDKVNGDKLWLVLELCSGGSVTDLVKGFLKRGERMSEPLIAYILHEALMGLQHL
+HNNKTIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRHRRNTSVGTPFWMAPEVIACEQ
+QLDTTYDARCDTWSLGITAIELGDGDPPLADLHPMRALFKIPRNPPPKLRQPELWSAEFN
+DFISKCLTKDYEKRPTVSELLQHKFI
+>Q8NEV4_PF00063_340 <unknown description>
+VDDLATLEILDENTVSEQLEKCYSRDQIYVYVGDILIALNPFQSLGLYSTKHSKLYIGSK
+RTASPPHIFAMADLGYQSMITYNSDQCIVISGESGAGKTENAHLLVQQLTVLGKANNRTL
+QEKILQVNNLVEAFGNACTIINDNSSRFGKYLEMKFTSSGAVVGAQISEYLLEKSRVIHQ
+AIGEKNFHIFYYIYAGLAEKKKLAHYKLPENKPPRYLQNDHLRTVQDIMNNSFYKSQYEL
+IEQCFKVIGFTMEQLGSIYSILAAILNVGNIEFSSVATEHQIDKSHISNHTALENCASLL
+CIRADELQEALTSHCVVTRGETIIRPNTVEKATDVRDAMAKTLYGRLFSWIVNCINSLLK
+HDSSPSGNGDELSIGILDIFGFENFKKNSFEQLCINIANEQIQYYYNQHVFAWEQNEYLN
+EDVDARVIEYEDNWPLLDMFLQKPMGLLSLLDEESRFPKATDQTLVEKFEGNLKSQYFWR
+PKRMELSFGIHHYAGKVLYNASGFLAKNRDTLPTDIVLLLRSSDNSVIRQLVNHPLTKTG
+NLPHSKTKNVINYQMRTSEKLINLAKGDTGEATRHARETTNMKTQTVASYFRYSLMDLLS
+KMVVGQPHFVRCIKPNSERQARKYDKEKVLLQLRYTGILETARIRRLGFSHRILFANFIK
+RYYLLCYKSSEEPRMSPDTCATILEKAGLDNWALGKTKVFLK
+>Q8NEV4_PF00612_1084 <unknown description>
+ESAIIIQSAARGHLVRKQ
+>Q8NEV4_PF00612_1348 <unknown description>
+KAAVFIQSKYRGYKRRQQLR
+>Q8WXR4_PF00069_28 <unknown description>
+EIIETIGKGTYGKVYKVTNKRDGSLAAVKILDPVSDMDEEIEAEYNILQFLPNHPNVVKF
+YGMFYKADHCVGGQLWLVLELCNGGSVTELVKGLLRCGQRLDEAMISYILYGALLGLQHL
+HNNRIIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRLRRNTSVGTPFWMAPEVIACEQ
+QYDSSYDARCDVWSLGITAIELGDGDPPLFDMHPVKTLFKIPRNPPPTLLHPEKWCEEFN
+HFISQCLIKDFERRPSVTHLLDHPFI
+>Q8WXR4_PF00063_346 <unknown description>
+DDLVNLEVLDEDTIIHQLQKRYADLLIYTYVGDILIALNPFQNLSIYSPQFSRLYHGVKR
+ASNPPHIFASADAAYQCMVTLSKDQCIVISGESGSGKTESAHLIVQHLTFLGKANNQTLR
+EKILQVNSLVEAFGNSCTAINDNSSRFGKYLEMMFTPTGVVMGARISEYLLEKSRVIKQA
+AREKNFHIFYYIYAGLHHQKKLSDFRLPEEKPPRYIADETGRVMHDITSKESYRRQFEAI
+QHCFRIIGFTDKEVHSVYRILAGILNIGNIEFAAISSQHQTDKSEVPNAEALQNAASVLC
+ISPEELQEALTSHCVVTRGETIIRANTVDRAADVRDAMSKALYGRLFSWIVNRINTLLQP
+DENICSAGGGMNVGILDIFGFENFQRNSFEQLCINIANEQIQYYFNQHVFALEQMEYQNE
+GIDAVPVEYEDNRPLLDMFLQKPLGLLALLDEESRFPQATDQTLVDKFEDNLRCKYFWRP
+KGVELCFGIQHYAGKVLYDASGVLEKNRDTLPADVVVVLRTSENKLLQQLFSIPLTKTGN
+LAQTRARITVASSSLPPHFSAGKAKVDTLEVIRHPEETTNMKRQTVASYFRYSLMDLLSK
+MVVGQPHFVRCIKPNDDREALQFSRERVLAQLRSTGILETVSIRRQGYSHRILFEEFVKR
+YYYLAFTAHQTPLASKESCVAILEKSRLDHWVLGKTKVFLK
+>Q8WXR4_PF00612_1089 <unknown description>
+KGAIAIQSAWRGYDARRKFK
+>Q9Y4I1_PF00063_72 <unknown description>
+NDLTALSYLHEPAVLHNLRVRFIDSKLIYTYCGIVLVAINPYEQLPIYGEDIINAYSGQN
+MGDMDPHIFAVAEEAYKQMARDERNQSIIVSGESGAGKTVSAKYAMRYFATVSGSASEAN
+VEEKVLASNPIMESIGNAKTTRNDNSSRFGKYIEIGFDKRYRIIGANMRTYLLEKSRVVF
+QAEEERNYHIFYQLCASAKLPEFKMLRLGNADNFNYTKQGGSPVIEGVDDAKEMAHTRQA
+CTLLGISESHQMGIFRILAGILHLGNVGFTSRDADSCTIPPKHEPLCIFCELMGVDYEEM
+CHWLCHRKLATATETYIKPISKLQATNARDALAKHIYAKLFNWIVDNVNQALHSAVKQHS
+FIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKEQIPWTLIDFYD
+NQPCINLIESKLGILDLLDEECKMPKGTDDTWAQKLYNTHLNKCALFEKPRLSNKAFIIQ
+HFADKVEYQCEGFLEKNKDTVFEEQIKVLKSSKFKMLPELFQDDEKAISPTSATSSGRTP
+LTRTPAKPTKGRPGQMAKEHKKTVGHQFRNSLHLLMETLNATTPHYVRCIKPNDFKFPFT
+FDEKRAVQQLRACGVLETIRISAAGFPSRWTYQEFFSRYRVLMKQKDVLSDRKQTCKNVL
+EKLILDKDKYQFGKTKIFFR
+>Q9Y4I1_PF00612_767 <unknown description>
+RAACIRIQKTIRGWLLRKKY
+>Q9Y4I1_PF00612_790 <unknown description>
+RKAAITMQRYVRGYQARCYA
+>Q9Y4I1_PF00612_815 <unknown description>
+TKAATIIQKYWRMYVVRRRYK
+>Q9Y4I1_PF00612_838 <unknown description>
+RAATIVLQSYLRGFLARNRYR
+>Q9Y4I1_PF00612_865 <unknown description>
+KAVIIQKRVRGWLARTHYK
+>Q9Y4I1_PF00612_887 <unknown description>
+HAIIYLQCCFRRMMAKRELK
+>Q9Y4I1_PF01843_1712 <unknown description>
+QVVKQMFYIIGAITLNNLLLRKDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGAKETLEP
+LIQAAQLLQVKKKTDDDAEAICSMCNALTTAQIVKVLNLYTP
+>Q9ULV0_PF00063_72 <unknown description>
+NDLTALSYLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYGQDVIYTYSGQN
+MGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYFATVGGSASETN
+IEEKVLASSPIMEAIGNAKTTRNDNSSRFGKYIQIGFDKRYHIIGANMRTYLLEKSRVVF
+QADDERNYHIFYQLCAAAGLPEFKELALTSAEDFFYTSQGGDTSIEGVDDAEDFEKTRQA
+FTLLGVKESHQMSIFKIIASILHLGSVAIQAERDGDSCSISPQDVYLSNFCRLLGVEHSQ
+MEHWLCHRKLVTTSETYVKTMSLQQVINARNALAKHIYAQLFGWIVEHINKALHTSLKQH
+SFIGVLDIYGFETFEVNSFEQFCINYANEKLQQQFNSHVFKLEQEEYMKEQIPWTLIDFY
+DNQPCIDLIEAKLGILDLLDEECKVPKGTDQNWAQKLYDRHSSSQHFQKPRMSNTAFIIV
+HFADKVEYLSDGFLEKNRDTVYEEQINILKASKFPLVADLFHDDKDPVPATTPGKGSSSK
+ISVRSARPPMKVSNKEHKKTVGHQFRTSLHLLMETLNATTPHYVRCIKPNDEKLPFHFDP
+KRAVQQLRACGVLETIRISAAGYPSRWAYHDFFNRYRVLVKKRELANTDKKAICRSVLEN
+LIKDPDKFQFGRTKIFFR
+>Q9ULV0_PF00612_767 <unknown description>
+ATIMIQKTVRGWLQKVKYH
+>Q9ULV0_PF00612_790 <unknown description>
+ATLTLQRYCRGHLARR
+>Q9ULV0_PF00612_814 <unknown description>
+RAAVVLQKHYRMQRARQAYQ
+>Q9ULV0_PF00612_836 <unknown description>
+RRAAVVIQAFTRAMFVRRTYR
+>Q9ULV0_PF00612_863 <unknown description>
+KATTIQKHVRGWMARRHFQ
+>Q9ULV0_PF00612_884 <unknown description>
+RDAAIVIQCAFRMLKARRELK
+>Q9ULV0_PF01843_1680 <unknown description>
+QVFKQLFYMINAVTLNNLLLRKDVCSWSTGMQLRYNISQLEEWLRGRNLHQSGAVQTMEP
+LIQAAQLLQLKKKTQEDAEAICSLCTSLSTQQIVKILNLYTP
+>Q9NQX4_PF00063_70 <unknown description>
+NDLTALSYLHEPAVLHNLRIRFAESKLIYTYSGIILVAMNPYKQLPIYGDAIIHAYSGQN
+MGDMDPHIFAVAEEAYKQMARNNRNQSIIVSGESGAGKTVSARYAMRYFATVSKSGSNAH
+VEDKVLASNPITEAVGNAKTTRNDNSSRFGKYTEISFDEQNQIIGANMSTYLLEKSRVVF
+QSENERNYHIFYQLCASAQQSEFKHLKLGSAEEFNYTRMGGNTVIEGVNDRAEMVETQKT
+FTLLGFKEDFQMDVFKILAAILHLGNVQITAVGNERSSVSEDDSHLKVFCELLGLESGRV
+AQWLCNRKIVTSSETVVKPMTRPQAVNARDALAKKIYAHLFDFIVERINQALQFSGKQHT
+FIGVLDIYGFETFDVNSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKEDIPWTLIDFYD
+NQPVIDLIEAKMGILELLDEECLLPHGTDENWLQKLYNNFVNRNPLFEKPRMSNTSFVIQ
+HFADKVEYKCEGFLEKNRDTVYDMLVEILRASKFHLCANFFQENPTPPSPFGSMITVKSA
+KQVIKPNSKHFRTTVGSKFRSSLYLLMETLNATTPHYVRCIKPNDEKLPFEFDSKRIVQQ
+LRACGVLETIRISAQSYPSRWTYIEFYSRYGILMTKQELSFSDKKEVCKVVLHRLIQDSN
+QYQFGKTKIFFR
+>Q9NQX4_PF00612_757 <unknown description>
+RQSCVMVQKHMRGWLQRKKF
+>Q9NQX4_PF00612_809 <unknown description>
+WAAIIIQKHCRGYLVRSLYQ
+>Q9NQX4_PF00612_831 <unknown description>
+RMATITMQAYSRGFLARRRYR
+>Q9NQX4_PF00612_858 <unknown description>
+KAVILQKYARAWLARRRFQ
+>Q9NQX4_PF01843_1574 <unknown description>
+QAVKQLFFLIGAVTLNSLFLRKDMCSCRKGMQIRCNISYLEEWLKDKNLQNSLAKETLEP
+LSQAAWLLQVKKTTDSDAKEIYERCTSLSAVQIIKILNSYTP
+>Q9UM54_PF00063_59 <unknown description>
+VEDNCSLMYLNEATLLHNIKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSEAIKSYQGK
+SLGTRPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDI
+DDRIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQ
+GKEERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRYFANKETDKQILQNRKSP
+EYLKAGSMKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGST
+SGGCNLKNKSAQSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANN
+ARDALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNE
+KLQQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEAKLVGILDILDEENRLPQP
+SDQHFTSAVHQKHKDHFRLTIPRKSKLAVHRNIRDDEGFIIRHFAGAVCYETTQFVEKNN
+DALHMSLESLICESRDKFIRELFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKL
+RSTGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGYPSRASFHELYNMY
+KKYMPDKLARLDPRLFCKALFKALGLNENDYKFGLTKVFFR
+>Q9UM54_PF16521_1168 <unknown description>
+QRFFRIPFIRPADQYKDPQSKKKGWWYAHFDGPWIARQMELHPDKPPILLVAGKDDMEMC
+ELNLEETGLTRKRGAEILPRQFEEIWERCGG
+>Q13402_PF00063_67 <unknown description>
+VEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIRQYTNKK
+IGEMPPHIFAIADNCYFNMKRNSRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWIE
+QQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQA
+LDERNYHVFYCMLEGMSEDQKKKLGLGQASDYNYLAMGNCITCEGRVDSQEYANIRSAMK
+VLMFTDTENWEISKLLAAILHLGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPDL
+MSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVDKINAAIYKPPSQDV
+KNSRRSIGLLDIFGFENFAVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWLH
+IEFTDNQDALDMIANKPMNIISLIDEESKFPKGTDTTMLHKLNSQHKLNANYIPPKNNHE
+TQFGINHFAGIVYYETQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRKR
+SPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETIR
+IRRAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTKI
+FLK
+>Q13402_PF00612_769 <unknown description>
+NAATLIQRHWRGHNCRKNY
+>Q13402_PF00612_815 <unknown description>
+QRIIQFQARCRAYLVRKAFR
+>Q13402_PF00784_1155 <unknown description>
+HFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKYLRN
+FIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQA
+>Q13402_PF00784_1797 <unknown description>
+DQIFEGPLKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNILLPHVQR
+FLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEA
+>Q13402_PF00373_2014 <unknown description>
+HYYQELPKYLRGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVS
+PDDWKRSIVAYFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFF
+>B2RTY4_PF00788_15 <unknown description>
+EHTLRIYPGAISEGTIYCPIPARKNSTAAEVIESLINKLHLDKTKCYVLAEVKEFGGEEW
+ILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKN
+>B2RTY4_PF00063_149 <unknown description>
+DDLCSLPDLNEKTLLENLRNRFKHEKIYTYVGSILIVINPFKFLPIYNPKYVKMYDNHQL
+GKLEPHIYAVADVAYHAMLQRKKNQCIVISGESGSGKTQSTNFLIHHLTALSQKGFASGV
+EQIILGAGPVLEAFGNAKTAHNNNSSRFGKFIQVNYQETGTVLGAYVEKYLLEKSRLVYQ
+EHNERNYHVFYYLLAGASEDERSAFHLKQPEEYHYLNQITKKPLRQSWDDYCYDSEPDCF
+TVEGEDLRHDFERLQLAMEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTYRDDSIDICN
+PEVLPIVSELLEVKEEMLFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMAKSLYSALFD
+WIVFRINHALLNSKDLEHNTKTLSIGVLDIFGFEDYENNSFEQFCINFANERLQHYFNQH
+IFKLEQEEYRTEGISWHNIDYIDNTCCINLISKKPTGLLHLLDEESNFPQATNQTLLDKF
+KHQHEDNSYIEFPAVMEPAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVALLRSSKNAFI
+SGM
+>B2RTY4_PF00063_878 <unknown description>
+LLHLHKKKKPPSISAQFQASLSKLMETLGQAEPYFVKCIRSNAEKLPLRFSDVLVLRQLR
+YTGMLETVRIRQSGYSSKYSFQDFVSHFHVLLPRNIIPSKFNIQDFFRKINLNPDNYQVG
+KTMVFLK
+>B2RTY4_PF00612_1043 <unknown description>
+RQASVIIQRFWRNYLNQKQVR
+>B2RTY4_PF00612_1076 <unknown description>
+SAAALLQASWRAHLERQRY
+>B2RTY4_PF00612_1116 <unknown description>
+HMAAICIQARWKAYRESKRYQ
+>B2RTY4_PF00612_1139 <unknown description>
+RKKIILLQSTCRGFRARQRFK
+>B2RTY4_PF00620_2076 <unknown description>
+PLVVEKLINYIEMHGLYTEGIYRKSGSTNKIKELRQGLDTDAESVNLDDYNIHVIASVFK
+QWLRDLPNPLMTFELYEEFLRAMGLQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRI
+ALQEDTNRMSANALAIVFAPCILRCPDT
+>Q13459_PF00788_18 <unknown description>
+HLHIYPQLSTTESQASCRVTATKDSTTSDVIKDAIASLRLDGTKCYVLVEVKESGGEEWV
+LDANDSPVHRVLLWPRRAQDEHPQEDGYYFLLQERN
+>Q13459_PF00063_149 <unknown description>
+DDLCNLPELTEGNLLKNLKHRFLQQKIYTYAGSILVAINPFKFLPIYNPKYVKMYENQQL
+GKLEPHVFALADVAYYTMLRKRVNQCIVISGESGSGKTQSTNFLIHCLTALSQKGYASGV
+ERTILGAGPVLEAFGNAKTAHNNNSSRFGKFIQVSYLESGIVRGAVVEKYLLEKSRLVSQ
+EKDERNYHVFYYLLLGVSEEERQEFQLKQPEDYFYLNQHNLKIEDGEDLKHDFERLKQAM
+EMVGFLPATKKQIFAVLSAILYLGNVTYKKRATGREEGLEVGPPEVLDTLSQLLKVKREI
+LVEVLTKRKTVTVNDKLILPYSLSEAITARDSMAKSLYSALFDWIVLRINHALLNKKDVE
+EAVSCLSIGVLDIFGFEDFERNSFEQFCINYANEQLQYYFNQHIFKLEQEEYQGEGITWH
+NIGYTDNVGCIHLISKKPTGLFYLLDEESNFPHATSQTLLAKFKQQHEDNKYFLGTPVME
+PAFIIQHFAGKVKYQIKDFREKNMDYMRPDIVALLRGSDSSYVRELIG
+>Q13459_PF00063_809 <unknown description>
+DRTTKSLLHLHKKKKPPSISAQFQTSLNKLLEALGKAEPFFIRCIRSNAEKKELCFDDEL
+VLQQLRYTGMLETVRIRRSGYSAKYTFQDFTEQFQVLLPKDAQPCREVISTLLEKMKIDK
+RNYQIGKTKVFLK
+>Q13459_PF00612_958 <unknown description>
+RKILLLQSWFRMVLERRHF
+>Q13459_PF00612_981 <unknown description>
+RAAVTIQACWRSYRVRRAL
+>Q13459_PF00612_1002 <unknown description>
+TQAAVYLQASWRGYWQRKLYR
+>Q13459_PF00612_1026 <unknown description>
+QSIIRLQSLCRGHLQRKSF
+>Q13459_PF00620_1713 <unknown description>
+PIVLEKLLEHVEMHGLYTEGLYRKSGAANRTRELRQALQTDPAAVKLENFPIHAITGVLK
+QWLRELPEPLMTFAQYGDFLRAVELPEKQEQLAAIYAVLEHLPEANHNSLERLIFHLVKV
+ALLEDVNRMSPGALAIIFAPCLLRCPDN
+>Q99972_PF02191_249 <unknown description>
+VWVGEPLTLRTAETITGKYGVWMRDPKPTYPYTQETTWRIDTVGTDVRQVFEYDLISQFM
+QGYPSKVHILPRPLESTGAVVYSGSLYFQGAESRTVIRYELNTETVKAEKEIPGAGYHGQ
+FPYSWGGYTDIDLAVDEAGLWVIYSTDEAKGAIVLSKLNPENLELEQTWETNIRKQSVAN
+AFIICGTLYTVSSYTSADATVNFAYDTGTGISKTLTIPFKNRYKYSSMIDYNPLEKKLFA
+WDNLNMVTYDIK
+>P15172_PF01586_27 <unknown description>
+DDFYDDPCFDSPDLRFFEDLDPRLMHVGALLKPEEHSHFPAAVHPAPGAREDEHVRAPSG
+HHQAGRCLLWACKACKRKTTNAD
+>P15172_PF00010_110 <unknown description>
+RRKAATMRERRRLSKVNEAFETLKRCTSSNPNQRLPKVEILRNAIRYIEGLQ
+>P15172_PF12232_191 <unknown description>
+EHYSGDSDASSPRSNCSDGMMDYSGPPSGARRRNCYEGAYYNEAPSEPRPGKSAAVSSLD
+CLSSIVERI
+>Q9NZM1_PF00168_2 <unknown description>
+LRVIVESASNIPKTKFGKPDPIVSVIFKDEKKKTKKVDNELNPVWNEILEFDLRGIPLDF
+SSSLGIIVKDFETIGQNKLIGTATVALKDLTGDQSRSLPY
+>Q9NZM1_PF00168_200 <unknown description>
+QIRVRVIEGRQLSGNNIRPVVKVHVCGQTHRTRIKRGNNPFFDELFFYNVNMTPSELMDE
+IISIRVYNSHSLRADCLMGEFKIDVGF
+>Q9NZM1_PF08151_303 <unknown description>
+NDPEDTSSGSKGYMKVSMFVLGTGDEPPPERRDRDNDSDDVESNLLLPAGI
+>Q9NZM1_PF00168_361 <unknown description>
+LLKIYRAEDIPQMDDAFSQTVKEIFGGNADKKNLVDPFVEVSFAGKKVCTNIIEKNANPE
+WNQVVNLQIKFPSVCEKIKLTIYDWDRLTKNDVVGTTYLHLSKIAASGG
+>Q9NZM1_PF08165_678 <unknown description>
+IQGKIPANQLAELWLKLIDEVIEDTRYTLPLTEGKANVTVLDTQIRKLRSRSLSQIHEAA
+VRMR
+>Q9NZM1_PF08150_768 <unknown description>
+PQNSMPDIIIWMIRGEKRLAYARIPAHQVLYSTSGENASGKYCGKTQTIFLKYPQEKNNG
+PKVPVELRVNIWLG
+>Q9NZM1_PF00168_1140 <unknown description>
+HLRCYVYQARNLLALDKDSFSDPYAHICFLHRSKTTEIIHSTLNPTWDQTIIFDEVEIYG
+EPQTVLQNPPKVIMELFDNDQVGKDEFLGRSIFS
+>Q9NZM1_PF00168_1311 <unknown description>
+WGLRNMKNFQMASITSPSLVVECGGERVESVVIKNLKKTPNFPSSVLFMKVFLPKEELYM
+PPLVIKVIDHRQFGRKPVVGQCTIE
+>Q9NZM1_PF00168_1554 <unknown description>
+TVRIYIVRGLELQPQDNNGLCDPYIKITLGKKVIEDRDHYIPNTLNPVFGRMYELSCYLP
+QEKDLKISVYDYDTFTRDEKVGETIIDLEN
+>Q9NZM1_PF00168_1790 <unknown description>
+LRVIIWNTKDVILDEKSITGEEMSDIYVKGWIPGNEENKQKTDVHYRSLDGEGNFNWRFV
+FPFDYLPAEQLCIVAKKEHFWSIDQTEFRIPPRLIIQIWDNDKFSLDDYLGFLELDLRH
+>Q9NZM1_PF16165_1961 <unknown description>
+VMAGKVEMTLEILNEKEADERPAGKGRDEPNMNPKLDLPNRPETSFLWFTNPCKTMKFIV
+WRRFKWVIIGLLFLLILLLFVAVLLYSLPNYLSMKI
+>P15173_PF01586_1 <unknown description>
+MELYETSPYFYQEPRFYDGENYLPVHLQGFEPPGYERTELTLSPEAPGPLEDKGLGTPEH
+CPGQCLPWACKVCKRKSVSVD
+>P15173_PF00010_82 <unknown description>
+RRRAATLREKRRLKKVNEAFEALKRSTLLNPNQRLPKVEILRSAIQYIERLQ
+>P52179_PF07679_277 <unknown description>
+PEFIIKPRSHTVWEKENVKLHCSIAGWPEPRVTWYKNQVPINVHANPGKYIIESRYGMHT
+LEINGCDFEDTAQYRASAMNVKGELSAYASVVV
+>P52179_PF07679_416 <unknown description>
+GREGETMSLGCRVVITPEIKHFQPEIQWYRNGVPLSPSKWVQTLWSGERATLTFSHLNKE
+DEGLYTIRVRM
+>P52179_PF00041_512 <unknown description>
+APLDVKCLEANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDSWSQCNDTPVKFARFPV
+TGLIEGRSYIFRVRAVNKMGIGFPS
+>P52179_PF00041_640 <unknown description>
+PPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCEAGTENWQRVNTELPVKSPRFAL
+FDLAEGKSYCFRVRCSNSAGVGEPS
+>P52179_PF00041_741 <unknown description>
+APGKIIPSRNTDTSVVVSWEESKDAKELVGYYIEASVAGSGKWEPCNNNPVKGSRFTCHG
+LVTGQSYIFRVRAVNAAGLSEYS
+>P52179_PF00041_935 <unknown description>
+SPPCDITCLESFRDSMVLGWKQPDKIGGAEITGYYVNYREVIDGVPGKWREANVKAVSEE
+AYKISNLKENMVYQFQVAAMNMAGLGAPS
+>P52179_PF00041_1041 <unknown description>
+PPHSLKCSEVRKDSLVLQWKPPVHSGRTPVTGYFVDLKEAKAKEDQWRGLNEAAIKNVYL
+KVRGLKEGVSYVFRVRAINQAGVGKPS
+>P52179_PF07679_1155 <unknown description>
+SLNFECDKMTPKSEFSWSKDYVSTEDSPRLEVESKGNKTKMTFKDLGMDDLGIYSCDVTD
+TDG
+>P52179_PF07679_1371 <unknown description>
+ECNVLLKCKVANIKKETHIVWYKDEREISVDEKHDFKDGICTLLITEFSKKDAGIYEVIL
+KDDRGKDKSRLKL
+>P52179_PF07679_1579 <unknown description>
+PDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKALASDDHCNLKFEAGRTAYFTINGVST
+ADSGKYGLVVKNKYGSETSDFTVSV
+>P54296_PF07679_154 <unknown description>
+PEILVRLRSHTVWERMSVKLCFTVQGFPTPVVQWYKDGSLICQAAEPGKYRIESNYGVHT
+LEINRADFDDTATYSAVATNAHGQVSTNAAVVV
+>P54296_PF07679_289 <unknown description>
+RREGETVTLKCTMLVTPDLKRVQPRAEWYRDDVLLKESKWTKMFFGEGQASLSFSHLHKD
+DEGLYTLRIVSRGGVSDHSAFLFV
+>P54296_PF00041_385 <unknown description>
+APMDLQCHDANRDYVIVTWKPPNTTTESPVMGYFVDRCEVGTNNWVQCNDAPVKICKYPV
+TGLFEGRSYIFRVRAVNSAGISRPS
+>P54296_PF00041_513 <unknown description>
+PPTGVHASEISRNYVVLSWEPPTPRGKDPLMYFIEKSVVGSGSWQRVNAQTAVRSPRYAV
+FDLMEGKSYVFRVLSANRHGLSEPS
+>P54296_PF00041_613 <unknown description>
+SAPGRVLASRNTKTSVVVQWDRPKHEEDLLGYYVDCCVAGTNLWEPCNHKPIGYNRFVVH
+GLTTGEQYIFRVKAVNAVGMSEN
+>P54296_PF00041_713 <unknown description>
+HPYGITLLNCDGHSMTLGWKVPKFSGGSPILGYYLDKREVHHKNWHEVNSSPSKPTILTV
+DGLTEGSLYEFKIAAVNLAGIGEPS
+>P54296_PF00041_817 <unknown description>
+YDLTFCEVRDTSLVMLWKAPVYSGSSPVSGYFVDFREEDAGEWITVNQTTTASRYLKVSD
+LQQGKTYVFRVRAVNANGVGKPS
+>P54296_PF07679_1351 <unknown description>
+PDVVTIMEGKTLNLTCTVFGNPDPEVIWFKNDQDIQLSEHFSVKVEQAKYVSMTIKGVTS
+EDSGKYSINIKNKYGGEKIDVTVSV
+>Q5VTT5_PF07679_156 <unknown description>
+FWIPLRSHAVWEHTTVLLTCTVQASPPPQVTWYKNDTRIDPRLFRAGKYRITNNYGLLSL
+EIRRCAIEDSATYTVRVKNAHGQASSFAKVL
+>Q5VTT5_PF07679_272 <unknown description>
+EFTSVLKPVFAREKEPFSLSCLFSEDVLDAESIQWFRDGSLLRSSRRRKILYTDRQASLK
+VSCTYKEDEGLYMVRVPSPFGPREQSTY
+>Q5VTT5_PF00041_375 <unknown description>
+SPLNVRCLDVNRDCLILTWAPPSDTRGNPITAYTIERCQGESGEWIACHEAPGGTCRCPI
+QGLVEGQSYRFRVRAISRVGSSVPS
+>Q5VTT5_PF00041_502 <unknown description>
+SPPTNVHASEIREAYVVLAWEEPSPRDRAPLTYSLEKSVIGSGTWEAISSESPVRSPRFA
+VLDLEKKKSYVFRVRAMNQYGLSDPS
+>Q5VTT5_PF00041_603 <unknown description>
+PPPAQVQAFRDTQTSVSLTWDPVKDPELLGYYIYSRKVGTSEWQTVNNKPIQGTRFTVPG
+LRTGKEYEFCVRSVSEAGVGESS
+>Q5VTT5_PF00041_701 <unknown description>
+SAPYGFALLNCGKNEMVIGWKPPKRRGGGKILGYFLDQHDSEELDWHAVNQQPIPTRVCK
+VSDLHEGHFYEFRARAANWAGVGELS
+>Q5VTT5_PF00041_804 <unknown description>
+PPYDVRASEVRATSLVLQWEPPLYMGAGPVTGYHVSFQEEGSEQWKPVTPGPISGTHLRV
+SDLQPGKSYVFQVQAMNSAGLGQPS
+>Q5VTT5_PF00047_1131 <unknown description>
+TEDCQVQLTCKVTNTKKETRFQWFFQRAEMPDGQYDPETGTGLLCIEELSKKDKGIYRAM
+VSDDRGED
+>Q5VTT5_PF07679_1340 <unknown description>
+LPDVATIMEDKTLCLTCIVSGDPTPEISWLKNDQPVTFLDRYRMEVRGTEVTITIEKVNS
+EDSGRYGVFVKNKYGSETGQVTISV
+>Q6NSJ0_PF01055_327 <unknown description>
+AVDQDKVLRFAQQIRLHHFNSSHLEIDDMYTPAYGDFDFDEVKFPNASDMFRRLRDAGFR
+VTLWVHPFVNYNSSRFGEGVERELFVREPTGRLPALVRWWNGIGAVLDFTHPKARDWFQG
+HLRRLRSRYSVASFKFDAGEVSYLPRDFSTYRPLPDPSVWSRRYTEMALPFFSLAEVRVG
+YQSQNISCFFRLVDRDSVWGYDLGLRSLIPAVLTVSMLGYPFILPDMVGGNAVPQRTAGG
+DVPERELYIRWLEVAAFMPAMQFSIPPWRYDAEVVAIAQKFAALRASLVAPLLLELAGEV
+TDTGDPIVRPLWWIAPGDETAHRIDSQFLIGDTLLVAPVLEPGKQERDVYLPAGKWRSYK
+GELFDKTP
+>Q9NP98_PF05556_1 <unknown description>
+MPLSGTPAPNKKRKSSKLIMELTGGGQESSGLNLGKKISVPRDVMLEELSLLTNRGSKMF
+KLRQMRVEKFIYENHPDVFSDSSMDHFQKFLPTVGGQLGTAGQGFSYSKSNGRGGSQAGG
+SGSAGQYGSDQQHHLGSGSGAGGTGGPAGQAGRGGAAGTAGVGETGSGDQAGGEGKHITV
+FKTYISPWERAMGVDPQQKMELGIDLLAYGAKAELPKYKSFNRTAMPYGGYEKASKRMTF
+QMPKFDLGPLLSEPLVLYNQNLSNRPSFNRTPIPWLSSGEPVDYNVDIGIPLDGETEEL
+>Q9NPC6_PF05556_1 <unknown description>
+MLSHNTMMKQRKQQATAIMKEVHGNDVDGMDLGKKVSIPRDIMLEELSHLSNRGARLFKM
+RQRRSDKYTFENFQYQSRAQINHSIAMQNGKVDGSNLEGGSQQAPLTPPNTPDPRSPPNP
+DNIAPGYSGPLKEIPPEKFNTTAVPKYYQSPWEQAISNDPELLEALYPKLFKPEGKAELP
+DYRSFNRVATPFGGFEKASRMVKFKVPDFELLLLTDPRFMSFVNPLSGRRSFNRTPKGWI
+SENIPIVITTEPTDDTTVPESEDL
+>Q8TDC0_PF05556_14 <unknown description>
+MGDLTEPVPTLDLGKKLSVPQDLMMEELSLRNNRGSLLFQKRQRRVQKFTFELAASQRAM
+LAGSARRKVTGTAESGTVANANGPEGPNYRSELHIFPASPGASLGGPEGAHPAAAPAGCV
+PSPSALAPGYAEPLKGVPPEKFNHTAISKGYRCPWQEFVSYRDYQSDGRSHTPSPNDYRN
+FNKTPVPFGGPLVGGTFPRPGTPFIPEPLSGLELLRLRPSFNRVAQGWVRN
+>P25189_PF07686_34 <unknown description>
+TDREVHGAVGSRVTLHCSFWSSEWVSDDISFTWRYQPEGGRDAISIFHYAKGQPYIDEVG
+TFKERIQWVGDPRWKDGSIVIHNLDYSDNGTFTCDVKNPPDIVGKTSQVTLYV
+>P25189_PF10570_184 <unknown description>
+LRRQAALQRRLSAMEKGKLHKPGKDASKRGRQTPVLYAMLDHSRSTKAVSEKKAKGLGES
+RKDKK
+>P02689_PF00061_7 <unknown description>
+GTWKLVSSENFDDYMKALGVGLATRKLGNLAKPTVIISKKGDIITIRTESTFKNTEISFK
+LGQEFEETTADNRKTKSIVTLQRGSLNQVQRWDGKETTIKRKLVNGKMVAECKMKGVVCT
+RIYEK
+>Q00872_PF07679_85 <unknown description>
+FIEKPQGGTVKVGEDITFIAKVKAEDLLRKPTIKWFKGKWMDLASKAGKHLQLKETFERH
+SRVYTFEMQIIKAKDNFAGNYRCEVTYKDKFDSCSFDLEV
+>Q00872_PF18362_234 <unknown description>
+DVWELLKNAKPSEYEKIAFQYGITDLRGMLKRLK
+>Q00872_PF07679_287 <unknown description>
+YQVDKGGRVRFVVELADPKLEVKWYKNGQEIRPSTKYIFEHKGCQRILFINNCQMTDDSE
+YYVTAGD
+>Q00872_PF07679_369 <unknown description>
+VTKQLEDTTAYCGERVELECEVSEDDANVKWFKNGEEIIPGPKSRYRIRVEGKKHILIIE
+GATKADAAEYSVMTTGGQSSAKLSVD
+>Q00872_PF07679_459 <unknown description>
+KILTPLTDQTVNLGKEICLKCEISENIPGKWTKNGLPVQESDRLKVVHKGRIHKLVIANA
+LTEDEGDYV
+>Q00872_PF07679_559 <unknown description>
+TVTVIAGNKLRLEIPISGEPPPKAMWSRGDKAIMEGSGRIRTESYPDSSTLVIDIAERDD
+SGVYHINLKNEAGEAHASIKVKV
+>Q00872_PF00041_646 <unknown description>
+DPPVAPTVTEVGDDWCIMNWEPPAYDGGSPILGYFIERKKKQSSRWMRLNFDLCKETTFE
+PKKMIEGVAYEVRIFAVNAIGISKPS
+>Q00872_PF00041_744 <unknown description>
+SPPTLLTVDSVTDTTVTMRWRPPDHIGAAGLDGYVLEYCFEGTEDWIVANKDLIDKTKFT
+ITGLPTDAKIFVRVKAVNAAGASEP
+>Q00872_PF07679_858 <unknown description>
+RRVGEAVNLVIPFQGKPRPELTWKKDGAEIDKNQINIRNSETDTIIFIRKAERSHSGKYD
+LQVKVDKFVETASIDI
+>Q00872_PF00041_941 <unknown description>
+PPQIVKIEDVWGENVALTWTPPKDDGNAAITGYTIQKADKKSMEWFTVIEHYHRTSATIT
+ELVIGNEYYFRVFSENMCG
+>Q00872_PF07679_1054 <unknown description>
+PMFTQPLVNTYAIAGYNATLNCSVRGNPKPKITWMKNKVAIVDDPRYRMFSNQGVCTLEI
+RKPSPYDGGTYCCKAVNDLGTVEIECKLEV
+>Q14324_PF07679_53 <unknown description>
+VFLKKPDSVSVETGKDAVVVAKVNGKELPDKPTIKWFKGKWLELGSKSGARFSFKESHNS
+ASNVYTVELHIGKVVLGDRGYYRLEVKAKDTCDSC
+>Q14324_PF18362_213 <unknown description>
+EIWELLKGAKKSEYEKIAFQYGITDLRGMLKRLK
+>Q14324_PF07679_258 <unknown description>
+FTKKLDPAYQVDRGNKIKLMVEISDPDLTLKWFKNGQEIKPSSKYVFENVGKKRILTINK
+CTLADDAAYEVAVKDE
+>Q14324_PF07679_348 <unknown description>
+IVTPLEDQQVFVGDRVEMAVEVSEEGAQVMWMKDGVELTREDSFKARYRFKKDGKRHILI
+FSDVVQEDRGRYQVIT
+>Q14324_PF07679_441 <unknown description>
+VLQDIADLTVKASEQAVFKCEVSDEKVTGKWYKNGVEVRPSKRITISHVGRFHKLVIDDV
+RPEDEGDYTFV
+>Q14324_PF07679_552 <unknown description>
+NAIVVVAGNKLRLDVSITGEPPPVATWLKGDEVFTTTEGRTRIEKRVDCSSFVIESAQRE
+DEGRYTIKVTNPVGEDVASIFLQV
+>Q14324_PF00041_640 <unknown description>
+DPPEAVRITSVGEDWAILVWEPPMYDGGKPVTGYLVERKKKGSQRWMKLNFEVFTETTYE
+STKMIEGILYEMRVFAVNAIGVSQPS
+>Q14324_PF00041_738 <unknown description>
+SEPLHLIVEDVTDTTTTLKWRPPNRIGAGGIDGYLVEYCLEGSEEWVPANTEPVERCGFT
+VKNLPTGARILFRVVGVNIAGRSE
+>Q14324_PF07679_852 <unknown description>
+RKVGEQLNLVVPFQGKPRPQVVWTKGGAPLDTSRVHVRTSDFDTVFFVRQAARSDSGEYE
+LSVQIENMKDTATIRIRV
+>Q14324_PF00041_935 <unknown description>
+PPINVMVKEVWGTNALVEWQAPKDDGNSEIMGYFVQKADKKTMEWFNVYERNRHTSCTVS
+DLIVGNEYYFRVYTENICG
+>Q14324_PF07679_1048 <unknown description>
+PKFLTPLIDRVVVAGYSAALNCAVRGHPKPKVVWMKNKMEIREDPKFLITNYQGVLTLNI
+RRPSPFDAGTYTCRAVNELGEALAECKLEV
+>Q14896_PF07679_11 <unknown description>
+AFSKKPRSVEVAAGSPAVFEAETERAGVKVRWQRGGSDISASNKYGLATEGTRHTLTVRE
+VGPADQGSYAVIAGS
+>Q14896_PF07679_157 <unknown description>
+FVMRPQDGEVTVGGSITFSARVAGASLLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRA
+SKVYLFELHITDAQPAFTGSYRCEVSTKDKFDCSNFNLTV
+>Q14896_PF18362_320 <unknown description>
+DVWEILRQAPPSEYERIAFQYGVTDLRGMLKRLK
+>Q14896_PF07679_365 <unknown description>
+FQKKLEPAYQVSKGHKIRLTVELADHDAEVKWLKNGQEIQMSGSKYIFESIGAKRTLTIS
+QCSLADDAAYQCVVG
+>Q14896_PF07679_456 <unknown description>
+ITRPLEDQLVMVGQRVEFECEVSEEGAQVKWLKDGVELTREETFKYRFKKDGQRHHLIIN
+EAMLEDAGHYAL
+>Q14896_PF07679_548 <unknown description>
+YQSIADLMVGAKDQAVFKCEVSDENVRGVWLKNGKELVPDSRIKVSHIGRVHKLTIDDVT
+PADEADYSF
+>Q14896_PF07679_655 <unknown description>
+IPDTIVVVAGNKLRLDVPISGDPAPTVIWQKAITQGNKAPARPAPDAPEDTGDSDEWVFD
+KKLLCETEGRVRVETTKDRSIFTVEGAEKEDEGVYTVTVKNPVGEDQVNLTVKV
+>Q14896_PF00041_773 <unknown description>
+DAPAAPKISNVGEDSCTVQWEPPAYDGGQPILGYILERKKKKSYRWMRLNFDLIQELSHE
+ARRMIEGVVYEMRVYAVNAIGMSRPS
+>Q14896_PF00041_871 <unknown description>
+SEPTHLAVEDVSDTTVSLKWRPPERVGAGGLDGYSVEYCPEGCSEWVAALQGLTEHTSIL
+VKDLPTGARLLFRVRAHNMAGPGA
+>Q14896_PF07679_981 <unknown description>
+QTIQKKVGEPVNLLIPFQGKPRPQVTWTKEGQPLAGEEVSIRNSPTDTILFIRAARRVHS
+GTYQVTVRIENMEDKATLVLQV
+>Q14896_PF00041_1067 <unknown description>
+SPPQDLRVTDAWGLNVALEWKPPQDVGNTELWGYTVQKADKKTMEWFTVLEHYRRTHCVV
+PELIIGNGYYFRVFSQNMVGFS
+>Q14896_PF07679_1181 <unknown description>
+PSFTQPLVNRSVIAGYTAMLCCAVRGSPKPKISWFKNGLDLGEDARFRMFSKQGVLTLEI
+RKPCPFDGGIYVCRATNLQGEARCECRLEV
+>Q86TC9_PF07679_269 <unknown description>
+PRFTQKLRSREVPEGTRVQLDCIVVGIPPPQVRWYCEGKELENSPDIHIVQAGNLHSLTI
+AEAFEEDTGRYSCFASNIYGTDSTSAEI
+>Q86TC9_PF07679_435 <unknown description>
+PVFTKMLQNLSASEGQLVVFECRVKGAPSPKVEWYREGTLIEDSPDFRILQKKPRSMAEP
+EEICTLVIAEVFAEDSGCFTCTASNKYGTVSSIAQLHV
+>Q86TC9_PF07679_945 <unknown description>
+PIFDKRLKHFRVTEGSPVTFTCKIVGIPVPKVYWFKDGKQISKRNEHCKMRREGDGTCSL
+HIESTTSDDDGNYTIMAANPQGRISCSGHLMV
+>Q86TC9_PF07679_1073 <unknown description>
+PHFLQAPGDMVAHEGRLCRLDCKVSGLPPPELTWLLNGQPVLPDASHKMLVRETGVHSLL
+IDPLTQRDAGTYKCIATNKTGQNSFSLELSV
+>Q86TC9_PF07679_1172 <unknown description>
+PVILEKLQNCGVPEGHPVRLECRVIGMPPPVFYWKKDNETIPCTRERISMHQDTTGYACL
+LIQPAKKSDAGWYTLSAKNEAGIVSCTARLDI
+>Q86VE0_PF13873_16 <unknown description>
+RKPRFSFEENQILIREVRAHYPQLYGAQSRRVSVAERRRVWDGIAAKINGITSWKRTGQE
+VQKRWNDFKRRTKEKLA
+>P60201_PF01275_5 <unknown description>
+ECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEYLINV
+IHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATVTGGQKGR
+GSRGQHQAHSLERVCHCLGKWLGHPDKFVGITYALTVVWLLVFACSAVPVYIYFNTWTTC
+QSIAFPSKTSASIGSLCADARMYGVLPWNAFPGKVCGSNLLSICKTAEFQMTFHLFIAAF
+VGAAATLVSLLTFMIAATYNFAVLKLMGR
+>O14974_PF12796_46 <unknown description>
+AACSSGDTDEVLKLLHRGADINYANVDGLTALHQACIDDNVDMVKFLVENGANINQPDNE
+GWIPLHAAASCGYLDIAEFLIGQGAHVG
+>O14974_PF12796_191 <unknown description>
+NDVRHAKSGGTALHVAAAKGYTEVLKLLIQAGYDVNIKDYDGWTPLHAAAHWGKEEACRI
+LVDNLCDMEM
+>O14974_PF15898_931 <unknown description>
+DFKKLYEQILAENEKLKAQLHDTNMELTDLKLQLEKATQRQERFADRSLLEMEKRERRAL
+ERRISEMEEELKMLPDLKADNQRLKDENGALIRVISKLSK
+>O60237_PF12796_64 <unknown description>
+AACSSGDTDEVRKLLARGADINTVNVDGLTALHQACIDENLDMVKFLVENRANVNQQDNE
+GWTPLHAAASCGYLNIAEYFINHGASVGI
+>O60237_PF12796_209 <unknown description>
+EDVRQARSGATALHVAAAKGYSEVLRLLIQAGYELNVQDYDGWTPLHAAAHWGVKEACSI
+LAEALCDMDIR
+>O60237_PF15898_881 <unknown description>
+DYKKLYESALTENQKLKTKLQEAQLELADIKSKLEKVAQQKQEKTSDRSSVLEMEKRERR
+ALERKMSEMEEEMKVLTELKSDNQRLKDENGALIRVISKLSK
+>Q9Y2G1_PF05224_394 <unknown description>
+FVCQKKNHFQVTVYIGMLGEPKYVKTPEGLKPLDCFYLKLHGVKLEALNQSINIEQSQSD
+RSKRPFNPVTVNLPPEQVTKVTVGRLHFSETTANNMRKKGKPNPDQRYFMLVVALQAHAQ
+NQNYTLAAQISERIIVRASNPGQFES
+>Q9Y2G1_PF13884_587 <unknown description>
+SDLRAKEHVQEVDTTEQLKRISRMRLVHYRYKPEFAASAGIEATAPETGVIAQEVKEILP
+E
+>Q9Y2G1_PF13887_667 <unknown description>
+LVVNKERIFMENVGAVKELCKLTDNLETRIDELERW
+>Q9Y2G1_PF13888_1017 <unknown description>
+TSIQVLENSMSITSQYCAPGDACRPGNFTYHIPVSSGTPLHLSLTLQMNSSSPVSVVLCS
+LRSKEEPCEEGSLPQSLHTHQDTQGTSHRWPITILSFREFTYHFRVALLGQANCSSEALA
+QPATDYHFHFYRLC
+>Q8NFW9_PF02318_9 <unknown description>
+GLTDDETEHVLQVVQRDFNLRKKEEERLSELKQKLDEEGSKCSILSKHQQFVEHCCMRCC
+SPFTFLVNTKRQCGDCKFNVCKSCCSYQKHEKAWVCCVCQQARLLRAQSLEWFYNNV
+>Q8NFW9_PF04698_152 <unknown description>
+CFDILGGSLFESNLENEGSISGSDSTFYRQSEGHSVMDTLAVALRVAEEAIEEAISKAEA
+YGDSLDKQNEASYLRDHKEELTEELATTILQKIIRKQKSKSEQQVEEEPGWPHPQSCSTK
+VADEGTSASPGGYRAPAALWRSQSAFSITGEEALKTPPVEAPSRQPRDQGQHPRAESALP
+SWKSVDRLDETNLAPVLQSPDGNWVALKDGAPPPTRLLAKPKSGTFQALEVASSVASAYD
+EMGSDSEEDFDWSEALSKLCPRSRALPRNPQPQPTQAQSSDQGPIAASPSSALSPNPEAM
+CSDSETSSAGSSREVGHQARLSWLQRKAPRNPAAEKMRLHGELDVNFNPQLASRETSDSS
+EPEEAPHTTDRRARRWRRARLGSEEPSKEPSSPSAQLRDLDTHQVSDDLSETDISNEARD
+PQTLTDTTEEKRRNRLYELAMKMSEKETSSGEDQESEPKTESENQKESLSSEDNSQSVQE
+ELKKKFSAVSLCNISTEVLKVINATEELIAGSTGPWESPQVPPDRQKGMFPRGTDQVRLD
+EQLTSLEENVYLAAGTVYGLETQLTELEDAARCIHSGTDETHLADLEDQVATAAAQVHHA
+ELQISDIESRISALTIAGLNIAPCVRFTRRRDQKQRTQVQTIDTSRQQRRKLPAPPVKAE
+KIETSSVTTIKTFNHNFILQGSSTNRTKERKGTTKDLMEPALESAVMY
+>Q5VVJ2_PF00249_119 <unknown description>
+VKWTIEEKELFEQGLAKFGRRWTKISKLIGSRTVLQVKSYARQY
+>Q5VVJ2_PF04433_381 <unknown description>
+IDRNIIQEEEKQAIPEFFEGRQAKTPERYLKIRNYILDQWEICKPKYLNKTSVRPGLKNC
+GDVNCIGRIHTYLELIGAINF
+>Q5VVJ2_PF01398_576 <unknown description>
+QVKVASEALLIMDLHAHVSMAEVIGLLGGRYSEVDKVVEVCAAEPCNSLSTGLQCEMDPV
+SQTQASETLAVRGFSVIGWYHSHPAFDPNPSLRDIDTQAKYQSY
+>Q9UL68_PF01530_30 <unknown description>
+SCPTPGCDGSGHVSGKYARHRSVYGCPLA
+>Q9UL68_PF01530_505 <unknown description>
+KCPTPGCDGTGHVTGLYPHHRSLSGCP
+>Q9UL68_PF01530_549 <unknown description>
+KCPTPGCTGRGHVNSNRNSHRSLSGCPIA
+>Q9UL68_PF08474_621 <unknown description>
+YRNNVPTTTPRSNLAKELEKYSKTSFEYNSYDNHTYGKRAIAPKVQTRDISPKGYDDAKR
+YCKDPSPSSSSTSSYAPSSSSNLSCGGGSSASSTCSKSSFDYTHDMEAAHMAATAILNLS
+TRCREMPQNLSTKPQDLCATRNPDMEVDENGTLDLSMNKQRPRDSCCPILTPLEPMSPQQ
+QAVMNNRCFQLGEGDCWDLPVDYTKMKPRRIDEDESKDITPEDLDPFQEALEERRYPGEV
+TIPSPKPKYPQC
+>Q9UL68_PF01530_904 <unknown description>
+KCPTPGCDGSGHITGNYASHRSLSGCPRA
+>Q9UL68_PF01530_953 <unknown description>
+RCPVPGCDGQGHITGKYASHRSASGCPLA
+>Q9UL68_PF01530_1006 <unknown description>
+SCPTPGCDGSGHVSGSFLTHRSLSGCPRA
+>Q01538_PF01530_29 <unknown description>
+SCPTPGCTGSGHVRGKYSRHRSLQSCPLA
+>Q01538_PF01530_441 <unknown description>
+KCPTPGCDGTGHVTGLYPHHRSLSGCP
+>Q01538_PF01530_485 <unknown description>
+KCPTPGCTGQGHVNSNRNTHRSLSGCPIA
+>Q01538_PF08474_560 <unknown description>
+YRPNVAPATPRANLAKELEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFQ
+>Q01538_PF08474_617 <unknown description>
+FDYSQDAEAAHMAATAILNLSTRCWEMPENLSTKPQDLPSKSVDIEVDENGTLDLSMHKH
+RKRENAFPSSSSCSSSPGVKSPDASQRHSSTSAPSSSMTSPQSSQASRQDEWDRPLDYTK
+PSRLREEEPEESEPAAHSFASSEADDQEVSEENFEERKYPGEVTLTNFKLKFLS
+>Q01538_PF01530_799 <unknown description>
+TCPTPGCDGSGHITGNYASHRSLSGCPLA
+>Q01538_PF01530_843 <unknown description>
+KCPTPGCDGSGHITGNYASHRSLSGCPRA
+>Q01538_PF01530_892 <unknown description>
+KCPVPGCVGLGHISGKYASHRSASGCPLA
+>Q01538_PF01530_945 <unknown description>
+TCPTPGCDGSGHANGSFLTHRSLSGCPRA
+>Q8WU39_PF11938_49 <unknown description>
+RCDACRAVAYQMWQNLAKAETKLHTSNSGGRRELSELVYTDVLDRSCSRNWQDYGVREVD
+QVKRLTGPGLSEGPEPSISVMVTGGPWPTRLSRTCLHYLGEFGEDQIYEAHQQGRGALEA
+LLCGGPQGAC
+>P28698_PF02023_40 <unknown description>
+PEAARLRFRCFRYEEATGPQEALAQLRELCRQWLRPEVRSKEQMLELLVLEQFLGALPPE
+IQARVQGQRPGSPEEAAALVDGLRREPG
+>P28698_PF00096_357 <unknown description>
+RCDVCGKVFSQRSNLLRHQKIH
+>P28698_PF00096_384 <unknown description>
+FVCSECGRSFSRSSHLLRHQLTH
+>P28698_PF00096_412 <unknown description>
+FVCGDCGQGFVRSARLEEHRRVH
+>P28698_PF00096_440 <unknown description>
+FRCAECGQSFRQRSNLLQHQRIH
+>P28698_PF00096_541 <unknown description>
+FACAECGQSFRQRSNLTQHRRIH
+>P28698_PF00096_569 <unknown description>
+FACAECGKAFRQRPTLTQHLRVH
+>P28698_PF00096_597 <unknown description>
+FACPECGQRFSQRLKLTRHQRTH
+>P28698_PF00096_625 <unknown description>
+YHCGECGLGFTQVSRLTEHQRIH
+>P28698_PF00096_653 <unknown description>
+FACPECGQSFRQHANLTQHRRIH
+>P28698_PF00096_681 <unknown description>
+YACPECGKAFRQRPTLTQHLRTH
+>P28698_PF00096_709 <unknown description>
+FACQDCGRRFHQSTKLIQHQRVH
+>Q08AG7_PF12554_22 <unknown description>
+RETMDVLLEISRILNTGLDMETLSICVRLCEQGINPEALSSVIKELR
+>Q6P582_PF12926_19 <unknown description>
+EAARQKLALRRKKVLSTEEMELYELAQAAGGGIDPDVFKILVDLLKLNVAPLAVFQMLKS
+MCAGQRLASEPQDPAAV
+>Q6NZ67_PF12926_19 <unknown description>
+EAARQKLALRRKKVLSTEEMELYELAQAAGGAIDPDVFKILVDLLKLNVAPLAVFQMLKS
+MCAGQRLASEPQDPAAV
+>Q5TBK1_PF13671_45 <unknown description>
+YLLRGLPGSGKTTLARQLQHDFPRALIFSTDDFFFREDGAYEFNPDFLEEAHEWNQKRAR
+KAMRNGISPIIIDNTNLHAWEMKPYAVMALENNYEVIFREPDTRWKFNVQELARRNIHGV
+SREKIHRMKERYE
+>Q92802_PF13671_404 <unknown description>
+LILLRGLPGSGKTTLSRILLGQNRDGIVFSTDDYFHHQDGYRYNVNQLGDAHDWNQNRAK
+QAIDQGRSPVIIDNTNIQAWEMKPYVEVAIGKGYRVEFHEPETWWKFDPEELEKRNKHGV
+SRKKIAQMLDRYE
+>O75113_PF11977_617 <unknown description>
+LKHIVIDGSNVAITHGLKKFFSCRGIAIAVEYFWKLGNRNITVFVPQWRTRRDPNVTEQH
+FLTQLQELGILSLTPARMVFGERIASHDDRFLLHLADKTGGIIVTNDNFREFVNESVSWR
+EIITKRLLQYTFVGDIFMVPDDPLGRSGPRLEE
+>Q86UW6_PF13671_442 <unknown description>
+LVLLRGLPGSGKSFLARTLQEDNPSGVILSTDDYFYINGQYQFDVKYLGEAHEWNQNRAK
+EAFEKKISPIIIDNTNLQAWEMKPYVALSQKHKYKVLFREPDTWWKFKPKELARRNIHGV
+SKEKITRMLEHYQ
+>Q86UW6_PF08590_1619 <unknown description>
+YDDYRAEAFLHQQKRMECYSKAKEAYRIGKKNVATFYAQQGTLHEQKMKEANHLAAIEIF
+EKVN
+>Q86UW6_PF01713_1691 <unknown description>
+LDLHGLHVDEALEHLMRVLEKKTEEFKQNGGKPYLSVITGRGNHSQGGVARIKPAVIKYL
+ISH
+>O15049_PF06818_367 <unknown description>
+RWEVCQKTAEISLLKQQLREAQAELAQKLAEIFSLKTQLRGSRAQAQAQDAELVRLREAV
+RSLQE
+>O15049_PF06818_459 <unknown description>
+SEARDSAEQLRAELLQERLRGQEQALRFEQERRTWQEEKERVLRYQREIQGGYMDMYRRN
+QALEQEL
+>Q9H1M0_PF05064_61 <unknown description>
+TSTVSVVATPVMTYGHLEGLINEWNLELEDQEKYFLLQATQVNAWDHTLIENGEMIRILH
+GEVNKVKLDQKRLEQELDFILSQQQELEFLLTYLEESTRDQSGLHYLQDADEE
+>Q9Y5N5_PF05175_30 <unknown description>
+FLLLDALEAAAAELAGVEICLEVGSGSGVVSAFLASMIGPQALYMCTDINPEAAACTLET
+ARCNKVHIQPVITDLVKGLLPRLTEKVDLLVFNPPYV
+>P41227_PF00583_41 <unknown description>
+LSYIAEDENGKIVGYVLAKMEEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIE
+NFNAKYVSLHVRKSNRAALHLYSN
+>Q9BSU3_PF00583_42 <unknown description>
+SYIAEDEDGKIVGYVLAKMEEEPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIEN
+FNAKYVSLHVRKSNRPALHLYSN
+>Q9BXJ9_PF13181_83 <unknown description>
+WHVYGLLQRSDKKYDEAIKCYRNALKWDKDN
+>Q9BXJ9_PF12569_187 <unknown description>
+YEYSELLLYQNQVLREAGLYREALEHLCTYEKQICDKLAVEETKGELLLQLCRLEDAADV
+YRGLQERNPENWAYYKGLEKALKPANMLERLKIYEEAWTKYPRGLVPRRLPLNFLSGEKF
+KECLDKFLRMNFSKGCPPVFNTLRSLYKDKEKVAIIEELVVGYETSLKSCRLFNPNDDGK
+EEPPTTLLWVQYYLAQHYDKIGQPSIALEYINTAIESTPTLIELFLVKAKIYKHAGNIKE
+AARWMDEAQALDTADRFINSKCAKYMLKANLIKEAEEMCSKFTREGTSAVENLNEMQCMW
+FQTECAQAYKAMNKFGEALKKCHEIERHFIEITDDQFDFHTYCMRKITLRSYVDLLKLED
+VLRQHPFYFKAARIAIEIYLKLHDNPLTDENKEHEADTANMSDKELKKLRNKQRRAQKKA
+QIEEEKKNAEKEKQQRNQKKKKDDDDEEIGGPKEELIPEKLAKVETPLEEAIKFLTPLKN
+LVKNKIETHLFAFEIYFRKEKFLLMLQSVKRA
+>Q6N069_PF07719_82 <unknown description>
+CWHVYGLLQRSDKKYDEAIKCYRNALKLDKDN
+>Q6N069_PF12569_187 <unknown description>
+YEYSELILYQNQVMREADLLQESLEHIEMYEKQICDKLLVEEIKGEILLKLGRLKEASEV
+FKNLIDRNAENWCYYEGLEKALQISTLEERLQIYEEISKQHPKAITPRRLPLTLVPGERF
+RELMDKFLRVNFSKGCPPLFTTLKSLYYNTEKVSIIQELVTNYEASLKTCDFFSPYENGE
+KEPPTTLLWVQYFLAQHFDKLGQYSLALDYINAAIASTPTLIELFYMKAKIYKHIGNLKE
+AAKWMDEAQSLDTADRFINSKCAKYMLRANMIKEAEEMCSKFTREGTSAMENLNEMQCMW
+FQTECISAYQRLGRYGDALKKCHEVERHFFEITDDQFDFHTYCMRKMTLRAYVDLLRLED
+ILRRHAFYFKAARSAIEIYLKLYDNPLTNESKQQEINSENLSAKELKKMLSKQRRAQKKA
+KLEEERKHAERERQQKNQKKKRDEEEEEASGLKEELIPEKLERVENPLEEAVKFLIPLKN
+LVADNIDTHLLAFEIYFRKGKFLLMLQSVKRA
+>P61599_PF00583_26 <unknown description>
+TYGIPFYLQYLAHWPEYFIVAEAPGGELMGYIMGKAEGSVAREEWHGHVTALSVAPEFRR
+LGLAAKLMELLEEISERKGGFFVDLFVRVSNQVAVNMYKQLGY
+>Q14CX7_PF09797_263 <unknown description>
+WQFYLTYFDSVFRLIEEAWSPPAEGEHSLEGEVHYSAEKAVKFIEDRITEESKSSRHLRG
+PHLAKLELIRRLRSQGCNDEYKLGDPEELMFQYFKKFGDKPCCFTDLKVFVDLLPATQCT
+KFINQLLGVVPLSTPTEDKLALPADIRALQQHLCVVQLTRLLGLYHTMDKNQKLSVVREL
+MLRYQHGLEFGKTCLKTELQFSDYYCLLAVHALIDVWRETGDETTVWQALTLLEEGLTHS
+PSNAQFKLLLVRIYCMLGAFEPVVDLYSSLDAKHIQHDTIGYLLTRYAESLGQYAAASQS
+CNFALRFFHSNQKDTSEYIIQAYKYGAFEKIPEFIAFRNRLNNSLHFAQVRTERMLLDLL
+LEANISTSLAESIKSMNLRPEEDDIPWEDLRDNRDL
+>Q147X3_PF00583_234 <unknown description>
+DLSEPYSIYTYRYFIHNWPQLCFLAMVGEECVGAIVCKLDMHKKMFRRGYIAMLAVDSKY
+RRNGIGTNLVKKAIYAMVEGDCDEVVLETEITNKSALKLYENLGF
+>Q5VZE5_PF04112_46 <unknown description>
+GELLHDKLFGLFEAMSAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLTLPEL
+IGIMDTCFCCLITWLEGHSLAQTVFTCLYIHN
+>Q5VZE5_PF04112_146 <unknown description>
+MKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKMANSV
+>Q86UY6_PF00583_89 <unknown description>
+EWGWKDREKREEMTDDRAWYLIAWENSSVPVAFSHFRFDVECGDEVLYCYEVQLESKVRR
+KGLGKFLIQILQLMANSTQMKKVMLTVFKHNHGAYQFFRE
+>Q9GZZ1_PF00583_46 <unknown description>
+AKLAYFNDIAVGAVCCRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGT
+FDNIYLHVQISNESAIDFYRKFGF
+>Q9H7X0_PF00583_31 <unknown description>
+GDWFPIEYPDSWYRDITSNKKFFSLAATYRGAIVGMIVAEIKNRTKIHKEDGDILASNFS
+VDTQVAYILSLGVVKEFRKHGIGSLLLESLKDHISTTAQDHCKAIYLHVLTTNNTAINFY
+ENRDF
+>Q93015_PF00583_114 <unknown description>
+LEAAPVVVGHARLSRVLNQPQSLLVETVVVARALRGRGFGRRLMEGLEVFARARGFRKLH
+LTTHDQVHFYTHLGY
+>Q02083_PF15508_32 <unknown description>
+AAPRFNVSLDSVPELRWLPVLRHYDLDLVRAAMAQVIGDRVPKWVHVLIGKVVLELERFL
+>Q02083_PF02275_126 <unknown description>
+CTSIVAQDSRGHIYHGRNLDYPFGNVLRKLTVDVQFLKNGQIAFTGTTFIGYVGLWTGQS
+PHKFTVSGDERDKGWWWENAIAALFRRHIPVSWLIRATLSESENFEAAVGKLAKTPLIAD
+VYYIVGGTSPREGVVITRNRDGPADIWPLDPLNGAWFRVETNYDHWK
+>Q13506_PF04904_6 <unknown description>
+PRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMASKPLHV
+RRLQKALRDWVTNPGLFN
+>Q13506_PF04905_191 <unknown description>
+LDAAAALSVAECVERMAPTLPKSDLNEVKELLKTNKKLAKMIGHIFEMNDDDPHKEEEIR
+KYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFALARQISREVTY
+KYTYRTT
+>Q13506_PF04902_322 <unknown description>
+GERDELSPKRIKVEDGFPDFQDSVQTLFQQARAKSEELAALSSQQPEKVMAKQMEFLCNQ
+AGYERLQHAERRLSAGLYRQSSEEHSPNGLTSDNSDGQGERPLNLRMPNLQNRQPHHFVV
+DGELSRLYPSEAKSHSSESLGILKDYPHSAFTLEKKVIKTEPEDS
+>Q15742_PF04904_37 <unknown description>
+PRTLGELQLYRVLQRANLLSYYETFIQQGGDDVQQLCEAGEEEFLEIMALVGMATKPLHV
+RRLQKALREWATNPGLF
+>Q15742_PF04905_237 <unknown description>
+LEPEMVRMVVESVERIFRSFPRGDAGEVTSLLKLNKKLARSVGHIFEMDDNDSQKEEEIR
+KYSIIYGRFDSKRREGKQLSLHELTINEAAAQFCMRDNTLLLRRVELFSLSRQVARESTY
+LSSLKGS
+>P32418_PF01699_81 <unknown description>
+YFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETTKTTVRIWNETVSNLTLM
+ALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIIIALCVYVVPDGETRKIKH
+LRVFFVTAAWSIFAYTWLYIILSVISPGVVEVWEGLLTFFFFPICVVFAWV
+>P32418_PF16494_254 <unknown description>
+RRLLFYKYVYKRYRAGKQRGMIIEHEGDRPSSKTEIEMDGKVVNSHVENFLDGALVLEVD
+ERDQDDEEARREMARILKELKQKHPDKEIEQLIELANYQVLSQQQKSRAFYRIQATRLMT
+GAGNILKRHAADQARKA
+>P32418_PF03160_397 <unknown description>
+NTEVTENDPVSKIFFEQGTYQCLENCGTVALTIIRRGGDLTNTVFVDFRTEDGTANAGSD
+YEFTEGTVVFKPGDTQKEIRVGIIDDDIFEEDENFLVHLS
+>P32418_PF03160_527 <unknown description>
+ATVTIFDDDHAGIFTFEEPVTHVSESIGIMEVKVLRTSGARGNVIVPYKTIEGTARGGGE
+DFEDTCGELEFQNDEIVKTISVKVIDDEEYEKNKTFFLEI
+>P32418_PF01699_801 <unknown description>
+WACFIVSILMIGLLTAFIGDLASHFGCTIGLKDSVTAVVFVALGTSVPDTFASKVAATQD
+QYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVSPGTLAFSVTLFTIFAFI
+NVGVLLYRRRPEIGGELGGPRTAKLLTSCLFVLLWLLYIFFS
+>Q9UPR5_PF01699_75 <unknown description>
+YFVAMVYMFLGVSIIADRFMAAIEVITSKEKEITITKANGETSVGTVRIWNETVSNLTLM
+ALGSSAPEILLSVIEVCGHNFQAGELGPGTIVGSAAFNMFVVIAVCIYVIPAGESRKIKH
+LRVFFVTASWSIFAYVWLYLILAVFSPGVVQVWEALLTLVFFPVCVVFAWM
+>Q9UPR5_PF16494_248 <unknown description>
+KRLLFYKYVYKRYRTDPRSGIIIGAEGDPPKSIELDGTFVGAEAPGELGGLGPGPAEARE
+LDASRREVIQILKDLKQKHPDKDLEQLVGIANYYALLHQQKSRAFYRIQATRLMTGAGNV
+LRRHAADASRR
+>Q9UPR5_PF03160_389 <unknown description>
+EDDGASRIFFEPSLYHCLENCGSVLLSVTCQGGEGNSTFYVDYRTEDGSAKAGSDYEYSE
+GTLVFKPGETQKELRIGIIDDDIFEEDEHFFVRL
+>Q9UPR5_PF03160_512 <unknown description>
+ATVTILDDDHAGIFSFQDRLLHVSECMGTVDVRVVRSSGARGTVRLPYRTVDGTARGGGV
+HYEDACGELEFGDDETMKTLQVKIVDDEEYEKKDNFFIEL
+>Q9UPR5_PF01699_748 <unknown description>
+GWACFGVSILVIGLLTALIGDLASHFGCTVGLKDSVNAVVFVALGTSIPDTFASKVAALQ
+DQCADASIGNVTGSNAVNVFLGLGVAWSVAAVYWAVQGRPFEVRTGTLAFSVTLFTVFAF
+VGIAVLLYRRRPHIGGELGGPRGPKLATTALFLGLWLLYILFA
+>P57103_PF01699_80 <unknown description>
+YFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGETSTTTIRVWNETVSNLTLM
+ALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFIIIGICVYVIPDGETRKIKH
+LRVFFITAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFFFPVCVLLAWV
+>P57103_PF16494_253 <unknown description>
+KRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGNLVPLEGKEVDES
+RREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATRMMTGAGNILKKH
+AAEQAKKA
+>P57103_PF03160_390 <unknown description>
+EPEDFISKVFFDPCSYQCLENCGAVLLTVVRKGGDMSKTMYVDYKTEDGSANAGADYEFT
+EGTVVLKPGETQKEFSVGIIDDDIFEEDEHFFVRLS
+>P57103_PF03160_519 <unknown description>
+ATVTILDDDHAGIFTFECDTIHVSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGE
+DFEDTYGELEFKNDETVKTIRVKIVDEEEYERQENFFIAL
+>P57103_PF01699_748 <unknown description>
+GWACFAVSILIIGMLTAIIGDLASHFGCTIGLKDSVTAVVFVAFGTSVPDTFASKAAALQ
+DVYADASIGNVTGSNAVNVFLGIGLAWSVAAIYWALQGQEFHVSAGTLAFSVTLFTIFAF
+VCISVLLYRRRPHLGGELGGPRGCKLATTWLFVSLWLLYILFAT
+>Q9H009_PF01849_73 <unknown description>
+EKRARKAMSKLGLLQVTGVTRVTIWKSKNILFVITKLDVYKSPASDAYIVFGEAK
+>Q9H009_PF19026_176 <unknown description>
+VEVKDVKLVMSQANVSRAKAVRALKNNSNDIVNAIMELT
+>O15069_PF01849_1414 <unknown description>
+EKKARKAMSKLGLRQIQGVTRITIQKSKNILFVIAKPDVFKSPASDTYVVFGEAKI
+>O15069_PF19026_1527 <unknown description>
+DIELVMAQANVSRAKAVRALRDNHSDIVNAIMELT
+>E9PAV3_PF01849_1936 <unknown description>
+EKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTYIVFGEAKI
+>E9PAV3_PF19026_2039 <unknown description>
+VEVKDIELVMSQANVSRAKAVRALKNNSNDIVNAIMELT
+>Q96RE7_PF00651_20 <unknown description>
+LNEQRLQGLYCDVSVVVKGHAFKAHRAVLAASSSYFRDLFNNSRSAVVELPAAVQPQSFQ
+QILSFCYTGRLSMNVGDQFLLMYTAGFLQIQEIMEKGTEFF
+>Q96RE7_PF10523_398 <unknown description>
+LLRRLLASFFDRNTLANSCGTGIRSSTNDPRRKPLDSRVLHAVKYYCQNFAPNFKESE
+>Q96BF6_PF00651_20 <unknown description>
+LNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFSGNSKSAFELPGSVPPACFQ
+QILSFCYTGRLTMTASEQLVVMYTAGFLQIQHIVERG
+>Q96BF6_PF10523_375 <unknown description>
+LLRRLLATFFDRNTLANSCGTGIRSSTSDPSRKPLDSRVLNAVKLYCQNFAPSFKESE
+>Q9BWU0_PF00498_135 <unknown description>
+CLFGRLSGCDVCLEHPSVSRYHAVLQHRASGPDGECDSNGPGFYLYDLGSTHGTFLNKTR
+IPPRTYCRVHVGHVVRFG
+>Q15274_PF02749_34 <unknown description>
+ALVSGAGPSQAALWAKSPGVLAGQPFFDAIFTQLNCQVSWFLPEGSKLVPVARVAEVRGP
+AHCLLLGERVALNTLARCS
+>Q15274_PF01729_114 <unknown description>
+IASAAAAAVEAARGAGWTGHVAGTRKTTPGFRLVEKYGLLVGGAASHRYDLGGLVMVKDN
+HVVAAGGVEKAVRAARQAADFTLKVEVECSSLQEAVQAAEAGADLVLLDNFKPEELHPTA
+TVLKAQFPSVAVEASGGITLDNLPQFCGPHIDVISMGMLTQAAPALDFSLK
+>Q6IA69_PF00795_6 <unknown description>
+TVATCALNQWALDFEGNLQRILKSIEIAKNRGARYRLGPELEICGYGCWDHYYESDTLLH
+SFQVLAALVESPVTQDIICDVGMPVMHRNVRYNCRVIFLNRKILLIRPKMALANEGNYRE
+LRWFTPWSRSRHTEEYFLPRMIQDLTKQETVPFGDAVLVTWDTCIGSEICEELWTPHSPH
+IDMGLDGVEIITNASGSHQVLRKANTRVDLVTMVTSKNGGIYLLANQKGCDGDRLYYDGC
+AMIAMNGSVFAQGSQFSLDDVEVLTATLDLEDVRSYR
+>Q6IA69_PF02540_339 <unknown description>
+WLWDFLRRSQQAGFLLPLSGGVDSAATACLIYSMCCQVCEAVRSGNEEVLADVRTIVNQI
+SYTPQDPRDLCGRILTTCYMASKNSSQETCTRARELAQQIGSHHISLNIDPAVKAVMGIF
+SLVTGKSPLFAAHGGSSRENLALQNVQARIRMVLAYLFAQLSLWSRGVHGGLLVLGSANV
+DESLLGYLTKYDCSSADINPIGGISKTDLRAFVQFCIQRFQLPALQSILLAPATAELEPL
+ADGQVSQTDEEDMGMTYAELSVYGK
+>O95544_PF01513_108 <unknown description>
+LVIKKMRDASLLQPFKELCTHLMEENMIVYVEKKVLEDPAIASDESFGAVKKKFCTFRED
+YDDISNQIDFIICLGGDGTLLYASSLFQGSVPPVMAFHLGSLGFLTPFSFENFQSQVTQV
+IEGN
+>O95544_PF20143_283 <unknown description>
+LNEVVIDRGPSSYLSNVDVYLDGHLITTVQGDGVIVSTPTGSTAYAAAAGASMIHPNVPA
+IMITPICPHSLSFRPIVVPAGVELKIMLSPEARNTAWVSFDGRKRQEIRHGDSISITTSC
+YPLP
+>Q58DX5_PF04389_431 <unknown description>
+NVVGFVMGLTSPDRYIIVGSHHHTAHSYNGQEWASSTAIITAFIRALMSKVKRGWRPDRT
+IVFCSWGGTAFGNIGSYEWGEDFKKVLQKNVVAYISLHSPIRGNSSLYPVASPSLQQLVV
+EKNNFNCTRRAQCPETNISSIQIQGDADYFINHLGVPIVQFAYE
+>Q96HR8_PF04410_199 <unknown description>
+KPLGMVSSIIEQLVIIESMTNLPPVNEETVIFKSDRQAAGKIFEIFGPVAHPFYVLRFNS
+SDHIESKGIKIKETMYFAPSMKDFTQY
+>P17050_PF16499_25 <unknown description>
+TPPMGWLAWERFRCNINCDEDPKNCISEQLFMEMADRMAQDGWRDMGYTYLNIDDCWIGG
+RDASGRLMPDPKRFPHGIPFLADYVHSLGLKLGIYADMGNFTCMGYPGTTLDKVVQDAQT
+FAEWKVDMLKLDGCFSTPEERAQGYPKMAAALNATGRPIAFSCSWPAYEGGLPPRVNYSL
+LADICNLWRNYDDIQDSWWSVLSILNWFVEHQDILQPVAGPGHWNDPDMLLIGNFGLSLE
+QSRAQMALWTVLAAPLLMSTDLRTISAQNMDILQNPLMIKINQD
+>P17050_PF17450_311 <unknown description>
+GIQGRRIHKEKSLIEVYMRPLSNKASALVFFSCRTDMPYRYHSSLGQLNFTGSVIYEAQD
+VYSGDIISGLRDETNFTVIINPSG
+>Q9Y303_PF01979_62 <unknown description>
+ILAPGFIDVQINGGFGVDFSQATEDVGSGVALVARRILSHGVTSFCPTLVTSPPEVYHKV
+VPQIPVKSGGPHGAGVLGLHLEGPFISREKRGAHPEAHLRSFEADAFQDLLATYGPLDNV
+RIVTLAPELGRSHEVIRALTARGICVSLGHSVADLRAAEDAVWSGATFITHLFNAMLPFH
+HRDPGIVGLLTSDRLPAGRCIFYGMIADGTHTNPAALRIAHRAHPQGLVLVTDAIPALGL
+GNGRHTLGQQEVEVDGLTAYVAGTKTLSGSIAPMDVCVRHFLQATGCSMESALEAASLHP
+AQLLGLEKSKGTLDFGADADFVVLDDSLHVQATYISGELV
+>Q9UJ70_PF01869_7 <unknown description>
+GVEGGGTRSEVLLVSEDGKILAEADGLSTNHWLIGTDKCVERINEMVNRAKRKAGVDPLV
+PLRSLGLSLSGGDQEDAGRILIEELRDRFPYLSESYLITTDAAGSIATATPDGGVVLISG
+TGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQAVKIVFDSIDNLEAAPHDIGYVKQ
+AMFHYFQVPDRLGILTHLYRDFDKCRFAGFCRKIAEGAQQGDPLSRYIFRKAGEMLGRHI
+VAVLPEIDPVLFQGKIGLPILCVGSVWKS
+>Q9UK23_PF09992_132 <unknown description>
+CRVAQNGGFFRMNSGECLGNVVSDERRVSSSGGLQNAQFGIRRDGTLVTGYLSEEEVLDT
+ENPFVQLLSGVVWLIRNGSIYINESQATECDETQETGSFSKFVNVISARTAIGHDRKGQL
+VLFHADGQTEQRGINLWEMAEFLLKQDVVNAINLDGGGSATFVLNGTLASYPSDHCQDNM
+WRCPRQVSTVVCVH
+>Q8N159_PF04768_357 <unknown description>
+LLTELFSNKGSGTLFKNAERMLRVRSLDKLDQGRLVDLVNASFGKKLRDDYLASLRPRLH
+SIYVSEGYNAAAILTMEPVLGGTPYLDKFVVSSSRQGQGSGQMLWECLRRDLQTLFWRSR
+VTNPINPWYFKHSDGSFSNKQWIFFWFGLADIRDSYELVNHAK
+>Q69YI7_PF13873_8 <unknown description>
+RKMNFSEREVEIIVEELELKKHLLVNHFNAGVPLAAKSAAWHGILRRVNAVATCRRELPE
+VKKKWSDLKTEVRRKVAQ
+>Q4G0N4_PF01513_130 <unknown description>
+RNEGIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNT
+>Q86W26_PF02758_13 <unknown description>
+LLWALSDLEENDFKKLKFYLRDMTLSEGQPPLARGELEGLIPVDLAELLISKYGEKEAVK
+VVLKGLKVMNLLELV
+>Q86W26_PF05729_168 <unknown description>
+LVVLQGSAGTGKTTLARKMVLDWATGTLYPGRFDYVFYVSCKEVVLLLESKLEQLLFWCC
+GDNQAPVTEILRQPERLLFILDGFDELQRPFEEKLKKRGLSPKESLLHLLIRRHTLPTCS
+LLITTRPLALRNLEPLLKQARHVHILGFSEEERARYFSSYFTDE
+>Q86W26_PF17779_409 <unknown description>
+VLRSLCSLAAEGIQHQRFLFEEAELRKHNLDGPRLAAFLSSNDYQLGLAIKKFYSF
+>P59045_PF02758_11 <unknown description>
+LLWYLENLSDKEFQSFKKYLARKILDFKLPQFPLIQMTKEELANVLPISYEGQYIWNMLF
+SIFSMMRKEDLC
+>P59045_PF05729_149 <unknown description>
+VFLMGERASGKTIVINLAVLRWIKGEMWQNMISYVVHLTAHEINQMTNSSLAELIAKDWP
+DGQAPIADILSDPKKLLFILEDLDNIRFELNVNESALCSNSTQKVPIPVLLVSLLKRKMA
+PGCWFLISSRPTRGNNVKTFLKEVDCCTTLQLSNGKREIYFNSFFKD
+>P59045_PF17779_393 <unknown description>
+LLKRLCLLAAGGLFLSTLNFSGEDLRCVGFTEADVSVLQAANILLPSNTHKDRYKF
+>P59045_PF17776_450 <unknown description>
+HLNVQEFCTAIAFLMAVPNYLIPSGSREYKEKREQYSDFNQVFTFIFGLLNANRRKILET
+SFGYQLPMVDSFKWYSVGYMKHLDRDPEKLTHHMPLFYCLYENREEEFVK
+>P59045_PF13516_858 <unknown description>
+TNEKLRSLEIGSNKIEDAGMQLLC
+>P59045_PF13516_915 <unknown description>
+TNKTLERLNLLQNHLGNDGVAKL
+>P59046_PF02758_13 <unknown description>
+LSTYLEELEAVELKKFKLYLGTATELGEGKIPWGSMEKAGPLEMAQLLITHFGPEEAWRL
+ALSTFERINRKDLWE
+>P59046_PF14484_129 <unknown description>
+YRDYVRRKFRLMEDRNARLGECVNLSHRYTRLLLVKEHSNPMQVQQQLLDTGRGHARTVG
+HQASPIKIETLFE
+>P59046_PF05729_211 <unknown description>
+RTVVMQGAAGIGKSMLAHKVMLDWADGKLFQGRFDYLFYINCREMNQSATECSMQDLIFS
+CWPEPSAPLQELIRVPERLLFIIDGFDELKPSFHDPQGPWCLCWEEKRPTELLLNSLIRK
+KLLPELSLLITTRPTALEKLHRLLEHPRHVEILGFSEAERKEYFYKYFHNA
+>P59046_PF17779_459 <unknown description>
+RGLCSLAADGLWNQKILFEEQDLRKHGLDGEDVSAFLNMNIFQKDINCERYYSF
+>P59046_PF17776_514 <unknown description>
+HLSFQEFFAAMYYILDEGEGGAGPDQDVTRLLTEYAFSERSFLALTSRFLFGLLNEETRS
+HLEKSLCWKVSPHIKMDLLQWIQSKAQSDGSTLQQGSLEFFSCLYEIQEEEFIQQ
+>P59046_PF13516_769 <unknown description>
+NKNLTRMDLSGNGVGFPGMMLLC
+>P59046_PF13516_825 <unknown description>
+TNPHLVELDLTGNALEDLGLRLLC
+>P59046_PF13516_883 <unknown description>
+NQSLRELDLSLNELGDLGVLLLC
+>P59046_PF13516_940 <unknown description>
+NHNLRELDLSFNDLGDWGL
+>P59046_PF13516_997 <unknown description>
+NQTLTDLYLTNNALGDTGVRLLC
+>Q86W25_PF02758_17 <unknown description>
+LLPYLMALDQYQLEEFKLCLEPQQLMDFWSAPQGHFPRIPWANLRAADPLNLSFLLDEHF
+PKGQAWKVVLGIFQTMNLTSLCE
+>Q86W25_PF05729_230 <unknown description>
+TIVLVGRAGVGKTTLAMQAMLHWANGVLFQQRFSYVFYLSCHKIRYMKETTFAELISLDW
+PDFDAPIEEFMSQPEKLLFIIDGFEEIIISESRSESLDDGSPCTDWYQELPVTKILHSLL
+KKELVPLATLLITIKTWFVRDLKASLVNPCFVQITGFTGDDLRVYFMRHFDD
+>Q86W25_PF17779_479 <unknown description>
+QWRALCSLAIEGLWSMNFTFNKEDTEIEGLEVPFIDSLYEFNILQKINDCGG
+>Q86W25_PF17776_536 <unknown description>
+HLSFQEFFAAMSFVLEEPREFPPHSTKPQEMKMLLQHVLLDKEAYWTPVVLFFFGLLNKN
+IARELEDTLHCKISPRVMEELLKWGEELGKAESASLQFHILRLFHCLHESQEEDFTKK
+>Q86W25_PF13516_781 <unknown description>
+NSKLTHLNFSSNKLG
+>Q86W25_PF13516_838 <unknown description>
+QHVTRLCLGFNRLQDDGIKLLC
+>Q86W25_PF13516_893 <unknown description>
+QNRSLTHLNLSKNSLRDEGVKFLC
+>Q86W25_PF13516_950 <unknown description>
+HNHNVKILDLGENDLQDDGVKLLC
+>Q86W24_PF02758_15 <unknown description>
+LLLYLEELNKEELNTFKLFLKETMEPEHGLTPWNEVKKARREDLANLMKKYYPGEKAWSV
+SLKIFGKMNLKDLCE
+>Q86W24_PF05729_178 <unknown description>
+IVVLQGAAGVGKTTLVRKAMLDWAEGSLYQQRFKYVFYLNGREINQLKERSFAQLISKDW
+PSTEGPIEEIMYQPSSLLFIIDSFDELNFAFEEPEFALCEDWTQEHPVSFLMSSLLRKVM
+LPEASLLVTTRLTTSKRLKQLLKNHHYVELLGMSEDAREEYIYQFFED
+>Q86W24_PF17779_422 <unknown description>
+AQLRRLCQVAAKGIWTMTYVFYRENLRRLGLTQSDVSSFMDSNIIQKDAEYENCYVF
+>Q86W24_PF17776_480 <unknown description>
+HLHVQEFFAAMFYMLKGSWEAGNPSCQPFEDLKSLLQSTSYKDPHLTQMKCFLFGLLNED
+RVKQLERTFNCKMSLKIKSKLLQCMEVLGNSDYSPSQLGFLELFHCLYETQDKAFIS
+>Q86W24_PF13516_727 <unknown description>
+HNKNLMHLDLKGSDIGDNGVKSLC
+>Q86W24_PF13516_841 <unknown description>
+SNKRLTHLCLADNVLGDGGVKL
+>Q86W24_PF13516_898 <unknown description>
+HNKSLTHLDLGSNWLQDNGVKLLC
+>Q86W24_PF13516_955 <unknown description>
+NNPNLRSLDLGNNDLQDDGVK
+>Q8IZF0_PF00520_36 <unknown description>
+PWVHSLLRICAIISVISVCMNTPMTFEHYPPLQYVTFTLDTLLMFLYTAEMIAKMHIRGI
+VKGDSSYVKDRWCVFDGFMVFCLWVSLVLQVFEIADIVDQMSPWGMLRIPRPLIMIRAFR
+IYFRFELPRTRITNILKRSGEQIWSVSIFLLFFLLLYGILGVQMFGTFTYHCVVNDTKPG
+NVTWNSLAIPDTHCSPELEEGYQCPPGFKCMDLEDLGLSRQELGYSGFNEIGTSIFTVYE
+AASQEGWVFLMYRAIDSFPRWRSYFYFITLIFFLAWLVKNVFIAVIIETFAEIRVQ
+>Q8IZF0_PF00520_384 <unknown description>
+SVFHMFILSMVTVDVIVAASNYYKGENFRRQYDEFYLAEVAFTVLFDLEALLKIWCLGFT
+GYISSSLHKFELLLVIGTTLHVYPDLYHSQFTYFQVLRVVRLIKISPALEDFVYKIFGPG
+KKLGSLVVFTASLLIVMSAISLQMFCFVEELDRFTTFPRAFMSMFQILTQEGWVDVMDQT
+LNAVGHMWAPVVAIYFILYHLFATLILLSLFVAVILDNLE
+>Q8IZF0_PF00520_887 <unknown description>
+YLDWVMIIVTICSCISMMFESPFRRVMHAPTLQIAEYVFVIFMSIELNLKIMADGLFFTP
+TAVIRDFGGVMDIFIYLVSLIFLCWMPQNVPAESGAQLLMVLRCLRPLRIFKLVPQMRKV
+VRELFSGFKEIFLVSILLLTLMLVFASFGVQLFAGKLAKCNDPNIIRREDCNGIFRINVS
+VSKNLNLKLRPGEKKPGFWVPRVWANPRNFNFDNVGNAMLALFEVLSLKGWVEVRDVIIH
+RVGPIHGIYIHVFVFLGCMIGLTLFVGVVIANFNEN
+>Q8IZF0_PF00520_1209 <unknown description>
+HPFFKRTIALLVLAQSVLLSVKWDVEDPVTVPLATMSVVFTFIFVLEVTMKIIAMSPAGF
+WQSRRNRYDLLVTSLGVVWVVLHFALLNAYTYMMGACVIVFRFFSICGKHVTLKMLLLTV
+VVSMYKSFFIIVGMFLLLLCYAFAGVVLFGTVKYGENINRHANFSSAGKAITVLFRIVTG
+EDWNKIMHDCMVQPPFCTPDEFTYWATDCGNYAGALMYFCSFYVIIAYIMLNLLVAIIVE
+NFSLFYSTE
+>Q9Y3Q0_PF02225_161 <unknown description>
+EGDLVYVNYARTEDFFKLEREMGINCTGKIVIARYGKIFRGNKVKNAMLAGAIGIILYSD
+PADYFAPEVQPYPKGWNLPGTAAQRGNVLN
+>Q9Y3Q0_PF04389_347 <unknown description>
+NVVGTIRGSVEPDRYVILGGHRDSWVFGAIDPTSGVAVLQEIARSFGKLMSKGWRPRRTI
+IFASWDAEEFGLLGSTEWAEENVKILQERSIAYINSDSSIEGNYTLRVDCTPLLYQLVYK
+LTKEIPSPDDGFESKSLYESWLEKDPSPENKNLPRINKLGSGSDFEAYFQRLGIASGRAR
+YTKNKKTDKYSSYPVYHTIYETFE
+>Q9Y3Q0_PF04253_617 <unknown description>
+VSFDSLFSAVKNFSEAASDFHKRLIQVDLNNPIAVRMMNDQLMLLERAFIDPLGLPGKLF
+YRHIIFAPSSHNKYAGESFPGIYDAIFDIENKANSRLAWKEVKKHISIAAFTIQAAAGTL
+K
+>Q9UQQ1_PF02225_161 <unknown description>
+GLLVYANRGAEEDFKELQTQGIKLEGTIALTRYGGVGRGAKAVNAAKHGVAGVLVYTDPA
+DINDGLSSPDETFPNSWYLPPSGVERG
+>Q9UQQ1_PF04389_348 <unknown description>
+NVLGIIRGAVEPDRYVLYGNHRDSWVHGAVDPSSGTAVLLELSRVLGTLLKKGTWRPRRS
+IVFASWGAEEFGLIGSTEFTEEFFNKLQERTVAYINVDISVFANATLRVQGTPPVQSVVF
+SATKEIRSPGPGDLSIYDNWIRYFNRSSPVYGLVPSLGSLGAGSDYAPFVHFLGISSMDI
+AYTYDRSKTSARIYPTYHTAFDTFDYVDK
+>Q9UQQ1_PF04253_616 <unknown description>
+ISLGPLVTAVEKFEAEAAALGQRISTLQKGSPDPLQVRMLNDQLMLLERTFLNPRAFPEE
+RYYSHVLWAPRTGSVVTFPGLSNACSRARDTASGSEAWAEVQRQLSIVVTALEGAAATLR
+>Q9NX02_PF02758_11 <unknown description>
+LQALLEQLSQDELSKFKYLITTFSLAHELQKIPHKEVDKADGKQLVEILTTHCDSYWVEM
+ASLQVFEKMHRMDLSE
+>Q9NX02_PF05729_208 <unknown description>
+TVVLYGPAGLGKTTLAQKLMLDWAEDNLIHKFKYAFYLSCRELSRLGPCSFAELVFRDWP
+ELQDDIPHILAQARKILFVIDGFDELGAAPGALIEDICGDWEKKKPVPVLLGSLLNRVML
+PKAALLVTTRPRALRDLRILAEEPIYIRVEGFLEEDRRAYFLRHFGD
+>Q9NX02_PF17779_446 <unknown description>
+ALRTLSLLAAQGLWAQTSVLHREDLERLGVQESDLRLFLDGDILRQDRVSKGCYSF
+>Q9NX02_PF17776_503 <unknown description>
+HLSFQQFLTALFYTLEKEEEEDRDGHTWDIGDVQKLLSGVERLRNPDLIQAGYYSFGLAN
+EKRAKELEATFGCRMSPDIKQELLRCDISCKGGHSTVTDLQELLGCLYESQEEELVKE
+>Q9NX02_PF13516_810 <unknown description>
+NQSLTCVNLSDNELLDEGAKLL
+>Q9NX02_PF13516_869 <unknown description>
+ELTHLCLAKNPIGNTGVKFLC
+>Q9NX02_PF13516_925 <unknown description>
+SSLLCLDLGLNHIGVKGMKFLC
+>Q9NX02_PF13516_981 <unknown description>
+NQSLVTLDLGQNPLGSSGVKML
+>Q96MN2_PF02758_11 <unknown description>
+LMWYLEELKKEEFRKFKEHLKQMTLQLELKQIPWTEVKKASREELANLLIKHYEEQQAWN
+ITLRIFQKMDRKDLC
+>Q96MN2_PF05729_149 <unknown description>
+RTVIIQGPQGIGKTTLLMKLMMAWSDNKIFRDRFLYTFYFCCRELRELPPTSLADLISRE
+WPDPAAPITEIVSQPERLLFVIDSFEELQGGLNEPDSDLCGDLMEKRPVQVLLSSLLRKK
+MLPEASLLIAIKPVCPKELRDQVTISEIYQPRGFNESDRLVYFCCFFKD
+>Q96MN2_PF17779_395 <unknown description>
+QLKALCSLAAEGMWTDTFEFCEDDLRRNGVVDADIPALLGTKILLKYGERESSYVF
+>Q96MN2_PF17776_452 <unknown description>
+HVCIQEFCAALFYLLKSHLDHPHPAVRCVQELLVANFEKARRAHWIFLGCFLTGLLNKKE
+QEKLDAFFGFQLSQEIKQQIHQCLKSLGERGNPQGQVDSLAIFYCLFEMQDPAFVKQ
+>Q96MN2_PF13516_749 <unknown description>
+NNKKLTYLNVSCNQLD
+>Q96MN2_PF13516_806 <unknown description>
+NKSVRYLDLSANVLKDEGLKTLC
+>Q96MN2_PF13516_862 <unknown description>
+SNQNLKILQIGCNEIGDVGVQLLC
+>P59047_PF02758_65 <unknown description>
+LQWCLYELDKEEFQTFKELLKKKSSESTTCSIPQFEIENANVECLALLLHEYYGASLAWA
+TSISIFENMNLRTLSE
+>P59047_PF05729_280 <unknown description>
+RTVVLHGKSGIGKSALARRIVLCWAQGGLYQGMFSYVFFLPVREMQRKKESSVTEFISRE
+WPDSQAPVTEIMSRPERLLFIIDGFDDLGSVLNNDTKLCKDWAEKQPPFTLIRSLLRKVL
+LPESFLIVTVRDVGTEKLKSEVVSPRYLLVRGISGEQRIHLLLE
+>P59047_PF17779_528 <unknown description>
+LKRFCRMAVEGVWNRKSVFDGDDLMVQGLGESELRALFHMNILLPDSHCEEYYTF
+>P59047_PF17776_584 <unknown description>
+HLSLQDFCAALYYVLEGLEIEPALCPLYVEKTKRSMELKQAGFHIHSLWMKRFLFGLVSE
+DVRRPLEVLLGCPVPLGVKQKLLHWVSLLGQQPNATTPGDTLDAFHCLFETQDKEFVR
+>P59047_PF13516_893 <unknown description>
+SPSLKSLSLAGNKVTDQGVMPLS
+>P59047_PF13516_949 <unknown description>
+SNRSLTHLCLSNNSLGNEGVNLL
+>P59047_PF13516_1007 <unknown description>
+NSWLTHLSLSMNPVEDNGVKLLC
+>P59047_PF13516_1064 <unknown description>
+SRHLKSLDLTDNALGDGGVAALC
+>P59047_PF13516_1121 <unknown description>
+NRHLTSLNLVQNNFSPKGMMKLC
+>Q86W28_PF02758_41 <unknown description>
+VMLYMRNVSHEELQRFKQLLLTELSTGTMPITWDQVETASWAEVVHLLIERFPGRRAWDV
+TSNIFAIMNCDKMC
+>Q86W28_PF05729_205 <unknown description>
+TVAIQGAPGIGKTILAKKVMFEWARNKFYAHKRWCAFYFHCQEVNQTTDQSFSELIEQKW
+PGSQDLVSKIMSKPDQLLLLLDGFEELTSTLIDRLEDLSEDWRQKLPGSVLLSSLLSKTM
+LPEATLLIMIRFTSWQTCKPLLKCPSLVTLPGFNTMEKIKYFQMYFG
+>Q86W28_PF17779_450 <unknown description>
+QLEGLCHLAADSMWHRKWVLGKEDLEEAKLDQTGVTAFLGMSILRRIAGEEDHYVF
+>Q86W28_PF17776_508 <unknown description>
+VTFQEFFAALFYVLCFPQRLKNFHVLSHVNIQRLIASPRGSKSYLSHMGLFLFGFLNEAC
+ASAVEQSFQCKVSFGNKRKLLKVIPLLHKCDPPSPGSGVPQLFYCLHEIREEAFVSQ
+>Q86W28_PF13516_866 <unknown description>
+SKMLTHLSLAENALKDEGAKHI
+>Q86W28_PF13516_922 <unknown description>
+KNKTLKSLDLSFNSLKDDGVILLC
+>Q86W28_PF13516_979 <unknown description>
+KNQHLRHLDLSKNAIGVYGILTL
+>P43490_PF18127_10 <unknown description>
+NILLATDSYKVTHYKQYPPNTSKVYSYFECREKKTENSKLRKVKYEETVFYGLQYILNKY
+LKGKVVTKEKIQEAKDVYKEHFQDDVFNEKGWNYILEKYDGHLPIEI
+>P43490_PF04095_188 <unknown description>
+YKLHDFGYRGVSSQETAGIGASAHLVNFKGTDTVAGLALIKKYYGTKDPVPGYSVPAAEH
+STITAWGKDHEKDAFEHIVTQFSSVPVSVVSDSYDIYNACEKIWGEDLRHLIVSRSTQAP
+LIIRPDSGNPLDTVLKVLEILGKKFPVTENSKGYKLLPPYLRVIQGDGVDINTLQEIVEG
+MKQKMWSIENIAFGSGGGLLQKLTRDLLNCSFKCSYVVTNGLGINVFKDPVADPNKRSKK
+GRLSLHRTPAGNFVTLEEGKGDLEEYGQDLLHTVFKNG
+>Q8WY41_PF05741_214 <unknown description>
+CVFCRNNKEAMALYTTHILKGPDGRVLCPVLRRYTCPLCGASGDNAHTIKYCP
+>P60321_PF05741_63 <unknown description>
+CNFCKHNGESRHVYSSHQLKTPDGVVVCPILRHYVCPVCGATGDQAHTLKYCP
+>P60323_PF05741_77 <unknown description>
+CSFCKHNGESRAIYQSHVLKDEAGRVLCPILRDYVCPQCGATRERAHTRRFCP
+>Q9H9S0_PF00046_97 <unknown description>
+KTRTVFSSTQLCVLNDRFQRQKYLSLQQMQELSNILNLSYKQVKTWFQNQRMKSKR
+>Q6NSW7_PF00046_97 <unknown description>
+KTRTVFSSTQLCVLNDRFQRQKYLSLQQMQELSNILNLSYKQVKTWFQNQRMKSKR
+>Q8TBE9_PF13419_9 <unknown description>
+VFFDLDNTLIDTAGASRRGMLEVIKLLQSKYHYKEEAEIICDKVQVKLSKECFHPYNTCI
+TDLRTSHWEEAIQETKGGAANRKLAEECYFLWKSTRLQHMTLAEDVKAMLTELRKEVRLL
+LLTNGDRQTQREKIEACACQSYFDAVVVGGEQREEKPAPSIFYYCCNLLGVQPGDCVMVG
+DTLETDIQGGLNAGLKAT
+>Q6IQ20_PF12706_144 <unknown description>
+FLTDPIFSSRASPSQYMGPKRFRRSPCTISELPPIDAVLISHNHYDHLDYNSVIALNERF
+GNELRWFVPLGLLDWMQKCGCENVIELDWWEENCVPGHDKVTFVFTPSQHWCKRTLMDDN
+KVLWGSWSVLGPWNRFFFAGDTGYCPAFEEIGKRFGPFDLAAIPIGAYEPRWFMKYQHVD
+PEEAVRIHTDVQTKKSMAIHW
+>O96009_PF00026_77 <unknown description>
+QYFGEIGLGTPPQNFTVAFDTGSSNLWVPSRRCHFFSVPCWLHHRFDPKASSSFQANGTK
+FAIQYGTGRVDGILSEDKLTIGGIKGASVIFGEALWEPSLVFAFAHFDGILGLGFPILSV
+EGVRPPMDVLVEQGLLDKPVFSFYLNRDPEEPDGGELVLGGSDPAHYIPPLTFVPVTVPA
+YWQIHMERVKVGPGLTLCAKGCAAILDTGTSLITGPTEEIRALHAAIGGIPLLAGEYIIL
+CSEIPKLPAVSFLLGGVWFNLTAHDYVIQTTRNGVRLCLSGFQALDVPPPAGPFWILGDV
+FLGTYVAVFDRGDMK
+>P52961_PF01129_39 <unknown description>
+LDMALASFDDQYAGCAAAMTAALPDLNHTEFQANQVYADSWTLASSQWQERQARWPEWSL
+SPTRPSPPPLGFRDEHGVALLAYTANSPLHKEFNAAVREAGRSRAHYLHHFSFKTLHFLL
+TEALQLLGSGQRPPRCHQVFRGVHGLRFRPAGPRATVRLGGFASASLKHVAAQQFGEDTF
+FGIWTCLGAPIKGYSFFPGEEEVLIPPFETFQVINASRLAQGPARIYLRALGKHST
+>Q13508_PF01129_29 <unknown description>
+LDMADNAFDDEYLKCTDRMEIKYVPQLLKEEKASHQQLDTVWENAKAKWAARKTQIFLPM
+NFKDNHGIALMAYISEAQEQTPFYHLFSEAVKMAGQSREDYIYGFQFKAFHFYLTRALQL
+LRKPCEASSKTVVYRTSQGTSFTFGGLNQARFGHFTLAYSAKPQAANDQLTVLSIYTCLG
+VDIENFLDKESERITLIPLNEVFQVSQEGAGNNLILQSINKTCS
+>Q93070_PF01129_56 <unknown description>
+DFAPGSFDDQYQGCSKQVMEKLTQGDYFTKDIEAQKNYFRMWQKAHLAWLNQGKVLPQNM
+TTTHAVAILFYTLNSNVHSDFTRAMASVARTPQQYERSFHFKYLHYYLTSAIQLLRKDSI
+MENGTLCYEVHYRTKDVHFNAYTGATIRFGQFLSTSLLKEEAQEFGNQTLFTIFTCLGAP
+VQYFSLKKEVLIPPYELFKVINMSYHPRGDWLQLRSTGNLS
+>Q96L15_PF01129_30 <unknown description>
+LAPDTFDDTYVGCAEEMEEKAAPLLKEEMAHHALLRESWEAAQETWEDKRRGLTLPPGFK
+AQNGIAIMVYTNSSNTLYWELNQAVRTGGGSRELYMRHFPFKALHFYLIRALQLLRGSGG
+CSRGPGEVVFRGVGSLRFEPKRLGDSVRLGQFASSSLDKAVAHRFGNATLFSLTTCFGAP
+IQAFSVFPKEREVLIPPHEVFLVTRFSQDGAQSLVTLWSYNQTCS
+>Q9UHQ1_PF02906_93 <unknown description>
+VLVVSVCPQSLPYFAAKFNLSVTDASRRLCGFLKSLGVHYVFDTTIAADFSILESQKEFV
+RRYRQHSEEERTLPMLTSACPGWVRYAERVLGRPITAHLCTAKSPQQVMGSLVKDYFARQ
+QNLSPEKIFHVIVAPCYDKKLEALQESLPPALHGSRGADCVLTSGEIAQIMEQGDLSVRD
+AAVDTLFGDLKEDKVTRHDGASSDGHLAHIFRHAAKELFNEDVEEVTYRALRNKDFQEVT
+LEKNGEVVLRFAAAYGFRNIQNMILKLKKGKFPFHFVEVLACAGGCLNG
+>Q9UHQ1_PF02256_394 <unknown description>
+KALLRQMEGIYADIPVRRPESSAHVQELYQEWLEGINSPKAREVLHTTYQS
+>P49321_PF10516_544 <unknown description>
+AHLKLGEVSVESENYVQAVEEFQSCLNLQEQYLEAH
+>P49321_PF13181_584 <unknown description>
+AETHYQLGLAYGYNSQYDEAVAQFSKSIEVI
+>Q9H0A0_PF08351_107 <unknown description>
+YNETHKILGNTFGMCVLQDFEALTPNLLARTVETVEGGGLVVILLRTMNSLKQLYTVTMD
+VHSRYRTEAHQDVVGRFNERFILSLASCKKCLVID
+>Q9H0A0_PF05127_282 <unknown description>
+LTAARGRGKSAALGLAIAGAVAFGYSNIFVTSPSPDNLHTLFEFVFKGFDALQYQEHLDY
+EIIQSLNPEFNKAVIRVNVFREHRQTIQYIHPADAVKLGQAELVVIDEAAAIPLPLVKSL
+LGPYLVFMASTINGYEGTGRSLSLKLIQQLRQQSAQSQVSTTAENKTTTTARLASARTLY
+EVSLQESIRYAPGDAVEKWLNDLLCLD
+>Q9H0A0_PF13718_528 <unknown description>
+RLMALYVASHYKNSPNDLQMLSDAPAHHLFCLLPPVPPTQNALPEVLAVIQVCLEGEISR
+QSILNSLSRGKKASGDLIPWTVSEQFQDPDFGGLSGGRVVRIAVHPDYQGMGYGSRALQL
+LQMYYEGRFPCLEEKVLETPQEIHTVSSEAVSLLEEVITPRKDLPPLLLKLNERPAERLD
+YLGVSYGLTPRLLKFWKRAGFVPVYLRQTPNDLTGEHSCIMLKTL
+>Q9H0A0_PF13725_763 <unknown description>
+WLAAFWKDFRRRFLALLSYQFSTFSPSLALNIIQNRNMGKPAQPALSREELEALFLPYDL
+KRLEMYSRNMVDYHLIMDMIPAISRIYFLNQLGDLALSAAQSALLLGIGLQHKSVDQLEK
+EIELPSGQLMGLFNRIIRKVVKLFNEVQEKAIEEQMVAAKDVVMEPTMKTLSDDLDEAAK
+EFQEKHKKEVGKLKSMDLSEYIIRGDDEEWNEV
+>Q8WUY8_PF00583_96 <unknown description>
+GPWVAVRGSGDVCGVLALAPGTNAGDGARVTRLSVSRWHRRRGVGRRLLAFAEARARAWA
+G
+>Q8N9F0_PF00583_167 <unknown description>
+TDMADIEQYYMKPPGSCFWVAVLDGNVVGIVAARAHEEDNTVELLRMSVDSRFRGKGIAK
+ALGRKVLEFAVVHNYSAVVLGTTAVKVAAHKLYESLGF
+>Q9UHE5_PF00583_94 <unknown description>
+MSDITKSYLSERGSCFWVAESEEKVVGMVGALPVDDPTLREKRLQLFHLFVDSEHRRQGI
+AKALVRTVLQFARDQGYSEVILDTGTIQLSAMALYQSMGF
+>Q9BTE0_PF13302_14 <unknown description>
+VVLVPYTSEHVPSRYHEWMKSEELQRLTASEPLTLEQEYAMQCSWQEDADKCTFIVLDAE
+KWQAQPGATEESCMVGDVNLFLTDLEDLTLGEIEVMIAEPSCRGKGLGTEAVLAMLSYGV
+TTLGLTKFEAKIGQGNEPSIRMFQKLHFE
+>Q8N6N6_PF14542_30 <unknown description>
+FTVRLNGCHDRAVLLYEYVGKRIVDLQHTEVPDAYRGRGIAKHLAKAALDFVVEEDLKAH
+LTCWYIQKYVKENP
+>Q8IVL1_PF00307_91 <unknown description>
+YTDWANHYLAKSGHKRLIRDLQQDVTDGVLLAQIIQVVANEKIEDINGCPKNRSQMIENI
+DACLNFLAAKGINIQGLSAEEIRNGNLKAILGLFFSLSRYKQ
+>Q8IVL0_PF00307_80 <unknown description>
+SKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLAEIIQIIANEKVEDINGCPRSQSQMI
+ENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLSRYKQ
+>Q8IVL0_PF00004_2052 <unknown description>
+IILSGPSGTGKTYLANKLAEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCS
+ADNNGVELPVVIILDNLHHVGS
+>Q9UHQ9_PF00970_50 <unknown description>
+RLLDKTTVSHNTKRFRFALPTAHHTLGLPVGKHIYLSTRIDGSLVIRPYTPVTSDEDQGY
+VDLVIKVYLKGVHPKFPEGGKMSQYLDSLKVGDVVEFRGPSGLLTY
+>Q9UHQ9_PF00175_181 <unknown description>
+MIAGGTGITPMLQLIRAILKVPEDPTQCFLLFANQTEKDIILREDLEELQARYPNRFKLW
+FTLDHPPKDWAYSKGFVTADMIREHLPAPGDDVLVLLCGPPPMVQLAC
+>Q6BCY4_PF00970_20 <unknown description>
+LPLIEKEKISHNTRRFRFGLPSPDHVLGLPVGNYVQLLAKIDNELVVRAYTPVSSDDDRG
+FVDLIIKIYFKNVHPQYPEGGKMTQYLENMKIGETIFFRGPRGRLFY
+>Q6BCY4_PF00175_152 <unknown description>
+MIAGGTGITPMLQLIRHITKDPSDRTRMSLIFANQTEEDILVRKELEEIARTHPDQFNLW
+YTLDRPPIGWKYSSGFVTADMIKEHLPPPAKSTLILVCGPPPLIQTAA
+>P00387_PF00970_45 <unknown description>
+LRLIDREIISHDTRRFRFALPSPQHILGLPVGQHIYLSARIDGNLVVRPYTPISSDDDKG
+FVDLVIKVYFKDTHPKFPAGGKMSQYLESMQIGDTIEFRGPSGLLVY
+>P00387_PF00175_177 <unknown description>
+MIAGGTGITPMLQVIRAIMKDPDDHTVCHLLFANQTEKDILLRPELEELRNKHSARFKLW
+YTLDRAPEAWDYGQGFVNEEMIRDHLPPPEEEPLVLMCGPPPMIQYAC
+>Q7L1T6_PF00173_58 <unknown description>
+TEEELKKHNKKDDCWICIRGFVYNVSPYMEYHPGGEDELMRAAGSDGTELFDQVHRWVNY
+ESMLKECLVGRM
+>Q7L1T6_PF04969_168 <unknown description>
+PSYDWFQTDSLVTIAIYTKQKDINLDSIIVDHQNDSFRAETIIKDCLYLIHIGLSHEVQE
+DFSVRVVESVGKIEIVLQK
+>Q7L1T6_PF00970_278 <unknown description>
+CQLISKEDVTHDTRLFCLMLPPSTHLQVPIGQHVYLKLPITGTEIVKPYTPVSGSLLSEF
+KEPVLPNNKYIYFLIKIYPTGLFTPELDRLQIGDFVSVSSPEGNFK
+>Q7L1T6_PF00175_395 <unknown description>
+LLAAGTGFTPMVKILNYALTDIPSLRKVKLMFFNKTEDDIIWRSQLEKLAFKDKRLDVEF
+VLSAPISEWNGKQGHISPALLSEFLKRNLDKSKVLVCICGPVPFTE
+>Q6IPT4_PF09791_19 <unknown description>
+RPTEPLPSQCCGSGCSPCVFDLYHRDLARWEAAQAS
+>Q6IPT4_PF00970_83 <unknown description>
+IAMDRLTKDTYRVRFALPGNSQLGLRPGQHLILRGIVDDLEIQRAYTPISPANAEGYFEV
+LIKCYQMGLMSRYVESWRVGDTAFWRGPFGDFFY
+>Q6IPT4_PF00175_186 <unknown description>
+LLAAGTGLAPMVPILQSITDNENDETFVTLVGCFKTFESIYLKTFLQEQARFWNVRTFFV
+LSQESSSEQLPWSYQEKTHFGHLGQDLIKELVSCCRRKPFALVCGSAEFTKDI
+>A2RRP1_PF15492_90 <unknown description>
+WHLVLASNGKLLAAVQDQCVEIRSAKDDFTSIIGKCQVPKDPKPQWRRVAWSYDCTLLAY
+AESTGTVRVFDLMGSELFVISPASSFIGDLSYAIAGLIFLEYKASAQWSAELLVINYRGE
+LRSYLVSVGTNQSYQESHCFSFSSHYPHGINTAIYHPGHRLLLVGGCETAEVGMSKASSC
+GLSAWRVLSGSPYYKQVTNGGDGVTAVPKTLGLLRMLSVKFYSRQGQEQDGIFKMSLSPD
+GMLLAAIHFSGKLSIWAIPSLKQQGEWGQNEQPGYDDLNPDW
+>A2RRP1_PF08314_726 <unknown description>
+VLSARTYAQESNVQALEILFTYHGSDLLPHRLAILSNFPETTSPHEYSVLLPEACFNGDS
+LMIIPWHEHKHRAKDWCEELACRMVVEPNLQDESEFLYAAQPELLRFRMTQLTVEKVMDW
+YQTRAEEIEHYARQVDCALSLIRLGMERNIPGLLVLCDNLVTLETLVYEARCDVTLTLKE
+LQQMKDIEKLRLLMNSCSEDKYVTSAYQWMVPFLHRCEKQSPGVANELLKEYLVTLAKGD
+LKFPLKIFQHSKPDLQQKIIPDQDQLMAIALECIYTCERNDQLCLCYDLLECLPERGYGD
+KTEATTKLHDMVDQLEQILSVSELLEKHGLEKPISFVKNTQSSSEEARKLMVRLTRHTGR
+KQPPVSESHWRTLLQDMLTMQQNVYTCLDSDACYEIFTESLLCSSRLENIHLAGQMMHCS
+ACSENPPAGIAHKGKPHYRVSYEKSIDLVLAASREYFNSSTNLTDSCMDLARCCLQLITD
+RPPAIQEELDLIQAVGCLEEFGVKILPLQVRLCPDRISLIKECISQSPTCYKQSTKLLGL
+AELLRVAGENPEERRGQVLILLVEQALRFHDYKAASMHCQELMATGYPKSWDVCSQLGQS
+EGYQDLATRQELMAFALTHCPPSSIELLLAASSSLQTEIL
+>Q6ZNJ1_PF15787_876 <unknown description>
+RVETWDVKDVVNCVGGMGALLPLLERVAAQPKEAEAGPAETHDLVGPELTSGHNTQGLVL
+PLGKSSEERMERNAVAAFLLMLRNFLQGHMVNQESLVQCQGPAIIGALLRKVPSWAMDMN
+VLMSAQLLMEQVAAEGSGPLLYLLYQHLLFNFHLWTLSDFAVRLGHIQYMSSIVREHRQK
+LRKKYGVQFILDALRTHYSPQRERPLAADDLRTVQTSLLGLAREFLVRSLSADDVQVTQT
+MLSFLAATGDDGQAVGALDLLLALLH
+>Q6ZNJ1_PF16057_1587 <unknown description>
+YILLEDPQLHAQAYVRLHMLLQTAVPARREEACYVLSKLEAALGRVLNTSSLESATDEAG
+SPLAAAAAAAAAERCSWLVPLVRTLLDRAYEPLGLQWGLPSLPPTNGSPTFFEDFQAFCA
+TPEWRHFIDKQVQPTMSQFEMDTYAKSHDLMSGFWNACYDMLMSSGQRRQWERAQSRRAF
+QELVLEPAQRRARLEGLRYTAVLKQQATQHSMALLHWGALWRQLASPCGAWALRDTPIPR
+WKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNL
+>Q6ZNJ1_PF14844_1926 <unknown description>
+CQLVTVVAVVPGLLEVTTQNVYFYDGSTERVETEEGIGYDFRRPLAQLREVHLRRFNLRR
+SALELFFIDQANYFLNFP
+>Q6ZNJ1_PF02138_2066 <unknown description>
+QKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPTLDLSNPAVFRDLSKP
+IGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLIRVEPFTSLHVQLQS
+GRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYFPDFLENQNGFDLGCLQLTNEKVG
+DVVLPPWASSPEDFIQQHRQALESEYVSAHLHEWIDLIFGYKQRGPAAEEALNVFYYCTY
+EGAVDLDHVTDERERKALEGIISNFGQTPCQLLKEPHPTR
+>Q6ZNJ1_PF00400_2492 <unknown description>
+GKLLSQLSCHLDVVTCLALDTCGIYLISGSRDTTCMVW
+>Q6ZNJ1_PF00400_2544 <unknown description>
+KPVQVLYGHGAAVSCVAISTELDMAVSGSEDGTVII
+>P41271_PF03045_31 <unknown description>
+KSAWCEAKNITQIVGHSGCEAKSIQNRACLGQCFSYSVPNTFPQSTESLVHCDSCMPAQS
+MWEIVTLECPGHEEVPRVDKLVEKILHCSCQA
+>O60934_PF00498_24 <unknown description>
+YVVGRKNCAILIENDQSISRNHAVLTANFSVTNLSQTDEIPVLTLKDNSKYGTFVNEEKM
+QNGFSRTLKSGDGITFG
+>O60934_PF16508_216 <unknown description>
+QERKQIFKGKTFIFLNAKQHKKLSSAVVFGGGEARLITEENEEEHNFFLAPGTCVVDTGI
+TNSQTLIPDCQKKWIQSIMDMLQRQGLRPIPEAEIGLAVIFMTTKNYCDP
+>O60934_PF08599_683 <unknown description>
+KNFKKFKKVTYPGAGKLPHIIGGSDLIAHHARKNTELEEWLRQEMEVQNQHAKEESLADD
+LFRY
+>Q9H094_PF06758_233 <unknown description>
+EEAEKVQELYAPREVQKAEEKEVPEDSLEECAITCSNSHHPCESNQPYGNTRITFEEDQV
+DSTL
+>Q9H094_PF06758_318 <unknown description>
+DHEQEEEKGPVSPRNLQESEEEEAPQESWDEGDWTLSIPPDMSASYQSDRSTFHSVEEQQ
+VGLAL
+>Q9H094_PF06758_390 <unknown description>
+DQVKKEDQEATSPRLSRELLDEKEPEVLQDSLDRFYSTPFEYLELPDLCQPYRSDFYSLQ
+EQHLGLAL
+>Q9H094_PF06758_465 <unknown description>
+DQEEEEDQGPPCPRLSRELPEVVEPEDLQDSLDRWYSTPFSYPELPDSCQPYGSCFYSLE
+EEHVGFSL
+>Q9H094_PF06758_541 <unknown description>
+QEGEEDQKPPCPRLNEVLMEAEEPEVLQDSLDRCYSTTSTYFQLHASFQQYRSAFYSFEE
+QDVSLAL
+>Q96M43_PF06758_178 <unknown description>
+DEEVEKVQESPAPREVQKTEEKEVPQDSLEECAVTCSNSHNPSNSNQPHRSTKITFKEHE
+VDSAL
+>Q96M43_PF06758_330 <unknown description>
+DLEEVKGQETVAPRLSRGPLRVDKHEIPQESLDGCCLTPSILPDLTPSYHPYWSTLYSFE
+DKQVSLAL
+>Q96M43_PF06758_404 <unknown description>
+DQEEIEDQSPPCPRLSQELPEVKEQEVPEDSVNEVYLTPSVHHDVSDCHQPYSSTLSSLE
+DQLACSAL
+>Q5VWK0_PF06758_178 <unknown description>
+DEEVEKVQESPAPREVQKTEEKEVPQDSLEECAVTCSNSHNPSNSNQPHRSTKITFKEHE
+VDSAL
+>Q5VWK0_PF06758_330 <unknown description>
+DLEEVKGQETVAPRLSRGPLRVDKHEIPQESLDGCCLTPSILPDLTPSYHPYWSTLYSFE
+DKQVSLAL
+>Q5VWK0_PF06758_404 <unknown description>
+DQEEIEDQSPPCPRLSQELPEVKEQEVPEDSVNEVYLTPSVHHDVSDCHQPYSSTLSSLE
+DQLACSAL
+>P0DPF3_PF06758_177 <unknown description>
+EEDEKVLESSAPREVQKAEESKVAEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDEV
+NSTL
+>P0DPF3_PF06758_450 <unknown description>
+AEKVQKSSAPREMQKAEEKEVPEDSLEECAITYSNSHGPYDSNQPHRKTKITFEEDKVDS
+TL
+>P0DPF3_PF06758_533 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTPSIPPEMLASYKSYSSTFHSLEEQQ
+VCMA
+>P0DPF3_PF06758_605 <unknown description>
+DQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLE
+QQRVGLA
+>P0DPF3_PF06758_681 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLGRWYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>P0DPF3_PF06758_755 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>P0DPF3_PF06758_851 <unknown description>
+EGEENQNPPCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQ
+YLGLAL
+>P0DPF3_PF06758_924 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>P0DPF3_PF06758_1020 <unknown description>
+EGEEDQNPPCPRLNGVLMEVEEPEVLQDSLDGCYSTPSMYFELPDSFQHYRSVFYSFEEQ
+HISFAL
+>Q6P3W6_PF06758_177 <unknown description>
+EEAEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDEV
+NSTL
+>Q6P3W6_PF06758_450 <unknown description>
+AEKVQKSSAPREMQKAEEKEVPEDSLEECAITYSNSHGSYDSNQPHRKTKITFEEDKVDS
+TL
+>Q6P3W6_PF06758_533 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQ
+VCMA
+>Q6P3W6_PF06758_605 <unknown description>
+DQVKKEDQEATGPRLSRELLDEKGPEVLQDSQDRCYSTPSGCLELTDSCQPYRSAFYILE
+QQRVGLA
+>Q6P3W6_PF06758_681 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_755 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_851 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+RVGFA
+>Q6P3W6_PF06758_925 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_999 <unknown description>
+DEEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+ENHVGFSL
+>Q6P3W6_PF06758_1095 <unknown description>
+EGEEDQNPPCPRLSRELLEEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+RVGFA
+>Q6P3W6_PF06758_1169 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_1243 <unknown description>
+DEEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_1339 <unknown description>
+EGEEDQNPPCPRLSRELLEEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+RVGFA
+>Q6P3W6_PF06758_1413 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_1487 <unknown description>
+DEEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_1583 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_1657 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_1731 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_1827 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_1901 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_1975 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_2071 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_2145 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_2219 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_2315 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_2389 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_2463 <unknown description>
+DQEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_2559 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_2633 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_2707 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_2803 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_2877 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_2951 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_3047 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_3121 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_3195 <unknown description>
+DEEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_3291 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGYLELTDSCQPYRSAFYVLEQQ
+HVGLA
+>Q6P3W6_PF06758_3365 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_3439 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q6P3W6_PF06758_3535 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELCDSCQPYRSAFYVLEQQ
+RVGLA
+>Q6P3W6_PF06758_3609 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q6P3W6_PF06758_3704 <unknown description>
+EGEEDQNPPCPRLNGVLMEVEEREVLQDSLDRCYSTPSMYFELPDSFQHYRSVFYSFEEQ
+HISFAL
+>Q86T75_PF06758_177 <unknown description>
+EEDEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSIQPHKNIKITFEEDKV
+NSSL
+>Q86T75_PF06758_450 <unknown description>
+AEKVQKSSSPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDS
+TL
+>Q86T75_PF06758_533 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPERLASYQSYSSTFHSLEEQQ
+VCMA
+>Q86T75_PF06758_605 <unknown description>
+DQVKKEDQEATGPRLSRELLDEKEPEVLQDSLDRCYSTPSVYLGLTDSCQPYRSAFYVLE
+QQRIGLA
+>Q86T75_PF06758_681 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEE
+QYLGLAL
+>Q86T75_PF06758_755 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDVIQLLPVVLNSLTPASPTEVPFMHWRK
+NMLAFL
+>Q5TAG4_PF06758_177 <unknown description>
+EEDEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSIQPHKNIKITFEEDKV
+NST
+>Q5TAG4_PF06758_448 <unknown description>
+EEDEKVLESSSPREMQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDKV
+NSSL
+>Q5TAG4_PF06758_721 <unknown description>
+AEKVQKSSSPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDS
+TL
+>Q5TAG4_PF06758_804 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPERLASYQSYSSTFHSLEEQQ
+VCMA
+>Q5TAG4_PF06758_876 <unknown description>
+DQVKKEDQEATGPRLSRELLAEKEPEVLQDSLDRCYSTPSVYLGLTDSCQPYRSAFYVLE
+QQRVGLA
+>Q5TAG4_PF06758_952 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEE
+QYLGLAL
+>Q5TAG4_PF06758_1026 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYRSSFYALE
+EKHVGFSL
+>Q5TAG4_PF06758_1122 <unknown description>
+EGEEDQNPPCPRLSRELLAEKEPEVLQDSLDRWYSTPSVYLGLTDPCQPYRSAFYVLEQQ
+RVGLA
+>Q5TAG4_PF06758_1196 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEE
+QYLGLAL
+>Q5TAG4_PF06758_1270 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYRSSFYALE
+EKHVGFSL
+>Q5TAG4_PF06758_1366 <unknown description>
+EGEEDQNPPCPRLNSVLMEVEEPEVLQDSLDRCYSTPSMYFELPDSFQHYRSVFYSFEEQ
+HITFAL
+>Q8N660_PF06758_179 <unknown description>
+AEKVQKSSAPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHKKTKITFEEDKVDS
+TL
+>Q8N660_PF06758_262 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQ
+VCMA
+>Q8N660_PF06758_334 <unknown description>
+DQVKKEDQEATGPRLSRELLDEKEPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLE
+QQRVGLA
+>Q8N660_PF06758_410 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSDYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>Q8N660_PF06758_484 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>Q8N660_PF06758_579 <unknown description>
+KEGEDDNPPCPRLYGVLMEVEEPEVLQDSLDRCYSTPSMYFEQPDSFQHYRSVFYSFEEE
+HISFAL
+>A0A087WUL8_PF06758_179 <unknown description>
+AEKVQKSSAPREMQKAEEKEVPEDSLEECAITYSNSHGPYDSNQPHRKTKITFEEDKVDS
+TL
+>A0A087WUL8_PF06758_262 <unknown description>
+DDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQ
+VCMA
+>A0A087WUL8_PF06758_334 <unknown description>
+DQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLE
+QQRVGLA
+>A0A087WUL8_PF06758_410 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_484 <unknown description>
+DQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_580 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_654 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_728 <unknown description>
+DQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_824 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_898 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_972 <unknown description>
+DQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_1068 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_1142 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_1216 <unknown description>
+DQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_1312 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_1386 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_1460 <unknown description>
+DQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_1556 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_1630 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_1704 <unknown description>
+DQEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_1800 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_1874 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_1948 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_2044 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_2118 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_2192 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_2288 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_2362 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_2436 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_2532 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_2606 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_2680 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_2776 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_2850 <unknown description>
+QEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_2924 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_3020 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_3094 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_3168 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_3264 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_3338 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_3412 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_3508 <unknown description>
+EGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQ
+CVGLA
+>A0A087WUL8_PF06758_3582 <unknown description>
+QEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEE
+QYLGLAL
+>A0A087WUL8_PF06758_3656 <unknown description>
+DQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALE
+EKHVGFSL
+>A0A087WUL8_PF06758_3752 <unknown description>
+EGEEDQNPPCPRLNSVLMEVEEPEVLQDSLDGCYSTPSMYFELPDSFQHYRSVFYSFEEE
+HISFAL
+>Q14596_PF00564_5 <unknown description>
+VTLNVTFKNEIQSFLVSDPENTTWADIEAMVKVSFDLNTIQIKYLDEENEEVSINSQGEY
+EEALKMAVKQGNQLQMQVHE
+>Q14596_PF00569_216 <unknown description>
+ACNNCQRRIVGVRYQCSLCPSYNICEDCEAG
+>Q14596_PF16158_379 <unknown description>
+NLPDGTHLQPGTKFIKHWRMKNTGNVKWSADTKLKFMWGNLTLASTEKKDVLVPCLKAGH
+VGVVSVEFIAPALEGTYTSHWRLSHKGQQFGPRVWCSIIV
+>Q14919_PF00808_10 <unknown description>
+ARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSRNAKTMTTSH
+LKQCI
+>Q01658_PF00808_12 <unknown description>
+TIPRAAINKMIKETLPNVRVANDARELVVNCCTEFIHLISSEANEICNKSEKKTISPEHV
+IQAL
+>P61601_PF13833_40 <unknown description>
+SGHLSMEEFKKIYGNFFPYGDASKFAEHVFRTFDANGDGTIDFREFI
+>P61601_PF13499_98 <unknown description>
+EQKLKWAFSMYDLDGNGYISKAEMLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMD
+TNRDGKLSLEEFIR
+>P13591_PF07679_21 <unknown description>
+QVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGEKLTPNQQRISVVWNDDSSST
+LTIYNANIDDAGIYKCVVTGEDGSESEATVNVKI
+>P13591_PF13927_123 <unknown description>
+APTPQEFREGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIKKT
+DEGTYRCE
+>P13591_PF07679_217 <unknown description>
+RQNIVNATANLGQSVTLVCDAEGFPEPTMSWTKDGEQIEQEEDDEKYIFSDDSSQLTIKK
+VDKNDEAEYICIAENKAGEQDATIHLKV
+>P13591_PF13927_307 <unknown description>
+KPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKASWTRPEKQETLD
+GHMVVRSHARVSSLTLKSIQYTDAGEYICTASN
+>P13591_PF07679_423 <unknown description>
+AVYTWEGNQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSEN
+DFGNYNCTAVNRIGQESLEF
+>P13591_PF00041_511 <unknown description>
+SSPSIDQVEPYSSTAQVQFDEPEATGGVPILKYKAEWRAVGEEVWHSKWYDAKEASMEGI
+VTIVGLKPETTYAVRLAALNGKGLGEIS
+>P13591_PF00041_614 <unknown description>
+APKLEGQMGEDGNSIKVNLIKQDDGGSPIRHYLVRYRALSSEWKPEIRLPSGSDHVMLKS
+LDWNAEYEVYVVAENQQGKSK
+>O15394_PF07679_22 <unknown description>
+QVTISLSKVELSVGESKFFTCTAIGEPESIDWYNPQGEKIISTQRVVVQKEGVRSRLTIY
+NANIEDAGIYRCQATDAKGQTQEATVVLEI
+>O15394_PF07679_117 <unknown description>
+FREVVSPQEFKQGEDAEVVCRVSSSPAPAVSWLYHNEEVTTISDNRFAMLANNNLQILNI
+NKSDEGIYRCEGRVE
+>O15394_PF07679_213 <unknown description>
+MPQKSFNATAERGEEMTFSCRASGSPEPAISWFRNGKLIEENEKYILKGSNTELTVRNII
+NSDGGPYVCRATNKAGEDEKQAFLQV
+>O15394_PF07679_306 <unknown description>
+QLKNETTYENGQVTLVCDAEGEPIPEITWKRAVDGFTFTEGDKSLDGRIEVKGQHGSSSL
+HIKDVKLSDSGRYDCEAASRIGGHQKSMYLD
+>O15394_PF13927_404 <unknown description>
+ISNQTIYYSWEGNPINISCDVKSNPPASIHWRRDKLVLPAKNTTNLKTYSTGRKMILEIA
+PTSDNDFGRYNCTATN
+>O15394_PF00041_497 <unknown description>
+SSPYGVKIIELSQTTAKVSFNKPDSHGGVPIHHYQVDVKEVASEIWKIVRSHGVQTMVVL
+NNLEPNTTYEIRVAAVNGKGQGDYS
+>O15394_PF00041_595 <unknown description>
+SPPSIHGQPSSGKSFKLSITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHIILE
+HLQWTMGYEVQITAANRLGYSEP
+>O14594_PF07686_45 <unknown description>
+GSVQAALAELVALPCLFTLQPRPSAARDAPRIKWTKVRTASGQRQDLPILVAKDNVVRVA
+KSWQGRVSLPSYPRRRANATLLLGPLRASDSGLYRCQVVRGIEDEQDLVPLEVT
+>O14594_PF00193_160 <unknown description>
+VVFHYRSARDRYALTFAEAQEACRLSSAIIAAPRHLQAAFEDGFDNCDAGWLSDRTVRYP
+ITQSRPGCYGDRSSLPGVRSYGRRNPQELYDVYCF
+>O14594_PF00193_262 <unknown description>
+VFYVGPARRLTLAGARAQCRRQGAALASVGQLHLAWHEGLDQCDPGWLADGSVRYPIQTP
+RRRCGGPAPGVRTVYRFANRTGFPSPAERFDAYCF
+>O14594_PF00008_1012 <unknown description>
+CENNPCLHGGTCNANGTMYGCSCDQGFAGEN
+>O14594_PF00059_1107 <unknown description>
+RAWEDAEKDCRRRSGHLTSVHSPEEHSFINSFGHENTWIGLNDRIVERDFQWTDNTGLQF
+ENWRENQPDNFFAGGEDCVVMVAHESGRWNDVPCNYNLPYVCKK
+>O14594_PF00084_1215 <unknown description>
+CGPPPAVENASLIGARKAKYNVHATVRYQCNEGFAQHHVATIRCRSNGKWDRPQIVC
+>A6PVI3_PF00076_43 <unknown description>
+MGNLSFYTTEEKIHELFSRSDIRNIFMGLDKIKKTACGFCFVECHNRADAENAMRFLTGT
+CLD
+>Q09161_PF02854_31 <unknown description>
+LESLICKVGEKSACSLESNLEGLAGVLEADLPNYKSKILRLLCTVARLLPEKLTIYTTLV
+GLLNARNYNFGGEFVEAMIRQLKESLKANNYNEAVYLVRFLSDLVNCHVIAAPSMVAMFE
+NFVSVTQEEDVPQVRRDWYVYAFLSSLPWVGKELYEKKDAEMDRIFANTESYLKRRQKTH
+VPMLQVWTADKPHPQEEYLDCLWAQIQKLK
+>Q09161_PF09088_326 <unknown description>
+WKERKTCAAQLVSYPGKNKIPLNYHIVEVIFAELFQLPAPPHIDVMYTTLLIELCKLQPG
+SLPQVLAQATEMLYMRLDTMNTTCVDRFINWFSHHLSNFQFRWSWEDWSDCLSQDPESPK
+PKFVREVLEKCMRLSYHQRILDIVP
+>Q09161_PF09090_485 <unknown description>
+YKYGDESSNSLPGHSVALCLAVAFKSKATNDEIFSILKDVPNPNQDDDDDEGFSFNPLKI
+EVFVQTLLHLAAKSFSHSFSALAKFHEVFKTLAESDEGKLHVLRVMFEVWRNHPQMIAVL
+VDKMIRTQIVDCAAVANWIFSSELSRDFTRLFVWEILHSTIRKMNKHVLKIQKELEEAKE
+KLARQHKRRSDDDDRSSDRKDGVLEEQIERLQEKVESAQSEQKNLFLVIFQRFIMILTEH
+LVRCETDGTSVLTPWYKNCIERLQQIFLQHHQIIQ
+>P52298_PF00076_42 <unknown description>
+LYVGNLSFYTTEEQIYELFSKSGDIKKIIMGLDKMKKTACGFCFVEYYSRADAENAMRYI
+NGTRLDDRII
+>Q53F19_PF10309_123 <unknown description>
+VRLETIYICGVDEMSTQDVFSYFKEYPPAHIEWLDDTSCNVVWLDEMTATRAL
+>Q9UBB6_PF05536_31 <unknown description>
+GRNPTLERYLGALREAKNDSEQFAALLLVTKAVKAGDIDAKTRRRIFDAVGFTFPNRLLT
+TKEAPDGCPDHVLRALGVALLACFCSDPELAAHPQVLNKIPILSTFLTARGDPDDAARRS
+MIDDTYQCLTAVAGTPRGPRHLIAGGTVSALCQAYLGHGYGFDQALALLVGLLAAAETQC
+WKEAEPDLLAVLRGLSEDFQKAEDASKFELCQLLPLFLPPTTVPPECYRDLQAGLARILG
+SKLSSWQRNPALKLAARLAHACGSDWIPAGSSGSKFLALLVNLACVEVRLALEETGTEVK
+EDVVTACYALMELGIQECTRCEQSLLKEPQKVQLVSVMKEAIGAVIHYLLQVGSEKQKEP
+FVFASVRILGAWLAEETSSLRKEVCQLLPFLVRYAKTLYEEAEEANDLSQQVANLAISPT
+TPGPTWPGDALRLLLPGWCHLTVEDGPREILIKEGAPSLLCKYFLQQWELTSPGHDTSVL
+PDSVEIGLQTCCHIFLNLVVTAPGLIKRDACFTSLMNTLMTSLPALVQQQGRLLLAANVA
+TLGLLMARLLSTSPALQGTPASRGFFAAAILFLSQSHVARATPGSDQAVLALSPEYEGIW
+ADLQELW
+>Q6PIU2_PF07859_109 <unknown description>
+VVYIHGGGWALASAKIRYYDELCTAMAEELNAVIVSIEYRLVPKVYFPEQIHDVVRATKY
+FLKPEVLQKYMVDPGRICISGDSAGGNLAAALGQQFTQDASLKNKLKLQALIYPVLQALD
+FNTPSYQQNVNTPILPRYVMVKYWVDYFKGNYDFV
+>Q6PIU2_PF07859_320 <unknown description>
+LDARSAPLIADQAVLQLLPKTYILTCEHDVLRDDGIMYAKRLESAGVEVTLDHFEDGFHG
+CM
+>P14598_PF00787_31 <unknown description>
+KWQDLSEKVVYRRFTEIYEFHKTLKEMFPIEAGAINPENRIIPHLPAPKWFDGQRAAENR
+QGTLTEYCSTLMSLPTKISRCPHLLDFFKV
+>P14598_PF00018_162 <unknown description>
+RAIANYEKTSGSEMALSTGDVVEVVEKSESGWWFCQMKAKRGWIPA
+>P14598_PF00018_232 <unknown description>
+VAIKAYTAVEGDEVSLLEGEAVEVIHKLLDGWWVIRKDDVTGYFPS
+>P14598_PF16621_282 <unknown description>
+KSGQDVSQAQRQIKRGAPPRRSSIRNAHSIHQRSRKRLSQDAYRRNSVR
+>P14598_PF08944_358 <unknown description>
+RSKPQPAVPPRPSADLILNRCSESTKRKLASAV
+>P19878_PF13181_38 <unknown description>
+RICFNIGCMYTILKNMTEAEKAFTRSINRDK
+>P19878_PF13181_73 <unknown description>
+AYFQRGMLYYQTEKYDLAIKDLKEAL
+>P19878_PF00018_246 <unknown description>
+RVLFGFVPETKEELQVMPGNIVFVLKKGNDNWATVMFNGQKGLVPC
+>P19878_PF00564_352 <unknown description>
+YTLKVHYKYTVVMKTQPGLPYSQVRDMVSKKLELRLEHTKLSYRPRDSNELVPLSEDSMK
+DAWGQVKNYCLTLWCE
+>P19878_PF00018_464 <unknown description>
+ALFSYEATQPEDLEFQEGDIILVLSKVNEEWLEGECKGKVGIFPK
+>Q15080_PF00787_46 <unknown description>
+KTKGGSKYLIYRRYRQFHALQSKLEERFGPDSKSSALACTLPTLPAKVYVGVKQEIAEMR
+IPALNAYMKSLLSLPVWVLMDEDVRIFFYQ
+>Q15080_PF00018_177 <unknown description>
+ALFDFTGNSKLELNFKAGDVIFLLSRINKDWLEGTVRGATGIFP
+>Q15080_PF00564_237 <unknown description>
+TNWLRCYYYEDTISTIKDIAVEEDLSSTPLLKDLLELTRREFQREDIALNYRDAEGDLVR
+LLSDEDVALMVRQARGLPSQKRLFPWKLHITQ
+>O00533_PF13927_35 <unknown description>
+PTIIKQSKVQVAFPFDEYFQIECEAKGNPEPTFSWTKDGNPFYFTDHRIIPSNNSGTFRI
+PNEGHISHFQGKYRCFASN
+>O00533_PF13927_256 <unknown description>
+PPTESGSESSITILKGEILLLECFAEGLPTPQVDWNKIGGDLPKGRETKENYGKTLKIEN
+VSYQDKGNYRCTASN
+>O00533_PF13927_346 <unknown description>
+PPRWTKKPQSAVYSTGSNGILLCEAEGEPQPTIKWRVNGSPVDNHPFAGDVVFPREISFT
+NLQPNHTAVYQCEASN
+>O00533_PF07679_447 <unknown description>
+ENYATVVGYSAFLHCEFFASPEAVVSWQKVEEVKPLEGRRYHIYENGTLQINRTTEEDAG
+SYSCWVENAIGKTAVTANLDI
+>O00533_PF00047_540 <unknown description>
+PRIPKLHMLELHCESKCDSHLKHSLKLSWSKDGEAFEINGTEDGRIIIDGANLTISNVTL
+EDQGIYCCSAHTALDS
+>O00533_PF00041_629 <unknown description>
+DPPENLHLSERQNRSVRLTWEAGADHNSNISEYIVEFEGNKEEPGRWEELTRVQGKKTTV
+ILPLAPFVRYQFRVIAVNEVGRSQPS
+>O00533_PF00041_730 <unknown description>
+NPQNIRVQASQPKEMIIKWEPLKSMEQNGPGLEYRVTWKPQGAPVEWEEETVTNHTLRVM
+TPAVYAPYDVKVQAINQLGSGP
+>O00533_PF00041_834 <unknown description>
+VDVINSTLVKVTWSTVPKDRVHGRLKGYQINWWKTKSLLDGRTHPKEVNILRFSGQRNSG
+MVPSLDAFSEFHLTVLAYNSKGAGPES
+>O00533_PF00041_934 <unknown description>
+QPTFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTYEIGELNDINITTPSKPS
+WHLSNLNATTKYKFYLRACTSQGCGKP
+>O00533_PF13882_1120 <unknown description>
+KRNRGGKYSVKEKEDLHPDPEIQSVKDETFGEYSDSDEKPLKGSLRSLNRDMQPTESADS
+LVEYGEGDHGLFSEDGSFIGAYAGSK
+>P16333_PF00018_8 <unknown description>
+VAKFDYVAQQEQELDIKKNERLWLLDDSKSWWRVRNSMNKTGFVPS
+>P16333_PF14604_113 <unknown description>
+VKFNYMAEREDELSLIKGTKVIVMEKCSDGWWRGSYNGQVGWFPSNYVT
+>P16333_PF00018_196 <unknown description>
+QALYPFSSSNDEELNFEKGDVMDVIEKPENDPEWWKCRKINGMVGLVPK
+>P16333_PF00017_282 <unknown description>
+WYYGKVTRHQAEMALNERGHEGDFLIRDSESSPNDFSVSLKAQGKNKHFKVQLKETVYCI
+GQRKFSTMEELVEHY
+>O43639_PF00018_8 <unknown description>
+IAKWDYTAQQDQELDIKKNERLWLLDDSKTWWRVRNAANRTGYVPS
+>O43639_PF14604_118 <unknown description>
+VKFAYVAEREDELSLVKGSRVTVMEKCSDGWWRGSYNGQIGWFPSNYV
+>O43639_PF00018_203 <unknown description>
+LYPFSSVTEEELNFEKGETMEVIEKPENDPEWWKCKNARGQVGLVPK
+>O43639_PF00017_285 <unknown description>
+WYYGNVTRHQAECALNERGVEGDFLIRDSESSPSDFSVSLKASGKNKHFKVQLVDNVYCI
+GQRRFHTMDELVEHY
+>Q9HCH0_PF15246_880 <unknown description>
+HSAIEEKVMKGIEENVLRLQGQERAPGAEVKHRNTSSIASWFGLKKSKLPALNRRTEATK
+NKEGAGGGSPLRREVKMEARKLEAESLNISKLMAKAEDLRRALEEEKAYLSSRARPRPGG
+PAPGPNTGLGQVQGQLAGMYQGADTFMQQLLNRVDGKELPSKSWREPKPEYGDFQPVSSD
+PKSPWPACGPRNGLVGPLQGCGKPPGKPSSEPGRREEMPSEDSLAEPVPTSHFTACGSLT
+RTLDSGIGTFPPPDHGSSGTPSKNLPKTKPPRLDPPPGVPPARPPPLTKVPRRAHTLERE
+VP
+>Q9Y2A7_PF09735_10 <unknown description>
+QQKLAEKLTILNDRGVGMLTRLYNIKKACGDPKAKPSYLIDKNLESAVKFIVRKFPAVET
+RNNNQQLAQLQKEKSEILKNLALYYFTFVDVMEFKDHVCELLNTIDVCQVFFDITVNFDL
+TKNYLDLIITYTTLMILLSRIEERKAIIGLYNYAHEMTHGASDREYPRLGQMIVDYENPL
+KKMMEEFVPHSKSLSDALISLQMVYPRRNLSADQWRNAQLLSLISAPSTMLNPAQSDTMP
+CEYLSLDAMEKWIIFGFILCHGILNTDATALNLWKLALQSSSCLSLFRDEVFHIHKAAED
+LFVNIRGYNKRINDIRECKEAAVSHAGSMHRERRKFLRSALKELATVLSDQPGLLGPKAL
+FVFMALSFARDEIIWLLRHADNMPKKSADDFIDKHIAELIFYMEELRAHVRKYGPVMQRY
+YVQYLSGFDAVVLNELVQNLSVCPEDESIIMSSFVNTMTSLSVKQVEDGEVFDFRGMRLD
+WFRLQAYTSVSKASLGLADHRELGKMMNTIIFHTKMVDSLVEMLVETSDLSIFCFYSRAF
+EKMFQQCLELPSQSRYSIAFPLLCTHFMSCTHELCPEERHHIGDRSLSLCNMFLDEMAKQ
+ARNLITDICTEQCTLSDQLLPKHCAKTISQAVNKKSKKQTGKKGEPEREKPGVESMRKNR
+LVVTNLDKLHTALSELCFSINYVPNMVVWEHTFTPREYLTSHLEIRFTKSIVGMTMYNQA
+TQEIAKPSELLTSVRAYMTVLQSIENYVQIDITRVFNNVLLQQTQHLDSHGEPTITSLYT
+NWYLETLLRQVSNGHIAYFPAMKAFVNLPTENELTFNAEEYSDISEMRSLSELLGPYGMK
+FLSESLMWHISSQVAELKKLVVENVDVLTQMRTSFDKPDQMAALFKRLSSVDSVLKRMTI
+IGVILSFRSLAQEALRDVLSYHIPFLVSSIEDFKDHIPRETDMKVAMNVYELSSAAGLPC
+EIDPALVVALSSQKSENISPEEEYKIACLLMVFVAVSLPTLASNVMSQYSPAIEGHCNNI
+HCLAKAINQIAAALFTIHKGSIEDRLKEFLALASSSLLKIGQETDKTTTRNRESVYLLLD
+MIVQESPFLTMDLLESCFPYVLLRNAYHAVYKQ
+>O14513_PF15246_1469 <unknown description>
+SPTIEEKVMLCIQENVEKGQVQTKPTSVEAKQKPGPSFASWFGFRKSRLPALSSRKMDIS
+KTKVEKKDAKVLGFGNRQLKSERKKEKKKPELQCETENELIKDTKSADNPDGGLQSKNNR
+RTPQDIYNQLKIEPRNRHSPVACSTKDTFMTELLNRVDKKAAPQTESGSSNASCRNVLKG
+SSQGSCLIGSSISTQGNHKKNMKIKADMEVPKDSLVKEANENLQEDEDDAVADSVFQSHI
+IESNCQMRTLDSGIGTFPLPDSGNRSTGRYLCQPDSPEDAEPLLPLQSALSAVSSMRAQT
+LEREVPSS
+>P55160_PF09735_7 <unknown description>
+YQHKLAEKLTILNDRGQGVLIRMYNIKKTCSDPKSKPPFLLEKSMEPSLKYINKKFPNID
+VRNSTQHLGPVHREKAEIIRFLTNYYQSFVDVMEFRDHVYELLNTIDACQCHFDINLNFD
+FTRSYLDLIVTYTSVILLLSRIEDRRILIGMYNCAHEMLHGHGDPSFARLGQMVLEYDHP
+LKKLTEEFGPHTKAVSGALLSLHFLFVRRNQGAEQWRSAQLLSLISNPPAMINPANSDTM
+ACEYLSVEVMERWIIIGFLLCHGCLNSNSQCQKLWKLCLQGSLYITLIREDVLQVHKVTE
+DLFSSLKGYGKRVADIKESKEHVIANSGQFHCQRRQFLRMAVKELETVLADEPGLLGPKA
+LFAFMALSFIRDEVTWLVRHTENVTKTKTPEDYADSSIAELLFLLEGIRSLVRRHIKVIQ
+QYHLQYLARFDALVLSDIIQNLSVCPEEESIIMSSFVSILSSLNLKQVDNGEKFEFSGLR
+LDWFRLQAYTSVAKAPLHLHENPDLAKVMNLIVFHSRMLDSVEKLLVETSDLSTFCFHLR
+IFEKMFAMTLEESAMLRYAIAFPLICAHFVHCTHEMCPEEYPHLKNHGLHHCNSFLEELA
+KQTSNCVLEICAEQRNLSEQLLPKHCATTISKAKNKKTRKQRQTPRKGEPERDKPGAESH
+RKNRSIVTNMDKLHLNLTELALTMNHVYSFSVFEHTIFPSEYLSSHLEARLNRAIVWLAG
+YNATTQEIVRPSELLAGVKAYIGFIQSLAQFLGADASRVIRNALLQQTQPLDSCGEQTIT
+TLYTNWYLESLLRQASSGTIILSPAMQAFVSLPREGEQNFSAEEFSDISEMRALAELLGP
+YGMKFLSENLMWHVTSQIVELKKLVVENMDILVQIRSNFSKPDLMASLLPQLTGAENVLK
+RMTIIGVILSFRAMAQEGLREVFSSHCPFLMGPIECLKEFVTPDTDIKVTLSIFELASAA
+GVGCDIDPALVAAIANLKADTSSPEEEYKVACLLLIFLAVSLPLLATDPSSFYSIEKDGY
+NNNIHCLTKAIIQVSAALFTLYNKNIETHLKEFLVVASVSLLQLGQETDKLKTRNRESIS
+LLMRLVVEESSFLTLDMLESCFPYVLLRNAYREVSR
+>O60721_PF01699_458 <unknown description>
+HVFGMMYVFVALAIVCDEYFVPALGVITDKLQISEDVAGATFMAAGGSAPELFTSLIGVF
+ISHSNVGIGTIVGSAVFNILFVIGTCSLFSREILNLTWWPLFRDVSFYILDLIMLILFFL
+DSLIAWWESLLLLLAYAFYVFT
+>O60721_PF01699_937 <unknown description>
+FVFTFLGSIMWIAMFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLITSVIVARK
+GLGDMAVSSSVGSNIFDITVGLPVPWLLFSLINGLQPVPVSSNGLFCAIVLLFLMLLFVI
+SSIASCKWRMNKILGFTMFLLYFVFLIISV
+>Q9UI40_PF01699_139 <unknown description>
+VIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATFMAAGGSAPELFTSLIGVFI
+AHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLFRDVSFYIVDLIMLIIFFLD
+NVIMWWESLLLLTAYFCYVVFM
+>Q9UI40_PF01699_499 <unknown description>
+FPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLITSVIVARK
+GLGDMAVSSSVGSNIFDITVGLPLPWLLYTVIHRFQPVAVSSNGLFCAIVLLFIMLLFVI
+LSIALCKWRMNKILGFIMFGLYFVFLVVSV
+>Q9HC58_PF01699_114 <unknown description>
+VLCAIYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSAPELFTSVIGVFI
+TKGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVALSSWCLLRDSIYYTLSVIALIVFIYD
+EKVSWWESLVLVLMYLIYIVIM
+>Q9HC58_PF01699_476 <unknown description>
+FMVTFASSTLWIAAFSYMMVWMVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQ
+GMGDMAVSNSIGSNVFDILIGLGLPWALQTLAVDYGSYIRLNSRGLIYSVGLLLASVFVT
+VFGVHLNKWQLDKKLGCGCLLLYGVFLCFSIM
+>Q8NFF2_PF01699_104 <unknown description>
+ILGALYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSTPELFASVIGVFI
+THGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVRLTWWAVCRDSVYYTISVIVLIVFIYD
+EQIVWWEGLVLIILYVFYILI
+>Q8NFF2_PF01699_453 <unknown description>
+FMVTFITATLWIAVFSYIMVWLVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQ
+GLGDMAVSNTIGSNVFDILVGLGVPWGLQTMVVNYGSTVKINSRGLVYSVVLLLGSVALT
+VLGIHLNKWRLDRKLGVYVLVLYAIFLCFSIM
+>Q71RS6_PF01699_74 <unknown description>
+LIIVYMFMAISIVCDEYFLPSLEIISESLGLSQDVAGTTFMAAGSSAPELVTAFLGVFIT
+KGDIGISTILGSAIYNLLGICAACGLLSNTVSTLSCWPLFRDCAAYTISAAAVLGIIYDN
+QVYWYEGALLLLIYGLYVLVL
+>Q71RS6_PF01699_334 <unknown description>
+FVITFFMSAIWISAFTYILVWMVTITGETLEIPDTVMGLTLLAAGTSIPDTIASVLVARK
+GKGDMAMSNIVGSNVFDMLCLGIPWFIKTAFINGSAPAEVNSRGLTYITISLNISIIFLF
+LAVHFNGWKLDRKLGIVCLLSYLGLATLS
+>Q969V3_PF04389_218 <unknown description>
+TIVIVAHYDAFGVAPWLSLGADSNGSGVSVLLELARLFSRLYTYKRTHAAYNLLFFASGG
+GKFNYQGTKRWLEDNLDHTDSSLLQDNVAFVLCLDTVGRGSSLHLHVSKPPREGTLQHAF
+LRELETVAAHQFPEVRFSMVHKRINLAEDVLAWEHERFAI
+>Q6J4K2_PF01699_106 <unknown description>
+WLLYLFLILGVTAAKFFCPNLSAISTTLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPH
+TAGLALGALFGAGVLVTTVVAGGITILHPFMAASRPFFRDIVFYMVAVFLTFLMLFRGRV
+TLAWALGYLGLYVFYVVTVI
+>Q6J4K2_PF01699_422 <unknown description>
+AFLGFLTSALWINAAATEVVNILRSLGVVFRLSNTVLGLTLLAWGNSIGDAFSDFTLARQ
+GYPRMAFSACFGGIIFNILVGVGLGCLLQISRSHTEVKLEPDGLLVWVLAGALGLSLVFS
+LVSVPLQCFQLSRVYGFCLLLFYLNFLVVAL
+>Q15788_PF00010_29 <unknown description>
+SSTEKRRREQENKYLEELAELLSANISDIDSLSVKPDKCKILKKTVDQIQLM
+>Q15788_PF00989_115 <unknown description>
+LLLEALDGFFFVVNCEGRIVFVSENVTSYLGYNQEELMNTSVYSILHVGDHAEFVKNL
+>Q15788_PF14598_260 <unknown description>
+ESFMTKQDTTGKIISIDTSSLRAAGRTGWEDLVRKCIYAFFQPQGREPSYARQLFQEVMT
+RGTASSPSYRFILNDGTMLSAHTKCKLCYPQSPDMQPFIMGIHIIDREHS
+>Q15788_PF16665_468 <unknown description>
+SLNLNNSPMEGTGISLAQFMSPRRQVTSGLATRPRMPNNSFPPNISTLSSPVGMTSSACN
+NNNRSYSNIPVTSLQGMNEGPNNSVGFSASSPVLRQMSSQNSPSRLNIQPAKAESKDNKE
+IA
+>Q15788_PF08832_630 <unknown description>
+SHKLVQLLTTTAEQQLRHADIDTSCKDVLSCTGTSNSASANSSGGSCPSSHSSLTERHKI
+LHRLLQEGSPSDITTLSVE
+>Q15788_PF08815_924 <unknown description>
+EGRNDEKALLEQLVSFLSGKDETELAELDRALGIDKLVQGGGLDVL
+>Q15788_PF07469_1149 <unknown description>
+GLPVQMGNPRLPQGAPQQFPYPPNYGTNPGTPPASTSPFSQLAANPEASLANRNSMV
+>Q15788_PF07469_1212 <unknown description>
+NIGGQFGTGINPQMQQNVFQYPGAGMVPQGEANFAPSLSPGSSMVPMPIPPPQSSLL
+>Q15596_PF00989_119 <unknown description>
+MLEALDGFFFVVNLEGNVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNL
+>Q15596_PF14598_268 <unknown description>
+ESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLVRRCIQKFHAQHEGESVSYAKRHHHEVL
+RQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQTTNEPQLVISLHMLHREQN
+>Q15596_PF16665_463 <unknown description>
+YALKMNSPSQSSPGMNPGQPTSMLSPRHRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSS
+TGNSHSYTNSSLNALQALSEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPPLSKMGSLDS
+KDCF
+>Q15596_PF08832_636 <unknown description>
+KGQTKLLQLLTTKSDQMEPSPLASSLSDTNKDSTGSLPGSGSTHGTSLKEKHKILHRLLQ
+DSSSPVDLAKLTAE
+>Q15596_PF16279_731 <unknown description>
+KQEPVSPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTKLIAMKTEKEE
+MSFEPGDQPGSELDNLEEILDDLQNS
+>Q15596_PF08815_1071 <unknown description>
+ESPSDEGALLDQLYLALRNFDGLEEIDRALGIPELVSQSQAVDPEQF
+>Q15596_PF07469_1281 <unknown description>
+GMPATMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGATTPQSPLMSPRMAHTQSPMM
+>Q9Y6Q9_PF00989_116 <unknown description>
+LLLQALDGFLFVVNRDGNIVFVSENVTQYLQYKQEDLVNTSVYNILHEEDRKDFLKNL
+>Q9Y6Q9_PF14598_265 <unknown description>
+ESFITRHDLSGKVVNIDTNSLRSSMRPGFEDIIRRCIQRFFSLNDGQSWSQKRHYQEAYL
+NGHAETPVYRFSLADGTIVTAQTKSKLFRNPVTNDRHGFVSTHFLQREQN
+>Q9Y6Q9_PF16665_459 <unknown description>
+YGLNMSSPPHGSPGLAPNQQNIMISPRNRGSPKIASHQFSPVAGVHSPMASSGNTGNHSF
+SSSSLSALQAISEGVGTSLLSTLSSPGPKLDNSPNMNITQPSKVSNQDSKSP
+>Q9Y6Q9_PF08832_616 <unknown description>
+KGHKKLLQLLTCSSDDRGHSSLTNSPLDSSCKESSVSVTSPSGVSSSTSGGVSSTSNMHG
+SLLQEKHRILHKLLQNGNSPAEVAKITAE
+>Q9Y6Q9_PF16279_723 <unknown description>
+KQEQLSPKKKENNALLRYLLDRDDPSDALSKELQPQVEGVDNKMSQCTSSTIPSSSQEKD
+PKIKTETSEEGSGDLDNLDAILGDLTSS
+>Q9Y6Q9_PF08815_1045 <unknown description>
+EGQSDERALLDQLHTLLSNTDATGLEEIDRALGIPELVNQGQALEPKQ
+>Q9Y6Q9_PF07469_1291 <unknown description>
+SMDGLLAGPTMPQAPPQQFPYQPNYGMGQQPDPAFGRVSSPPNAMMSSRMGPSQNPMM
+>Q13772_PF12489_38 <unknown description>
+EQQIKDNLREVKAQIHSCISRHLECLRSREVWLYEQVDLIYQLKEETLQQQAQQLYSLLG
+QFNCLTHQLECTQNKDLANQVSVCLERLGSLTLKPEDSTVLLFEADT
+>Q13772_PF12489_199 <unknown description>
+VPFSEWLLGSKPASGYQAPYIPSTDPQDWLTQKQTLENSQTSSRACNFFNNVGGNLKGLE
+NWLLKSEKSSYQKCNSHSTTSSFSIEMEKVGDQELPDQDEMDLSDWLVTPQESHKLRKPE
+NGSRETSEK
+>Q14686_PF13820_47 <unknown description>
+TIFVAFKGNIDDKDFKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAE
+RLRILAQSNNQQLRDLGILSVQIEGEGAINLALAQNRSQDVRMNGPMGAGNSVRMEAGFP
+MASGPGIIRMNNPATVMIPPGGN
+>Q8NI08_PF01476_120 <unknown description>
+NQDTLNSIALKFNITPNKLVELNKLFTHTIVPGQVLFVP
+>Q8NI08_PF07534_806 <unknown description>
+LAYSTLEHGTSLKTLYRKSASLDSPVLLVIKDMDNQIFGAYATHPFKFSDHYYGTGETFL
+YTFSPHFKVFKWSGENSYFINGDISSLELGGGGGRFGLWLDADLYHGRSNSCSTFNNDIL
+SKKEDFIVQDLEVWAF
+>O75376_PF15784_150 <unknown description>
+GGKHEAPSSPISGQPCGDDQNASPSKLSKEELIQSMDRVDREIAKVEQQILKLKKKQQQL
+EEEAAKPPEPEKPVSPPPVEQKHRSIVQI
+>O75376_PF00249_625 <unknown description>
+TSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEAQCKNFYFNYK
+>Q9Y618_PF15784_142 <unknown description>
+TGKLEPVSPPSPPHTDPELELVPPRLSKEELIQNMDRVDREITMVEQQISKLKKKQQQLE
+EEAAKPPEPEKPVSPPPIESKHRSLVQI
+>Q9Y618_PF00249_613 <unknown description>
+SRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYK
+>P16435_PF00258_82 <unknown description>
+VFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLADLSSLPEIDNALVVFCMATYG
+EGDPTDNAQDFYDWLQETDVDLSGVKFAVFGLGNKTYEHFNAMGKYVDKRLEQLGAQRIF
+ELGLGDDDGNLEEDFITW
+>P16435_PF00667_274 <unknown description>
+PPFDAKNPFLAAVTTNRKLNQGTERHLMHLELDISDSKIRYESGDHVAVYPANDSALVNQ
+LGKILGADLDVVMSLNNLDEESNKKHPFPCPTSYRTALTYYLDITNPPRTNVLYELAQYA
+SEPSEQELLRKMASSSGEGKELYLSWVVEARRHILAILQDCPSLRPPIDHLCELLPRLQA
+RYYSIASSSKVHPNSVHICAVVVEYETKAGRINKGVATNW
+>P16435_PF00175_530 <unknown description>
+MVGPGTGVAPFIGFIQERAWLRQQGKEVGETLLYYGCRRSDEDYLYREELAQFHRDGALT
+QLNVAFSREQSHKVYVQHLLKQDREHLWKLIEGGAHIYVCGDARNMARDVQ
+>P62166_PF00036_68 <unknown description>
+VFNVFDENKDGRIEFSEFIQALS
+>P62166_PF13499_98 <unknown description>
+DEKLRWAFKLYDLDNDGYITRNEMLDIVDAIYQMVGNTVELPEEENTPEKRVDRIFAMMD
+KNADGKLTLQEFQE
+>O95944_PF07686_27 <unknown description>
+VLQSVAGQTLTVRCQYPPTGSLYEKKGWCKEASALVCIRLVTSSKPRTMAWTSRFTIWDD
+PDAGFFTVTMTDLREEDSGHYWCRIYRPSDNSVSKS
+>O14931_PF07686_23 <unknown description>
+QPPEIRTLEGSSAFLPCSFNASQGRLAIGSVTWFRDEVVPGKEVRNGTPEFRGRLAPLAS
+SRFLHDHQAELHIRDVRGHDASIYVCRVEVLGLGVGTGNGTRLVV
+>Q9BTX1_PF09531_20 <unknown description>
+VLGWRIVASIVWSVLFLPICTTVFIIFSRIDLFHPIQWLSDSFSDLYSSYVIFYFLLLSV
+VIIIISIFNVEFYAVVPSIPCSRLALIGKIIHPQQLMHSFIHAAMGMVMAWCAAVITQGQ
+YSFLVVPCTGTNSFGSPAAQTCLNEYHLFFLLTGAFMGYSYSLLYFVNNMNYLPFPIIQQ
+YKFLRFRRSLLLLVKHSCVESLFLVRNFCILYYFLGYIPKAWISTAMNLHIDEQVHRPLD
+TVSGLLNLSLLYHVWLCGVFLLTTWYVSWILFKIYATEAHVFPVQPPFAEGSDECLPKVL
+NSNPPPIIKYLALQDLMLLSQYSPSRRQEVFSLSQPGGHPHNWTAISRECLNLLNGMTQK
+LILYQEAAATNGRVSSSYPVEPKKLNSPEETAFQTPKSSQMPRPSVPPLVKTSLFSSKLS
+TPDVVSPFGTPFGSSVMNRMAGIFDVNTCYGSPQSPQLIRRGPRLWTSASDQQMTEFSNP
+SPSTSISAEGKTMRQPSVIYSWIQNKREQIKNFLSKRVLIMYFFSKHPEASIQAVFSDAQ
+MHIWALEGLSHLVAASFTEDRFGVVQTTLPAILNTLLTLQEAVDKYFKLPHASSKPPRIS
+GSLVDTSYKTLRFAFRASLKTAIYRITTTFGEHLNAVQASAEHQKRLQ
+>O14777_PF03801_59 <unknown description>
+KRTSGHGSRNSQLGIFSSSEKIKDPRPLNDKAFIQQCIRQLCEFLTENGYAHNVSMKSLQ
+APSVKDFLKIFTFLYGFLCPSYELPDTKFEEEVPRIFKDLGYPFALSKSSMYTVGAPHTW
+PHIVAALVWLIDCIKIHTAMKESS
+>O14777_PF18077_213 <unknown description>
+EETEDGIMHNKLFLDYTIKCYESFMSGADSFDEMNAELQSKLKDLFNVDAFKLESLEAKN
+RALNEQIARLEQE
+>Q9NXR1_PF04880_134 <unknown description>
+SLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQELAVQQKQEKPRTPM
+PSSVEAERTDTAVQATGSVPSTPIAHRGPSSSLNTPGSFRRGLDDSTGGTPLTPAARISA
+LNIVGDLLRKVGALESKLASCRNLVYDQSPNRTGGPASGRSSKNRDGGERRPSST
+>Q9GZM8_PF04880_135 <unknown description>
+SLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQELAVRERQQEVTRKS
+APSSPTLDCEKMDSAVQASLSLPATPVGKGTENTFPSPKAIPNGFGTSPLTPSARISALN
+IVGDLLRKVGALESKLAACRNFAKDQASRKSYISGNVNCGVLNGNGTKFSRSGHT
+>Q13562_PF00010_102 <unknown description>
+RRMKANARERNRMHGLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWA
+>Q13562_PF12533_160 <unknown description>
+GKSPDLVSFVQTLCKGLSQPTTNLVAGCLQLNPRTFLPEQNQDMPPHLPTASASFPVHPY
+SYQSPGLPSPPYGTMDSSHVFHVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSI
+NGNFS
+>Q15784_PF00010_122 <unknown description>
+RRQKANARERNRMHDLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWA
+>Q15784_PF12533_180 <unknown description>
+GKRPDLVSYVQTLCKGLSQPTTNLVAGCLQLNSRNFLTEQGADGAGRFHGSGGPFAMHPY
+PYPCSRLAGAQCQAAGGLGGGAAHALRTHGYCAAYETLYAAAGGGGASPDYNSSEYEGPL
+SPPLCLNGNFS
+>Q9HD90_PF00010_88 <unknown description>
+RRVKANARERTRMHGLNDALDNLRRVMPCYSKTQKLSKIETLRLARNYIWA
+>Q9HD90_PF12533_146 <unknown description>
+GQTPEGKGFVEMLCKGLSQPTSNLVAGCLQLGPQSVLLEKHEDKSPICDSAISVHNFNYQ
+SPGLPSPPYGHMETHLLHLKPQVFKSLGESSFGSHLPDCSTPPYEGPLTPPLSISGNFS
+>Q96NK8_PF00010_95 <unknown description>
+RRQEANARERNRMHGLNDALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWA
+>Q96NK8_PF12533_153 <unknown description>
+GKRPDLLTFVQNLCKGLSQPTTNLVAGCLQLNARSFLMGQGGEAAHHTRSPYSTFYPPYH
+SPELTTPPGHGTLDNSKSMKPYNYCSAYESFYESTSPECASPQFEGPLSPPPINYNGIFS
+>Q13232_PF00334_22 <unknown description>
+ERTFLAVKPDGVQRRLVGEIVRRFERKGFKLVALKLVQASEELLREHYAELRERPFYGRL
+VKYMASGPVVAMVWQGLDVVRTSRALIGATNPADAPPGTIRGDFCIEVGKNLIHGSDSVE
+SARREIALWFRADE
+>P56597_PF00334_13 <unknown description>
+EKTLAIIKPDIVDKEEEIQDIILRSGFTIVQRRKLRLSPEQCSNFYVEKYGKMFFPNLTA
+YMSSGPLVAMILARHKAISYWLELLGPNNSLVAKETHPDSLRAIYGTDDLRNALHGSNDF
+AAAEREIRFMFPE
+>P56597_PF05186_157 <unknown description>
+AKDYLNLHIMPTLLEGLTELCKQKPADPLIWLADWLLKNNP
+>O75414_PF00334_13 <unknown description>
+LTLALIKPDAVAHPLILEAVHQQILSNKFLIVRMRELLWRKEDCQRFYREHEGRFFYQRL
+VEFMASGPIRAYILAHKDAIQLWRTLMGPTRVFRARHVAPDSIRGSFGLTDTRNTTHGSD
+SVVSASREIAAFFPD
+>Q9Y5B8_PF00334_92 <unknown description>
+EKTLALIKPDAISKAGEIIEIINKAGFTITKLKMMMLSRKEALDFHVDHQSRPFFNELIQ
+FITTGPIIAMEILRDDAICEWKRLLGPANSGVARTDASESIRALFGTDGIRNAAHGPDSF
+ASAAREMELFFPS
+>Q9Y5B8_PF00334_239 <unknown description>
+CTCCIVKPHAVSEGLLGKILMAIRDAGFEISAMQMFNMDRVNVEEFYEVYKGVVTEYHDM
+VTEMYSGPCVAMEIQQNNATKTFREFCGPADPEIARHLRPGTLRAIFGKTKIQNAVHCTD
+LPEDGLLEVQYFFK
+>P15531_PF00334_5 <unknown description>
+ERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFMQASEDLLKEHYVDLKDRPFFAGL
+VKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVE
+SAEKEIGLWFHPEE
+>P22392_PF00334_5 <unknown description>
+ERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLRASEEHLKQHYIDLKDRPFFPGL
+VKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVK
+SAEKEISLWFKPEE
+>O00746_PF00334_38 <unknown description>
+ERTLVAVKPDGVQRRLVGDVIQRFERRGFTLVGMKMLQAPESVLAEHYQDLRRKPFYPAL
+IRYMSSGPVVAMVWEGYNVVRASRAMIGHTDSAEAAPGTIRGDFSVHISRNVIHASDSVE
+GAQREIQLWFQSSE
+>Q8TB73_PF10179_291 <unknown description>
+ICIGNKNIFTVSDLKPDTQYYFDVFVVNINSNMSTAYVGTFARTKEEAKQKTVELKDGKI
+TDVFVKRKGAKFLRFAPVSSHQKVTFFIHSCL
+>Q8TB73_PF19433_395 <unknown description>
+LLLSQNVEGIQQFQLRGKPKAKYLVRLKGNKKGASMLKILATTRPTKQSFPSLPEDTRIK
+AFDKLRTCSSATVAWLGTQERNKFCIYKKEVDDNYNEDQKKREQNQCLGPDIRKKSEKVL
+CKYFHSQNLQKAVTTETIKGLQPGKSYLLDVYVIGHGGHSVKYQSKVVKTRKFC
+>Q9UHB4_PF00258_8 <unknown description>
+VLFGSQTGTAQDVSERLGREARRRRLGCRVQALDSYPVVNLINEPLVIFVCATTGQGDPP
+DNMKNFWRFIFRKNLPSTALCQMDFAVLGLGDSSYAKFNFVAKKLHRRLLQLGGSALLPV
+CLGDDQHELGPDAAVDPW
+>Q9UHB4_PF00667_203 <unknown description>
+PSESKPFLAPMISNQRVTGPSHFQDVRLIEFDILGSGISFAAGDVVLIQPSNSAAHVQRF
+CQVLGLDPDQLFMLQPREPDVSSPTRLPQPCSMRHLVSHYLDIASVPRRSFFELLACLSL
+HELEREKLLEFSSAQGQEELFEYCNRPRRTILEVLCDFPHTAAAIPPDYLLDLIPVIRPR
+AFSIASSLLTHPSRLQILVAVVQFQTRLKEPRRGLCSSW
+>Q9UHB4_PF00175_455 <unknown description>
+MVGPGTGVAPFRAAIQERVAQGQTGNFLFFGCRWRDQDFYWEAEWQELEKRDCLTLIPAF
+SREQEQKVYVQHRLRELGSLVWELLDRQGAYFYLAGNAKSMPADVS
+>Q00604_PF00007_47 <unknown description>
+SISHPLYKCSSKMVLLARCEGHCSQASRSEPLVSFSTVLKQPFRSSCHCCRPQTSKLKAL
+RLRCSGGMRLTATYRYILSCHCEECN
+>Q92597_PF03096_34 <unknown description>
+EQDIETLHGSVHVTLCGTPKGNRPVILTYHDIGMNHKTCYNPLFNYEDMQEITQHFAVCH
+VDAPGQQDGAASFPAGYMYPSMDQLAEMLPGVLQQFGLKSIIGMGTGAGAYILTRFALNN
+PEMVEGLVLINVNPCAEGWMDWAASKISGWTQALPDMVVSHLFGKEEMQSNVEVVHTYRQ
+HIVNDMNPGNLHLFINAYNSRRDLEIERPMPGTHTVTLQCPALLVVGDSSPAVDAVVECN
+SKLDPTKTTLLKMADCGGLPQISQPAKLAEAFKYFVQGMGYMP
+>Q9UN36_PF03096_41 <unknown description>
+HSVETPYGSVTFTVYGTPKPKRPAILTYHDVGLNYKSCFQPLFQFEDMQEIIQNFVRVHV
+DAPGMEEGAPVFPLGYQYPSLDQLADMIPCVLQYLNFSTIIGVGVGAGAYILARYALNHP
+DTVEGLVLINIDPNAKGWMDWAAHKLTGLTSSIPEMILGHLFSQEELSGNSELIQKYRNI
+ITHAPNLDNIELYWNSYNNRRDLNFERGGDITLRCPVMLVVGDQAPHEDAVVECNSKLDP
+TQTSFLKMADSGGQPQLTQPGKLTEAFKYFLQGMGYMA
+>Q9UGV2_PF03096_32 <unknown description>
+EHDIETTHGVVHVTIRGLPKGNRPVILTYHDIGLNHKSCFNAFFNFEDMQEITQHFAVCH
+VDAPGQQEGAPSFPTGYQYPTMDELAEMLPPVLTHLSLKSIIGIGVGAGAYILSRFALNH
+PELVEGLVLINVDPCAKGWIDWAASKLSGLTTNVVDIILAHHFGQEELQANLDLIQTYRM
+HIAQDINQDNLQLFLNSYNGRRDLEIERPILGQNDNKSKTLKCSTLLVVGDNSPAVEAVV
+ECNSRLNPINTTLLKMADCGGLPQVVQPGKLTEAFKYFLQGMGYIP
+>Q9ULP0_PF03096_8 <unknown description>
+EHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCH
+VDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIF
+PDLVEGLVLVNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTELVQSYRQ
+QIGNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAEDGVVECN
+SKLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYI
+>P52848_PF12062_81 <unknown description>
+TDPLVLVFVESLYSQLGQEVVAILESSRFKYRTEIAPGKGDMPTLTDKGRGRFALIIYEN
+ILKYVNLDAWNRELLDKYCVAYGVGIIGFFKANENSLLSAQLKGFPLFLHSNLGLKDCSI
+NPKSPLLYVTRPSEVEKGVLPGEDWTVFQSNHSTYEPVLLAKTRSSESIPHLGADAGLHA
+ALHATVVQDLGLHDGIQRVLFGNNLNFWLHKLVFVDAVAFLTGKRLSLPLDRYILVDIDD
+IFVGKEGTRMKVEDVKALFDTQNELRAHIPNFTFNLGYSGKFFHTGTNAEDAGDDLLLSY
+VKEFWWFPHMWSHMQPHLFHNQSVLAEQMALNKKFAVEHGIPTDMGYAVAPHHSGVYPVH
+VQLYEAWKQVWSIRVTSTEEYPHLKPARYRRGFIHNGIMVLPRQTCGLFTHTIFYNEYPG
+GSSELDKIINGGELF
+>P52848_PF00685_605 <unknown description>
+PKLLIIGPQKTGTTALYLFLGMHPDLSSNYPSSETFEEIQFFNGHNYHKGIDWYMEFFPI
+PSNTTSDFYFEKSANYFDSEVAPRRAAALLPKAKVLTILINPADRAYSWYQHQRAHDDPV
+ALKYTFHEVITAGSDASSKLRALQNRCLVPGWYATHIERWLSAYHANQILVLDGKLLRTE
+PAKVMDMVQKFLGVTNTIDYHKTLAFDPKKGFWCQLLEGGKTKCLGKSKGRKYPEMDLDS
+RAFLKDYYRDHNIE
+>P52849_PF12062_83 <unknown description>
+TEPVVLVFVESAYSQLGQEIVAILESSRFRYSTELAPGRGDMPTLTDNTHGRYVLVIYEN
+LLKYVNLDAWSRELLDRYCVEYGVGIIGFFRAHEHSLLSAQLKGFPLFLHSNLGLRDYQV
+NPSAPLLHLTRPSRLEPGPLPGDDWTIFQSNHSTYEPVLLASLRPAEPAVPGPVLRRARL
+PTVVQDLGLHDGIQRVLFGHGLSFWLHKLIFVDAVAYLTGKRLCLDLDRYILVDIDDIFV
+GKEGTRMKVADVEALLTTQNKLRTLVPNFTFNLGFSGKFYHTGTEEEDAGDDMLLKHRKE
+FWWFPHMWSHMQPHLFHNRSVLADQMRLNKQFALEHGIPTDLGYAVAPHHSGVYPIHTQL
+YEAWKSVWGIQVTSTEEYPHLRPARYRRGFIHNGIMVLPRQTCGLFTHTIFYNEYPGGSR
+ELDRSIRGGELF
+>P52849_PF00685_604 <unknown description>
+PKFLIVGPQKTGTTAIHFFLSLHPAVTSSFPSPSTFEEIQFFNSPNYHKGIDWYMDFFPV
+PSNASTDFLFEKSATYFDSEVVPRRGAALLPRAKIITVLTNPADRAYSWYQHQRAHGDPV
+ALNYTFYQVISASSQTPLALRSLQNRCLVPGYYSTHLQRWLTYYPSGQLLIVDGQELRTN
+PAASMESIQKFLGITPFLNYTRTLRFDDDKGFWCQGLEGGKTRCLGRSKGRRYPDMDTES
+RLFLTDFFR
+>O95803_PF12062_76 <unknown description>
+TDPTVLVFVESQYSSLGQDIIMILESSRFQYHIEIAPGKGDLPVLIDKMKGKYILIIYEN
+ILKYINMDSWNRSLLDKYCVEYGVGVIGFHKTSEKSVQSFQLKGFPFSIYGNLAVKDCCI
+NPHSPLIRVTKSSKLEKGSLPGTDWTVFQINHSAYQPVIFAKVKTPENLSPSISKGAFYA
+TIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSLDRYILVDIDDIFVG
+KEGTRMNTNDVKALLDTQNLLRAQITNFTFNLGFSGKFYHTGTEEEDEGDDCLLGSVDEF
+WWFPHMWSHMQPHLFHNESSLVEQMILNKKFALEHGIPTDMGYAVAPHHSGVYPVHVQLY
+EAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLFTHTIFYKEYPGGPKE
+LDKSIQGGELF
+>O95803_PF00685_596 <unknown description>
+PKFLVIGPQKTGTTALYLFLVMHPSILSNSPSPKTFEEVQFFNRNNYHRGIDWYMDFFPV
+PSNVTTDFLFEKSANYFHSEEAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPA
+ALKFSFYEVISAGPRAPSELRALQKRCLVPGWYASHIERWLVYFPPFQLLIIDGQQLRTD
+PATVMDEVQKFLGVLPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDS
+RTFLSSYYR
+>Q9H3R1_PF12062_76 <unknown description>
+TDPTVLLFVESQYSQLGQDIIAILESSRFQYHMVIAPGKGDIPPLTDNGKGKYTLVIYEN
+ILKYVSMDSWNRELLEKYCVEYSVSIIGFHKANENSLPSTQLKGFPLNLFNNLALKDCFV
+NPQSPLLHITKAPKVEKGPLPGEDWTIFQYNHSTYQPVLLTELQTEKSLSSLSSKTLFAT
+VIQDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSLDRYILVDIDDIFVGK
+EGTRMNVKDVKALLETQNLLRTQVANFTFNLGFSGKFYHTGTEEEDEGDDLLLRSVDEFW
+WFPHMWSHMQPHLFHNESSLVEQMILNKEFALEHGIPINMGYAVAPHHSGVYPVHIQLYA
+AWKKVWGIQVTSTEEYPHLKPARYRKGFIHNSIMVLPRQTCGLFTHTIFYKEYPGGPQEL
+DKSIRGGELF
+>Q9H3R1_PF00685_595 <unknown description>
+PKFLVIGPQKTGTTALYLFLLMHPSIISNLPSPKTFEEVQFFNGNNYHKGIDWYMDFFPT
+PSNTTSDFLFEKSANYFHSEEAPRRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPA
+ALRFNFYEVISTGHWAPSDLKTLQRRCLVPGWYAVHIERWLTYFATSQLLIIDGQQLRSD
+PATVMDEVQKFLGVTPRYNYSEALTFDPQKGFWCQLLEGGKTKCLGKSKGRKYPPMDPES
+RTFLSNYYRD
+>O15239_PF15879_2 <unknown description>
+WFEILPGLSVMGVCLLIPGLATAYIHRFTNGGKEKRVAHFGYHWSLMERDRRISG
+>O43678_PF05047_33 <unknown description>
+VRDFIEKRYVELKKANPDLPILIRECSDVQPKLWARYAFGQETNVPLNN
+>O95167_PF14987_7 <unknown description>
+AFLKNAWDKEPVLVVSFVVGGLAVILPPLSPYFKYSVMINKATPYNYPVPVRDDGNMPDV
+PSHPQDPQGPSLEWLKKL
+>O00483_PF06522_11 <unknown description>
+KHPSLIPLFVFIGTGATGATLYLLRLALFNPDVCWDRNNPEPWNKLGPNDQYKFYSVNVD
+YSKLKKE
+>Q16718_PF04716_13 <unknown description>
+GLAVCNTPHERLRILYTKILDVLEEIPKNAAYRKYTEQITNEKLAMVKAEPDVKKLEDQL
+QGGQLEE
+>P56556_PF05347_30 <unknown description>
+RRVRELYRAWYREVPNTVHQFQLDITVKMGRDKVREMFMKNAHVTDPRVVDLLVIKGKIE
+LEE
+>O95182_PF07347_5 <unknown description>
+TRLIQRLRNWASGHDLQGKLQLRYQEISKRTQPPPKLPVGPSHKLSNNYYCTRDGRRESV
+PPSIIMSSQKALVSGKPAESSAVAATEKKAVTPAPPI
+>P51970_PF06747_78 <unknown description>
+CAEPFTEYWTCIDYTGQQLFRHCRKQQAKFDECVL
+>Q16795_PF01370_57 <unknown description>
+TVFGATGFLGRYVVNHLGRMGSQVIIPYRCDKYDIMHLRPMGDLGQLLFLEWDARDKDSI
+RRVVQHSNVVINLIGRDWETKNFDFEDVFVKIPQAIAQLSKEAGVEKFIHVSHLNANIKS
+SSRYLRNKAVGEKVVRDAFPEAIIVKPSDIFGREDRFLNSFASMHRFGPIPLGSLGWKTV
+KQPVYVVDVSKGIVNAVKDPDA
+>O95299_PF01712_60 <unknown description>
+ITVDGNICTGKGKLAKEIAEKLGFKHFPEAGIHYPDSTTGDGKPLATDYNGNCSLEKFYD
+DPRSNDGNSYRLQSWLYSSRLLQYSDALEHLLTTGQGVVLERSIFSDFVFLEAMYNQGFI
+RKQCVDHYNEVKSVTICDYLPPHLVIYIDVPVPEVQRRIQKKGDPHEMKITSAYLQDIEN
+AYKKTFLPEMSEKCEVLQYSAREAQDSKKVVEDIEYLKF
+>Q9UI09_PF05071_36 <unknown description>
+GTLVGEDKYGNKYYEDNKQFFGRHRWVVYTTEMNGKNTFWDVDGSMVPPEWHRWLHSMTD
+DPPTTKPLTARKFIWTNHKFNVTGTPEQYVPYSTTRKKIQEWIP
+>Q9P0J0_PF06212_5 <unknown description>
+KVKQDMPPPGGYGPIDYKRNLPRRGLSGYSMLAIGIGTLIYGHWSIMKWNRERRRLQIED
+FEARIALLPLLQAETDRRTLQMLRENLEEEAIIMKDVPDWKVGESVFHTTRWVPPLIGEL
+YGL
+>O75438_PF08040_1 <unknown description>
+MVNLLQIVRDHWVHVLVPMGFVIGCYLDRKSDERLTAFRNKSMLFKRELQPSEEVTWK
+>O95178_PF14813_38 <unknown description>
+VHIEPRYRQFPQLTRSQVFQSEFFSGLMWFWILWRFWHDSEEVLGHFPYPDPSQWTDEEL
+GIPPDDE
+>O43676_PF08122_42 <unknown description>
+RDPWGRNEAWRYMGGFAKSVSFSDVFFKGFKWGFAAFVVAVGAEYYLESLNKDKKH
+>O95168_PF07225_5 <unknown description>
+KYKPSSLRTLPETLDPAEYNISPETRRAQAERLAIRAQLKREYLLQYNDPNRRGLIENPA
+LLRWAYARTINVYPNFRPTPKNSLMGALCGFGPLIFIYYIIKTERDRKEKLIQEGKLDRT
+FHLS
+>O43674_PF09781_1 <unknown description>
+MAAMSLLRRVSVTAVAALSGRPLGTRLGFGGFLTRGFPKAAAPVRHSGDHGKRLFVIRPS
+RFYDRRFLKLLRFYIALTGIPVAIFITLVNVFIGQAELAEIPEGYVPEHWEYYKHPISRW
+IARNFYDSPEKIYERTMAVLQIEAEKAELRVKELEVRKLMHVRGDGPWYYYETIDKELID
+HSPKATPDN
+>O95139_PF09782_1 <unknown description>
+MTGYTPDEKLRLQQLRELRRRWLKDQELSPREPVLPPQKMGPMEKFWNKFLENKSPWRKM
+VHGVYKKSIFVFTHVLVPVWIIHYYMKYHVSEKPYGIVEKKSRIFPGDTILETGEVIPPM
+KEFPDQHH
+>P17568_PF05676_40 <unknown description>
+VATQQEMMDAQLRLQLRDYCAHHLIRLLKCKRDSFPNFLACKQERHDWDYCEHRDYVMRM
+KEF
+>O95169_PF05821_16 <unknown description>
+RASRNVMPLGARTASHMTKDMFPGPYPRTPEERAAAAKKYNMRVEDYEPYPDDGMGYGDY
+PKLPDRSQHERDPWYSWDQPGLRLNWGEPMHWHLDMYNRNRVDTSPTPVSWHVMCMQLFG
+FLAFMIFMCWVGDVYPVYQPVGPKQYPYNNLYLERGGDPSKEPERVVHYEI
+>Q9Y6M9_PF05347_14 <unknown description>
+QKVLRLYKRALRHLESWCVQRDKYRYFACLMRARFEEHKNEKDMAKATQLLKEAEEEF
+>O96000_PF10249_36 <unknown description>
+DRPVTLVREFIERQHAKNRYYYYHRQYRRVPDITECKEEDIMCMYEAEMQWKRDYKVDQE
+IINIMQDRLKACQQREGQNYQQNCIKEVEQFTQVAKAYQDRYQDLGAYSSARKCLAKQRQ
+RMLQERK
+>Q9NX14_PF10183_23 <unknown description>
+PAARVRWESSFSRTVVAPSAVAGKRPPEPTTPWQEDPEPEDENLYEKNPDSHGYDKDPVL
+DVWNMRLVFFFGVSIILVLGSTFVAYLPDYRMKEWSRREAERLVKYREANGLPIMESNC
+>O43677_PF15088_28 <unknown description>
+KFYVREPPNAKPDWLKVGFTLGTTVFLWIYLIKQHNEDILEYKRRNGLE
+>O95298_PF06374_10 <unknown description>
+LRFLPDEARSLPPPKLTDPRLLYIGFLGYCSGLIDNLIRRRPIATAGLHRQLLYITAFFF
+AGYYLVKREDYLYAVRDREMFGYMKLHPEDFPEEDKKTYGEIFEKFHPIR
+>Q8N183_PF05071_22 <unknown description>
+HVGTDQFGNKYYYIPQYKNWRGQTIREKRIVEAANKKEVDYEAGDIPTEWEAWIRRTRKT
+PPTMEEILKNEKHREEIKIKSQDFY
+>Q9BU61_PF04430_60 <unknown description>
+IDSYNSRGFMINGNRVLGPCALLPHSVVQWNVGSHQDITEDSFSLFWLLEPRIEIVVVGT
+GDRTERLQSQVLQAMRQRGIAVEVQDTPNACATFNFLCHEGRVTGAALI
+>Q9P032_PF06784_1 <unknown description>
+MGALVIRGIRNFNLENRAEREISKMKPSVAPRHPSTNSLLREQISLYPEVKGEIARKDEK
+LLSFLKDVYVDSKDPVSSLQVKAAETCQEPKEFRLPKDHHFDMINIKSIPKGKISIVEAL
+TLLNNHKLFPETWTAEKIMQEYQLEQKDVNSLLKYFVTFEVEIFPP
+>Q5TEU4_PF08241_94 <unknown description>
+LDLGCGRGYIAQYLNKETIGKFFQADIAENALKNSSETEIPTVSVLADEEFLPFKENTFD
+LVVSSLSLHWVNDLPRALEQIHYILKPDGVFI
+>Q330K2_PF00494_65 <unknown description>
+LRKRDYEGYLCSLLLPAESRSSVFALRAFNVELAQVKDSVSEKTIGLMRMQFWKKTVEDI
+YCDNPPHQPVAIELWKAVKRHNLTKRWLMKIVDEREKNLDDKAYRNIKELENYAENTQSS
+LLYLTLEILGIKDLHADHAASHIGKAQGIVTCLRATPYHGSRRKVFLPMDICMLHGVSQE
+DFLRRNQDKNVRDVIYDIASQAHLHLKHARSFHKTVPVKAFPAFLQTVSLEDFLKKIQRV
+DFDIFH
+>Q7L592_PF02636_100 <unknown description>
+LLGIWFISEWMATGKSTAFQLVELGPGRGTLVGDILRVFTQLGSVLKNCDISVHLVEVSQ
+KLSEIQALTLTKEKVPLERNAGSPVYMKGVTKSGIPISWYRDLHDVPKGYSFYLAHEFFD
+VLPVHKFQKTPQGWREVFVDIDPQVSDKLRFVLAPSATPAEAFIQHDETRDHVEVCPDAG
+VIIEELSQRIALTGGAALVADYGHDGTKTDTFRGFCDHKLHDVLIAPGTADLTADVDFSY
+LRRMAQGKVASLGP
+>P28331_PF13510_32 <unknown description>
+IEVFVDGQSVMVEPGTTVLQACEKVGMQIPRFCYHERLSVAGNCRMCLVEIEKAPKVVAA
+CAMPVMKGWNILTNS
+>P28331_PF10588_113 <unknown description>
+REGVMEFLLANHPLDCPICDQGGECDLQDQSMMFGNDRSR
+>P28331_PF00384_301 <unknown description>
+RLTEPMVRNEKGLLTYTSWEDALSRVAGMLQSFQGKDVAAIAGGLVDAEALVALKDLLNR
+VDSDTLCTEEVFPTAGAGTDLRSNYLLNTTIAGVEEADVVLLVGTNPRFEAPLFNARIRK
+SWLHNDLKVALIGSPVDLTYTYDHLGDSPKILQDIASGSHPFSQVLKEAKKPMVVLGSSA
+LQRNDGAAILAAVSSIAQKIRMTSGVTGDWKVMNILHRIASQVAALDLGYKPGVEAIRKN
+PPKVLFLLGADGGCITRQDLPKDCFIIYQGHHGDVGAPIADVILPGAAYTEKSATYVNTE
+GRAQQTKVAVTPPGLAREDWKIIRALSE
+>P28331_PF09326_658 <unknown description>
+DIEGANYFQQANELSKLVNQQLLADPLVPPQLTIKDFYMTDSISRASQTMAKC
+>O75306_PF00346_193 <unknown description>
+DLGAMTPFFWLFEEREKMFEFYERVSGARMHAAYIRPGGVHQDLPLGLMDDIYQFSKNFS
+LRLDELEELLTNNRIWRNRTIDIGVVTAEEALNYGFSGVMLRGSGIQWDLRKTQPYDVYD
+QVEFDVPVGSRGDCYDRYLCRVEEMRQSLRIIAQCLNKMPPGEIKVDDAKVSPPKRAEMK
+TSMESLIHHFKLYTEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPYRCKIKAPGFAHL
+AGLDKMSKGHMLADVVAIIGTQDIVFGEVDR
+>O75489_PF00329_87 <unknown description>
+CIHPDGVIPVLTFLRDHTNAQFKSLVDLTAVDVPTRQNRFEIVYNLLSLRFNSRIRVKTY
+TDELTPIESAVSVFKAANWYEREIWDMFGVFFANHPDLRRILTDYGFEGHPFRKDFPLSG
+Y
+>O43181_PF04800_76 <unknown description>
+KVRIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADPLSNMVLTFSTKEDAV
+SFAEKNGWSYDIEERKVPKPKSKSYGANFSWNKR
+>O43920_PF10200_1 <unknown description>
+MPFLDIQKRFGLNIDRWLTIQSGEQPYKMAGRCHAFEKEWIECAHGIGYTRAEKECKIEY
+DDFVECLLRQKTMRRAGTIRKQRDKLIKEGKYTPPP
+>O75380_PF10276_83 <unknown description>
+RVIACDGGGGALGHPKVYINLDKETKTGTCGYCGLQF
+>O75251_PF01058_88 <unknown description>
+CCAVEMMHMAAPRYDMDRFGVVFRASPRQSDVMIVAGTLTNKMAPALRKVYDQMPEPRYV
+VSMGSCANGGGYYHYSYSVVRGCDRIVPVDIYIPGCPPTAEALLYGILQL
+>O00217_PF12838_110 <unknown description>
+RCIACKLCEAICPAQAITIEAEPRADGSRRTTRYDIDMTKCIYCGFCQEACPVDA
+>P49821_PF01512_80 <unknown description>
+IKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREILRHDPHKLL
+EGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSGYDFDVFVVR
+GAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVA
+>P49821_PF10589_366 <unknown description>
+AIARLIEFYKHESCGQCTPCREGVDWMNKVMARFVRGDARPAEIDSLWEISKQIEGHTIC
+ALGDGAAWPVQGLIRHFRPELEE
+>P19404_PF01257_63 <unknown description>
+IEAIVKNYPEGHKAAAVLPVLDLAQRQNGWLPISAMNKVAEVLQVPPMRVYEVATFYTMY
+NRKPVGKYHIQVCTTTPCMLRNSDSILEAIQKKLGIKVGETTPDKLFTLIEVECLGACVN
+APMVQINDNYYEDLTAKDIEEIIDELK
+>P56181_PF15880_432 <unknown description>
+DNTTYKNLQHHDYSTYTFLDLNLELSKFRMPQPSS
+>Q9ULJ8_PF17817_434 <unknown description>
+QPDMEYSEIVGLPEEEEIPANRKIKFSSAPIKVFNTYSNEDYDRRNDEVDPVAASAEYEL
+EKRV
+>Q9ULJ8_PF00595_507 <unknown description>
+LEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVTEGGAAQRDGRIQVNDQIVEVDGISLV
+GVTQNFAATVLRNTKGNVRFVI
+>Q9ULJ8_PF07647_1262 <unknown description>
+QEWSVQQVSHWLMSLNLEQYVSEFSAQNITGEQLLQLDGNKLKALGMTASQDRAVVKKKL
+KEMK
+>Q96SB3_PF17817_426 <unknown description>
+EPESGCVEIPGLSEEEDPAPSRKIHFSTAPIQVFSTYSNEDYDRRNEDVDPMAASAEYEL
+EKRV
+>Q96SB3_PF00595_498 <unknown description>
+ELEKDSEGLGISIIGMGAGADMGLEKLGIFVKTVTEGGAAHRDGRIQVNDLLVEVDGTSL
+VGVTQSFAASVLRNTKGRVRFM
+>O76041_PF00880_39 <unknown description>
+MELARKCTELISDIRYKEEFKKSK
+>O76041_PF00880_320 <unknown description>
+DAVEHLHHKGNAVLQSQVKYKEEYEKNK
+>O76041_PF00880_357 <unknown description>
+TPSYQASKEAQKMQSEKVYKEDFEKE
+>O76041_PF00880_467 <unknown description>
+DTLEMQHAKKAAEIASEKDYKRDLE
+>O76041_PF00880_504 <unknown description>
+DTLDVQRAKKASEMASQKQYKKDLENE
+>O76041_PF00880_541 <unknown description>
+DIPDILRAKRTSEIYSQRKYKDEAEKM
+>O76041_PF00880_607 <unknown description>
+DSPEIERVKKNQQNISSVKYKEE
+>O76041_PF00880_732 <unknown description>
+TPEMERVKKNQENISSVKYTQDHKQMK
+>O76041_PF00880_766 <unknown description>
+DTPAMRHVKEAQNHISMVKYHEDFEKTK
+>O76041_PF14604_961 <unknown description>
+AMYDYSAQDEDEVSFRDGDYIVNVQPIDDGWMYGTVQRTGRTGMLPANYIE
+>P20929_PF00880_188 <unknown description>
+DAPELVQAVKNTAMFSKKLYTEDWEADK
+>P20929_PF00880_542 <unknown description>
+DTPAFIQHKVNAYNLSDNLYKQDWEKSK
+>P20929_PF00880_578 <unknown description>
+DAIPLLAAKANTKNTSDVMYKKDYEKNK
+>P20929_PF00880_616 <unknown description>
+DDPKMLHSLKVAKNQSDRLYKENYEKTK
+>P20929_PF00880_789 <unknown description>
+DAPQFIQHRVNAYNLSDNVYKQDWEKSK
+>P20929_PF00880_825 <unknown description>
+DAIPLLAAKANTKNTSDVMYKKDYEKSK
+>P20929_PF00880_863 <unknown description>
+DDPKMLHSLKTAKNQSDREYRKDYEKSK
+>P20929_PF00880_929 <unknown description>
+DSINVDLAKKAYALQSDVEYKADYN
+>P20929_PF00880_1107 <unknown description>
+DDPKLVHYMNVAKIQSDREYKKDYEKTK
+>P20929_PF00880_1242 <unknown description>
+DSPVMVQAKQNTKQVSDILYKAKGE
+>P20929_PF00880_1277 <unknown description>
+DLPQFLQAKCNAYNISDVCYKRDW
+>P20929_PF00880_1313 <unknown description>
+DAIPITAAKASRNIASDYKYKEAYEKSK
+>P20929_PF00880_1522 <unknown description>
+LPQFIQAKVNALNMSDAHYKADWKKT
+>P20929_PF00880_1595 <unknown description>
+DDPKLVHYMNVAKIQSDREYKKGYEASK
+>P20929_PF00880_1765 <unknown description>
+DTPDILLSRVNQITMSDKLYKAGWEEEK
+>P20929_PF00880_1801 <unknown description>
+DAIAIKAARASRDIASDYKYKKAYEQAK
+>P20929_PF00880_1839 <unknown description>
+DDPKLVHFMQVAKMQSDREYKKGYEKSK
+>P20929_PF00880_1974 <unknown description>
+DSMNMVLAQNNAKIMNEHLYKQAWEADK
+>P20929_PF00880_2009 <unknown description>
+DIPQIILAKANAINMSDKLYKLSLEESK
+>P20929_PF00880_2083 <unknown description>
+DDPKLVHSMQVAKMQSDREYKKNYENTK
+>P20929_PF00880_2218 <unknown description>
+DSMDMVLAKQNAHTMNKHLYTIDWNKDK
+>P20929_PF00880_2253 <unknown description>
+DTPDILQAKQNQTLYSQKLYKLGWEE
+>P20929_PF00880_2327 <unknown description>
+DDPKLVLSMNVAKMQSEREYKKDFEKWK
+>P20929_PF00880_2461 <unknown description>
+DAMDIVLAKTNAKNRSDRLYREAWDKDK
+>P20929_PF00880_2496 <unknown description>
+DTPDIVLAKANLINTSDKLYRMGYEELK
+>P20929_PF00880_2570 <unknown description>
+DDPKMMWSMHVAKIQSDREYKKDFEKWK
+>P20929_PF00880_2704 <unknown description>
+DSIPMVLAKNNAITMNHRLYTEAWDKDK
+>P20929_PF00880_2739 <unknown description>
+DTPEVLLAKQNKVNYSEKLYKLGLEEAK
+>P20929_PF00880_2813 <unknown description>
+DDPKMMWSMHVAKIQSDREYKKDFEKWK
+>P20929_PF00880_2947 <unknown description>
+DSLEQVLAKNNAITMNKRLYTEAWDKDK
+>P20929_PF00880_2982 <unknown description>
+DTPEIMLARMNKINYSESLYKLANEEAK
+>P20929_PF00880_3056 <unknown description>
+DDPKMMWSMHVAKIQSDREYKKDFEKWK
+>P20929_PF00880_3190 <unknown description>
+DSLEQVLAKNNALNMNKRLYTEAWDKDK
+>P20929_PF00880_3225 <unknown description>
+DTPEIMLARQNKINYSETLYKLANEEAK
+>P20929_PF00880_3299 <unknown description>
+DDPKMMWSMHVAKIQSDREYKKDFEKWK
+>P20929_PF00880_3433 <unknown description>
+DSLEQVLAKNNALNMNKRLYTEAWDKDK
+>P20929_PF00880_3468 <unknown description>
+DTPEIMLARQNKINYSESLYRQAMEEAK
+>P20929_PF00880_3504 <unknown description>
+DAIPIVAAKASRDIASDYKYKEAYRK
+>P20929_PF00880_3610 <unknown description>
+NDIIHARKAYDLQSDNLYKSDLEWMK
+>P20929_PF00880_3676 <unknown description>
+DTPEQVLAKNNALNMNKRLYTEAWDNDK
+>P20929_PF00880_3711 <unknown description>
+DTPEIMLAKLNRINYSDKLYKLALEESK
+>P20929_PF00880_3785 <unknown description>
+DDPKMMWSIHVAKIQSDREYKKEFEKWK
+>P20929_PF00880_3919 <unknown description>
+DTPEIVLAKNNALTMSKHLYTEAWDADK
+>P20929_PF00880_3954 <unknown description>
+DTPDILLAKSNSANISQKLYTKGWDESK
+>P20929_PF00880_3994 <unknown description>
+IKSAKASRDIASDYKYKEAYEKQK
+>P20929_PF00880_4162 <unknown description>
+DTPEVVLAKANSLQISEKLYQEAWNKDK
+>P20929_PF00880_4197 <unknown description>
+DTPEMLQAHINALQISNKLYQKDWNDAK
+>P20929_PF00880_4233 <unknown description>
+DAIEIKHAKASREIASEYKYKEGYRK
+>P20929_PF00880_4271 <unknown description>
+DDPKSVWAIHAAKIQSDREYKKAYEKSK
+>P20929_PF00880_4405 <unknown description>
+DTPEVIQAKINAVQISEPLYRDAWEKEK
+>P20929_PF00880_4440 <unknown description>
+DTPLMLQSKINALQISNKRYQQAWED
+>P20929_PF00880_4480 <unknown description>
+IQHAKASRDIASDYLYKTAYEKQK
+>P20929_PF00880_4648 <unknown description>
+DTPEVVLAKANSLQISEKLYQEAWNKDK
+>P20929_PF00880_4683 <unknown description>
+DTPEMLQAHINALQISNKLYQKDWNDTK
+>P20929_PF00880_4719 <unknown description>
+DAIEIKHAKASREIASEYKYKEGYRK
+>P20929_PF00880_4757 <unknown description>
+DDPKSVWAIHAAKIQSDREYKKAYEKSK
+>P20929_PF00880_4891 <unknown description>
+DTPEVIQAKINAVQISEPLYRNAWEKEK
+>P20929_PF00880_4926 <unknown description>
+DTPLMLQSKINALQISNKRYQQAWED
+>P20929_PF00880_4966 <unknown description>
+IQHAKASRDIASDYLYKTAYEKQK
+>P20929_PF00880_5134 <unknown description>
+DTPEVVLAKANSLQISEKLYQEAWNKDK
+>P20929_PF00880_5169 <unknown description>
+DTPEMLQAHINALQISNKLYQKDWNDTK
+>P20929_PF00880_5205 <unknown description>
+DAIEIKHAKASREIASEYKYKEGYRK
+>P20929_PF00880_5243 <unknown description>
+DDPKSVWAIHAAKIQSDREYKKAYEKSK
+>P20929_PF00880_5377 <unknown description>
+DTPEVIQAKINAVQISEPLYRDAWEKEK
+>P20929_PF00880_5412 <unknown description>
+DTPLMLQSKINALQISNKRYQQAWED
+>P20929_PF00880_5452 <unknown description>
+IQHAKASRDIASDYLYKTAYEKQK
+>P20929_PF00880_5620 <unknown description>
+DTPEVVLAKSNAENISIPKYREVWDKDK
+>P20929_PF00880_5655 <unknown description>
+DTPEINLARANALNVSNKLYREGWDEMK
+>P20929_PF00880_6106 <unknown description>
+DPPEIVLAKINSVNQSDVKYKETFNKAK
+>P20929_PF00880_6316 <unknown description>
+DTPQILHAKKSYDLQSQLQYTAAGKE
+>P20929_PF00880_7018 <unknown description>
+DRPEHFHHRAVTDTVSDVKYKEDL
+>P20929_PF00880_7053 <unknown description>
+DTPDFTLAEKNKTLYSKYKYKEVFERTK
+>P20929_PF00880_7334 <unknown description>
+DTPQILLAKTVSNLVSENKYKDHVKK
+>P20929_PF00880_7479 <unknown description>
+PDIEMAKKAAKLSSQVKYRENFDKEK
+>P20929_PF00880_7657 <unknown description>
+TPALLHVKYATKIASEKEYRKDLEES
+>P20929_PF00880_7732 <unknown description>
+TPDFMRARNATDIASQIKYKQSAEMEK
+>P20929_PF00880_7766 <unknown description>
+DTPEIIHAQQVKNLSSQKKYKEDAEKS
+>P20929_PF00880_7836 <unknown description>
+DTPEILRVKENQKNFSSVLYKED
+>P20929_PF00880_8054 <unknown description>
+TPEMQRVKHNQENLSSVLYKE
+>P20929_PF00880_8085 <unknown description>
+TPEMERVKHNQENISSVLYKE
+>P20929_PF00880_8116 <unknown description>
+TPEMERVKHNQENISSVLYKE
+>P20929_PF00880_8147 <unknown description>
+TPEMERVKHNQENISSVLYKE
+>P20929_PF00880_8178 <unknown description>
+TPEMQRVKRNQENISSVLYKE
+>P20929_PF14604_8473 <unknown description>
+AMYDYMAADADEVSFKDGDAIINVQAIDEGWMYGTVQRTGRTGMLPANYVE
+>P29120_PF16470_34 <unknown description>
+EWAAEIPGGPEAASAIAEELGYDLLGQIGSLENHYLFKHKNHPRRSRRSAFHITKRLSDD
+DRVIWAEQQYEKERSKR
+>P29120_PF00082_158 <unknown description>
+GKGVVITVLDDGLEWNHTDIYANYDPEASYDFNDNDHDPFPRYDPTNENKHGTRCAGEIA
+MQANNHKCGVGVAYNSKVGGIRMLDGIVTDAIEASSIGFNPGHVDIYSASWGPNDDGKTV
+EGPGRLAQKAFEYGVKQGRQGKGSIFVWASGNGGRQGDNCDCDGYTDSIYTISISSASQQ
+GLSPWYAEKCSSTLATSYSSGDYTDQRITSADLHNDCTETHTGTSASAPLAAGIFALALE
+ANPNLTWRDMQHLVVWTSEYDPLANNPGWKKNGAGLMVNSRFGFG
+>P29120_PF01483_504 <unknown description>
+LEHVQFEATIEYSRRGDLHVTLTSAAGTSTVLLAERERDTSPNGFKNWDFMSVHTWGENP
+IGTWTLRITDMSGRIQNEGRIVNWKLIL
+>P29120_PF12177_713 <unknown description>
+LKDSEDSLYNDYVDVFYNTKPYKHRDDRLLQALVDILNE
+>P16519_PF16470_33 <unknown description>
+HFLVELHKGGEDKARQVAAEHGFGVRKLPFAEGLYHFYHNGLAKAKRRRSLHHKQQLERD
+PRVKMALQQEGFDRKKR
+>P16519_PF00082_158 <unknown description>
+GKGVTIGIMDDGIDYLHPDLASNYNAEASYDFSSNDPYPYPRYTDDWFNSHGTRCAGEVS
+AAANNNICGVGVAYNSKVAGIRMLDQPFMTDIIEASSISHMPQLIDIYSASWGPTDNGKT
+VDGPRELTLQAMADGVNKGRGGKGSIYVWASGDGGSYDDCNCDGYASSMWTISINSAIND
+GRTALYDESCSSTLASTFSNGRKRNPEAGVATTDLYGNCTLRHSGTSAAAPEAAGVFALA
+LEANLGLTWRDMQHLTVLTSKRNQLHDEVH
+>P16519_PF01483_504 <unknown description>
+LEHVQAVITVNATRRGDLNINMTSPMGTKSILLSRRPRDDDSKVGFDKWPFMTTHTWGED
+ARGTWTLELGFVGSAPQKGVLKEWTLM
+>Q8N987_PF13833_33 <unknown description>
+DILRRADKNDDGKLSFEEFKAYFA
+>Q8N987_PF03992_253 <unknown description>
+LVQRQMSVIEEDLEEFQLALKHYVESASSQSGCLRISIQKLSNESRYMIYEFWENSSVWN
+SHLQTNYSKTFQR
+>Q7Z6G3_PF13833_67 <unknown description>
+DIFRRADKNDDGKLSLEEF
+>Q7Z6G3_PF13833_94 <unknown description>
+LNEKELEDLFHTIDSDNTNHVDTKELCDYFVD
+>Q7Z6G3_PF03992_288 <unknown description>
+VRQEMAVCPEQLSEFLDSLRQYLRGTTGVRNCFHITAVRLSDGFTFVIYEFWETEEAWKR
+HLQSPLCKAFR
+>Q96P71_PF13202_41 <unknown description>
+FQDVFRRADKNDDGKLSFEEFQN
+>Q96P71_PF03992_297 <unknown description>
+MAQRQVQVAEEGLQDFHRALRCYVDFTGAQSHCLHVSAQKMLDGASFTLYEFWQDEASWR
+RHQQSPGSKAFQR
+>Q99608_PF01454_170 <unknown description>
+ALEPEELDRVALSNRMPMTGLLLMILSLIYVKGRGARESAVWNVLRILGLRPWKKHSTFG
+DVRKLITEEFVQMNYLKYQRVPYVEPPEYEFFWGSRASREITK
+>Q8NC96_PF07933_7 <unknown description>
+YESVLCVKPDVSVYRIPPRASNRGYRASDWKLDQPDWTGRLRITSKGKTAYIKLEDKVSG
+ELFAQAPVEQYPGIAVETVTDSSRYFVIRIQDGTGRSAFIGIGFTDRGDAFDFNVSLQDH
+FKWVKQESEISKESQEMDARPKLDLGFKEGQTIKLCI
+>Q9NVZ3_PF07933_6 <unknown description>
+YESVLCVKPDVHVYRIPPRATNRGYRAAEWQLDQPSWSGRLRITAKGQMAYIKLEDRTSG
+ELFAQAPVDQFPGTAVESVTDSSRYFVIRIEDGNGRRAFIGIGFGDRGDAFDFNVALQDH
+FKWVKQQCEFAKQAQNPDQGPKLDLGFKEGQTIKLNI
+>Q15223_PF07686_42 <unknown description>
+FIGTDVVLHCSFANPLPSVKITQVTWQKSTNGSKQNVAIYNPSMGVSVLAPYRERVEFLR
+PSFTDGTIRLSRLELEDEGVYICEFATFPTGNRESQLNLTV
+>Q15223_PF08205_149 <unknown description>
+WIEGTQAVLRAKKGQDDKVLVATCTSANGKPPSVVSWETRLKGEAEYQEIRNPNGTVTVI
+SRYRLVPSREAHQQSLACIVNYHMDRFK
+>Q15223_PF13895_261 <unknown description>
+QRMDVKLTCKADANPPATEYHWTTLNGSLPKGVEAQNRTLFFKGPINYSLAGTYICEATN
+PIGTRSGQVEVN
+>Q92692_PF07686_40 <unknown description>
+PEVRGQLGGTVELPCHLLPPVPGLYISLVTWQRPDAPANHQNVAAFHPKMGPSFPSPKPG
+SERLSFVSAKQSTGQDTEAELQDATLALHGLTVEDEGNYTCEFATFPKGSVRGMTWLRV
+>Q92692_PF08205_168 <unknown description>
+AQKVTFSQDPTTVALCISKEGRPPARISWLSSLDWEAKETQVSGTLAGTVTVTSRFTLVP
+SGRADGVTVTCKVEHESFEEP
+>Q9NQS3_PF07686_63 <unknown description>
+EPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSSQTVAVHHPQYGFSVQGEYQGRVL
+FKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVL
+>Q9NQS3_PF08205_175 <unknown description>
+IKGPDSLIDGGNETVAAICIAATGKPVAHIDWEGDLGEMESTTTSFPNETATIISQYKLF
+PTRFARGRRITCVVKHPALEKD
+>Q96NY8_PF07686_36 <unknown description>
+TSDVVTVVLGQDAKLPCFYRGDSGEQVGQVAWARVDAGEGAQELALLHSKYGLHVSPAYE
+GRVEQPPPPRNPLDGSVLLRNAVQADEGEYECRVSTFPAGSFQARLRLRVL
+>Q96NY8_PF08205_151 <unknown description>
+PSLNPGPALEEGQGLTLAASCTAEGSPAPSVTWDTEVKGTTSSRSFKHSRSAAVTSEFHL
+VPSRSMNGQPLTCVVSHPGLL
+>Q96NY8_PF13927_265 <unknown description>
+GAMLKCLSEGQPPPSYNWTRLDGPLPSGVRVDGDTLGFPPLTTEHSGIYVCHVSN
+>Q96PU5_PF00168_21 <unknown description>
+ILRVKVVSGIDLAKKDIFGASDPYVKLSLYVADENRELALVQTKTIKKTLNPKWNEEFYF
+RVNPSNHRLLFEVFDENRLTRDDFLGQVDVPLSHLPTED
+>Q96PU5_PF00397_195 <unknown description>
+LPPGWEEKVDNLGRTYYVNHNNRTTQWHRP
+>Q96PU5_PF00397_387 <unknown description>
+LPSGWEERKDAKGRTYYVNHNNRTTTWTRP
+>Q96PU5_PF00397_499 <unknown description>
+LPPGWEMRIAPNGRPFFIDHNTKTTTWEDP
+>Q96PU5_PF00397_550 <unknown description>
+LPPGWEERIHLDGRTFYIDHNSKITQWEDP
+>Q96PU5_PF00632_670 <unknown description>
+LLSKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFTFIGRVAGLAVFHGKLLDG
+FFIRPFYKMMLGKQITLNDMESVDSEYYNSLKWILENDPTELDLMFCIDEENFGQTYQVD
+LKPNGSEIMVTNENKREYIDLVIQWRFVNRVQKQMNAFLEGFTELLPIDLIKIFDENELE
+LLMCGLGDVDVNDWRQHSIYKNGYCPNHPVIQWFWKAVLLMDAEKRIRLLQFVTGTSRVP
+MNGFAELYGSNGPQLFTIEQWGSPEKLPRAHTCFNRLDLPPYETFEDLREKLLMAVENAQ
+GFE
+>Q8NHV4_PF00400_29 <unknown description>
+NPHTSPHGISSICWSSNNNFLVTASSSGDKIV
+>P46934_PF00168_20 <unknown description>
+IVRVRVIAGIGLAKKDILGASDPYVRVTLYDPMNGVLTSVQTKTIKKSLNPKWNEEILFR
+VHPQQHRLLFEVFDENRLTRDDFLGQVDVPLYPLP
+>P46934_PF00397_193 <unknown description>
+LPPGWEERQDILGRTYYVNHESRRTQWKRP
+>P46934_PF00397_350 <unknown description>
+LPPGWEEKQDERGRSYYVDHNSRTTTWTKP
+>P46934_PF00397_423 <unknown description>
+LPKGWEVRHAPNGRPFFIDHNTKTTTWEDP
+>P46934_PF00397_475 <unknown description>
+LPPGWEERTHTDGRIFYINHNIKRTQWEDP
+>P46934_PF00632_595 <unknown description>
+LISKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFKFIGRVAGMAVYHGKLLDG
+FFIRPFYKMMLHKPITLHDMESVDSEYYNSLRWILENDPTELDLRFIIDEELFGQTHQHE
+LKNGGSEIVVTNKNKKEYIYLVIQWRFVNRIQKQMAAFKEGFFELIPQDLIKIFDENELE
+LLMCGLGDVDVNDWREHTKYKNGYSANHQVIQWFWKAVLMMDSEKRIRLLQFVTGTSRVP
+MNGFAELYGSNGPQSFTVEQWGTPEKLPRAHTCFNRLDLPPYESFEELWDKLQMAIENTQ
+GFD
+>Q15843_PF00240_3 <unknown description>
+IKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYKIL
+GGSVLHLVLALR
+>Q7Z3B1_PF07679_46 <unknown description>
+DNMMVRKGDTAVLRCYLEDGASKGAWLNRSSIIFAGGDKWSVDPRVSISTLNKRDYSLQI
+QNVDVTDDGPYTCSVQTQHTPRTMQVHLTV
+>Q7Z3B1_PF13927_138 <unknown description>
+PPKIYDISNDMTVNEGTNVTLTCLATGKPEPSISWRHISPSAKPFENGQYLDIYGITRDQ
+AGEYECSAEN
+>Q7Z3B1_PF13927_225 <unknown description>
+PTIQEIKSGTVTPGRSGLIRCEGAGVPPPAFEWYKGEKKLFNGQQGIIIQNFSTRSILTV
+TNVTQEHFGNYTCVAAN
+>Q96FI4_PF01149_1 <unknown description>
+MPEGPELHLASQFVNEACRALVFGGCVEKSSVSRNPEVPFESSAYRISASARGKELRLIL
+SPLPGAQPQQEPLALVFRFGMSGSFQLVPREELPRHAHLRFYTAPPGPRLALCFVDIRRF
+GRW
+>Q96FI4_PF09292_252 <unknown description>
+DFAAFRAWLRCYGMPGMSSLQDRHGRTIWFQGDPGPLAP
+>Q969S2_PF06831_197 <unknown description>
+LSEKFHRGQALEALGQAQPVCYTLLDQRYFSGLGNIIKNEALYRAGIHPLSLGSVLSASR
+REVLVDHVVE
+>Q8TAT5_PF06831_160 <unknown description>
+FSFLRAESEVKKQKGRMLGDVLMDQNVLPGVGNIIKNEALFDSGLHPAVKVCQLTDEQIH
+HLMKMIRD
+>Q8TAT5_PF00641_317 <unknown description>
+SEEHWTCVVCTLINKPSSKACDACLTSRP
+>Q8TAT5_PF06839_506 <unknown description>
+RCSKHNRLCILRVVGKDGENKGRQFYACPLPREAQCGFFEWADL
+>Q8TAT5_PF06839_552 <unknown description>
+PFCNHGKRSTMKTVLKIGPNNGKNFFVCPLGKEKQCNFFQWAEN
+>Q8NG66_PF00069_29 <unknown description>
+YVLQQKLGSGSFGTVYLVSDKKAKRGEELKVLKEISVGELNPNETVQANLEAQLLSKLDH
+PAIVKFHASFVEQDNFCIITEYCEGRDLDDKIQEYKQAGKIFPENQIIEWFIQLLLGVDY
+MHERRILHRDLKSKNVFLKNNLLKIGDFGVSRLLMGSCDLATTLTGTPHYMSPEALKHQG
+YDTKSDIWSLACILYEMCCMNHAFAGSNFLSIVLKIVEGDTPSLPERYPKELNAIMESML
+NKNPSLRPSAIEILKIPYL
+>Q96PY6_PF00069_4 <unknown description>
+YVRLQKIGEGSFGKAILVKSTEDGRQYVIKEINISRMSSKEREESRREVAVLANMKHPNI
+VQYRESFEENGSLYIVMDYCEGGDLFKRINAQKGVLFQEDQILDWFVQICLALKHVHDRK
+ILHRDIKSQNIFLTKDGTVQLGDFGIARVLNSTVELARTCIGTPYYLSPEICENKPYNNK
+SDIWALGCVLYELCTLKHAFEAGSMKNLVLKIISGSFPPVSLHYSYDLRSLVSQLFKRNP
+RDRPSVNSILEKGF
+>P51955_PF00069_8 <unknown description>
+YEVLYTIGTGSYGRCQKIRRKSDGKILVWKELDYGSMTEAEKQMLVSEVNLLRELKHPNI
+VRYYDRIIDRTNTTLYIVMEYCEGGDLASVITKGTKERQYLDEEFVLRVMTQLTLALKEC
+HRRSDGGHTVLHRDLKPANVFLDGKQNVKLGDFGLARILNHDTSFAKTFVGTPYYMSPEQ
+MNRMSYNEKSDIWSLGCLLYELCALMPPFTAFSQKELAGKIREGKFRRIPYRYSDELNEI
+ITRMLNLKDYHRPSVEEILENPL
+>P51956_PF00069_4 <unknown description>
+YMVLRMIGEGSFGRALLVQHESSNQMFAMKEIRLPKSFSNTQNSRKEAVLLAKMKHPNIV
+AFKESFEAEGHLYIVMEYCDGGDLMQKIKQQKGKLFPEDMILNWFTQMCLGVNHIHKKRV
+LHRDIKSKNIFLTQNGKVKLGDFGSARLLSNPMAFACTYVGTPYYVPPEIWENLPYNNKS
+DIWSLGCILYELCTLKHPFQANSWKNLILKVCQGCISPLPSHYSYELQFLVKQMFKRNPS
+HRPSATTLLS
+>P51957_PF00069_9 <unknown description>
+LRVVGKGSYGEVTLVKHRRDGKQYVIKKLNLRNASSRERRAAEQEAQLLSQLKHPNIVTY
+KESWEGGDGLLYIVMGFCEGGDLYRKLKEQKGQLLPENQVVEWFVQIAMALQYLHEKHIL
+HRDLKTQNVFLTRTNIIKVGDLGIARVLENHCDMASTLIGTPYYMSPELFSNKPYNYKSD
+VWALGCCVYEMATLKHAFNAKDMNSLVYRIIEGKLPPMPRDYSPELAELIRTMLSKRPEE
+RPSVRSILRQPYI
+>Q9HC98_PF00069_45 <unknown description>
+FQIEKKIGRGQFSEVYKATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPN
+IIKYLDSFIEDNELNIVLELADAGDLSQMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMH
+SRRVMHRDIKPANVFITATGVVKLGDLGLGRFFSSETTAAHSLVGTPYYMSPERIHENGY
+NFKSDIWSLGCLLYEMAALQSPFYGDKMNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSM
+CICPDPHQRPDI
+>Q8TDX7_PF00069_35 <unknown description>
+RIEKKIGRGQFSEVYRAACLLDGVPVALKKVQIFDLMDAKARADCIKEIDLLKQLNHPNV
+IKYYASFIEDNELNIVLELADAGDLSRMIKHFKKQKRLIPERTVWKYFVQLCSALEHMHS
+RRVMHRDIKPANVFITATGVVKLGDLGLGRFFSSKTTAAHSLVGTPYYMSPERIHENGYN
+FKSDIWSLGCLLYEMAALQSPFYGDKMNLYSLCKKIEQCDYPPLPSDHYSEELRQLVNMC
+INPDPEKRPDVT
+>Q86SG6_PF00069_4 <unknown description>
+YERIRVVGRGAFGIVHLCLRKADQKLVIIKQIPVEQMTKEERQAAQNECQVLKLLNHPNV
+IEYYENFLEDKALMIAMEYAPGGTLAEFIQKRCNSLLEEETILHFFVQILLALHHVHTHL
+ILHRDLKTQNILLDKHRMVVKIGDFGISKILSSKSKAYTVVGTPCYISPELCEGKPYNQK
+SDIWALGCVLYELASLKRAFEAANLPALVLKIMSGTFAPISDRYSPELRQLVLSLLSLEP
+AQRPPLSHIMAQP
+>Q86SG6_PF00415_414 <unknown description>
+TFGSGSNGCLGHGSLTDISQPTIVEALLGYEMVQVACGASHVLAL
+>Q86SG6_PF00415_464 <unknown description>
+LFAWGRGDSGRLGLGTRESHSCPQQVPMPPGQEAQRVVCGIDSSMI
+>Q86SG6_PF00415_579 <unknown description>
+SGDCYTFGSNQHGQLGTNTRRGSRAPCKVQGLEGIKMAMVACGDAFTVAI
+>Q86SG6_PF00415_633 <unknown description>
+EVYSWGKGARGRLGRRDEDAGLPRPVQLDETHPYTVTSVSCCHGNTL
+>Q8TD19_PF00069_55 <unknown description>
+IRVLGRGAFGEATLYRRTEDDSLVVWKEVDLTRLSEKERRDALNEIVILALLQHDNIIAY
+YNHFMDNTTLLIELEYCNGGNLYDKILRQKDKLFEEEMVVWYLFQIVSAVSCIHKAGILH
+RDIKTLNIFLTKANLIKLGDYGLAKKLNSEYSMAETLVGTPYYMSPELCQGVKYNFKSDI
+WAVGCVIFELLTLKRTFDATNPLNLCVKIVQGIRAMEVDSSQYSLELIQMVHSCLDQDPE
+QRPTADELLDRPL
+>Q8TD19_PF00415_403 <unknown description>
+HGQLGHGDKASYRQPKHVEKLQGKAIRQVSCGDDFTVCV
+>Q8TD19_PF00415_444 <unknown description>
+EGQLYAFGSDYYGCMGVDKVAGPEVLEPMQLNFFLSNPVEQVSCGDNHVVVL
+>Q8TD19_PF00415_500 <unknown description>
+EVYSWGCGEYGRLGLDSEEDYYTPQKVDVPKALIIVAVQCGCDGTFLL
+>Q8TD19_PF00415_616 <unknown description>
+GRLLTFGCNKCGQLGVGNYKKRLGINLLGGPLGGKQVIRVSCGDEFTIA
+>Q8WX92_PF06209_155 <unknown description>
+PSLQPVVMCVMKHLPKVPEKKLKLVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLK
+QYILEKESALFSTELSVLHNFFSPSPKTRRQGEVVQRLTRMVGKNVKLYDMVLQFLRTLF
+LRTRNVHYCTLRAELLMSLHDLDVGEICTVDPCHKFTWCLDACIRERFVDSKRARELQGF
+LDGVKKGQEQVLGDLSMILCDPFAINTLALSTVRHLQELVGQETLPRDSPDLLLLLRLLA
+LGQGAWDMIDSQVFKEPKMEVELITRFLPMLMSFLVDDYTFNVDQKLPAEEKAPVSYPNT
+LPESFTKFLQEQRMACEVGLYYVLHITKQRNKNALLRLLPGLV
+>Q8IXH7_PF04858_9 <unknown description>
+AAEWGDEADGGQQEDDSGEGEDDAEVQQECLHKFSTRDYIMEPSIFNTLKRYFQAGGSPE
+NVIQLLSENYTAVAQTVNLLAEWLIQTGVEPVQVQETVENHLKSLLIKHFDPRKADSIFT
+EEGETPAWLEQMIAHTTWRDLFYKLAEAHPDCLMLNFTVKLISDAGYQGEITSVSTACQQ
+LEVFSRVLRTSLATILDGGEENLEKNLPEFAKMVCHGEHTYLFAQAMMSVLAQEEQGGSA
+VRRIAQEVQRFAQEKGHDASQITLALGTAASYPRACQALGAMLSKGALNPADITVLFKMF
+TSMDPPPVELIRVPAFLDLFMQSLFKPGARINQDHKHKYIHILAYAASVVETWKKNKRVS
+INKDELKSTSKAVETVHNLCCNENKGASELVAELSTLYQCIRFPVVAMGVLKWVDWTVSE
+PRYFQLQTDHTPVHLALLDEISTCHQLLHPQVLQLLVKLFETEHSQLDVMEQLELKKTLL
+DRMVHLLSRGYVLPVVSYIRKCLEKLDTDISLIRYFVTEVLDVIAPPYTSDFVQLFLPIL
+ENDSIAGTIKTEGEHDPVTEFIAHCKSNF
+>P18615_PF00076_269 <unknown description>
+EDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEKMESADQAVAELNGTQVESV
+>Q92832_PF00093_273 <unknown description>
+CQVSGLLYRDQDSWVDGDHCRNCTCKSGAVECRRMSCPPLNCSPDSLPVHIAGQCCKVC
+>Q92832_PF07645_434 <unknown description>
+DIDECAAKMHYCHANTVCVNLPGLYRCDCVPGYIRVDDFSC
+>Q92832_PF12947_480 <unknown description>
+CGSGQHNCDENAICTNTVQGHSCTCKPGYVGNGTIC
+>Q92832_PF07645_549 <unknown description>
+DIDECSEGIIECHNHSRCVNLPGWYHCECRSGFH
+>Q92832_PF07645_596 <unknown description>
+DIDECALRTHTCWNDSACINLAGGFDCLCPSG
+>Q92832_PF00093_699 <unknown description>
+GHKLYRSGDNWTHSCQQCRCLEGEVDCWPLTCPNLSCEYTAILEGECCPRC
+>Q99435_PF02210_96 <unknown description>
+THLNSGVILSIHHLDHRYLELESSGHRNEVRLHYRSGSHRPHTEVFPYILADDKWHKLSL
+AISASHLILHIDCNKIYERVVEKPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVQL
+>Q99435_PF00093_274 <unknown description>
+CTMKGTTYREFESWIDGCKNCTCLNGTIQCETLICPNPDCPLKSALAYVDGKCCKEC
+>Q99435_PF07645_440 <unknown description>
+DIDECAEGRHYCRENTMCVNTPGSFMCICKTGYI
+>Q99435_PF12947_486 <unknown description>
+CITNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTC
+>Q99435_PF07645_555 <unknown description>
+DIDECSDGFVQCDSRANCINLPGWYHCECRDGYHDN
+>Q99435_PF07645_602 <unknown description>
+DIDECGTGRHSCANDTICFNLDGGYDCRCPHG
+>Q99435_PF00093_705 <unknown description>
+GETLYNSGDTWVQNCQQCRCLQGEVDCWPLPCPDVECEFSILPENECCPRC
+>O60524_PF05833_7 <unknown description>
+TIDLRAVLAELNASLLGMRVNNVYDVDNKTYLIRLQKPDFKATLLLESGIRIHTTEFEWP
+KNMMPSSFAMKCRKHLKSRRLVSAKQLGVDRIVDFQFGSDEAAYHLIIELYDRGNIVLTD
+YEYVILNILRFRTDEADDVKFAVRERYPLDHARAAEPLLTLERLTEIVASAPKGELLKRV
+LNPLLPYGPALIEHCLLENGFSGNVKVDEKLETKDIEKVLVSLQKAEDYMKTTSNFSGKG
+YIIQKREIKPSLEADKPVEDILTYEEFHPFLFSQHSQCPYIEFESFDKAVDEFYSKIEGQ
+KIDLKALQQEKQALKKLDNVRKDHENRLEALQQAQEIDKLKGELIEMNLQIVDRAIQVVR
+SALANQIDWTEIGLIVKEAQAQGDPVASAIKELKLQTNHVTMLLRNPYLLSEEEDDDVDG
+DVNVEKNETEPPKGKKKKQKNKQLQKPQKNKPLLVDVDLSLSAYANAKKYYDHKRYAAKK
+TQKTVEAAEKAFKSAEKKTKQTLKEVQTV
+>O60524_PF05670_529 <unknown description>
+KFLWFISSENYLIIGGRDQQQNEIIVKRYLTPGDIYVHADLHGATSCVIKNPTGEPIPPR
+TLTEAGTMALCYSAAWDARVITSAWWVYHHQVSKTAPTGEYLTTGSFMIRG
+>O60524_PF11923_975 <unknown description>
+NEENLFDSLTGQPHPEDVLLFAIPICAPYTTMTNYKYKVKLTPGVQKKGKAAKTALNSFM
+HSKEATAREKDLFRSVKDTDLSRNIPGKVKVS
+>Q9Y6K9_PF11577_45 <unknown description>
+QGAPETLQRCLEENQELRDAIRQSNQILRERCEELLHFQASQREEKEFLMCKFQEARKLV
+ERLGLEK
+>Q9Y6K9_PF16516_257 <unknown description>
+GMQLEDLKQQLQQAEEALVAKQEVIDKLKEEAEQHKIVMETVPVLKAQADIYKADFQAER
+QAREKLAEKKELLQEQLEQLQREYSKLK
+>Q9Y6K9_PF18414_393 <unknown description>
+PDFCCPKCQYQAPDMDTLQIHVMECI
+>O14524_PF10225_156 <unknown description>
+IRRFDPKLFLVFLLGLMLFFCGDLLSRSQIFYYSTGMTVGIVASLLIIIFILSKFMPKKS
+PIYVILVGGWSFSLYLIQLVFKNLQEIWRCYWQYLLSYVLTVGFMSFAVCYKYGPLENER
+SINLLTWTLQLMGLCFMYSGIQIPHIALAIIIIALCTKNLEHPIQWLYITCRKVCKGAEK
+PVPPRLLTEEEYRIQGEVETRKALEELREFCNSPDCSAWKTVSRIQSPKRFADFVEGSSH
+LTP
+>A6NFY4_PF10225_143 <unknown description>
+IHVNRNIMDFKLFLVFVAGVFLFFYARTLSQSPTFYYSSGTVLGVLMTLVFVLLLVKRFI
+PKYSTFWALMVGCWFASVYIVCQLMEDLKWLWYENRIYVLGYVLIVGFFSFVVCYKHGPL
+ADDRSRSLLMWMLRLLSLVLVYAGVAVPQFAYAAIILLMSSWSLHYPLRACSYMRWKMEQ
+WFTSKELVVKYLTEDEYREQADAETNSALEELRRACRKPDFPSWLVVSRLHTPSKFADFV
+LGGSHLSP
+>Q9UMX5_PF00173_48 <unknown description>
+TEEELARYGGEEEDQPIYLAVKGVVFDVTSGKEFYGRGAPYNALTGKDSTRGVAKMSLDP
+ADLTHDTTGLTAKELEALDEVFTK
+>Q92859_PF13895_62 <unknown description>
+TLSVRGSSVILNCSAYSEPSPKIEWKKDGTFLNLVSDDRRQLLPDGSLFISNVVHSKHNK
+PDEGYYQCVATVESLGTIISRTAKLI
+>Q92859_PF13927_152 <unknown description>
+PRFTSQPEPSSVYAGNNAILNCEVNADLVPFVRWEQNRQPLLLDDRVIKLPSGMLVISNA
+TEGDGGLYRCVVE
+>Q92859_PF07679_250 <unknown description>
+VFLKQPSPLVRVIGQDVVLPCVASGLPTPTIKWMKNEEALDTESSERLVLLAGGSLEISD
+VTEDDAGTYFCIADNGNETIEAQAELTV
+>Q92859_PF07679_341 <unknown description>
+PEFLKQPTNIYAHESMDIVFECEVTGKPTPTVKWVKNGDMVIPSDYFKIVKEHNLQVLGL
+VKSDEGFYQCIAENDVGNAQAGAQLII
+>Q92859_PF00041_440 <unknown description>
+SAPRDVVASLVSTRFIKLTWRTPASDPHGDNLTYSVFYTKEGIARERVENTSHPGEMQVT
+IQNLMPATVYIFRVMAQNKHGSGESS
+>Q92859_PF00041_543 <unknown description>
+PNLRAYAASPTSITVTWETPVSGNGEIQNYKLYYMEKGTDKEQDVDVSSHSYTINGLKKY
+TEYSFRVVAYNKHGPG
+>Q92859_PF00041_636 <unknown description>
+APQNLSLEVRNSKSIMIHWQPPAPATQNGQITGYKIRYRKASRKSDVTETLVSGTQLSQL
+IEGLDRGTEYNFRVAALTINGTGPA
+>Q92859_PF00041_742 <unknown description>
+PSSLHVRPLVTSIVVSWTPPENQNIVVRGYAIGYGIGSPHAQTIKVDYKQRYYTIENLDP
+SSHYVITLKAFNNVGEG
+>Q92859_PF00041_856 <unknown description>
+PPVGVQASILSHDTIRITWADNSLPKHQKITDSRYYTVRWKTNIPANTKYKNANATTLSY
+LVTGLKPNTLYEFSVMVTKGRRSSTW
+>Q92859_PF00041_956 <unknown description>
+SPPKDVTVVSKEGKPKTIIVNWQPPSEANGKITGYIIYYSTDVNAEIHDWVIEPVVGNRL
+THQIQELTLDTPYYFKIQARNSKGMGPMS
+>Q92859_PF06583_1158 <unknown description>
+VKPPDLWIHHERLELKPIDKSPDPNPIMTDTPIPRNSQDITPVDNSMDSNIHQRRNSYRG
+HESEDSMSTLAGRRGMRPKMMMPFDSQPPQPVISAHPIHSLDNPHHHFHSSSLASPARSH
+LYHPGSPWPIGTSMSLSDRANSTESVRNTPSTDTMPASSSQTCCTDHQDPEGATSSSYLA
+SSQEEDSGQSLPTAHVRPSHPLKSFAVPAIPPPGPPTYDPALPSTPLLSQQALNHHIHSV
+KTASIGTLGRSRPPMPVVVPSAPEVQETTRMLEDSESSYEPDELTKEMAHLEGLMKDLNA
+ITTA
+>Q92979_PF03587_45 <unknown description>
+VVLEGASLETVKVGKTYELLNCDKHKSILLKNGRDPGEARPDITHQSLLMLMDSPLNRAG
+LLQVYIHTQKNVLIEVNPQTRIPRTFDRFCGLMVQLLHKLSVRAADGPQKLLKVIKNPVS
+DHFPVGCMKVGTSFSIPVVSDVRELVPSSDPIVFVVGAFAHGKVSVEYTEKMVSISNYPL
+SAALTCAKLTTAFE
+>Q8N9A8_PF09771_6 <unknown description>
+QAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSFFTSLW
+NHPFFTISCITLIGLFFAGIHKRVVAPSIIAARCRTVLAEYNMSCDDTGKLILKPRP
+>Q6NW34_PF14780_12 <unknown description>
+WNRVRIPKAGNRSAVTVQNPGAALDLCIAAVIKECHLVILSLKSQTLDAETDVLCAVLYS
+NHNRMGRHKPHLALKQVEQCLKRLKNMNLEGSIQDLFELFSSNENQPLTTKVCVVPSQPV
+VELVLMKVLGACKLLLRLLDCCCKTFLLTVKHLGLQEFIILNLVMVGLVSRLWVLYKGVL
+KRLILLYEPLF
+>P08473_PF05649_79 <unknown description>
+PCTDFFKYACGGWLKRNVIPETSSRYGNFDILRDELEVVLKDVLQEPKTEDIVAVQKAKA
+LYRSCINESAIDSRGGEPLLKLLPDIYGWPVATENWEQKYGASWTAEKAIAQLNSKYGKK
+VLINLFVGTDDKNSVNHVIHIDQPRLGLPSRDYYECTGIYKEACTAYVDFMISVARLIRQ
+EERLPIDENQLALEMNKVMELEKEIANATAKPEDRNDPMLLYNKMTLAQIQNNFSLEING
+KPFSWLNFTNEIMSTVNISITNEEDVVVYAPEYLTKLKPILTKYSARDLQNLMSWRFIMD
+LVSSLSRTYKESRNAFRKALYGTTSETATWRRCANYVNGNMENAVGRLYVEAAFAGESKH
+VVEDLIAQIREVFIQTLDDLTWMDAETKKRAEEKALAIKERIGYP
+>P08473_PF01431_543 <unknown description>
+NAFYSSGRNQIVFPAGILQPPFFSAQQSNSLNYGGIGMVIGHEITHGFDDNGRNFNKDGD
+LVDWWTQQSASNFKEQSQCMVYQYGNFSWDLAGGQHLNGINTLGENIADNGGLGQAYRAY
+QNYIKKNGEEKLLPGLDLNHKQLFFLNFAQVWCGTYRPEYAVNSIKTDVHSPGNFRIIGT
+LQNSAEFSEAFHCRKNSYMNPEKKCRV
+>P48681_PF00038_8 <unknown description>
+ESFQMWELNRRLEAYLARVKALEEQNELLSAELGGLRAQSADTSWRAHADDELAALRALV
+DQRWREKHAAEVARDNLAEELEGVAGRCQQLRLARERTTEEVARNRRAVEAEKCARAWLS
+SQVAELERELEALRVAHEEERVGLNAQA
+>P48681_PF00038_192 <unknown description>
+GYQERVAHMETSLGQARERLGRAVQGAREGRLELQQLQAERGGLLERRAALEQRLEGRWQ
+ERLRATEKFQLAVEALEQEKQGLQSQIAQVLEGRQQLAHLKMSLSLEVATYRTLLEAENS
+R
+>O95631_PF00055_51 <unknown description>
+CIPDFVNAAFGKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNASDPKKAHPPAFLTD
+LNNPHNLTCWQSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRT
+WVPFQFYSTQCRKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAH
+DFDNSPVLQDWVTATDIRVAFSRLHTFGDENEDDSELARDSYFYAVSDLQVGG
+>O95631_PF00053_285 <unknown description>
+CKCNGHAARCVRDRDDSLVCDCRHNTAGPECDRCKPFHYDRPWQRATAR
+>O95631_PF00053_341 <unknown description>
+CNCNLHARRCRFNMELYKLSGRKSGGVCLNCRHNTAGRHCHYCKEGYYRDMG
+>O95631_PF00053_404 <unknown description>
+CDCHPVGAAGKTCNQTTGQCPCKDGVTGITCNRCAKGYQQSRS
+>O95631_PF01759_488 <unknown description>
+KKYCKKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQSLWIRSRDIACKCP
+KIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQ
+>O00634_PF00055_40 <unknown description>
+CVPGLVNAALGREVLASSTCGRPATRACDASDPRRAHSPALLTSPGGTASPLCWRSESLP
+RAPLNVTLTVPLGKAFELVFVSLRFCSAPPASVALLKSQDHGRSWAPLGFFSSHCDLDYG
+RLPAPANGPAGPGPEALCFPAPLAQPDGSGLLAFSMQDSSPPGLDLDSSPVLQDWVTATD
+VRVVLTRPSTAGDPRDMEAVVPYSYAATDLQVGG
+>O00634_PF00053_255 <unknown description>
+CKCNGHASRCLLDTQGHLICDCRHGTEGPDCGRCKPFYCDRPWQ
+>O00634_PF00053_311 <unknown description>
+CSCNGHARRCRFNMELYRLSGRRSGGVCLNCRHNTAGRHCHYCREGFYRDPG
+>O00634_PF00053_374 <unknown description>
+CDCHPVGAAGKTCNQTTGQCPCKDGVTGLTCNRCAPGFQQSRS
+>O00634_PF01759_457 <unknown description>
+KKFCKKDYAVQVAVGARGEARGAWTRFPVAVLAVFRSGEERARRGSSALWVPAGDAACGC
+PRLLPGRRYLLLGGGPGAAAGGAGGRGPGLIAARGSLVLPWRDAWTRRLRRLQR
+>Q9HB63_PF00055_34 <unknown description>
+CNPRMGNLALGRKLWADTTCGQNATELYCFYSENTDLTCRQPKCDKCNAAYPHLAHLPSA
+MADSSFRFPRTWWQSAEDVHREKIQLDLEAEFYFTHLIVMFKSPRPAAMVLDRSQDFGKT
+WKPYKYFATNCSATFGLEDDVVKKGAICTSKYSSPFPCTGGEVIFKALSPPYDTENPYSA
+KVQEQLKITNLRVQLLKRQSCPCQRNDLNEEPQHFTHYAIYDFIVKG
+>Q9HB63_PF00053_262 <unknown description>
+CFCNGHADQCIPVHGFRPVKAPGTFHMVHGKCMCKHNTAGSHCQHCAPLYNDRPWEA
+>Q9HB63_PF00053_332 <unknown description>
+CKCNGHADTCHFDVNVWEASGNRSGGVCDDCQHNTEGQYCQRCKPGFYRDLR
+>Q9HB63_PF00053_395 <unknown description>
+CSCHPVGSAVLPANSVTFCDPSNGDCPCKPGVAGRRCDRCMVGYWGFGDYGC
+>Q9HB63_PF01759_518 <unknown description>
+AFCGMKYSYVLKIKILSAHDKGTHVEVNVKIKKVLKSTKLKIFRGKRTLYPESWTDRGCT
+CPILNPGLEYLVAGHEDIRTGKLIVNMKSFVQHWKPSLGRKV
+>Q8WTR8_PF00053_157 <unknown description>
+CQCHGHAARCAARARPPRCHCRHHTTGPGCESCRPSHRDWPWR
+>Q8WTR8_PF00053_212 <unknown description>
+CSCNQHARRCRFNSELFRLSGGRSGGVCERCRHHTAGRHCHYCQPGFWRDPSQ
+>Q8WTR8_PF00053_275 <unknown description>
+CQCHPIGATGGTCNQTSGQCTCKLGVTGLTCNRCGPGYQQSRS
+>Q8WTR8_PF01759_362 <unknown description>
+RYCQQDHVLRAQVLASEAAGPAWQRLAVRVLAVYKQRAQPVRRGDQDAWVPRADLTCGCL
+RLQPGTDYLLLGSAVGDPDPTRLILDRHGLALPWRPRWARPLKRLQQ
+>Q8TDF5_PF00431_41 <unknown description>
+CGTWTKHAEGGIFTSPNYPSKYPPDRECIYIIEAAPRQCIELYFDEKYSIEPSWECKFDH
+IEVRDGPFGFSPIIGRFCGQQNPPVIKSSGRFLWIKFFADGELESMGFSARY
+>Q8TDF5_PF00431_195 <unknown description>
+TASEAVDCKWYIRAPPRSKIYLRFLDYEMQNSNECKRNFVAVYDGSSSVEDLKAKFCSTV
+ANDVMLRTGLGVIRMWADEGSRNSRFQM
+>Q8TDF5_PF00057_291 <unknown description>
+PCEGNTFFCHSNMCINNTLVCNGLQNCVYPWDENHC
+>Q8NC67_PF00431_45 <unknown description>
+CGIWVRTSNGGHFASPNYPDSYPPNKECIYILEAAPRQRIELTFDEHYYIEPSFECRFDH
+LEVRDGPFGFSPLIDRYCGVKSPPLIRSTGRFMWIKFSSDEELEGLGFRAKY
+>Q8NC67_PF00431_203 <unknown description>
+QAVDCIWTIKATPKAKIYLRFLDYQMEHSNECKRNFVAVYDGSSSIENLKAKFCSTVAND
+VMLKTGIGVIRMWADEGSRLSRFR
+>Q8NC67_PF00057_296 <unknown description>
+PCTSSTFFCHSNMCINNSLVCNGVQNCAYPWDENHC
+>P56730_PF00051_100 <unknown description>
+VSVTDFGAPCLRWAEVPPFLERSPPASWAQLRGQRHNFCRSPDGAGRPWCFYGDARGKVD
+WGYCDC
+>P56730_PF00530_174 <unknown description>
+GGKNEFEGTVEVYASGVWGTVCSSHWDDSDASVICHQLQLGGKGIAKQTPFSGLGLIPIY
+WSNVRCRGDEENILLCEKDIWQGGVCPQKMAAAVTCS
+>P56730_PF00530_284 <unknown description>
+GGSSVHEGRVELYHAGQWGTVCDDQWDDADAEVICRQLGLSGIAKAWHQAYFGEGSGPVM
+LDEVRCTGNELSIEQCPKSSWGEHNCGHKEDAGVSCT
+>P56730_PF00530_391 <unknown description>
+GGKGSHEGRLEVYYRGQWGTVCDDGWTELNTYVVCRQLGFKYGKQASANHFEESTGPIWL
+DDVSCSGKETRFLQCSRRQWGRHDCSHREDVSIAC
+>P56730_PF00530_504 <unknown description>
+DGENKKEGRVEVFINGQWGTICDDGWTDKDAAVICRQLGYKGPARARTMAYFGEGKGPIH
+VDNVKCTGNERSLADCIKQDIGRHNCRHSEDAGVIC
+>P56730_PF00089_631 <unknown description>
+IIGGKNSLRGGWPWQVSLRLKSSHGDGRLLCGATLLSSCWVLTAAHCFKRYGNSTRSYAV
+RVGDYHTLVPEEFEEEIGVQQIVIHREYRPDRSDYDIALVRLQGPEEQCARFSSHVLPAC
+LPLWRERPQKTASNCYITGWGDTGRAYSRTLQQAAIPLLPKRFCEERYKGRFTGRMLCAG
+NLHEHKRVDSCQGDSGGPLMCERPGESWVVYGVTSWGYGCGVKDSPGVYTKVSAFVPWI
+>A8MQ27_PF07177_41 <unknown description>
+FHAQAKGKNVRLDGHSRRATRRNSFCNGVTFTQRPIRLYEQVRLRLVAVRPGWSGALRFG
+FTAHDPSLMSAQDIPKYACPDLVTRPGYWAKALPENLALRDTVLAYWADRHGRVFYSVND
+GEPVLFHCGVAVGGPLWALIDVYGITDEVQLL
+>A8MQ27_PF07177_282 <unknown description>
+FHATRGPDVSLSADRKVACAPRPDGGRTLVFSERPLRPGESLFVEVGRPGLAAPGALAFG
+ITSCDPGVLRPNELPADPDALLDRKEYWVVARAGPVPSGGDALSFTLRPGGDVLLGINGR
+PRGRLLCVDTTQALWAFFAVRGGV
+>A8MQ27_PF13920_500 <unknown description>
+NGECTVCFDGEVDTVIYTCGHMCLCHSCGLRLKRQARACCPICRRPIKDV
+>P01178_PF00220_20 <unknown description>
+CYIQNCPLG
+>P01178_PF00184_39 <unknown description>
+RKCLPCGPGGKGRCFGPNICCAEELGCFVGTAEALRCQEENYLPSPCQSGQKACGSGGRC
+AVLGLCCSPDGCHADPAC
+>P01185_PF00220_20 <unknown description>
+CYFQNCPRG
+>P01185_PF00184_39 <unknown description>
+RQCLPCGPGGKGRCFGPSICCADELGCFVGTAEALRCQEENYLPSPCQSGQKACGSGGRC
+AAFGVCCNDESCVTEPEC
+>Q8NFW8_PF02348_46 <unknown description>
+AALILARGGSKGIPLKNIKHLAGVPLIGWVLRAALDSGAFQSVWVSTDHDEIENVAKQFG
+AQVHRRSSEVSKDSSTSLDAIIEFLNYHNEVDIVGNIQATSPCLHPTDLQKVAEMIREEG
+YDSVFSVVRRHQFRWSEIQKGVREVTEPLNLNPAKRPRRQDWDGELYENGSFYFAKRHLI
+EMGYLQGGKMAYYEMRAEHSVDIDVDIDWPIAEQRVLRYGYFGKEKLKEIKLLVCNIDGC
+>Q8WUJ1_PF00173_40 <unknown description>
+PEELSRYRGGPGDPGLYLALLGRVYDVSSGRRHYEPGSHYSGFAGRDASRAFVTGDCSEA
+GLVDDVSDLSAAEMLTLHNWLSFYEKNYVCVG
+>Q92686_PF00612_28 <unknown description>
+AAAAKIQASFRGHMARKKIK
+>O76050_PF07177_65 <unknown description>
+FHPHTKGSQILMDLSHKAVKRQASFCNAITFSNRPVLIYEQVRLKITKKQCCWSGALRLG
+FTSKDPSRIHPDSLPKYACPDLVSQSGFWAKALPEEFANEGNIIAFWVDKKGRVFHRIND
+SAVMLFFSGVRTADPLWALVDVYGLTRGVQLL
+>O76050_PF07177_296 <unknown description>
+FHALRAGAHVRILDEQTVARVEHGRDERALVFTSRPVRVAETIFVKVTRSGGARPGALSF
+GVTTCDPGTLRPADLPFSPEALVDRKEFWAVCRVPGPLHSGDILGLVVNADGELHLSHNG
+AAAGMQLCVDASQPLWMLFGLHGTITQIRILG
+>O76050_PF13920_519 <unknown description>
+DECTICYEHAVDTVIYTCGHMCLCYACGLRLKKALHACCPICRRPIKD
+>Q9BR09_PF07177_26 <unknown description>
+FHRVHGANIRVDPSGTRATRVESFAHGVCFSREPLAPGQVFLVEIEEKELGWCGHLRLGL
+TALDPASLAPVPEFSLPDLVNLGHTWVFAITRHHNRVPREGRPEAEAAAPSRPPTLLVEP
+YLRIEQFRIPRDRLVGRSRPGLYSHLLDQLYELNVLPPTARRSRLGVLFCPRPDGTADMH
+IIINGEDMGPSARGLPAAQPLYAVVDVFASTKSVRLVQ
+>Q9BR09_PF07525_249 <unknown description>
+PSLQTLCRLVIQRSMVHRLAIDGLHLPKELKDFCK
+>Q96EH8_PF07177_20 <unknown description>
+FHAEAKGAQVRLDTRGCIAHRRTTFHDGIVFSQRPVRLGERVALRVLREESGWCGGLRVG
+FTRLDPACVSVPSLPPFLCPDLEEQSPTWAAVLPEGCALTGDLVRFWVDRRGCLFAKVNA
+GCRLLLREGVPVGAPLWAVMDVYGTTKAIELL
+>Q96EH8_PF13920_200 <unknown description>
+EECAICFYHAANTRLVPCGHTYFCRYCAWRVFSDTAKCPVCRWQIEA
+>Q96JN8_PF07177_45 <unknown description>
+HPRTGRLVSLSACGRTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEI
+GVTALDPSVLDFPSSATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLGEGDRVGVERT
+VAGELRLWVNGRDCGVAATGLPPRVWAVVDLYGKCTQITVL
+>Q96JN8_PF07177_320 <unknown description>
+FHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIE
+IGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLT
+RKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIV
+>Q96JN8_PF07177_523 <unknown description>
+FHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIE
+IGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVR
+REDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLYGQAAQATIVD
+>Q96JN8_PF07177_719 <unknown description>
+FHQLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIE
+AGVTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMR
+TAKGDLHYFINGQDQGAACSGLPPGKEVYAVVDLYGQCVQVSIT
+>Q96JN8_PF07177_916 <unknown description>
+FHSTCGKNVTLEEDGTRAVRAAGYAHGLVFSTKELRAEEVFEVKVEELDEKWAGSLRLGL
+TTLAPGEMGPGAGGGGPGLPPSLPELRTKTTWMVSSCEVRRDGQLQRMNYGRNLERLGVG
+SRVGVRRGADDTMHILVDGEDMGPAATGIAKNVWAVLDLYGPVRGVSIVS
+>Q96JN8_PF07177_1134 <unknown description>
+FLENHGKNILLSNGNRTATRVASYNQGIVVINQPLVPQLLVQVRIDFLNRQWTSSLVLGV
+ITCAPERLNFPASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSS
+GGLHLHVNGVDQGVAVPDVPQPCHALVDLYGQCEQVTIV
+>Q9BYT8_PF01432_251 <unknown description>
+VMKKCCIPETRRRMEMAFNTRCKEENTIILQQLLPLRTKVAKLLGYSTHADFVLEMNTAK
+STSRVTAFLDDLSQKLKPLGEAEREFILNLKKKECKDRGFEYDGKINAWDLYYYMTQTEE
+LKYSIDQEFLKEYFPIEVVTEGLLNTYQELLGLSFEQMTDAHVWNKSVTLYTVKDKATGE
+VLGQFYLDLYPREGKYNHAACFGLQPGCLLPDGSRMMAVAALVVNFSQPVAGRPSLLRHD
+EVRTYFHEFGHVMHQICAQTDFARFSGTNVETDFVEVPSQMLENWVWDVDSLRRLSKHYK
+DGSPIADDLLEKLVASRLVNTGLLTLRQIVLSKVDQSLHTNTSLDAASEYAKYCSEILGV
+AATPGTNMPATFGHLAGGYDGQYYGYLWSEVFSMDMFYSCFKKEGIMNPEVGMKYRNLIL
+KPGGSLDGMDMLHNFLKREPNQKAFLMSRG
+>P17677_PF10580_2 <unknown description>
+LCCMRRTKQVEKNDDDQKIEQDGIKPEDKA
+>P17677_PF00612_32 <unknown description>
+HKAATKIQASFRGHITRKKLK
+>P17677_PF06614_67 <unknown description>
+NKKDEAPVADGVEKKGEGTTTAEAAPATGSKPDEPGKAGETPSEEKKGEGDAATEQAAPQ
+APASSEEKAGSAETESATKASTDNSPSSKAEDAPAKEEPKQADVPAAVTAAAATTPAAED
+AAAKATAQPPTETGESSQAEENIEAVDETKPKESARQDEGKEEEPEADQEHA
+>Q99519_PF13088_88 <unknown description>
+GTLLAFAEARKMSSSDEGAKFIALRRSMDQGSTWSPTAFIVNDGDVPDGLNLGAVVSDVE
+TGVVFLFYSLCAHKAGCQVASTMLVWSKDDGVSWSTPRNLSLDIGTEVFAPGPGSGIQKQ
+REPRKGRLIVCGHGTLERDGVFCLLSDDHGASWRYGSGVSGIPYGQPKQENDFNPDECQP
+YELPDGSVVINARNQNNYHCHCRIVLRSYDACDTLRPRDVTFDPELVDPVVAAGAVVTSS
+GIVFFSNPAHPEFRVNLTLRWSFSNGTSWRKETVQLWPGPSGYSSLATLE
+>Q9Y3R4_PF13088_37 <unknown description>
+FAEQRASKKDEHAELIVLRRGDYDAPTHQVQWQAQEVVAQARLDGHRSMNPCPLYDAQTG
+TLFLFFIAIPGQVTEQQQLQTRANVTRLCQVTSTDHGRTWSSPRDLTDAAIGPAYREWST
+FAVGPGHCLQLHDRARSLVVPAYAYRKLHPIQRPIPSAFCFLSHDHGRTWARGHFVAQDT
+LECQVAEVETGEQRVVTLNARSHLRARVQAQSTNDGLDFQESQLVKKLVEPPPQGCQGSV
+ISFPSPRSGPGSPAQWLLYTHPTHSWQRADLGAYLNPRPPAPEAWSEPVLLAKGSCAYSD
+LQSMGTG
+>Q9UQ49_PF13088_70 <unknown description>
+TFLAFAEKRSTRRDEDALHLVLRRGLRIGQLVQWGPLKPLMEATLPGHRTMNPCPVWEQK
+SGCVFLFFICVRGHVTERQQIVSGRNAARLCFIYSQDAGCSWSEVRDLTEEVIGSELKHW
+ATFAVGPGHGIQLQSGRLVIPAYTYYIPSWFFCFQLPCKTRPHSLMIYSDDLGVTWHHGR
+LIRPMVTVECEVAEVTGRAGHPVLYCSARTPNRCRAEALSTDHGEGFQRLALSRQLCEPP
+HGCQGSVVSFRPLEIPHRCQDSSSKDAPTIQQSSPGSSLRLEEEAGTPSESWLLYSHPTS
+RKQRVDLGIYLNQTPLEAACWSRPWILHCGPCGYSDLAALEE
+>Q8WWR8_PF13088_36 <unknown description>
+LLAFVEQRLSPDDSHAHRLVLRRGTLAGGSVRWGALHVLGTAALAEHRSMNPCPVHDAGT
+GTVFLFFIAVLGHTPEAVQIATGRNAARLCCVASRDAGLSWGSARDLTEEAIGGAVQDWA
+TFAVGPGHGVQLPSGRLLVPAYTYRVDRRECFGKICRTSPHSFAFYSDDHGRTWRCGGLV
+PNLRSGECQLAAVDGGQAGSFLYCNARSPLGSRVQALSTDEGTSFLPAERVASL
+>Q99574_PF00079_25 <unknown description>
+AIADLSVNMYNRLRATGEDENILFSPLSIALAMGMMELGAQGSTQKEIRHSMGYDSLKNG
+EEFSFLKEFSNMVTAKESQYVMKIANSLFVQNGFHVNEEFLQMMKKYFNAAVNHVDFSQN
+VAVANYINKWVENNTNNLVKDLVSPRDFDAATYLALINAVYFKGNWKSQFRPENTRTFSF
+TKDDESEVQIPMMYQQGEFYYGEFSDGSNEAGGIYQVLEIPYEGDEISMMLVLSRQEVPL
+ATLEPLVKAQLVEEWANSVKKQKVEVYLPRFTVEQEIDLKDVLKALGITEIFIKDANLTG
+LSDNKEIFLSKAIHKSFLEVNEEGSEAAAVSGMIAISRMAVLYPQVIVDHPFFFLIRNRR
+TGTILFMGRVMHP
+>P30990_PF07421_7 <unknown description>
+IQLVCMLLLAFSSWSLCSDSEEEMKALEADFLTNMHTSKISKAHVPSWKMTLLNVCSLVN
+NLNSPAEETGEVHEEELVARRKLPTALDGFSLEAMLTIYQLHKICHSRAFQHWELIQEDI
+LDTGNDKNGKEEVIKRKIPYILKRQLYENKPRRPYILKRDSYY
+>Q5QGS0_PF15735_284 <unknown description>
+NLFSEEDVDNYMFDDDESTLGSDVCSLKIRYESFQDNVRDKTTLLMQEDAQFNFFPSVFT
+TCPKRESKSGALKQSSDFSQFKVPDVSIIWGEEDKNLDKKKGKEEGQEDKGVEKKDGKDN
+GEKPALNKPCSGTEVEQLKNPKQGHLANSLETSGSFSDDSSFIEISYDAMGEIKDCSRYM
+ARDTNSGSSSSQQNYGLRAKRKVRYSEDYLYDVDSLEGEKVNERKEWLPVGSKEEDDDEW
+CPKKRRKVTRKEPPVIIKYIIINRFKGEKNMLVKLGKVDASETTVNLSENQLNKYAKLAP
+LKGFWQKKKKQRNTNTDSIKTPFSQKQSFEPGSFEVSFLPPARKRKSKLGNRHRIQRIPS
+IEISASSKQISLCNDQRHASNHKEDGGLKGTLKSAPLGAPSCANGSH
+>Q0ZGT2_PF07679_582 <unknown description>
+PWFKKPLKNTSVVDSEPVRFTVKVTGEPKPEITWWFEGEILQDGEDYQYIERGETYCLYL
+PETFPEDGGEYMCKAVNNKGSAASTCILTI
+>P21359_PF00616_1329 <unknown description>
+SESLEENQRNLLQMTEKFFHAIISSSSEFPPQLRSVCHCLYQATCHSLLNKATVKEKKEN
+KKSVVSQRFPQNSIGAVGSAMFLRFINPAIVSPYEAGILDKKPPPRIERGLKLMSKILQS
+IAN
+>P21359_PF13716_1602 <unknown description>
+GNPIFYYVARRFKTGQINGDLLIYHVLLTLKPYYAKPYEIVVDLTHTGPSNRFKTDFLSK
+WFVVFPGFAYDNVSAVYIYNCNSWVREYTKYHERLLTGLKGSKRLVFIDCPGKLAEHIEH
+EQQKLPAATLALE
+>Q8NCF5_PF11976_349 <unknown description>
+QLRVQGKEKHQTLEVSLSRDSPLKTLMSHYEEAMGLSGRKLSFFFDGTKLSGRELPADLG
+MESGDLIEV
+>Q14494_PF03131_626 <unknown description>
+TNDKIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERD
+VEDLQRDKARLLREKVEFLRSLRQMKQKVQSL
+>Q16236_PF03131_469 <unknown description>
+PVEKIINLPVVDFNEMMSKEQFNEAQLALIRDIRRRGKNKVAAQNCRKRKLENIVELEQD
+LDHLKDEKEKLLKEKGENDKSLHLLKKQLST
+>Q9Y4A8_PF03131_549 <unknown description>
+FSVDEIVGMPVDSFNSMLSRYYLTDLQVSLIRDIRRRGKNKVAAQNCRKRKLDIILNLED
+DVCNLQAKKETLKREQAQCNKAINIMKQKL
+>O95644_PF00554_428 <unknown description>
+LRIEVQPKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLMLQLFIGTADDRLLR
+PHAFYQVHRITGKTVSTTSHEAILSNTKVLEIPLLPENSMRAVIDCAGILKLRNSDIELR
+KGETDIGRKNTRVRLVFRVHVPQPSGRTLSLQVASNPIECS
+>O95644_PF16179_598 <unknown description>
+VEKQSTDSYPVVGGKKMVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLV
+VEIPPFRNQRITSPVHVSFYVCNGKRKRSQYQRFTYLPA
+>Q13469_PF00554_410 <unknown description>
+LRIEVQPKPHHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILK
+PHAFYQVHRITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELR
+KGETDIGRKNTRVRLVFRVHIPESSGRIVSLQTASNPIECS
+>Q13469_PF16179_580 <unknown description>
+VERQDTDSCLVYGGQQMILTGQNFTSESKVVFTEKTTDGQQIWEMEATVDKDKSQPNMLF
+VEIPEYRNKHIRTPVKVNFYVINGKRKRSQPQHFTYHP
+>Q12968_PF00554_433 <unknown description>
+LKIEVQPKTHHRAHYETEGSRGAVKASTGGHPVVKLLGYNEKPINLQMFIGTADDRYLRP
+HAFYQVHRITGKTVATASQEIIIASTKVLEIPLLPENNMSASIDCAGILKLRNSDIELRK
+GETDIGRKNTRVRLVFRVHIPQPSGKVLSLQIASIPVECS
+>Q12968_PF16179_602 <unknown description>
+IEKYSINSCSVNGGHEMVVTGSNFLPESKIIFLEKGQDGRPQWEVEGKIIREKCQGAHIV
+LEVPPYHNPAVTAAVQVHFYLCNGKRKKSQSQRFTYTP
+>Q14934_PF00554_419 <unknown description>
+LRIEVQPRAHHRAHYETEGSRGAVKAAPGGHPVVKLLGYSEKPLTLQMFIGTADERNLRP
+HAFYQVHRITGKMVATASYEAVVSGTKVLEMTLLPENNMAANIDCAGILKLRNSDIELRK
+GETDIGRKNTRVRLVFRVHVPQGGGKVVSVQAASVPIECS
+>Q14934_PF16179_588 <unknown description>
+VEAYSPSACSVRGGEELVLTGSNFLPDSKVVFIERGPDGKLQWEEEATVNRLQSNEVTLT
+LTVPEYSNKRVSRPVQVYFYVSNGRRKRSPTQSFRFLP
+>O94856_PF13927_40 <unknown description>
+PPTITKQSAKDHIVDPRDNILIECEAKGNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTL
+VIDFRSGGRPEEYEGEYQCFARN
+>O94856_PF00047_149 <unknown description>
+PVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSMEPITQDKRVSQGHNGDLYFSNVMLQDMQ
+TDYSCNARFH
+>O94856_PF13927_253 <unknown description>
+ASSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSDKAKFENFNKALRITNVSEEDSG
+EYFCLASN
+>O94856_PF13927_343 <unknown description>
+PKNLILAPGEDGRLVCRANGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISS
+RAVYQCNTSN
+>O94856_PF07679_447 <unknown description>
+RTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHVYENGSLEIKMIRKEDQGIYTCVATNI
+LGKAENQVRLEV
+>O94856_PF07679_524 <unknown description>
+IYRMPEDQVARRGTTVQLECRVKHDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVA
+ERDQGSYTCVASTELDQDLAKAYLTV
+>O94856_PF00041_630 <unknown description>
+RPRDLELTDLAERSVRLTWIPGDANNSPITDYVVQFEEDQFQPGVWHDHSKYPGSVNSAV
+LRLSPYVNYQFRVIAINEVGSSHPS
+>O94856_PF00041_729 <unknown description>
+SNPGDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETREAWNNVTVWGSRYVV
+GQTPVYVPYEIRVQAENDFGKGPE
+>O94856_PF00041_826 <unknown description>
+SAPRRFRVRQPNLETINLEWDHPEHPNGIMIGYTLKYVAFNGTKVGKQIVENFSPNQTKF
+TVQRTDPVSRYRFTLSARTQVGSGE
+>O94856_PF00041_1014 <unknown description>
+WNVTVLPNSKWANITWKHNFGPGTDFVVEYIDSNHTKKTVPVKAQAQPIQLTDLYPGMTY
+TLRVYSRDNEGIS
+>O94856_PF13882_1132 <unknown description>
+KRSRGGKYPVREKKDVPLGPEDPKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDSL
+VDYGEGGEGQFNEDGSFIGQYTVKK
+>O94916_PF00554_300 <unknown description>
+LKIVVQPETQHRARYLTEGSRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPH
+GFYQACRVTGRNTTPCKEVDIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGI
+AGSKKKSTRARLVFRVNI
+>O94916_PF16179_464 <unknown description>
+EILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDMELFHQNHLI
+VKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFTYTPD
+>Q16621_PF03131_239 <unknown description>
+TDKIVNLPVDDFNELLARYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLEREL
+ERLTNERERLLRARGEADRTLEVMRQQLTEL
+>P12036_PF00038_96 <unknown description>
+SEKEQLQALNDRFAGYIDKVRQLEAHNRSLEGEAAALRQQQAGRSAMGELYEREVREMRG
+AVLRLGAARGQLRLEQEHLLEDIAHVRQRLDDEARQREEAEAAARALARFAQEAEAARVD
+LQKKAQALQEECGYLRRHHQEEVGELLGQIQGSGAAQAQMQAETRDALKCDVTSALREIR
+AQLEGHAVQSTLQSEEWFRVRLDRLSEAAKVNTDAMRSAQEEITEYRRQLQARTTELEAL
+KSTKDSLERQRSELEDRHQADIASYQEAIQQLDAELRNTKWEMAAQLREYQDLLNVKMAL
+DIEIAAYRKLLEGEECR
+>P12036_PF07142_521 <unknown description>
+KEEAKSPAEAKSPEKEEAKSPAEVKSPEKA
+>P12036_PF07142_535 <unknown description>
+KEEAKSPAEVKSPEKAKSPAK
+>P12036_PF07142_569 <unknown description>
+KEEAKSPAEVKSPEKAKSPAKEEAKS
+>P12036_PF07142_589 <unknown description>
+KEEAKSPAEAKSPEKAKSPVK
+>P12036_PF07142_595 <unknown description>
+PAEAKSPEKAKSPVKEEAKSPAEAKSPVKE
+>P12036_PF07142_623 <unknown description>
+KEEAKSPAEVKSPEKAKSPTK
+>P12036_PF07142_643 <unknown description>
+KEEAKSPEKAKSPEKEEAKSPEKAKSPVKA
+>P12036_PF07142_671 <unknown description>
+KAEAKSPEKAKSPVKAEAKSPEKAKSPVKE
+>P12036_PF07142_699 <unknown description>
+KEEAKSPEKAKSPVKEEAKSPEKAKSPVKE
+>P12036_PF07142_727 <unknown description>
+KEEAKTPEKAKSPVKEEAKSPEKAKSPEKA
+>Q12857_PF10524_9 <unknown description>
+QDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEK
+>Q12857_PF03165_69 <unknown description>
+QKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCLRQADKVWR
+LDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGV
+>Q12857_PF00859_214 <unknown description>
+QDSFVTSGVFSVTELVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTS
+STKRLKSVEDEMDSPGEEPFYTGQGRSPGSGSQSSGWHEVEPGMPSPTTLKKSEKSGFSS
+PSPSQTSSLGTAFTQHHRPVITGPRASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQ
+ETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPV
+PDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQ
+>O00712_PF10524_10 <unknown description>
+QDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEK
+>O00712_PF03165_70 <unknown description>
+QKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCLRQADKVWR
+LDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITV
+>O00712_PF00859_209 <unknown description>
+EDSFVKSGVFNVSELVRVSRTPITQGTGVNFPIGEIPSQPYYHDMNSGVNLQRSLSSPPS
+SKRPKTISIDENMEPSPTGDFYPSPSSPAAGSRTWHERDQDMSSPTTMKKPEKPLFSSAS
+PQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPPPPSPLPFPTQAILPPAPSSYFSHPTIR
+YPPHLNPQDTLKNYVPSYDPSSPQTSQPNGSGQVVGKVPGHFTPVLAPSPHPSAVRPVTL
+SMTDTKPITTSTEAYTASGTSQANRYVGLSPRDPSFLHQQQ
+>P08651_PF10524_10 <unknown description>
+QDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEK
+>P08651_PF03165_70 <unknown description>
+QKWASRLLAKLRKDIRPECREDFVLSITGKKAPGCVLSNPDQKGKMRRIDCLRQADKVWR
+LDLVMVILFKGIPLESTDGERLVKAAQCGHPVLCVQPHHIG
+>P08651_PF00859_217 <unknown description>
+QESFVTSGVFSVTELIQVSRTPVVTGTGPNFSLGELQGHLAYDLNPASTGLRRTLPSTSS
+SGSKRHKSGSMEEDVDTSPGGDYYTSPSSPTSSSRNWTEDMEGGISSPVKKTEMDKSPFN
+SPSPQDSPRLSSFTQHHRPVIAVHSGIARSPHPSSALHFPTTSILPQTASTYFPHTAIRY
+PPHLNPQDPLKDLVSLACDPASQQPGPLNGSGQLKMPSHCLSAQMLAPPPPGLPRLALPP
+ATKPATTSEGGATSPTSPSYSPPDTSPANRSFVGLGPRDPAGIYQAQ
+>Q16649_PF07716_72 <unknown description>
+KDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELLSLK
+>Q16649_PF06529_130 <unknown description>
+SSTAYAQEIQKLSNSTAVYFQDYQTSKSNVSSFVDEHEPSMVSSSCISVIKHSPQSSLSD
+VSEVSSVEHTQESSVQGSCRSPENKFQIIKQEPMELESYTREPRDDRGSYTASIYQNYMG
+NSFSGYSHSPPLLQVNRSSSNSPRTSETDDGVVGKSSDGEDEQQVPKGPIHSPVELKHVH
+ATVVKVPEVNSSALPHKLRIKAKAMQIKVEAFDNEFEATQKLSSPIDMTSKRHFELEKHS
+APSMVHSSLTPFSVQVTNIQDWSLKSEHWHQKELSGKTQNSFKTGVVEMKDSGYKVSDPE
+NLYLKQGIANLSAEVVSLKRLIATQPISASDS
+>A0A5F9ZHS7_PF07716_42 <unknown description>
+DTVYWEKRRKNNEAAKRSREKRRLNDAAIEGRLAALMEENALLKGELKALK
+>Q9BT67_PF10176_63 <unknown description>
+KPPSYNVATTLPSYDEAERTKAEATIPLVPGRDEDFVGRDDFDDADQLRIGNDGIFMLTF
+FMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIV
+>Q14938_PF10524_9 <unknown description>
+QDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEK
+>Q14938_PF03165_69 <unknown description>
+QKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWR
+LDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIG
+>Q14938_PF00859_213 <unknown description>
+QDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADLESPSYYNINQVTLGRRSITSPPST
+STTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQSSGWPNDVDAGPASLKKSGKLDFC
+SALSSQGSSPRMAFTHHPLPVLAGVRPGSPRATASALHFPSTSIIQQSSPYFTHPTIRYH
+HHHGQDSLKEFVQFVCSDGSGQATGQPNGSGQGKVPGSFLLPPPPPVARPVPLPMPDSKS
+TSTAPDGAALTPPSPSFATTGASSANRFVSIGPRDGNFLNIPQ
+>P19838_PF00554_45 <unknown description>
+LQILEQPKQRGFRFRYVCEGPSHGGLPGASSEKNKKSYPQVKICNYVGPAKVIVQLVTNG
+KNIHLHAHSLVGKHCEDGICTVTAGPKDMVVGFANLGILHVTKKKVFETLEARMTEACIR
+GYNPGLLVHPDLAYLQAEGGGDRQLGDREKELIRQAALQQTKEMDLSVVRLMFTAFLPDS
+TGSFTRRLEPVVSDAIYDS
+>P19838_PF16179_252 <unknown description>
+KIVRMDRTAGCVTGGEEIYLLCDKVQKDDIQIRFYEEEENGGVWEGFGDFSPTDVHRQFA
+IVFKTPKYKDINITKPASVFVQLRRKSDLETSEPKPFLYYPE
+>P19838_PF12796_587 <unknown description>
+LHLAVITKQEDVVEDLLRAGADLSLLDRLGNSVLHLAAKEGHDKVLSILLKHKKAALLLD
+HPNGDGLNAIHLAMMSNSLPCLLLLVAAGADVNAQ
+>P19838_PF00023_719 <unknown description>
+DGTTPLHIAAGRGSTRLAALLKAAGADPL
+>P19838_PF00531_819 <unknown description>
+QLYKLLEIPDPDKNWATLAQKLGLGILNNAFRLSPAPSKTLMDNYEVSGGTVRELVEALR
+QMGYTEAIEVIQAA
+>Q00653_PF00554_40 <unknown description>
+LVIVEQPKQRGFRFRYGCEGPSHGGLPGASSEKGRKTYPTVKICNYEGPAKIEVDLVTHS
+DPPRAHAHSLVGKQCSELGICAVSVGPKDMTAQFNNLGVLHVTKKNMMGTMIQKLQRQRL
+RSRPQGLTEAEQRELEQEAKELKKVMDLSIVRLRFSAFLRASDGSFSLPLKPVISQPIHD
+S
+>Q00653_PF16179_229 <unknown description>
+KISRMDKTAGSVRGGDEVYLLCDKVQKDDIEVRFYEDDENGWQAFGDFSPTDVHKQYAIV
+FRTPPYHKMKIERPVTVFLQLKRKRGGDVSDSKQFTYYP
+>Q00653_PF12796_524 <unknown description>
+NHLHQTPLHLAVITGQTSVVSFLLRVGADPALLDRHGDSAMHLALRAGAGAPELLRALLQ
+SGA
+>Q00653_PF12796_605 <unknown description>
+HLAVRARSPECLDLLVDSGAEVEATERQGGRTALHLATEMEELGLVTHLVTKLRANVNAR
+TFAGNTPLHLAAGLGYPTLTRLLLKAGADIHAE
+>Q00653_PF00531_776 <unknown description>
+QNLEQLLDGPEAQGSWAELAERLGLRSLVDTYRQTTSPSGSLLRSYELAGGDLAGLLEAL
+SDMGLEEGVRLL
+>P07196_PF04732_9 <unknown description>
+YYSTSYKRRYVETPRVHISSVRSGYSTARSAYSSYSAPVSSSLSVRRSYSSSSGSLMPSL
+ENLDLSQVAAISNDLKSIRT
+>P07196_PF00038_89 <unknown description>
+QEKAQLQDLNDRFASFIERVHELEQQNKVLEAELLVLRQKHSEPSRFRALYEQEIRDLRL
+AAEDATNEKQALQGEREGLEETLRNLQARYEEEVLSREDAEGRLMEARKGADEAALARAE
+LEKRIDSLMDEISFLKKVHEEEIAELQAQIQYAQISVEMDVTKPDLSAALKDIRAQYEKL
+AAKNMQNAEEWFKSRFTVLTESAAKNTDAVRAAKDEVSESRRLLKAKTLEIEACRGMNEA
+LEKQLQELEDKQNADISAMQDTINKLENELRTTKSEMARYLKEYQDLLNVKMALDIEIAA
+YRKLLEGEETR
+>P07197_PF04732_10 <unknown description>
+NPSAYRRVTETRSSFSRVSGSPSSGFRSQSWSRGSPSTVSSSYKRSMLAPRLAYSSAMLS
+SAESSLDFSQSSSLLNGGSGPGGDYKLSRS
+>P07197_PF00038_100 <unknown description>
+NEKEQLQGLNDRFAGYIEKVHYLEQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRA
+TLEMVNHEKAQVQLDSDHLEEDIHRLKERFEEEARLRDDTEAAIRALRKDIEEASLVKVE
+LDKKVQSLQDEVAFLRSNHEEEVADLLAQIQASHITVERKDYLKTDISTALKEIRSQLES
+HSDQNMHQAEEWFKCRYAKLTEAAEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKE
+SLERQLSDIEERHNHDLSSYQDTIQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIA
+AYRKLLEGEETR
+>Q6P4R8_PF14465_379 <unknown description>
+FFSLLLEILLLESQASLPMLEERVLDWQSSPASSLNSWFSAAPNWAELVLPALQYLAGES
+RAVPSSFSPFVEFKEKTQQWKLLGQSQDNEKELAALFQLW
+>Q9Y697_PF00266_60 <unknown description>
+YMDVQATTPLDPRVLDAMLPYLINYYGNPHSRTHAYGWESEAAMERARQQVASLIGADPR
+EIIFTSGATESNNIAIKGVARFYRSRKKHLITTQTEHKCVLDSCRSLEAEGFQVTYLPVQ
+KSGIIDLKELEAAIQPDTSLVSVMTVNNEIGVKQPIAEIGRICSSRKVYFHTDAAQAVGK
+IPLDVNDMKIDLMSISGHKIYGPKGVGAIYIRRRPRVRVEALQSGGGQERGMRSGTVPTP
+LVVGLGAACEVAQQEMEYDHKRISKLSERLIQNIMKSLPDVVMNGDPKHHYPGCINLSFA
+YVEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIGRFTTEEEV
+DY
+>Q9UMS0_PF08712_61 <unknown description>
+IQTQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQLFRIEGVKSVFFGPDFIT
+VTKENEELDWNLLKPDIYATIMDFFASG
+>Q9UMS0_PF01106_173 <unknown description>
+IKELLDTRIRPTVQEDGGDVIYKGFEDGIVQLKLQGSCTSCPSSIITLKNGIQNMLQFYI
+PEVEGVE
+>Q12986_PF01422_455 <unknown description>
+HSCNLLCHPGPCPPCP
+>Q12986_PF01422_506 <unknown description>
+CGQHQCAELCHGGQCQPCQ
+>Q12986_PF01422_567 <unknown description>
+CGNHTCSQVCHPQPCQQCP
+>Q12986_PF01422_632 <unknown description>
+CGSLDFIHTCEKLCHEGDCGPCS
+>Q12986_PF01422_694 <unknown description>
+CGRHKCNEICC
+>Q12986_PF01422_721 <unknown description>
+CGLHRCEEPCHRGNCQTCW
+>Q12986_PF01422_832 <unknown description>
+CGMHKCQRLCHKGECLVDEPCK
+>Q12986_PF01422_863 <unknown description>
+CGHPCMAPCHTSSPCPVTAC
+>Q12986_PF01424_995 <unknown description>
+KFVSDVEKEMETLVEAVNKGKNSKKSHSFPPMNRDHRRIIHDLAQVYGLESVSYDSEPKR
+NVVVT
+>Q6ZNB6_PF01422_265 <unknown description>
+CGHKCLLLCHPGPCPPCP
+>Q6ZNB6_PF01422_318 <unknown description>
+CGQHKCENPCHAGSCQPCP
+>Q6ZNB6_PF01422_371 <unknown description>
+CGNHTCEQVCHVGACGECP
+>Q6ZNB6_PF01422_424 <unknown description>
+CGIHRCSQRCHRGPCETCR
+>Q6ZNB6_PF01422_476 <unknown description>
+CQKHQCRRKCCPGNCPPC
+>Q6ZNB6_PF01422_497 <unknown description>
+CGRTLGCRNHKCPSVCHRGSCYPCP
+>Q6ZNB6_PF01422_569 <unknown description>
+RCHFGSCPPC
+>Q6ZNB6_PF01422_589 <unknown description>
+CGHLCPAPCHD
+>Q6ZNB6_PF01422_664 <unknown description>
+CGRILDCQNHTCMKECHK
+>Q6ZNB6_PF01422_714 <unknown description>
+LHPCILRCHPGECPPC
+>Q6ZNB6_PF01422_775 <unknown description>
+CGHRCKEMCHPGECPFNC
+>P23511_PF02045_263 <unknown description>
+EPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRF
+>P25208_PF00808_58 <unknown description>
+YLPIANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCHQEKRKTINGED
+ILFAM
+>Q13952_PF00125_23 <unknown description>
+WPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKMISAEAPVLFAKAAQIFITELTL
+RAWIHTEDNKRRTLQRNDIAMAI
+>P80188_PF00061_48 <unknown description>
+QGKWYVVGLAGNAILREDKDPQKMYATIYELKEDKSYNVTSVLFRKKKCDYWIRTFVPGC
+QPGEFTLGNIKSYPGLTSYLVRVVSTNYNQHAMVFFKKVSQNREYFKITLYGRTKELTSE
+LKENFIRFSKSLGLPENHIVFPV
+>Q9UJF2_PF00168_318 <unknown description>
+VLRLWIIEAKDLAPKKKYFCELCLDDTLFARTTSKTKADNIFWGEHFEFFSLPPLHSITV
+HIYKDVEKKKKKDKNNYVGLVNIPTASVTGRQFVEKWYPV
+>Q9UJF2_PF00616_497 <unknown description>
+LTDLVMSEVDRCGEHDVLIFRENTIATKSIEEYLKLVGQQYLHDALGEFIKALYESDENC
+EVDPSKCS
+>Q9UJF2_PF00616_565 <unknown description>
+SSELIDHQSNLKMCCELAFCKIINSYCVFPRELKEVFASWKQQCLNRGKQDISERLISAS
+LFLRFLCPAIMSPSLFNLMQEYPDDRTSRTLTLIAKVIQNLAN
+>Q9UJF2_PF12004_750 <unknown description>
+LADITKSLTNPTPIQQQLRRFTEHNSSPNVSGSLSSGLQKIFEDPTDSDLHKLKSPSQDN
+TDSYFRGKTLLLVQQASSQSMTYSEKDERESSLPNGRSVSLMDLQDTHAAQVEHASVMLD
+VPIRLTGSQLSITQVASIKQLRETQSTPQSAPQVRRPLHPALNQPGGLQPLSFQNPVYHL
+NNPIPAMPKASIDSSLENLSTASSRSQSNSEDFKLSGPSNSSMEDFTKRSTQSEDFSRRH
+TVPDRHIPLALPRQNSTGQAQIRKVDQGGLGARAKAPPSLPHSASLRSTGSMSVVSAALV
+AEPVQNGSRSRQQSSSSRESPVPKVRAIQRQQTQQVQSPVDSATMSPVERTAAWVLNNGQ
+YEEDVEETEQNLDEAKHAEKYEQEITKLKERLRVSSRRLEEYERRLLVQEQQMQKLLLEY
+KARLEDSEERLRRQQEEKDSQMKSIISRLMAVEEELKKDHAEMQAVIDAKQKIIDAQEKR
+IVSLDSANTRLMSALTQVKERY
+>Q96E22_PF01255_183 <unknown description>
+NCHLAVKVLSPEDGKADIVRAAQDFCQLVAQKQKRPTDLDVDTLASLLSSNGCPDPDLVL
+KFGPVDSTLGFLPWHIRLTEIVSLPSHLNISYEDFFSALRQYAACEQRLG
+>Q9NPG2_PF00042_7 <unknown description>
+ELIRQSWRAVSRSPLEHGTVLFARLFALEPDLLPLFQYNCRQFSSPEDCLSSPEFLDHIR
+KVMLVIDAAVTNVEDLSSLEEYLASLGRKHRAVGVKLSSFSTVGESL
+>Q8NEJ9_PF04000_18 <unknown description>
+LKNLQEQVMAVTAQVKSLTQKVQAGAYPTEKGLSFLEVKDQLLLMYLMDLTHLILDKASG
+GSLQGHDAVLRLVEIRTVLEK
+>Q8N5V2_PF00621_278 <unknown description>
+MFELVTSEASYYKSLNLLVSHFMENERIRKILHPSEAHILFSNVLDVLAVSERFLLELEH
+RMEENIVISDVCDIVYRYAADHFSVYITYVSNQTYQERTYKQLLQEKAAFRELIAQLELD
+PKCRGLPFSSFLILPFQRITRLKLLVQNILKRVEERSERECTALDAHKELEMVVKACN
+>Q8N5V2_PF00169_492 <unknown description>
+KQGELQQMSGPKTSRTLRTKKLFHEIYLFLFNDLLVICRQIPGDKYQVFDSAPRGLLRVE
+ELEDQGQTLANVFILRLLENADDREATYMLKASSQSEMKRWMTSL
+>Q8N5V2_PF00018_621 <unknown description>
+HPYVAQQPDELTLELADILNILDKTDDGWIFGERLHDQERGWFPS
+>P01138_PF00243_129 <unknown description>
+HRGEFSVCDSVSVWVGDKTTATDIKGKEVMVLGEVNINNSVFKQYFFETKCRDPNPVDSG
+CRGIDSKHWNSYCTTTHTFVKALTMDGKQAAWRFIRIDTACVCVLSRKA
+>Q96IV0_PF09409_26 <unknown description>
+ETFLEASKLLLTYADNILRNPNDEKYRSIRIGNTAFSTRLLPVRGAVECLFEMGFEEGET
+HLIFPKKASVEQLQKIR
+>Q96IV0_PF01841_269 <unknown description>
+DELKWGAKEVEDHYCDACQFSNRFPRYNNPEKLLETRCGRCGEWANCFTLCCRAVGFEAR
+YVWDYTDHVWTEVYSPSQQRWLHCDA
+>Q96IV0_PF04721_457 <unknown description>
+GRISGSVAWRVARGEMGLQRKETLFIPCENEKISKQLHLCYNIVKDRYVRVSNNNQTISG
+WENGVWKMESIFRKVETDWHMVYLARKEGSSFAYISWKFECGSVGLKVDSISIRTSSQTF
+QTGTVEWKLRSDTAQVELTGDNSLHSYADFSGATEVILEAELSRGDGDVAWQHTQLFRQS
+LNDHEENCLEIIIKF
+>Q92886_PF00010_93 <unknown description>
+RRVKANDRERNRMHNLNAALDALRSVLPSFPDDTKLTKIETLRFAYNYIW
+>Q9H2A3_PF00010_113 <unknown description>
+RRLKANNRERNRMHNLNAALDALREVLPTFPEDAKLTKIETLRFAHNYIWAL
+>Q9Y4Z2_PF00010_84 <unknown description>
+RRKKANDRERNRMHNLNSALDALRGVLPTFPDDAKLTKIETLRFAHNYIWAL
+>Q9NPE2_PF06413_73 <unknown description>
+MEAPGAPPRTLTWEAMEQIRYLHEEFPESWSVPRLAEGFDVSTDVIRRVLKSKFLPTLEQ
+KLKQDQKVLKKAGLAHSLQHLRGSGNTSKLLPAGHSVSGSLLMPGHEASSKDPNHSTALK
+VIESDTHRTNTPRRRKGRNKEIQDLEESFVPVAAPLGHPRELQKYSSDSESPRGTGSGAL
+PSGQKLEELKAEEPDNFSSKVVQRGREFFDSNGNFLYRI
+>P55769_PF01248_21 <unknown description>
+KLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADAEPLEIILHLPLLCEDKNVPY
+VFVRSKQALGRACGVSRPVIACSVTIKEGSQ
+>Q9H9Q4_PF09302_12 <unknown description>
+PWAWLQLAENSLLAKVFITKQGYALLVSDLQQVWHEQVDTSVVSQRAKELNKRLTAPPAA
+FLCHLDNLLRPLLKDAAHPSEATFSCDCVADALILRVRSELSGLPFYWNFHCMLASPSLV
+SQHLIRPLMGMSLALQCQVRELATLLHMKDLEIQDYQESGATL
+>Q6VVB1_PF14634_25 <unknown description>
+ECKVCFEKFGHRQQRRPRNLSCGHVVCLACVAALAHPRTLALECPFCRR
+>Q8NBF2_PF13905_78 <unknown description>
+GKIVVLDFFTYCCINCIHLLPDLHALEHTYSDKDGLLIIGVHSAKFPNEKVLDNIKSAVL
+RYNITHPMVNDADASLWQELEVSCWPTLVILGPRGN
+>Q8NBF2_PF01436_278 <unknown description>
+FNSPQGVAIMNNIIYVADTENHLIRK
+>Q8NBF2_PF01436_474 <unknown description>
+LQHPLGVTWDKKRNLLYVADSYNHKIKV
+>Q8NBF2_PF01436_531 <unknown description>
+FNEPGGLCIGENGELLYVADTNNHQIKV
+>Q5JS37_PF01436_213 <unknown description>
+FNIPHSVTLDSAGRVWVADRGNKRIQVF
+>P0CG21_PF01436_48 <unknown description>
+FGCPAGICSNSEGNVIVADE
+>Q9NX24_PF01248_46 <unknown description>
+KLYKCIKKAVKQKQIRRGVKEVQKFVNKGEKGIMVLAGDTLPIEVYCHLPVMCEDRNLPY
+VYIPSKTDLGAAAGSKRPTCVIMVKPHEEYQE
+>O14745_PF00595_18 <unknown description>
+EKGPNGYGFHLHGEKGKLGQYIRLVEPGSPAEKAGLLAGDRLVEVNGENVEKETHQQVVS
+RIRAALNAVRLLV
+>O14745_PF00595_156 <unknown description>
+TMKKGPSGYGFNLHSDKSKPGQFIRSVDPDSPAEASGLRAQDRIVEVNGVCMEGKQHGDV
+VSAIRAGGDETKLLV
+>O14745_PF09007_235 <unknown description>
+TDEFFKKCRVIPSQEHLNGPLPVPFTNGEIQKENSREALAEAALESPRPALVRSASSDTS
+EELNSQDSPPKQDSTAPSSTSSSDPILDFNISLAMAKERAHQKRSSKRAPQMDWSKKNEL
+FSNL
+>Q15599_PF00595_16 <unknown description>
+RGEQGYGFHLHGEKGRRGQFIRRVEPGSPAEAAALRAGDRLVEVNGVNVEGETHHQVVQR
+IKAVEGQTRLLV
+>Q15599_PF00595_154 <unknown description>
+LRKGPQGYGFNLHSDKSRPGQYIRSVDPGSPAARSGLRAQDRLIEVNGQNVEGLRHAEVV
+ASIKAREDEARLLV
+>Q15599_PF09007_269 <unknown description>
+SACSSRSDLPGSDKDTEDGSAWKQDPFQESGLHLSPTAAEAKEKARAMRVNKRAPQMDWN
+RKREIFSNF
+>Q5T2W1_PF00595_11 <unknown description>
+KLSKQEGQNYGFFLRIEKDTEGHLVRVVEKCSPAEKAGLQDGDRVLRINGVFVDKEEHMQ
+VVDLVRKSGNSVTLLVL
+>Q5T2W1_PF00595_140 <unknown description>
+KEGGSYGFSLKTVQGKKGVYMTDITPQGVAMRAGVLADDHLIEVNGENVEDASHEEVVEK
+VKKSGSRVMF
+>Q5T2W1_PF00595_245 <unknown description>
+EMKKGSNGYGFYLRAGSEQKGQIIKDIDSGSPAEEAGLKNNDLVVAVNGESVETLDHDSV
+VEMIRKGGDQTSLLV
+>Q5T2W1_PF17820_402 <unknown description>
+FIKEVQKGGPADLAGLEDEDVIIEVNGVNVLDEPYEKVVDRIQSSGKNVTLLVC
+>Q86UT5_PF00595_52 <unknown description>
+LSKEEGKSFGFHLQQELGRAGHVVCRVDPGTSAQRQGLQEGDRILAVNNDVVEHEDYAVV
+VRRIRASSPRVLLTV
+>Q86UT5_PF00595_163 <unknown description>
+DEGGFGFSVTHGNQGPFWLVLSTGGAAERAGVPPGARLLEVNGVSVEKFTHNQLTRKLWQ
+SGQQVTLLV
+>Q86UT5_PF00595_265 <unknown description>
+HLEKGPQGFGFLLREEKGLDGRPGQFLWEVDPGLPAKKAGMQAGDRLVAVAGESVEGLGH
+EETVSRIQGQGSCVSLTV
+>Q86UT5_PF17820_426 <unknown description>
+FISQVTPGGSAARAGLQVGDVILEVNGYPVGGQNDLERLQQ
+>Q5SYE7_PF15273_255 <unknown description>
+QRSETRDSSCQTEDVKVVPPSMRRIRAQKGQGIAAQMGHFSGSSGNMSVLSDSAGIVFPS
+RLDSDAGFHSLPRSGARANIQSLEPRLGALGPAGDMNGTFLYQRGHPQADENLGHLGGAS
+GTGTLLRPKSQELRHFESENIMSPACVVSPHATYSTSIIPNATLSSSSEVIAIPTAQSAG
+QRESKSSGSSHARIKSRDHLISRHAVKGDPQSPGRHWNEGHATILSQDLDPHSPGEPALL
+SLCDSAVPLNAPANRENGSQAMPYNCRNNLAFPAHPQDVDGKSESSYSGGGGHSSSEPWE
+YKSSGNGRASPLKPHLATPGYSTPTSNMSSCSLDQTSNKEDAGSLYSEDHDGYCASVHTD
+SGHGSGNLCNSSDGFGNPRHSVINVFVGRAQKNQGDRSNYQDKSLSRNISLKKAKKPPLP
+PSRTDSLRRIPKKSSQCNGQVLNESLIATLQHSLQLSLPGKSGSSPSQSPCSDLEEPWLP
+RSRSQSTVSAGSSMTSATTPNVYSLCGATPSQSDTSSVKSEYTDPWGYYIDYTGMQEDPG
+NPAGGCSTSSGVPTGNGPVRHVQEGSRATMPQVPGGSVKPKIMSPEKSHRVISPSSGYSS
+QSNTPTALTPVPVFLKSVSPANGKGKPKPKVPERKSSLISSVSISSSSTSLSSSTSTE
+>Q5HYW2_PF15273_500 <unknown description>
+SVPTDSGTTDVDYDEEQKANEACALPFASTSSEGSNSADNIASLSAQQEAQHRRQRSKSI
+SLRKAKKKPSPPTRSVSLVKDEPGLLPEGGSALPKDQRPKS
+>Q5HYW2_PF15273_624 <unknown description>
+PNHKDPESTQFSHHWYLTDWKSGDTYQSLSSSSTATGTTVIECTQVQGSSESLASPSTSR
+ATTPSQLSIEVEAREISSPGRPPGLMSPSSGYSSQSETPTPTVSMSLTLGHLPPPSSSVR
+VRPVVPERKSSLP
+>Q6T4R5_PF15273_440 <unknown description>
+RISGTRDSECQTEDILIAAPSRRRIRAQRGQSIAASLSHSAGNISALADKGDTMFTPAVS
+SRTRSRSLPREGNRGGDAEPKVGAKPSAYEEGESFVGDHERTPNDFSEAPSSPSAQDHQP
+TLGLACSQHLHSPQHKLSERGRSRLSRMAADSGSCDISSNSDTFGSPIHCISTAGVLLSS
+HMDQKDDHQSSSGNWSGSSSTCPSQTSETIPPAASPPLTGSSHCDSELSLNTAPHANEDA
+SVFVTEQYNDHLDKVRGHRANSFTSTVADLLDDPNNSNTSDSEWNYLHHHHDASCRQDFS
+PERPKADSLGCPSFTSMATYDSFLEKSPSDKADTSSHFSVDTEGYYTSMHFDCGLKGNKS
+YVCHYAALGPENGQGVGASPGLPDCAWQDYLDHKRQGRPSISFRKPKAKPTPPKRSSSLR
+KSDGNADISEKKEPKISSGQHLPHSSREMKLPLDFANTPSRMENANLPTKQEPSWINQSE
+QGIKEPQLDASDIPPFKDEVAESTHYADLWLLNDLKTNDPYRSLSNSSTATGTTVIECIK
+SPESSESQTSQSESRATTPSLPSVDNEFKLASPEKLAGLASPSSGYSSQSETPTSSFPTA
+FFSGPLSPGGSKRKPKVPERKSSLQQPSLKDGTISLS
+>Q92542_PF18266_49 <unknown description>
+PCVRLLNATHQIGCQSSISGDTGVIHVVEKEEDLQWVLTDGPNPPYMVLLESKHFTRDLM
+EKLKGRTSRIAGLAVSLTKPSPASGFSPSVQCPNDGFGVYSNSYGPEFAHCREIQWNSLG
+NGLAYEDFSFPIFLLEDENETKVIKQCYQDHNLSQNGSAPTFPLCAMQLFSHMHA
+>Q92542_PF05450_274 <unknown description>
+RVVVAATRLDSRSFFWNVAPGAESAVASFVTQLAAAEALQKAPDVTTLPRNVMFVFFQGE
+TFDYIGSSRMVYDMEKGKFPVQLENVDSFVELGQVALRTSLELWMHTDPVSQKNESVRNQ
+VEDLLATLEKSGAGVPAVILRRPNQSQPLPPSSLQRFLRARNISGVVLADHSGAFHNKYY
+QSIYDTAENINVSYPEWLSPEEDLNFVTDTAKALADVATVLGRALY
+>P14543_PF06119_176 <unknown description>
+RNTFQAVLASSDSSSYAIFLYPEDGLQFHTTFSKKENNQVPAVVAFSQGSVGFLWKSNGA
+YNIFANDRESVENLAKSSNSGQQGVWVFEIG
+>P14543_PF07474_429 <unknown description>
+GSPQRVNGKVKGRIFVGSSQVPIVFENTDLHSYVVMNHGRSYTAISTIPETVGYSLLPLA
+PVGGIIGWMFAVEQDGFKNGFSITGGEFTRQAEVTFVGHPGNLVIKQRFSGIDEHGHLTI
+DTELEGRVPQIPFGSSVHIEPYTELYHYSTSVITSSSTREYTVTEPERDGASPSRIYTYQ
+WRQTITFQECVHD
+>P14543_PF12947_672 <unknown description>
+CYIGTHGCDTNAACRPGPRTQFTCECSIGFRGDGRTC
+>P14543_PF07645_710 <unknown description>
+DIDECSEQPSVCGSHTICNNHPGTFRCECVEGYQFSDEGTC
+>P14543_PF12662_784 <unknown description>
+SYTCSCLPGFSGDGQACQDVDE
+>P14543_PF12947_808 <unknown description>
+PSRCHPDAFCYNTPGSFTCQCKPGYQGDGFRC
+>P14543_PF00086_849 <unknown description>
+CQHEREHILGAAGATDPQRPIPPGLFVPECDAHGHYAPTQCHGSTGYCWCVDRDGREVEG
+TRTRPGMTPPC
+>P14543_PF00058_992 <unknown description>
+VYWTDITEPSIGRASLHGGEPTTIIRQDLGSPEGIAVDH
+>P14543_PF00058_1033 <unknown description>
+RNIFWTDSNLDRIEVAKLDGTQRRVLFETDLVNPRGIV
+>P14543_PF00058_1076 <unknown description>
+GNLYWTDWNRDNPKIETSYMDGTNRRILVQDDLGLPNGLTFD
+>P14543_PF14670_1212 <unknown description>
+CSVNNGGCTHLCLATPGSRTCRCPDNT
+>Q14112_PF06119_180 <unknown description>
+NTFQAVLASDGSDSYALFLYPANGLQFLGTRPKESYNVQLQLPARVGFCRGEADDLKSEG
+PYFSLTSTEQSVKNLYQLSNLGIPGVWAFHIG
+>Q14112_PF07474_527 <unknown description>
+GAPHRVNGKVSGHLHVGHTPVHFTDVDLHAYIVGNDGRAYTAISHIPQPAAQALLPLTPI
+GGLFGWLFALEKPGSENGFSLAGAAFTHDMEVTFYPGEETVRITQTAEGLDPENYLSIKT
+NIQGQVPYVSANFTAHISPYKELYHYSDSTVTSTSSRDYSLTFGAINQTWSYRIHQNITY
+QVCRHA
+>Q14112_PF07645_801 <unknown description>
+DENECATGFHRCGPNSVCINLPGSYRCECRSGYEFADDRHTC
+>Q14112_PF12662_875 <unknown description>
+FSCACLPGYAGDGHQCTDVDE
+>Q14112_PF12947_896 <unknown description>
+CSENRCHPAATCYNTPGSFSCRCQPGYYGDGFQC
+>Q14112_PF00086_940 <unknown description>
+CEQQQRHAQAQYAYPGARFHIPQCDEQGNFLPLQCHGSTGFCWCVDPDGHEVPGTQTPPG
+STPPHC
+>Q14112_PF00086_1019 <unknown description>
+CERWRENLLEHYGGTPRDDQYVPQCDDLGHFIPLQCHGKSDFCWCVDKDGREVQGTRSQP
+GTTPAC
+>Q14112_PF00058_1198 <unknown description>
+RTMYWTDSVLDKIESALLDGSERKVLFYTDLVNPRAIAVDP
+>Q14112_PF00058_1241 <unknown description>
+GNLYWTDWNREAPKIETSSLDGENRRILINTDIGLPNGLTFDP
+>Q9GZT8_PF01784_33 <unknown description>
+LLSSLNDFASLSFAESWDNVGLLVEPSPPHTVNTLFLTNDLTEEVMEEVLQKKADLILSY
+HPPIFRPMKRITWNTWKERLVIRALENRVGIYSPHTAYDAAPQGVNNWLAKGLGACTSRP
+IHPSKAPNYPTEGNHRVEFNVNYTQDLDKVMSAVKGIDGVSVTSFSARTGNEEQTRINLN
+CTQKALMQVVDFLSRNKQLYQKTEILSLEKPLLLHTGMGRLCTLDESVSLATMIDRIKRH
+LKLSHIRLALGVGRTLESQVKVVALCAGSGSSVLQGVEADLYLTGEMSHHDTLDAASQGI
+NVILCEHSNTERGFLSDLRDMLDSHLE
+>Q8IY84_PF00069_74 <unknown description>
+YRIRGEIGSGNFSQVKLGIHSLTKEKVAIKILDKTKLDQKTQRLLSREISSMEKLHHPNI
+IRLYEVVETLSKLHLVMEYAGGGELFGKISTEGKLSEPESKLIFSQIVSAVKHMHENQII
+HRDLKAENVFYTSNTCVKVGDFGFSTVSKKGEMLNTFCGSPPYAAPELFRDEHYIGIYVD
+IWALGVLLYFMVTGTMPFRAETVAKLKKSILEGTYSVPPHVSEPCHRLIRGVLQQIPTER
+YGIDCIMNDEWM
+>Q92982_PF04923_38 <unknown description>
+VNHYASKKSAAESMLDIALLMANASQLKAVVEQGPSFAFYVPLVVLISISLVLQIGVGVL
+LIFLVKYDLNNPAKHAKLDFLNNLATGLVFIIVVVNIFITAF
+>Q9NZG7_PF04923_24 <unknown description>
+LNHYATKKSVAESMLDVALFMSNAMRLKAVLEQGPSSHYYTTLVTLISLSLLLQVVIGVL
+LVVIARLNLNEVEKQWRLNQLNNAATILVFFTVVINVFITAF
+>Q9Y2I6_PF13499_199 <unknown description>
+SQIRGVWEELGVGSSGHLSEQELAVVCQSVGLQGLEKEELEDLFNKLDQDGDGKVSLEEF
+Q
+>Q9Y221_PF17833_2 <unknown description>
+RPLTEEETRVMFEKIAKYIGENLQLLVDRPDGTYCFRLHNDRVYYVSEKIMKLAANISGD
+KLVSLGTCFGKFTKTHKFRLHV
+>Q9Y221_PF03657_96 <unknown description>
+KVWIKPGAEQSFLYGNHVLKSGLGRITENTSQYQGVVVYSMADIPLGFGVAAKSTQDCRK
+VDPMAIVVFHQADIGEYVRH
+>Q7RTP0_PF05653_30 <unknown description>
+LGLGVAVVSSLVNGSTFVLQKKGIVRAKRRGTSYLTDIVWWAGTIAMAVGQIGNFLAYTA
+VPTVLVTPLGALGVPFGSILASYLLKEKLNILGKLGCLLSCAGSVVLIIHSPKSESVTTQ
+AELEEKLTNPVFVGYLCIVLLMLLLLIFWIAPAHGPTNIMVYISICSLLGSFTVPSTKGI
+GLAAQDILHNNPSSQRALCLCLVLLAVLGCSIIVQFRYINKALECFDSSVFGAIYYVVFT
+TLVLLASAILFREWSNVGLVDFLGMACGFTTVSVGIVLIQVFKE
+>Q8N8Q9_PF05653_8 <unknown description>
+YDFYIGLGLAMSSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWWAGLLSMG
+AGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSILGSTVMV
+IHAPKEEEIETLNEMSHKLGDPGFVVFATLVVIVALILIFVVGPRHGQTNILVYITICSV
+IGAFSVSCVKGLGIAIKELFAGKPVLRHPLAWILLLSLIVCVSTQINYLNRALDIFNTSI
+VTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFKDV
+>Q6NVV3_PF05653_68 <unknown description>
+LYVGLVLAVSSSIFIGSSFILKKKGLLQLASKGFTRAGQGGHSYLKEWLWWVGLLSMGAG
+EAANFAAYAFAPATLVTPLGALSVLISAILSSYFLNEHLNIHGKIGCILSILGSTVMVIH
+APQEEEVTSLHEMEMKLRDPGFISFAVIITVISLVLILIVAPKKGQTNILVYISICSLIG
+AFSVSSVKGLGIAIKELIEWKPVYKHPLVFVLLAVLVLSVTTQINYLNKALDTFNTSLVT
+PIYYVFFTSMVVTCSAILFQEWYGMTAGDIIGTLSGFFTIIIGIFLLHAFKN
+>Q0D2K0_PF05653_56 <unknown description>
+FYIGLGLAFLSSFLIGSSVILKKKGLLRLVATGATRAVDGGFGYLKDAMWWAGFLTMAAG
+EVANFGAYAFAPATVVTPLGALSVLISAILSSYFLRESLNLLGKLGCVICVAGSTVMVIH
+APEEEKVTTIMEMASKMKDTGFIVFAVLLLVSCLILIFVIAPRYGQRNILIYIIICSVIG
+AFSVAAVKGLGITIKNFFQGLPVVRHPLPYILSLILALSLSTQVNFLNRALDIFNTSLVF
+PIYYVFFTTVVVTSSIILFKEWYSMSAVDIAGTLSGFVTIILGVFMLHAFKD
+>Q6KC79_PF12765_1794 <unknown description>
+KCLSEVVAVDPSILARLDMQRGVHGRLMDNSTSVREAAVELL
+>Q6KC79_PF12830_2275 <unknown description>
+SSSIMQLYLKQVLEAFFHTQSSVRHFALNVIALTLNQGLIHPVQCVPYLIAMGTDPEPAM
+RNKADQQLVEIDKKYAGFIHMKAVAGMKMSYQVQQAINTCLKDPVRGFRQDESSSALCSH
+LYSMIRGNRQHRRAFLISLLNLFDDTAKTDVTMLLYIADNLACFPYQTQEEPLFIMHHID
+I
+>Q9BPW8_PF07978_185 <unknown description>
+YELRTYKLKPGTMIEWGNNWARAIKYRQENQEAVGGFFSQIGELYVVHHLWAYKDLQSRE
+ETRNAAWRKRGWDENVYYTVPLVRHMESRIMIPLKISP
+>O75323_PF07978_187 <unknown description>
+YELRSYQLRPGTMIEWGNYWARAIRFRQDGNEAVGGFFSQIGQLYMVHHLWAYRDLQTRE
+DIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPLKTSP
+>Q9Y2I1_PF00787_38 <unknown description>
+TDGSHEWTVKHRYSDFHDLHEKLVAERKIDKNLLPPKKIIGKNSRSLVEKREKDLEVYLQ
+KLLAAFPGVTPRVLAHFLHF
+>Q86X76_PF00795_48 <unknown description>
+LVAVCQVTSTPDKQQNFKTCAELVREAARLGACLAFLPEAFDFIARDPAETLHLSEPLGG
+KLLEEYTQLARECGLWLSLGGFHERGQDWEQTQKIYNCHVLLNSKGAVVATYRKTHLCDV
+EIPGQGPMCESNSTMPGPSLESPVSTPAGKIGLAVCYDMRFPELSLALAQAGAEILTYPS
+AFGSITGPAHWEVLLRARAIETQCYVVAAAQCGRHHEKRASYGHSMVVDPWGTVVARCSE
+GPGLCLARIDLNYLRQLRR
+>Q9NQR4_PF00795_5 <unknown description>
+RLALIQLQISSIKSDNVTRACSFIREAATQGAKIVSLPECFNSPYGAKYFPEYAEKIPGE
+STQKLSEVAKECSIYLIGGSIPEEDAGKLYNTCAVFGPDGTLLAKYRKIHLFDIDVPGKI
+TFQESKTLSPGDSFSTFDTPYCRVGLGICYDMRFAELAQIYAQRGCQLLVYPGAFNLTTG
+PAHWELLQRSRAVDNQVYVATASPARDDKASYVAWGHSTVVNPWGEVLAKAGTEEAIVYS
+DIDLKKLAEIRQ
+>Q9HAS0_PF15053_38 <unknown description>
+YCLYSYRGSRLAQQRGDSEDGSPSGTNAETPSGDDFSLSLADTNLPSEVEPELRSFIAKR
+LSRGAVFEGLGNVASVELKIPGYRVGCYYCLFQNEKLLPETVTIDSERNPSEYVVCFLGG
+SEKGLELFRLELDKYIQGLKNNMNCEARGLESHIKSYLSSWFEDVVCPIQRVVLLFQEKL
+TFLLHAALSYTPVEVKESDEKTKRDINRFLSVASLQGLIHEGTMTSLCMAMTEEQHKSVV
+IDCSSSQPQFCNAGSNRFCEDWMQAFLNGAKGGNPFLFRQVLENFKLKAIQDTNNLKRFI
+RQAEMNHYALFKCYMFLKNCGSGDILLKIVKVEHEEMPEAKNVIAVLEEFMKE
+>P25103_PF00001_49 <unknown description>
+GNVVVMWIILAHKRMRTVTNYFLVNLAFAEASMAAFNTVVNFTYAVHNEWYYGLFYCKFH
+NFFPIAAVFASIYSMTAVAFDRYMAIIHPLQPRLSATATKVVICVIWVLALLLAFPQGYY
+STTETMPSRVVCMIEWPEHPNKIYEKVYHICVTVLIYFLPLLVIGYAYTVVGITLWASEI
+PGDSSDRYHEQVSAKRKVVKMMIVVVCTFAICWLPFHIFFLLPYINPDLYLKKFIQQVYL
+AIMWLAMSSTMYNPIIY
+>P21452_PF00001_50 <unknown description>
+GNAIVIWIILAHRRMRTVTNYFIVNLALADLCMAAFNAAFNFVYASHNIWYFGRAFCYFQ
+NLFPITAMFVSIYSMTAIAADRYMAIVHPFQPRLSAPSTKAVIAGIWLVALALASPQCFY
+STVTMDQGATKCVVAWPEDSGGKTLLLYHLVVIALIYFLPLAVMFVAYSVIGLTLWRRAV
+PGHQAHGANLRHLQAMKKFVKTMVLVVLTFAICWLPYHLYFILGSFQEDIYCHKFIQQVY
+LALFWLAMSSTMYNPIIY
+>P29371_PF00001_102 <unknown description>
+GNLIVIWIILAHKRMRTVTNYFLVNLAFSDASMAAFNTLVNFIYALHSEWYFGANYCRFQ
+NFFPITAVFASIYSMTAIAVDRYMAIIDPLKPRLSATATKIVIGSIWILAFLLAFPQCLY
+SKTKVMPGRTLCFVQWPEGPKQHFTYHIIVIILVYCFPLLIMGITYTIVGITLWGGEIPG
+DTCDKYHEQLKAKRKVVKMMIIVVMTFAICWLPYHIYFILTAIYQQLNRWKYIQQVYLAS
+FWLAMSSTMYNPIIY
+>Q4KMZ8_PF05640_1 <unknown description>
+MGKCSGRCTLVAFCCLQLVAALERQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRSR
+YLILYAAWLVLWVGWNAFIICFYLEVGQLSQDRDFIMTFNTSLHRSWWMENGPGCLVTPV
+LNSRLALEDHHVISVTGCLLDYPYIEALSSALQIFLALFGFVFACYVSKVFLEEEDSFDF
+IGGFDSYGYQAPQKTSHLQLQPLYTS
+>Q5VXU1_PF05640_1 <unknown description>
+MGYCSGRCTLIFICGMQLVCVLERQIFDFLGYQWAPILANFVHIIIVILGLFGTIQYRPR
+YITGYAVWLVLWVTWNVFVICFYLEAGDLSKETDLILTFNISMHRSWWMENGPGCTVTSV
+TPAPDWAPEDHRYITVSGCLLEYQYIEVAHSSLQIVLALAGFIYACYVVKCITEEEDSFD
+FIGGFDSYGYQGPQKTSHLQLQPMYMS
+>Q8IVV8_PF05640_1 <unknown description>
+MGSCSGRCALVVLCAFQLVAALERQVFDFLGYQWAPILANFVHIIIVILGLFGTIQYRLR
+YVMVYTLWAAVWVTWNVFIICFYLEVGGLLKDSELLTFSLSRHRSWWRERWPGCLHEEVP
+AVGLGAPHGQALVSGAGCALEPSYVEALHSCLQILIALLGFVCGCQVVSVFTEEEDSFDF
+IGGFDPFPLYHVNEKPSSLLSKQVYL
+>Q6ZUT1_PF15692_89 <unknown description>
+KAKSWNKKFYDYEANMPDRWGHSGYKELYPEEFETDSSDQQDITNGKKTSPQVKSSTHES
+RKHKKSKKSHKKKQKK
+>Q5M9Q1_PF06047_295 <unknown description>
+KYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIGSFECSGYVMSGSRHRRMEAVRL
+RKENQIYSADEKRALASFNQEERRKRESKILASFREMVH
+>Q8N5F7_PF15692_120 <unknown description>
+KEREESLRQKRLSERERIGELGAPEVWGLSPKNPEPDSDEHTPVEDEEPKKSTTSASTSE
+EEKKKKSSRSKERSKKRRKKKSSKRKHK
+>Q8N5F7_PF06047_308 <unknown description>
+NYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIASFECSGYVMSGSRHRRMEAVRL
+RKENQIYSADEKRALASFNQEERRKRENKILASFREMV
+>P26715_PF00059_137 <unknown description>
+RRTWEESLLACTSKNSSLLSIDNEEEMKFLSIISPSSWIGVFRNSSHHPWVTMNGLAFKH
+EIKDSDNAELNCAVLQVNRLKSAQCGSSIIYHCK
+>P26717_PF00059_135 <unknown description>
+RRTWEESLLACTSKNSSLLSIDNEEEMKFLASILPSSWIGVFRNSSHHPWVTINGLAFKH
+KIKDSDNAELNCAVLQVNRLKSAQCGSSMIYHCK
+>P26718_PF00059_116 <unknown description>
+ESKNWYESQASCMSQNASLLKVYSKEDQDLLKLVKSYHWMGLVHIPTNGSWQWEDGSILS
+PNLLTIIEMQKGDCALYASSFKGYIENCSTPNTYICM
+>Q07444_PF00059_135 <unknown description>
+RRTWEESLQACASKNSSSLLCIDNEEEMKFLASILPSSWIGVFRNSSHHPWVTINGLAFK
+HEIKDSDHAERNCAMLHVRGLISDQC
+>Q16617_PF00822_14 <unknown description>
+LGLMFCLIALSTDFWFEAVGPTHSAHSGLWPTGHGDIISGYIHVTQTFSIMAVLWALVSV
+SFLVLSCFPSLFPPGHGPLVSTTAAFAAAISMVVAMAVYTSERWDQPPHPQIQTFFSWSF
+YLGWVSAILLLCTGAL
+>P30414_PF00160_11 <unknown description>
+FDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYKGSTFHRVVKN
+FMIQGGDFSEGNGKGGESIYGGYFKDENFILKHDRAFLLSMANRGKHTNGSQFFITTKPA
+PHLDGVHVVFGLVISGFEVIEQIENLKTDAASRPYADVRVIDCGV
+>Q15270_PF00046_297 <unknown description>
+RRARTAFTYEQLVALENKFKATRYLSVCERLNLALSLSLTETQVKIWFQNRRTKWKK
+>Q9UD57_PF00046_164 <unknown description>
+RRARTAFTYEQLVALENKFRATRYLSVCERLNLALSLSLTETQVKIWFQNRRTKWKK
+>P43699_PF00046_192 <unknown description>
+RKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKR
+>O95096_PF00046_129 <unknown description>
+RKRRVLFSKAQTYELERRFRQQRYLSAPEREHLASLIRLTPTQVKIWFQNHRYKMKR
+>Q8TAU0_PF00046_149 <unknown description>
+RKPRVLFSQAQVFELERRFKQQRYLSAPEREHLASSLKLTSTQVKIWFQNRRYKCKR
+>Q9H2Z4_PF00046_190 <unknown description>
+RKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKR
+>P52952_PF00046_139 <unknown description>
+RKPRVLFSQAQVYELERRFKQQRYLSAPERDQLASVLKLTSTQVKIWFQNRRYKCKR
+>A6NCS4_PF00046_133 <unknown description>
+RKPRVLFSQAQVLALERRFKQQRYLSAPEREHLASALQLTSTQVKIWFQNRRYKCKR
+>O15522_PF00046_85 <unknown description>
+KKRRVLFSKAQTLELERRFRQQRYLSAPEREQLASLLRLTPTQVKIWFQNHRYKLKR
+>Q99801_PF00046_125 <unknown description>
+KRSRAAFSHTQVIELERKFSHQKYLSAPERAHLAKNLKLTETQVKIWFQNRRYKTKR
+>P78367_PF00046_207 <unknown description>
+KRSRAAFSHAQVFELERRFNHQRYLSGPERADLAASLKLTETQVKIWFQNRRYKTKR
+>P78426_PF00046_238 <unknown description>
+HTRPTFSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRK
+>Q9C056_PF00046_149 <unknown description>
+KHSRPTFSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRK
+>A6NJ46_PF00046_141 <unknown description>
+HTRPTFTGHQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRK
+>Q9NVX2_PF08154_18 <unknown description>
+LVQFQDEGGQLLGSPFDVPVDITPDRLQLVCNALLAQEDPLPLAFFVHDAEIVSSLGKTL
+>Q9NVX2_PF00400_105 <unknown description>
+RCTSSLEGHSEAVISVAFSPTGKYLASGSGDTTVRFWD
+>Q9NVX2_PF00400_150 <unknown description>
+FTCKGHRHWVLSISWSPDGRKLASGCKNGQILLWD
+>Q9NVX2_PF00400_191 <unknown description>
+VGRTLAGHSKWITGLSWEPLHANPECRYVASSSKDGSVRIWD
+>Q9NVX2_PF00400_236 <unknown description>
+GRCERILTGHTQSVTCLRWGGDGLLYSASQDRTIKVW
+>Q9NVX2_PF00400_363 <unknown description>
+KPLTRMTGHQALINQVLFSPDSRIVASASFDKSIKLWD
+>Q9NVX2_PF00400_404 <unknown description>
+GKYLASLRGHVAAVYQIAWSADSRLLVSGSSDSTLKVWD
+>Q9NVX2_PF00400_452 <unknown description>
+LPGHADEVYAVDWSPDGQRVASGGKDKCLRIW
+>Q8NFZ4_PF00135_42 <unknown description>
+PVVNTAYGRVRGVRRELNNEILGPVVQFLGVPYATPPLGARRFQPPEAPASWPGVRNATT
+LPPACPQNLHGALPAIMLPVWFTDNLEAAATYVQNQSEDCLYLNLYVPTEDGPLTKKRDE
+ATLNPPDTDIRDPGKKPVMLFLHGGSYMEGTGNMFDGSVLAAYGNVIVATLNYRLGVLGF
+LSTGDQAAKGNYGLLDQIQALRWLSENIAHFGGDPERITIFGSGAGASCVNLLILSHHSE
+GLFQKAIAQSGTAISSWSVNYQPLKYTRLLAAKVGCDREDSAEAVECLRRKPSRELVDQD
+VQPARYHIAFGPVVDGDVVPDDPEILMQQGEFLNYDMLIGVNQGEGLKFVEDSAESEDGV
+SASAFDFTVSNFVDNLYGYPEGKDVLRETIKFMYTDWADRDNGEMRRKTLLALFTDHQWV
+APAVATAKLHADYQSPVYFYTFYHHCQAEGRPEWADAAHGDELPYVFGVPMVGATDLFPC
+NFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVVWSKFNSKEKQYL
+HIGLKPRVRDNYRANKVAFW
+>Q9NZ94_PF00135_41 <unknown description>
+APTVNTHFGKLRGARVPLPSEILGPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNAT
+HFPPVCPQNIHTAVPEVMLPVWFTANLDIVATYIQEPNEDCLYLNVYVPTEDVKRISKEC
+ARKPNKKICRKGGSGAKKQGEDLADNDGDEDEDIRDSGAKPVMVYIHGGSYMEGTGNMID
+GSILASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLDQIQALRWVSENIAFFGGDPR
+RITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSSWAVNYQPVKYTSLLADKVGC
+NVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDGDVIPDDPEILMEQGEFLNYD
+IMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNLYGYPEGKDTLRETIKFMYTD
+WADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSPTYFYAFYHHCQSLMKPAWSD
+AAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNKPVPQDTKF
+IHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYRATKVAFW
+>Q8N0W4_PF00135_45 <unknown description>
+YPVVNTNYGKIRGLRTPLPNEILGPVEQYLGVPYASPPTGERRFQPPEPPSSWTGIRNTT
+QFAAVCPQHLDERSLLHDMLPIWFTANLDTLMTYVQDQNEDCLYLNIYVPTEDDIHDQNS
+KKPVMVYIHGGSYMEGTGNMIDGSILASYGNVIVITINYRLGILGFLSTGDQAAKGNYGL
+LDQIQALRWIEENVGAFGGDPKRVTIFGSGAGASCVSLLTLSHYSEGLFQKAIIQSGTAL
+SSWAVNYQPAKYTRILADKVGCNMLDTTDMVECLRNKNYKELIQQTITPATYHIAFGPVI
+DGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVDGIVDNEDGVTPNDFDFSVSNFVD
+NLYGYPEGKDTLRETIKFMYTDWADKENPETRRKTLVALFTDHQWVAPAVATADLHAQYG
+SPTYFYAFYHHCQSEMKPSWADSAHGDEVPYVFGIPMIGPTELFSCNFSKNDVMLSAVVM
+TYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWSKYNPKDQLYLHIGLKPRVRDHYRA
+TKVAFW
+>Q9UBE8_PF00069_141 <unknown description>
+DRPIGYGAFGVVWSVTDPRDGKRVALKKMPNVFQNLVSCKRVFRELKMLCFFKHDNVLSA
+LDILQPPHIDYFEEIYVVTELMQSDLHKIIVSPQPLSSDHVKVFLYQILRGLKYLHSAGI
+LHRDIKPGNLLVNSNCVLKICDFGLARVEELDESRHMTQEVVTQYYRAPEILMGSRHYSN
+AIDIWSVGCIFAELLGRRILFQAQSPIQQLDLITDLLGTPSLEAMRTACEGAKAHILRGP
+HKQPSLPVLYTLSSQATHEAVHLLCRMLVFDPSKRISAKDALAHPYL
+>Q7RTR2_PF05729_139 <unknown description>
+RVSITIGVAGMGKTTLVRHFVRLWAHGQVGKDFSLVLPLTFRDLNTHEKLCADRLICSVF
+PHVGEPSLAVAVPARALLILDGLDECRTPLDFSNTVACTDPKKEIPVDHLITNIIRGNLF
+PEVSIWITSRPSASGQIPGGLVDRMTEIRGFNEEEIKVCLEQMFPE
+>Q7RTR2_PF17779_395 <unknown description>
+KMVGTLGRLAFHGLLKKKYVFYEQDMKAFGVDLALLQGAPCSCFLQREETLASSVAYCF
+>Q7RTR2_PF17776_455 <unknown description>
+HLSLQEFVAAAYYYGASRRAIFDLFTESGVSWPRLGFLTHFRSAAQRAMQAEDGRLDVFL
+RFLSGLLSPRVNALLAGSLLAQGEHQAYRTQVAELLQGCLRPDAAVCARAINVLHCLHEL
+QHTELAR
+>Q7RTR2_PF13516_667 <unknown description>
+RIQKISLAENQISNKGAKALA
+>Q7RTR2_PF13516_693 <unknown description>
+NRSLTSLDLRGNSIGPQGAKALA
+>Q7RTR2_PF13516_721 <unknown description>
+NRTLTSLSLQGNTVRDDGARSMA
+>Q7RTR2_PF13516_776 <unknown description>
+QNRSLKELMFSSNSIGDGGAKALA
+>Q7RTR2_PF13516_805 <unknown description>
+NQGLESLDLQSNSISDAGVAALM
+>Q7RTR2_PF13516_832 <unknown description>
+TNQTLLSLSLRENSISPEGAQAIA
+>Q7RTR2_PF13516_861 <unknown description>
+NSTLKNLDLTANLLHDQGARAIA
+>Q7RTR2_PF13516_889 <unknown description>
+NRTLTSLHLQWNFIQAGAAQAL
+>Q7RTR2_PF13516_917 <unknown description>
+NRSLTSLDLQENAIGDDGA
+>Q7RTR2_PF13516_973 <unknown description>
+NRTLEILDLRGNAIGVAGAKALA
+>Q7RTR2_PF13516_1001 <unknown description>
+NSSLRRLNLQENSLGMDGAICIA
+>Q7RTR2_PF13516_1029 <unknown description>
+NHRLQHINLQGNHIGDSGARMIS
+>Q9NPP4_PF00619_2 <unknown description>
+NFIKDNSRALIQRMGMTVIKQITDDLFVWNVLNREEVNIICCEKVEQDAARGIIHMILKK
+GSESCNLFLKSLKEWNYPLFQDLNG
+>Q9NPP4_PF05729_164 <unknown description>
+PCIIEGESGKGKSTLLQRIAMLWGSGKCKALTKFKFVFFLRLSRAQGGLFETLCDQLLDI
+PGTIRKQTFMAMLLKLRQRVLFLLDGYNEFKPQNCPEIEALIKENHRFKNMVIVTTTTEC
+LRHIRQFGALTAEVGDMTEDSAQALIREVLI
+>Q9NPP4_PF17889_465 <unknown description>
+EEVTKGNGYLQKMVSISDITSTYSSLLRYTCGSSVEATRAVMKHLAAVYQHGCLLGLSIA
+KRPLWRQESLQSVKNTTEQEILKAININSFVECGIHLYQESTSKSALSQEFEAFF
+>Q86WI3_PF18461_1 <unknown description>
+MDPVGLQLGNKNLWSCLVRLLTKDPEWLNAKMKFFLPNTDLDSRNETLDPEQRVILQLNK
+LHVQGSDTWQSFIHCVCMQLEVPLDLEVLLLSTFG
+>Q86WI3_PF05729_222 <unknown description>
+RVTVLLGKAGMGKTTLAHRLCQKWAEGHLNCFQALFLFEFRQLNLITRFLTPSELLFDLY
+LSPESDHDTVFQYLEKNADQVLLIFDGLDEALQPMGPDGPGPVLTLFSHLCNGTLLPGCR
+VMATSRPGKLPACLPAEAAMVHMLGFDGPRVEEYVNHFFSA
+>Q86WI3_PF17776_514 <unknown description>
+HLSLQEFLAALHLMASPKVNKDTLTQYVTLHSRWVQRTKARLGLSDHLPTFLAGLASCTC
+RPFLSHLAQGNEDCVGAKQAAVVQVLKKLATRKLTGPKVVELCHCVDETQEPELA
+>Q86WI3_PF13516_870 <unknown description>
+PHLEEVDLSGNQLEDEGCR
+>Q86WI3_PF13516_1008 <unknown description>
+HLDFSGNALGDEGAARLA
+>Q86WI3_PF13516_1520 <unknown description>
+HCHHLEELDLSNNQFDEEGTKALM
+>Q86WI3_PF13516_1577 <unknown description>
+MTCLQSLRLNRNSIGDVGC
+>Q86WI3_PF12799_1606 <unknown description>
+TSLEELDLSHNQIGDAGVQHLATILPGLPELRKIDLSGNSIS
+>Q9C000_PF02758_9 <unknown description>
+LACYLEFLKKEELKEFQLLLANKAHSRSSSGETPAQPEKTSGMEVASYLVAQYGEQRAWD
+LALHTWEQMGLRSLC
+>Q9C000_PF05729_328 <unknown description>
+RIVILQGAAGIGKSTLARQVKEAWGRGQLYGDRFQHVFYFSCRELAQSKVVSLAELIGKD
+GTATPAPIRQILSRPERLLFILDGVDEPGWVLQEPSSELCLHWSQPQPADALLGSLLGKT
+ILPEASFLITARTTALQNLIPSLEQARWVEVLGFSESSRKEYFYRYFTDE
+>Q9C000_PF17779_567 <unknown description>
+QLRDLCSLAAEGIWQKKTLFSPDDLRKHGLDGAIISTFLKMGILQEH
+>Q9C000_PF17776_623 <unknown description>
+HLCFQEFFAAMSYVLEDEKGRGKHSNCIIDLEKTLEAYGIHGLFGASTTRFLLGLLSDEG
+EREMENIFHCRLSQGRNLMQWVPSLQLLLQPHSLESLHCLYETRNKTFLTQ
+>Q9C000_PF13516_864 <unknown description>
+NQTLTELDLSFNVLTDAGAKHLC
+>Q9C000_PF13516_921 <unknown description>
+SPSLKELDLQQNNLDDVGVRLLC
+>Q9C000_PF13553_1100 <unknown description>
+VHFPVAGSYRWPNTGLCFVMREAVTVEIEFCVWDQFLGEINPQHSWMVAGPLLDIKAEPG
+AVEAVHLPHFVALQGGHVDTSLFQMAHFKEEGMLLEKPARVELHHIVLENPSFSPLGVLL
+KMIHNALRFIPVTSVVLLYHRVHPEEVTFHLYLIPSDCSIRKAIDDLEMKFQFVRIHKPP
+PLTPLYMGCRYTVSGSGSGMLEILPKELELCYRSPGEDQLFSEFYVGHLGSGIRLQVKDK
+KDETLVWEALVKPGD
+>Q9C000_PF00619_1380 <unknown description>
+HFVDQYREQLIARVTSVEVVLDKLHGQVLSQEQYERVLAENTRPSQMRKLFSLSQSWDRK
+CKDGLYQALKETHPHLIMELWE
+>P59044_PF02758_22 <unknown description>
+LLAALEELSQEQLKRFRHKLRDVGPDGRSIPWGRLERADAVDLAEQLAQFYGPEPALEVA
+RKTLKRADARDVA
+>P59044_PF05729_197 <unknown description>
+TVVLQGPAGIGKTMAAKKILYDWAAGKLYQGQVDFAFFMPCGELLERPGTRSLADLILDQ
+CPDRGAPVPQMLAQPQRLLFILDGADELPALGGPEAAPCTDPFEAASGARVLGGLLSKAL
+LPTALLLVTTRAAAPGRLQGRLCSPQCAEVRGFSDKDKKKYFYKYFRDE
+>P59044_PF17779_442 <unknown description>
+LRNLCRLAREGVLGRRAQFAEKELEQLELRGSKVQTLFLSKKELPGVLETEVTYQF
+>P59044_PF17776_501 <unknown description>
+SFQEFLAALSYLLEDGGVPRTAAGGVGTLLRGDAQPHSHLVLTTRFLFGLLSAERMRDIE
+RHFGCMVSERVKQEALRWVQGQGQGCPGVAPEVTEGAKGLEDTEEPEEEEEGEEPNYPLE
+LLYCLYETQEDAFVRQ
+>Q7RTR0_PF02758_11 <unknown description>
+LLWYLKELRKEEFWKFKELLKQPLEKFELKPIPWAELKKASKEDVAKLLDKHYPGKQAWE
+VTLNLFLQINRKDLW
+>Q7RTR0_PF05729_146 <unknown description>
+HTVVLEGPDGIGKTTLLRKVMLDWAEGNLWKDRFTFVFFLNVCEMNGIAETSLLELLSRD
+WPESSEKIEDIFSQPERILFIMDGFEQLKFNLQLKADLSDDWRQRQPMPIILSSLLQKKM
+LPESSLLIALGKLAMQKHYFMLRHPKLIKLLGFSESEKKSYFSYFFG
+>Q7RTR0_PF17779_392 <unknown description>
+LKSLCALAAEGIWTYTFVFSHGDLRRNGLSESEGVMWVGMRLLQRRGDC
+>Q7RTR0_PF17776_445 <unknown description>
+HLCIQEFCAAMFYLLKRPKDDPNPAIGSITQLVRASVVQPQTLLTQVGIFMFGISTEEIV
+SMLETSFGFPLSKDLKQEITQCLESLSQCEADREAIAFQELFIGLFETQEKEFVTK
+>Q7RTR0_PF13516_741 <unknown description>
+NSKLKHLSLVENPLRDEGMTLLC
+>Q7RTR0_PF13516_800 <unknown description>
+SLSLLDLGSNALEDNGVASLC
+>Q7RTR0_PF13516_857 <unknown description>
+KLKTLKLGHNEIGDTGVRQLC
+>Q86UT6_PF05729_161 <unknown description>
+TVVLYGTVGTGKSTLVRKMVLDWCYGRLPAFELLIPFSCEDLSSLGPAPASLCQLVAQRY
+TPLKEVLPLMAAAGSHLLFVLHGLEHLNLDFRLAGTGLCSDPEEPQEPAAIIVNLLRKYM
+LPQASILVTTRPSAIGRIPSKYVGRYGEICGFSDTNLQKL
+>Q86UT6_PF13516_806 <unknown description>
+NTSVTHLSLLHTGLGDEGLELLA
+>Q8NA29_PF13347_48 <unknown description>
+CYALGGAPYQVTGCALGFFLQIYLLDVAQVGPFSASIILFVGRAWDAITDPLVGLCISKS
+PWTCLGRLMPWIIFSTPLAVIAYFLIWFVPDFPHGQTYWYLLFYCLFETMVTCFHVPYSA
+LTMFISTEQTERDSATAYRMTVEVLGTVLGTAIQGQIVGQADTPCFQDLNSSTVASQSAN
+HTHGTTSHRETQKAYLLAAGVIVCIYIICAVILILGVREQREPYEAQQSEPIAYFRGLRL
+VMSHGPYIKLITGFLFTSLAFMLVEGNFVLFCTYTLGFRNEFQNLLLAIMLSATLTIPIW
+QWFLTRFGKKTAVYVGISSAVPFLILVALMESNLIITYAVAVAAGISVAAAFLLPWSMLP
+DVIDDFHLKQPHFHGTEPIFFSFYVFFTKFASGVSLGISTLSLDFAGYQTRGCSQPERVK
+FTLNMLVTMAPIVLILLGLLLFKMYPIDE
+>P28336_PF00001_60 <unknown description>
+GNIMLVKIFITNSAMRSVPNIFISNLAAGDLLLLLTCVPVDASRYFFDEWMFGKVGCKLI
+PVIQLTSVGVSVFTLTALSADRYRAIVNPMDMQTSGALLRTCVKAMGIWVVSVLLAVPEA
+VFSEVARISSLDNSSFTACIPYPQTDELHPKIHSVLIFLVYFLIPLAIISIYYYHIAKTL
+IKSAHNLPGEYNEHTKKQMETRKRLAKIVLVFVGCFIFCWFPNHILYMYRSFNYNEIDPS
+LGHMIVTLVARVLSFGNSCVNPF
+>P08949_PF02044_47 <unknown description>
+GNLWATGHFMGKKS
+>Q8TCU5_PF01094_126 <unknown description>
+DALLFAVDNLNRVEGLLPYNLSLEVVMAIEAGLGDLPLLPFSSPSSPWSSDPFSFLQSVC
+HTVVVQGVSALLAFPQSQGEMMELDLVSLVLHIPVISIVRHEFPRESQNPLHLQLSLENS
+LSSDADVTVSILTMNNWYNFSLLLCQEDWNITDFLLLTQNNSKFHLGSIINITANLPSTQ
+DLLSFLQIQLESIKNSTPTVVMFGCDMESIRRIFEITTQFGVMPPELRWVLGDSQNVEEL
+RTEGLPLGLIAHGKTTQSVFEHYVQDAMELVARAVATATMIQPELALIPSTMNCMEVETT
+NLTSGQYLSRFLANTTF
+>Q8TCU5_PF10613_573 <unknown description>
+KKCCYGYCIDLLEKIAEDMNFDFDLYIVGDGKYGAWKNGHWTGLVGDLLRGTAHMAVTSF
+SINTARSQVIDFTSPFFSTSLGILVRT
+>Q8TCU5_PF00060_675 <unknown description>
+WTMWLGIFVALHITAVFLTLYEWKSPFGLTPKGRNRSKVFSFSSALNICYALLFGRTVAI
+KPPKCWTGRFLMNLWAIFCMFCLSTYTANLAAVMVGEKIYEELSGIHDPKLHHPSQGFRF
+GTVRESSAEDYVRQSFPEMHEYMRRYNVPATPDGVEYLKNDPEKLDAFIMDKALLDYEVS
+IDADCKLLTVGKPFAIEGYGIGLPPNSPLTANISELISQYKSHGFMDMLHDKWYRVVPCG
+KRSFAVTETLQMGIKHFSGLFVLLCIGF
+>O60391_PF10613_474 <unknown description>
+KCCYGYCIDLLERLAEDTPFDFELYLVGDGKYGALRDGRWTGLVGDLLAGRAHMAVTSFS
+INSARSQVVDFTSPFFSTSLGIMVRA
+>O60391_PF00060_575 <unknown description>
+WSTWLGVFAALHLTALFLTVYEWRSPYGLTPRGRNRSTVFSYSSALNLCYAILFRRTVSS
+KTPKCPTGRLLMNLWAIFCLLVLSSYTANLAAVMVGDKTFEELSGIHDPKLHHPAQGFRF
+GTVWESSAEAYIKKSFPDMHAHMRRHSAPTTPRGVAMLTSDPPKLNAFIMDKSLLDYEVS
+IDADCKLLTVGKPFAIEGYGIGLPQNSPLTSNLSEFISRYKSSGFIDLLHDKWYKMVPCG
+KRVFAVTETLQMSIYHFAGLFVLLCLGL
+>Q96D46_PF04981_17 <unknown description>
+CCECGVPISPNPANICVACLRSKVDISQGIPKQVSISFCKQCQRYFQPPGTWIQCALESR
+ELLALCLKKIKAPLSKVRLVDAGFVWTEPHSKRLKVKLTIQKEVMNGAILQQVFVVDYVV
+QSQMCGDCHRVEAKDFWKAVIQVRQKTLHKKTFYYLEQLILKYGMHQNTLRIKEIHDGLD
+FYYSSKQHAQKMVEFLQCTVPCRYKASQRLISQDIHSNTYNYKSTFSVEI
+>Q12879_PF01094_107 <unknown description>
+EAVAQMLDFISSHTFVPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYD
+WHVFSLVTTIFPGYREFISFVKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSV
+ILLYCSKDEAVLILSEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVS
+>Q12879_PF10613_439 <unknown description>
+FVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLVTNGKHGKKVNNVWNGMIG
+EVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSR
+>Q12879_PF00060_555 <unknown description>
+ASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFTIGKAIWLLWGLVFN
+NSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVDQVTGLSDKKFQRPH
+DYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQKGVEDALVSLKTGKLDAFIYDAAV
+LNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALLQFVGDGEMEELETL
+WLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAM
+>Q12879_PF10565_839 <unknown description>
+HLFYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLL
+RSAKNISSMSNMNSSRMDSPKRAADFIQRGSLIMDMVSDKGNLMYSDNRSFQGKESIFGD
+NMNELQTFVANRQKDNLNNYVFQGQHPLTLNESNPNTVEVAVSTESKANSRPRQLWKKSV
+DSIRQDSLSQNPVSQRDEATAENRTHSLKSPRYLPEEMAHSDISETSNRATCHREPDNSK
+NHKTKDNFKRSVASKYPKDCSEVERTYLKTKSSSPRDKIYTIDGEKEPGFHLDPPQFVEN
+VTLPENVDFPDPYQDPSENFRKGDSTLPMNRNPLHNEEGLSNNDQYKLYSKHFTLKDKGS
+PHSETSERYRQNSTHCRSCLSNMPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETG
+NPATGEQVYQQDWAQNNALQLQKNKLRISRQHSYDNIVDKPRELDLSRPSRSISLKDRER
+LLEGNFYGSLFSVPSSKLSGKKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHSHRDDQRLV
+IGRCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHNDVYISEHVMPYAANKNNM
+YSTPRVLNSCSNRRVYKKMPSIESDV
+>Q13224_PF01094_108 <unknown description>
+IAQILDFISAQTLTPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWY
+IFSIVTTYFPGYQDFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPI
+ILLYCTKEEATYIFEVANSVGLTGYGYTWIVPSLVAGDTDTVPAEFPTGLISVSYDEWDY
+GLPARVRDGIAIITTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNRYLINVTFEGR
+NLSFSEDGYQMHPKLVIILLN
+>Q13224_PF10613_446 <unknown description>
+TDEEPGYIKKCCKGFCIDILKKISKSVKFTYDLYLVTNGKHGKKINGTWNGMIGEVVMKR
+AYMAVGSLTINEERSEVVDFSVPFIETGISVMVSR
+>Q13224_PF00060_556 <unknown description>
+ADVWVMMFVMLLIVSAVAVFVFEYFSPVGYNRCLADGREPGGPSFTIGKAIWLLWGLVFN
+NSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEYVDQVSGLSDKKFQRPN
+DFSPPFRFGTVPNGSTERNIRNNYAEMHAYMGKFNQRGVDDALLSLKTGKLDAFIYDAAV
+LNYMAGRDEGCKLVTIGSGKVFASTGYGIAIQKDSGWKRQVDLAILQLFGDGEMEELEAL
+WLTGICHNEKNEVMSSQLDIDNMAGVFYMLGAAM
+>Q13224_PF10565_840 <unknown description>
+HLFYWQFRHCFMGVCSGKPGMVFSISRGIYSCIHGVAIEERQSVMNSPTATMNNTHSNIL
+RLLRTAKNMANLSGVNGSPQSALDFIRRESSVYDISEHRRSFTHSDCKSYNNPPCEENLF
+SDYISEVERTFGNLQLKDSNVYQDHYHHHHRPHSIGSASSIDGLYDCDNPPFTTQSRSIS
+KKPLDIGLPSSKHSQLSDLYGKFSFKSDRYSGHDDLIRSDVSDISTHTVTYGNIEGNAAK
+RRKQQYKDSLKKRPASAKSRREFDEIELAYRRRPPRSPDHKRYFRDKEGLRDFYLDQFRT
+KENSPHWEHVDLTDIYKERSDDFKRDSVSGGGPCTNRSHIKHGTGDKHGVVSGVPAPWEK
+NLTNVEWEDRSGGNFCRSCPSKLHNYSTTVTGQNSGRQACIRCEACKKAGNLYDISEDNS
+LQELDQPAAPVAVTSNASTTKYPQSPTNSKAQKKNRNKLRRQHSYDTFVDLQKEEAALAP
+RSVSLKDKGRFMDGSPYAHMFEMSAGESTFANNKSSVPTAGHHHHNNPGGGYMLSKSLYP
+DRVTQNPFIPTFGDDQCLLHGSKSYFFRQPTVAGASKARPDFRALVTNKPVVSALHGAVP
+ARFQKDICIGNQSNPCVPNNKNPRAFNGSSNGHVYEKLSSIESDV
+>Q14957_PF01094_102 <unknown description>
+EAVAQILDFISSQTHVPILSISGGSAVVLTPKEPGSAFLQLGVSLEQQLQVLFKVLEEYD
+WSAFAVITSLHPGHALFLEGVRAVADASHVSWRLLDVVTLELGPGGPRARTQRLLRQLDA
+PVFVAYCSREEAEVLFAEAAQAGLVGPGHVWLV
+>Q14957_PF10613_454 <unknown description>
+CKGFCIDILKKLARVVKFSYDLYLVTNGKHGKRVRGVWNGMIGEVYYKRADMAIGSLTIN
+EERSEIVDFSVPFVETGISVMVAR
+>Q14957_PF00060_554 <unknown description>
+AVWVMMFVMCLTVVAITVFMFEYFSPVSYNQNLTRGKKSGGPAFTIGKSVWLLWALVFNN
+SVPIENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEQYIDTVSGLSDKKFQRPQD
+QYPPFRFGTVPNGSTERNIRSNYRDMHTHMVKFNQRSVEDALTSLKMGKLDAFIYDAAVL
+NYMAGKDEGCKLVTIGSGKVFATTGYGIAMQKDSHWKRAIDLALLQFLGDGETQKLETVW
+LSGICQNEKNEVMSSKLDIDNMAGVFYMLLVAM
+>Q14957_PF10565_837 <unknown description>
+HLVYWKLRHSVPNSSQLDFLLAFSRGIYSCFSGVQSLASPPRQASPDLTASSAQASVLKM
+LQAARDMVTTAGVSSSLDRATR
+>O15399_PF01094_98 <unknown description>
+SLVLQLCDLLSGLRVHGVVFEDDSRAPAVAPILDFLSAQTSLPIVAVHGGAALVLTPKEK
+GSTFLQLGSSTEQQLQVIFEVLEEYDWTSFVAVTTRAPGHRAFLSYIEVLTDGSLVGWEH
+RGALTLDPGAGEAVLSAQLRSVSAQIRLLFCAREEAEPVFRAAEEAGLTGSGYVWF
+>O15399_PF10613_482 <unknown description>
+RCCKGFCIDILKRLAHTIGFSYDLYLVTNGKHGKKIDGVWNGMIGEVFYQRADMAIGSLT
+INEERSEIVDFSVPFVETGISVMVAR
+>O15399_PF00060_584 <unknown description>
+AVWVMMFVMCLTVVAVTVFIFEYLSPVGYNRSLATGKRPGGSTFTIGKSIWLLWALVFNN
+SVPVENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEEYVDTVSGLSDRKFQRPQE
+QYPPLKFGTVPNGSTEKNIRSNYPDMHSYMVRYNQPRVEEALTQLKAGKLDAFIYDAAVL
+NYMARKDEGCKLVTIGSGKVFATTGYGIALHKGSRWKRPIDLALLQFLGDDEIEMLERLW
+LSGICHNDKIEVMSSKLDIDNMAGVFYMLLVAM
+>Q05586_PF01094_42 <unknown description>
+FREAVNQANKRHGSWKIQLNATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDH
+FTPTPVSYTAGFYRIPVLGLTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYSWN
+HIILLVSDDHEGRAAQKRLETLLEERESKAEKVLQFDPGTKNVTALLMEAKELEARVIIL
+SASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGILGLQLINGKNESAHI
+SDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFN
+EDGDRKFANYSIMNLQN
+>Q05586_PF10613_453 <unknown description>
+QCCYGFCIDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADM
+IVAPLTINNERAQYIEFSKPFKYQGLTILVKKE
+>Q05586_PF00060_560 <unknown description>
+STLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGI
+GEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKF
+IYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFE
+ASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECD
+SRSNAPATLTFENMAGVFMLVAGGI
+>Q05586_PF10562_835 <unknown description>
+IAYKRHKDARRKQMQLAFAAVNVWRKNLQ
+>Q9C002_PF06522_9 <unknown description>
+KRKELIPLVVFMTVAAGGASSFAVYSLWKTDVILDRKKNPEPWETVDPTVPQKLITINQQ
+WKPIEE
+>Q13287_PF07334_29 <unknown description>
+LIDEITKKNIQLKKEIQKLETELQEATKEFQIKEDIPETKMKFLSVETPENDSQLSNISC
+SFQVSSKVPYEIQKGQ
+>Q13287_PF07292_105 <unknown description>
+ALITFEKEEVAQNVVSMSKHHVQIKDVNLEVTAKPVPLNSGVRFQVYVEVSKMKINVTEI
+PDTLREDQMRDKLELSFSKSRNGGGEVD
+>Q13287_PF07292_203 <unknown description>
+AVITFVEIGVADKILKKKEYPLYINQTCHRVTVSPYTEIHLKKYQIFSGTSKRTVLLTGM
+EGIQMDEEIVEDLINIHFQRAKNGGGEVD
+>Q9HAN9_PF01467_12 <unknown description>
+LACGSFNPITNMHLRLFELAKDYMNGTGRYTVVKGIISPVGDAYKKKGLIPAYHRVIMAE
+LATKNSKWVEVDTWESLQKEWKETLKVLRHHQEKLEASDCDHQQNSPTLERPGRKRKWTE
+TQDSSQKKSLEPKTKAVPKVKLLCGADLLESFAVPNLWKSEDITQIVANYGLICVTRAGN
+DAQKFIYESDVLWKHRSNIHVVNEWIANDISSTKIRRA
+>Q9BZQ4_PF01467_12 <unknown description>
+LACGSFNPITKGHIQMFERARDYLHKTGRFIVIGGIVSPVHDSYGKQGLVSSRHRLIMCQ
+LAVQNSDWIRVDPWECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTPSMTPVIGQPQN
+ETPQPIYQNSNVATKPTAAKILGKVGESLSRICCVRPPVERFTFVDENANLGTVMRYEEI
+ELRILLLCGSDLLESFCIPGLWNEADMEVIVGDFGIVVVPRDAADTDRIMNHSSILRKYK
+NNIMVVKDDINHPMSVVSSTKSR
+>Q9HBL8_PF05368_7 <unknown description>
+VVVFGGTGAQGGSVARTLLEDGTFKVRVVTRNPRKKAAKELRLQGAEVVQGDQDDQVIME
+LALNGAYATFIVTNYWESCSQEQEVKQGKLLADLARRLGLHYVVYSGLENIKKLTAGRLA
+AAHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSHFLPQKAPDGKSYLLSLPTGDVPMD
+GMSVSDLGPVVLSLLKMPEKYVGQNIGLSTCRHTAEEYAALLTKHTRKVV
+>P30419_PF01233_141 <unknown description>
+DKDNIRQEPYTLPQGFTWDALDLGDRGVLKELYTLLNENYVEDDDNMFRFDYSPEFLLWA
+LRPPGWLPQWHCGVRVVSSRKLVGFISAIPANIHIYDTEKKMVEINFLCVHKKLRSKRVA
+PVLIREITRRVHLEGIFQAVYTAGVVLPKPVGTC
+>P30419_PF02799_308 <unknown description>
+EVKFSHLSRNMTMQRTMKLYRLPETPKTAGLRPMETKDIPVVHQLLTRYLKQFHLTPVMS
+QEEVEHWFYPQENIIDTFVVENANGEVTDFLSFYTLPSTIMNHPTHKSLKAAYSFYNVHT
+QTPLLDLMSDALVLAKMKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWKCPSM
+>O60551_PF01233_143 <unknown description>
+DKDNVRQEPYSLPQGFMWDTLDLSDAEVLKELYTLLNENYVEDDDNMFRFDYSPEFLLWA
+LRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDSVKKMVEINFLCVHKKLRSKRVA
+PVLIREITRRVNLEGIFQAVYTAGVVLPKPIATC
+>O60551_PF02799_310 <unknown description>
+EVKFSHLSRNMTLQRTMKLYRLPDVTKTSGLRPMEPKDIKSVRELINTYLKQFHLAPVMD
+EEEVAHWFLPREHIIDTFVVESPNGKLTDFLSFYTLPSTVMHHPAHKSLKAAYSFYNIHT
+ETPLLDLMSDALILAKSKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWRCPG
+>Q9HB89_PF00001_77 <unknown description>
+GNGLTCLVILRHKAMRTPTNYYLFSLAVSDLLVLLVGLPLELYEMWHNYPFLLGVGGCYF
+RTLLFEMVCLASVLNVTALSVERYVAVVHPLQARSMVTRAHVRRVLGAVWGLAMLCSLPN
+TSLHGIRQLHVPCRGPVPDSAVCMLVRPRALYNMVVQTTALLFFCLPMAIMSVLYLLIGL
+RLRRERLLLMQEAKGRGSAAARSRYTCRLQQHDRGRRQVTKMLFVLVVVFGICWAPFHAD
+RVMWSVVSQWTDGLHLAFQHVHVISGIFFYLGSAANPVLY
+>Q9GZQ4_PF00001_62 <unknown description>
+GNVLVCLVILQHQAMKTPTNYYLFSLAVSDLLVLLLGMPLEVYEMWRNYPFLFGPVGCYF
+KTALFETVCFASILSITTVSVERYVAILHPFRAKLQSTRRRALRILGIVWGFSVLFSLPN
+TSIHGIKFHYFPNGSLVPGSATCTVIKPMWIYNFIIQVTSFLFYLLPMTVISVLYYLMAL
+RLKKDKSLEADEGNANIQRPCRKSVNKMLFVLVLVFAICWAPFHIDRLFFSFVEEWSESL
+AAVFNLVHVVSGVFFYLSSAVNPIIY
+>Q9GZQ4_PF19285_331 <unknown description>
+SRRFQAAFQNVISSFHKQWHSQHDPQLPPAQRNIFLTECHFVELTEDIGPQFPCQSSMHN
+SHLPAAL
+>P48645_PF02070_142 <unknown description>
+FRVDEEFQSPFASQSRGYFLFRPRN
+>P40261_PF01234_2 <unknown description>
+ESGFTSKDTYLSHFNPRDYLEKYYKFGSRHSAESQILKHLLKNLFKIFCLDGVKGDLLID
+IGSGPTIYQLLSACESFKEIVVTDYSDQNLQELEKWLKKEPEAFDWSPVVTYVCDLEGNR
+VKGPEKEEKLRQAVKQVLKCDVTQSQPLGAVPLPPADCVLSTLCLDAACPDLPTYCRALR
+NLGSLLKPGGFLVIMDALKSSYYMIGEQKFSSLPLGREAVEAAVKEAGYTIEWFEVISQS
+YSSTMANNEGLFSLVARK
+>Q8NCW5_PF03853_81 <unknown description>
+DQLMELAGLSCATAIAKAYPPTSMSRSPPTVLVICGPGNNGGDGLVCARHLKLFGYEPTI
+YYPKRPNKPLFTALVTQCQKMDIPFLGEMPAEPMTIDELYELVVDAIFGFSFKGDVREPF
+HSILSVLKGLTVPIASIDIPSGWDVEKGNAGGIQPDLLISLTAPKK
+>Q13423_PF05222_60 <unknown description>
+GVPKEIFQNEKRVALSPAGVQNLVKQGFNVVVESGAGEASKFSDDHYRVAGAQIQGAKEV
+LASDLVVKVRAPMVNPTLGVHEADLLKTSGTLISFIYPAQNPELLNKLSQRKTTVLAMDQ
+VPRVTIAQGYDALSSMANIA
+>Q13423_PF01262_203 <unknown description>
+AVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGLASAGAAKSMGAIVRGFDTRAAA
+LEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAEMKLFAQQCKEVDILISTALIPG
+KKAPVLFNKEMIESMKEGSVVVDLAAEAGGNFETTKPGELYIHKGITHIGYTDLPSRMAT
+QASTLYSNNITKLLKAISPDKDNFYFDVKDDFDFGTMGHVIRGTVVMKDGKVI
+>Q13423_PF12769_502 <unknown description>
+VTTFGLAGIVGYHTVWGVTPALHSPLMSVTNAISGLTAVGGLALMGGHLYPSTTSQGLAA
+LAAFISSVNIAGGFLVTQRMLDMFKR
+>Q13423_PF02233_621 <unknown description>
+QIMYLGSGLCCVGALAGLSTQGTARLGNALGMIGVAGGLAATLGVLKPGPELLAQMSGAM
+ALGGTIGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCIAEYIIEYPHFATDAAANLTKI
+VAYLGTYIGGVTFSGSLIAYGKLQGLLKSAPLLLPGRHLLNAGLLAASVGGIIPFMVDPS
+FTTGITCLGSVSALSAVMGVTLTAAIGGADMPVVITVLNSYSGWALCAEGFLLNNNLLTI
+VGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGGKPMEISGTHTEINLDNAIDM
+IREANSIIITPGYGLCAAKAQYPIADLVKMLTEQGKKVRFGIHPVAGRMPGQLNVLLAEA
+GVPYDIVLEMDEINHDFPDTDLVLVIGANDTVNSAAQEDPNSIIAGMPVLEVWKSKQVIV
+MKRSLGVGYAAVDNPIFYKPNTAMLLGDAKKTCDALQA
+>Q8NC60_PF01926_341 <unknown description>
+VYLVGATNAGKSTLFNTLLESDYCTAKGSEAIDRATISPWPGTTLNLLKFPICNPTPYRM
+FKRHQRLKKDSTQAEEDLSEQEQNQLNVLKKHGYVVGRVGRTFLYSEEQKDNIPFEFDAD
+SLAFDMENDPVMGTHKSTKQVELTAQDVKDAHWFYDTPGIT
+>Q9ULX3_PF17146_7 <unknown description>
+VVADAGAFLRHAALQDIGKNIYTIREVVTEIRDKATRRRLAVLPYELRFKEPLPEYVRLV
+TEFSKKTGDYPSLSATDIQVLALTYQL
+>Q9ULX3_PF15017_153 <unknown description>
+EFSSFMFWRNPLPNIDHELQELLIDRGEDVPSEEEEEEENGFEDRKDDSDDDGGGWITPS
+>Q9ULX3_PF08772_260 <unknown description>
+IREARSYILRCHGCFKTTSDMSRVFCSHCGNKTLKKVSVTVSDDGTLHMHFSRNPKVLNP
+RGLRYSLPTPKGG
+>O60393_PF00046_273 <unknown description>
+KKTRTLYRSDQLEELEKIFQEDHYPDSDKRREIAQTVGVTPQRIM
+>Q9Y3T9_PF03715_328 <unknown description>
+FLGPVLKQMYITYVRNCKFTSPGALPFISFMQWTLTELLALEPGVAYQHAFLYIRQLAIH
+LRNAMTTRKKETYQSVYNWQYVHCLFLWCRVLSTAGPSEALQPLVYPLAQVIIGCIKLIP
+TARFYPLRMHCIRALTLLSGSSGAFIPVLPFILEMFQQVDFNRKPGRMSSKPINFSVILK
+LSNVNLQEKAYRDGLVEQLYDLTLEYLHSQAHCIGFPELVLPVVLQLKSFLRECKVANYC
+RQVQQLLGKVQENSAYICSRRQRVSFGVSEQQAVEAWEKLTREEGTPLTLYYSHW
+>Q8WTT2_PF07540_214 <unknown description>
+KKMHIAALASAILSDPENNIKKLKELRSMLMEQDPDVAVTVRKLVIVSLMELFKDITPSY
+KIRPLTEAEKSTKTRKETQKLREFEEGLVSQYKFY
+>Q8WTT2_PF03914_555 <unknown description>
+SLHCVQTAFHILSGQGDVLNIDPLKFYTHLYKTLFKLHAGATNEGVEIVLQCLDVMLTKR
+RKQVSQQRALAFIKRLCTLALHVLPNSSIGILATTRILMHTFPKTDLLLDSESQGSGVFL
+PELDEPEYCNAQNTALWELHALRRHYHPIVQRFA
+>Q9BVI4_PF03914_305 <unknown description>
+SLLALNGLFILIHKHNLEYPDFYRKLYGLLDPSVFHVKYRARFFHLADLFLSSSHLPAYL
+VAAFAKRLARLALTAPPEALLMVLPFICNLLRRHPACRVLVHRPHGPELDADPYDPGEED
+PAQSRALESSLWELQALQRHYHPEVSKAA
+>Q9UK39_PF03372_146 <unknown description>
+MQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEEILAYQPDILCLQEVDHYFDTFQP
+LLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFFLQNRFKLVNSANIRLTAMTLKTNQV
+AIAQTLECKESGRQFCIAVTHLKARTGWERFRSAQGCDLLQNLQNITQGAKIPLIVCGDF
+NAEPTEEVYKHFASSSLNLNSAYKLLSADGQSEPPYTTWKIRTSGECRHTLDYIWYSKHA
+LNVRSALDLLTEE
+>Q9Y239_PF00619_21 <unknown description>
+QLLKSNRELLVTHIRNTQCLVDNLLKNDYFSAEDAEIVCACPTQPDKVRKILDLVQSKGE
+EVSEFFLYLLQQLADAYV
+>Q9Y239_PF05729_197 <unknown description>
+TIFILGDAGVGKSMLLQRLQSLWATGRLDAGVKFFFHFRCRMFSCFKESDRLCLQDLLFK
+HYCYPERDPEEVFAFLLRFPHVALFTFDGLDELHSDLDLSRVPDSSCPWEPAHPLVLLAN
+LLSGKLLKGASKLLTARTGIEVPRQFLRKKVLLRGFSPSHLRAYARRMFPE
+>Q9Y239_PF17779_458 <unknown description>
+DTLCSLGQVAHRGMEKSLFVFTQEEVQASGLQERDMQLGFLRALPELGPGGDQQSYEF
+>Q9Y239_PF17776_517 <unknown description>
+HLTLQAFFTAFFLVLDDRVGTQELLRFFQEWMPPAGAATTSCYPPFLPFQCLQGSGPARE
+DLFKNKDHFQFTNLFLCGLLSKAKQKLLRHLVPAAALRRKRKALWAHLFSSLRGYLKSLP
+RVQVESFNQVQAMPTFIWMLRCIYETQSQK
+>Q9Y239_PF13516_729 <unknown description>
+RLTVLRLSVNQITDGGVKVLS
+>Q9Y239_PF13516_786 <unknown description>
+LTHLKLGKNKITSEGGKYLA
+>Q9Y239_PF13516_821 <unknown description>
+GNQVGDEGAKAFA
+>Q9Y239_PF13516_839 <unknown description>
+HPSLTTLSLASNGISTEGGKSLA
+>Q9HC29_PF00619_4 <unknown description>
+QEAFQAQRSQLVELLVSGSLEGFESVLDWLLSWEVLSWEDYEGFHLLGQPLSHLARRLLD
+TVWNKGTWACQKLIAAAQEAQADSQSPKLH
+>Q9HC29_PF05729_266 <unknown description>
+DTVLVVGEAGSGKSTLLQRLHLLWAAGQDFQEFLFVFPFSCRQLQCMAKPLSVRTLLFEH
+CCWPDVGQEDIFQLLLDHPDRVLLTFDGFDEFKFRFTDRERHCSPTDPTSVQTLLFNLLQ
+GNLLKNARKVVTSRPAAVSAFLRKYIRTEFNLKGFSEQGIELYLRKRHHE
+>Q9HC29_PF17779_519 <unknown description>
+TLLHLGRLALWGLGMCCYVFSAQQLQAAQVSPDDISLGFLVR
+>Q9HC29_PF17776_576 <unknown description>
+HITFQCFFAAFYLALSADVPPALLRHLFNCGRPGNSPMARLLPTMCIQASEGKDSSVAAL
+LQKAEPHNLQITAAFLAGLLSREHWGLLAECQTSEKALLRRQACARWCLARSLRKHFHSI
+PPAAPGEAKSVHAMPGFIWLIRSLYEMQEERLARK
+>Q9HC29_PF13516_871 <unknown description>
+NTSLQFLGFWGNRVGDEGAQALA
+>Q9HC29_PF13516_900 <unknown description>
+QSLRWLSLVGNNIGSVGAQALA
+>Q9HC29_PF13516_954 <unknown description>
+KNSSLKILKLSNNCITYLGAEAL
+>Q96S42_PF00019_247 <unknown description>
+CRKVKFQVDFNLIGWGSWIIYPKQYNAYRCEGECPNPVGEEFHPTNHAYIQSLLKRYQPH
+RVPSTCCAPVKTKPLSMLYVDNGRVLLDHHKDMIVEECGC
+>Q99784_PF12308_55 <unknown description>
+NPEESWQVYSSAQDSEGRCICTVVAPQQTMCSRDARTKQLRQLLEKVQNMSQSIEVLDRR
+TQRDLQYVEKMENQMKGLESKFKQVEESHKQHLARQFK
+>Q99784_PF02191_230 <unknown description>
+LTGISDPVTVKTSGSRFGSWMTDPLAPEGDNRVWYMDGYHNNRFVREYKSMVDFMNTDNF
+TSHRLPHPWSGTGQVVYNGSIYFNKFQSHIIIRFDLKTETILKTRSLDYAGYNNMYHYAW
+GGHSDIDLMVDESGLWAVYATNQNAGNIVVSRLDPVSLQTLQTWNTSYPKRSAGEAFIIC
+GTLYVTNGYSGGTKVHYAYQTNASTYEYIDIPFQNKYSHISMLDYNPKDRALYAWNNGHQ
+ILYNVT
+>O95897_PF12308_26 <unknown description>
+NPEEGWQLYTSAQAPDGKCICTAVIPAQSTCSRDGRSRELRQLMEKVQNVSQSMEVLELR
+TYRDLQYVRGMETLMRSLDARLRAADGSLSAKSFQE
+>O95897_PF02191_198 <unknown description>
+LTGVSNPITVRAMGSRFGSWMTDTMAPSADSRVWYMDGYYKGRRVLEFRTLGDFIKGQNF
+IQHLLPQPWAGTGHVVYNGSLFYNKYQSNVVVKYHFRSRSVLVQRSLPGAGYNNTFPYSW
+GGFSDMDFMVDESGLWAVYTTNQNAGNIVVSRLDPHTLEVMRSWDTGYPKRSAGEAFMIC
+GVLYVTNSHLAGAKVYFAYFTNTSSYEYTDVPFHNQYSHISMLDYNPRERALYTWNNGHQ
+VLYNVT
+>Q96PB7_PF12308_27 <unknown description>
+SPKEGWQVYSSAQDPDGRCICTVVAPEQNLCSRDAKSRQLRQLLEKVQNMSQSIEVLNLR
+TQRDFQYVLKMETQMKGLKAKFRQIEDDRKTLMTKHFQE
+>Q96PB7_PF02191_202 <unknown description>
+LMKITGPVTVKTSGTRFGAWMTDPLASEKNNRVWYMDSYTNNKIVREYKSIADFVSGAES
+RTYNLPFKWAGTNHVVYNGSLYFNKYQSNIIIKYSFDMGRVLAQRSLEYAGFHNVYPYTW
+GGFSDIDLMADEIGLWAVYATNQNAGNIVISQLNQDTLEVMKSWSTGYPKRSAGESFMIC
+GTLYVTNSHLTGAKVYYSYSTKTSTYEYTDIPFHNQYFHISMLDYNARDRALYAWNNGHQ
+VLFNVT
+>Q13823_PF08153_43 <unknown description>
+MYRQKERRNSRGKIIKPLQYQSTVASGTVARVEPNIKWFGNTRVIKQSSLQKFQEEMDTV
+MKDPYKVVMKQSKLPMSLLHDRIRPHNLKVHILDTESFETTFGPKSQRKRPNLFASDMQS
+LIENAEMSTESY
+>Q13823_PF01926_313 <unknown description>
+VGFIGYPNVGKSSVINTLRSKKVCNVAPIAGETKVWQYITLMRRIFLIDCPGVVYPSEDS
+ETDIVLKGVVQVEKIKSPEDHIGAVLE
+>Q13253_PF05806_13 <unknown description>
+ALVVVLGLRATPAGGQHYLHIRPAPSDNLPLVDLIEHPDPIFDPKEKDLNETLLRSLLGG
+HYDPGFMATSPPEDRPGGGGGAAGGAEDLAELDQLLRQRPSGAMPSEIKGLEFSEGLAQG
+KKQRLSKKLRRKLQMWLWSQTFCPVLYAWNDLGSRFWPRYVKVGSCFSKRSCSVPEGMVC
+KPSKSVHLTVLRWRCQRRGGQRCGWIPIQYPIISECKCSC
+>Q9BSC4_PF08159_482 <unknown description>
+DDRFKVMFENPDFQVDEESEEFRLLNP
+>Q9H8H0_PF08168_200 <unknown description>
+ENSVIKSFTASVDRKFISLMSLSSDGCIYETLIPIRPADPEKNQ
+>Q9UGY1_PF09805_20 <unknown description>
+LSFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKEEQRKLREERHQEYLKMLAEREEAL
+EEADELDRLVTAKT
+>Q9H6R4_PF03813_178 <unknown description>
+VDVALTMPREILQDKDGLNQRYFRKRALYLAHLAHHLAQDPLFGSVCFSYTNGCHLKPSL
+LLRPRGKDERLVTVRLHPCPPPDFFRPCRLLPTKNNVRSAWYRGQSPAGDGSPEPPTPRY
+NTWVLQDTVLESHLQLLSTI
+>Q9H6R4_PF17403_324 <unknown description>
+LKDGVALLKVWLRQRELDKGQGGFTGFLVSMLVVFLVSTRKIHTTMSGYQVLRSVLQFLA
+TTDLTVNGISLCLSSDPSLPALADFHQAFSVVFLDSSGHLNLCADVTASTYHQVQHEARL
+SMMLLDSRADDGFHLLLMT
+>Q9H6R4_PF17404_468 <unknown description>
+RAFDHVLHLRPLSRLQAACHRLKLWPELQDNGGDYVSAALGPLTTLLEQGLGARLNLLAH
+SRPPVPEWDISQDPPKHKDSGTLTLGLLLRPEGLTSVLELGPEADQPEAAKFRQFWGSRS
+ELRRFQDGAIREAVVWEAASMSQKRLIPHQVVTHLLALHAD
+>Q9H6R4_PF17405_644 <unknown description>
+LIQGLKETSSTGEEALVAAVRCYDDLSRLLWGLEGLPLTVSAVQGAHPVLRYTEVFPPTP
+VRPAFSFYETLRERSSLLPRLDKPCPAYVEPMTVVCHLEGSGQWPQDAEAVQRVRAAFQL
+RLAELLTQQHGLQCRATATHTDVLKDGFVFRIRVAYQREPQILKEVQSPEGMISLRDTAA
+SLRLERDTRQLPLLTSALHGLQQ
+>Q9H6R4_PF17406_848 <unknown description>
+HPAFSGVARLAKRWVRAQLLGEGFADESLDLVAAALFLHPEPFTPPSSPQVGFLRFLFLV
+STFDWKNNPLFVNLNNELTVEEQVEIRSGFLAARAQLPVMVIVTPQDRKNSVWTQDGPSA
+QILQQLVVLAAEALPMLEKQLMDPRGPGDIRTVFRP
+>Q9H6R4_PF17407_1005 <unknown description>
+LDIYDVLIRLSPRHIPRHRQAVDSPAASFCRGLLSQPGPSSLMPVLGYDPPQLYLTQLRE
+AFGDLALFFYDQHGGEVIGVLWKPTSFQPQPFKASSTKGRMVMSRGGELVMVPNVEAILE
+DFAVLGEGLVQTV
+>Q9UMY1_PF08157_172 <unknown description>
+YLAVRLKDQDLRDSRQQAAQAFIHNSLYGPGTNRTTVNKFLSLANKRLPVKRAAVQFLNN
+AWG
+>Q76FK4_PF00076_10 <unknown description>
+LYVGGLSQDISEADLQNQFSRFGEVSDVEIITRKDDQGNPQKVFAYINISVAEADLKKCM
+SVLNKTKWKGG
+>Q5SY16_PF16575_306 <unknown description>
+GSQDVGKSTFNRYLINHLLNSLPCVDYLECDLGQTEFTPPGCISLLNITEPVLGPPFTHL
+RTPQKMVYYGKPSCKNNYENYIDIVKYVFSAYKRESPLIVNTMGWVSDQGLLLLIDLIRL
+LSPSHVVQFRSDH
+>Q14978_PF05022_624 <unknown description>
+PFRRVREEEIEVDSRVADNSFDAKRGAAGDWGERANQVLKFTKGKSFRHEKTKKKRGSYR
+GGSISVQVNSIKF
+>Q5C9Z4_PF02854_363 <unknown description>
+KHVKGLLNRLSEPNMASISGQLEELYMAHSRKDMNDTLTSALMGACVTASAMPSRLMMEH
+VLLVSILHHTVGIEVGAHFLEAVVRKFDAIYKYGSEGKECDNLFTVIAHLYNFHVVQSLL
+IFDILKKLIGTFTEKDIELILLMLKNVGFSLRKDDALSLKELITEAQTKASGAGSEFQDQ
+TRIRFMLETMLALKNND
+>Q5C9Z4_PF02847_655 <unknown description>
+IRRNIFCTIMTSEDFLDAFEKLLKLGLKDQQEREIIHVLMDCCLQEKTYNPFYAFLASKF
+CEYERRFQMTFQFSIWDKFRDLENLPATNFSNLVHLVAHLLKTKSL
+>Q15155_PF13620_330 <unknown description>
+SVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETV
+TIKIAPNTP
+>Q15155_PF17802_874 <unknown description>
+ALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFK
+>Q5JPE7_PF13620_330 <unknown description>
+SVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETV
+TIKIAPNTPQLADI
+>Q5JPE7_PF17802_874 <unknown description>
+ALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFK
+>P69849_PF13620_330 <unknown description>
+SVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETV
+TIKIAPNTPQLADI
+>P69849_PF17802_874 <unknown description>
+ALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFK
+>Q15233_PF00076_76 <unknown description>
+LFVGNLPPDITEEEMRKLFEKYGKAGEVFIHKDKGFGFIRLETRTLAEIAKVELDNMPLR
+GKQLR
+>Q15233_PF00076_150 <unknown description>
+LTVRNLPQYVSNELLEEAFSVFGQVERAVVIVDDRGRPSGKGIVEFSGKPAARKALDRCS
+E
+>Q15233_PF08075_221 <unknown description>
+PVTVEPMDQLDDEEGLPEKLVIKNQQFHKEREQPPRFAQPGSFEYEYAMRWK
+>Q9NPE3_PF04135_3 <unknown description>
+LQYYLNEQGDRVYTLKKFDPMGQQTCSAHPARFSPDDKYSRHRITIKKRF
+>P78316_PF04147_26 <unknown description>
+KANSNPFEVKVNRQKFQILGRKTRHDVGLPGVSRARALRKRTQTLLKEYKERDKSNVFRD
+KRFGEYNSNMSPEEKMMKRFALEQQRHHEKKSIYNLNEDEELTHYGQSLADIEKHNDIVD
+SDSDAEDRGTLSAELTAAHFGGGGGLLHKKTQQEGEEREKPKSRKELIEELIAKSKQEKR
+ERQAQREDALELTEKLDQDWKEIQTLLSHKTPKSENRDKKEKPKPDAYDMMVRELGFEMK
+AQPSNRMKTEAELAKEEQEHLRKLEAERLRRMLGKDEDENVKKPKHMSADDLNDGFVLDK
+DDRRLLSYKDGKMNVEEDVQEEQSKEASDPESNEEEGDSSGGEDTEESDSPDSHLDLESN
+VESEEENEKPAKEQRQTPGKGLISGKERAGKATRDELPYTFAAPESYEELRSLLLGRSME
+EQLLVVERIQKCNHPSLAEGNKAKLEKLFGFLLEYVGDLATDDPPDLTVIDKLVVHLYHL
+CQMFPESASDAIKFVLRDAMHEMEEMIETKGRAALPGLDVLIYLKITGLLFPTSDFWHPV
+VTPALVCLSQLLTKCPILSLQDVVKGLFVCCLFLEYVALSQRFIPELINFLLGILYIATP
+NKASQGSTLVHPFRALGKNSELLVVSAREDVATWQQSSLSLRWASRLRAPTSTEANHIRL
+SCLAVGLALLKRCVLMYGSLPSFHAIMGPLQALLTDHLADCSHPQELQELCQSTLTEMES
+QKQLCRPLTCEKSKPVPLKLFTPRLVKVLEFGRKQGSSKEEQERKRLIHKHKREFKGAVR
+EIRKDNQFLARMQLSEIMERDAERKRKVKQLFNSLATQEGE
+>Q9Y3C1_PF09420_14 <unknown description>
+GYSVNRKRLNRNARRKAAPRIECSHIRHAWDHAKSVRQNLAEMGLAVDPNRAVPLRKRKV
+KA
+>Q9Y3C1_PF09420_77 <unknown description>
+EVDIEERPKELVRKPYVLNDLEAEASLPEKKGNTLSRDLIDYVRYMVENHGEDYKAMARD
+EKNYYQDTPKQIRSKINVY
+>P46087_PF17125_295 <unknown description>
+SELVEFLEANEVPRPVTLRTNTLKTRRRDLAQALINRGVNLDPLGKWSKTGLVVYDSSVP
+IGATPEYLAGHYMLQGASSM
+>P46087_PF01189_377 <unknown description>
+VMALAPQEHERILDMCCAPGGKTSYMAQLMKNTGVILANDANAERLKSVVGNLHRLGVTN
+TIISHYDGRQFPKVVGGFDRVLLDAPCSGTGVISKDPAVKTNKDEKDILRCAHLQKELLL
+SAIDSVNATSKTGGYLVYCTCSITVEENEWVVDYALKKRNVRLVPTGLDFGQEGFTRFRE
+RRFHPSLRSTRRFYPHTHNMDGFFIAKFK
+>P46087_PF08062_623 <unknown description>
+AKKAKGAAKTKQQLQKQQHPKK
+>P46087_PF08062_683 <unknown description>
+AGKAEGIREPKVTGKLKQRSPKL
+>P46087_PF08062_749 <unknown description>
+LGRAKGVEKQQLPEQPFEKA
+>Q9NZM5_PF07767_42 <unknown description>
+RNKKRGWRRLAQEPLGLEVDQFLEDVRLQERTSGGLLSEAPNEKLFFVDTGSKEKGLTKK
+RTKVQKKSLLLKKPLRVDLILENTSKVPAPKDVLAHQVPNAKKLRRKEQLWEKLAKQGEL
+PREVRRAQARLLNPSATRAKPGPQDTVERPFYDLWASDNPLDRPLVGQDEFFLEQTKKKG
+VKRPARLHTKPSQAPAVEVAPAGASYNPSFEDHQTLLSAAHEVELQRQKEAEKLERQLAL
+PATEQAATQESTFQELCEGLLEESDGEGEPGQGEGPEAGDAEVCPTPARLATTEKKTEQQ
+RRREKAVHRLRVQQAALRAARLRHQELFRLRGIKAQVALRLAELARRQRRRQARREAEAD
+KPRRLGRLKYQAPDIDVQLSSELTDSLRTLKPEGNILRDRFKSF
+>O00567_PF08156_5 <unknown description>
+HVLFEHAVGYALLALKEVEEISLLQPQVEESVLNLGKFHSIVRLVAFCPFASSQVALENA
+NAVSEG
+>O00567_PF01798_174 <unknown description>
+LLDQLDKDINTFSMRVREWYGYHFPELVKIINDNATYCRLAQFIGNRRELNEDKLEKLEE
+LTMDGAKAKAILDASRSSMGMDISAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVA
+PSLSALIGEAVGARLIAHAGSLTNLAKYPASTVQILGAEKALFRALKTRGNTPKYGLIFH
+STFIGRAAAKNKGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEERL
+>Q9Y2X3_PF08156_2 <unknown description>
+LVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAFT
+ALMEG
+>Q9Y2X3_PF01798_168 <unknown description>
+LLDDLDKELNNYIMRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASAKLSELLP
+EEVEAEVKAAAEISMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVT
+VMVGELVGARLIAHAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLV
+GQTSPKHKGKISRMLAAKTVLAIRYDAFGEDSSSAMGVENRAKLEARLR
+>Q8N5I9_PF15370_68 <unknown description>
+LLDQVQTFLPQMARANEKLRKEMAAAPPGRFNIENIDGPHSKVIQMDVALFEMNQSDSKE
+VDSSEESSQDSSENSSESEDEDDS
+>P29475_PF00595_18 <unknown description>
+VRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQAGDIILAVNGRPLVDLSY
+DSALEVLRGIASETHVV
+>P29475_PF02898_357 <unknown description>
+GQLFPLAKEFIDQYYSSIKRFGSKAHMERLEEVNKEIDTTSTYQLKDTELIYGAKHAWRN
+ASRCVGRIQWSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHD
+FRVWNSQLIRYAGYKQPDGSTLGDPANVQFTEICIQQGWKPPRGRFDVLPLLLQANGNDP
+ELFQIPPELVLEVPIRHPKFEWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGT
+EIGVRDYCDNSRYNILEEVAKKMNLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDH
+HSATESFIKHMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPW
+N
+>P29475_PF00258_762 <unknown description>
+ILYATETGKSQAYAKTLCEIFKHAFDAKVMSMEEYDIVHLEHETLVLVVTSTFGNGDPPE
+NGEKFGCALMEMRHPNSVQEERKSYKVRFNSVSSYSDSQKSSGDGPDLRDNFESAGPLAN
+VRFSVFGLGSRAYPHFCAFGHAVDTLLEELGGERILKMREGDELCGQEEAFRTW
+>P29475_PF00667_991 <unknown description>
+NVHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTNGSQELQYQPGDHLGVFPGNHEDLVN
+ALIERLEDAPPVNQMVKVELLEERNTALGVISNWTDELRLPPCTIFQAFKYYLDITTPPT
+PLQLQQFASLATSEKEKQRLLVLSKGLQEYEEWKWGKNPTIVEVLEEFPSIQMPATLLLT
+QLSLLQPRYYSISSSPDMYPDEVHLTVAIVSYRTRDGEGPIHHGVCSSW
+>P29475_PF00175_1251 <unknown description>
+LVGPGTGIAPFRSFWQQRQFDIQHKGMNPCPMVLVFGCRQSKIDHIYREETLQAKNKGVF
+RELYTAYSREPDKPKKYVQDILQEQLAESVYRALKEQGGHIYVCGDVTMAADV
+>P35228_PF02898_137 <unknown description>
+DELLPQAIEFVNQYYGSFKEAKIEEHLARVEAVTKEIETTGTYQLTGDELIFATKQAWRN
+APRCIGRIQWSNLQVFDARSCSTAREMFEHICRHVRYSTNNGNIRSAITVFPQRSDGKHD
+FRVWNAQLIRYAGYQMPDGSIRGDPANVEFTQLCIDLGWKPKYGRFDVVPLVLQANGRDP
+ELFEIPPDLVLEVAMEHPKYEWFRELELKWYALPAVANMLLEVGGLEFPGCPFNGWYMGT
+EIGVRDFCDVQRYNILEEVGRRMGLETHKLASLWKDQAVVEINIAVLHSFQKQNVTIMDH
+HSAAESFMKYMQNEYRSRGGCPADWIWLVPPMSGSITPVFHQEMLNYVLSPFYYYQVEAW
+K
+>P35228_PF00258_541 <unknown description>
+ILFATETGKSEALAWDLGALFSCAFNPKVVCMDKYRLSCLEEERLLLVVTSTFGNGDCPG
+NGEKLKKSLFMLKELNNKFRYAVFGLGSSMYPRFCAFAHDIDQKLSHLGASQLTPMGEGD
+ELSGQEDAFRSW
+>P35228_PF00667_726 <unknown description>
+SMHAKNVFTMRLKSRQNLQSPTSSRATILVELSCEDGQGLNYLPGEHLGVCPGNQPALVQ
+GILERVVDGPTPHQTVRLEALDESGSYWVSDKRLPPCSLSQALTYFLDITTPPTQLLLQK
+LAQVATEEPERQRLEALCQPSEYSKWKFTNSPTFLEVLEEFPSLRVSAGFLLSQLPILKP
+RFYSISSSRDHTPTEIHLTVAVVTYHTRDGQGPLHHGVCSTW
+>P35228_PF00175_979 <unknown description>
+LIGPGTGIAPFRSFWQQRLHDSQHKGVRGGRMTLVFGCRRPDEDHIYQEEMLEMAQKGVL
+HAVHTAYSRLPGKPKVYVQDILRQQLASEVLRVLHKEPGHLYVCGDVRMARDVA
+>P29474_PF02898_121 <unknown description>
+EQLLSQARDFINQYYSSIKRSGSQAHEQRLQEVEAEVAATGTYQLRESELVFGAKQAWRN
+APRCVGRIQWGKLQVFDARDCRSAQEMFTYICNHIKYATNRGNLRSAITVFPQRCPGRGD
+FRIWNSQLVRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDDPP
+ELFLLPPELVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFPAAPFSGWYMST
+EIGTRNLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINVAVLHSYQLAKVTIVDH
+HAATASFMKHLENEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYFLSPAFRYQPDPW
+K
+>P29474_PF00258_522 <unknown description>
+ILYGSETGRAQSYAQQLGRLFRKAFDPRVLCMDEYDVVSLEHETLVLVVTSTFGNGDPPE
+NGESFAAALMEMSGPYNSSPRPEQHKSYKIRFNSISCSDPLVSSWRRKRKESSNTDSAGA
+LGTLRFCVFGLGSRAYPHFCAFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGW
+>P29474_PF00667_752 <unknown description>
+HVHRRKMFQATIRSVENLQSSKSTRATILVRLDTGGQEGLQYQPGDHIGVCPPNRPGLVE
+ALLSRVEDPPAPTEPVAVEQLEKGSPGGPPPGWVRDPRLPPCTLRQALTFFLDITSPPSP
+QLLRLLSTLAEEPREQQELEALSQDPRRYEEWKWFRCPTLLEVLEQFPSVALPAPLLLTQ
+LPLLQPRYYSVSSAPSTHPGEIHLTVAVLAYRTQDGLGPLHYGVCSTW
+>P29474_PF00175_1011 <unknown description>
+LVGPGTGIAPFRGFWQERLHDIESKGLQPTPMTLVFGCRCSQLDHLYRDEVQNAQQRGVF
+GRVLTAFSREPDNPKTYVQDILRTELAAEVHRVLCLERGHMFVCGDVTMATNV
+>Q9Y314_PF15906_4 <unknown description>
+HGKNCTAGAVYTYHEKKKDTAASGYGTQNIRLSRDAVKDFDCCCLSLQPCHDPVVTPDGY
+LYEREAILEYILHQK
+>Q8IVI9_PF00611_14 <unknown description>
+YKNLKEFSQNGENFCKQVTSVLQQRANLEISYAKGLQKLASKLSKALQNTRKSCVSSAWA
+WASEGMKSTADLHQK
+>Q8IVI9_PF14604_445 <unknown description>
+ALYSFQARQDDELNLEKGDIVIIHEKKEGGWWFGSLNGKKGHFPAAYVE
+>P46531_PF00008_63 <unknown description>
+CLSTPCKNAGTCHVVDRRGVADYACSCALGFSGP
+>P46531_PF00008_106 <unknown description>
+CLTNPCRNGGTCDLLTLTEYKCRCPPGWSGK
+>P46531_PF00008_144 <unknown description>
+CASNPCANGGQCLPFEASYICHCPPSFHGPT
+>P46531_PF07645_178 <unknown description>
+DVNECGQKPGLCRHGGTCHNEVGSYRCVCRATHTGP
+>P46531_PF00008_222 <unknown description>
+CSPSPCQNGGTCRPTGDVTHECACLPGFTGQ
+>P46531_PF00008_261 <unknown description>
+CPGNNCKNGGACVDGVNTYNCRCPPEWTGQ
+>P46531_PF07645_295 <unknown description>
+DVDECQLMPNACQNGGTCHNTHGGYNCVCVNGWTG
+>P46531_PF00008_339 <unknown description>
+CASAACFHGATCHDRVASFYCECPHGRTG
+>P46531_PF07645_412 <unknown description>
+DVDECSLGANPCEHAGKCINTLGSFECQCLQGYT
+>P46531_PF00008_456 <unknown description>
+CVSNPCQNDATCLDQIGEFQCICMPGYEGV
+>P46531_PF00008_494 <unknown description>
+CASSPCLHNGRCLDKINEFQCECPTGFTG
+>P46531_PF00008_532 <unknown description>
+CASTPCKNGAKCLDGPNTYTCVCTEGYTGT
+>P46531_PF00008_570 <unknown description>
+CDPDPCHYGSCKDGVATFTCLCRPGYTGH
+>P46531_PF00008_607 <unknown description>
+CSSQPCRHGGTCQDRDNAYLCFCLKGTTGPN
+>P46531_PF00008_645 <unknown description>
+CASSPCDSGTCLDKIDGYECACEPGYTGS
+>P46531_PF00008_682 <unknown description>
+CAGNPCHNGGTCEDGINGFTCRCPEGYHDP
+>P46531_PF00008_720 <unknown description>
+CNSNPCVHGACRDSLNGYKCDCDPGWSGT
+>P46531_PF00008_757 <unknown description>
+CESNPCVNGGTCKDMTSGYVCTCREGFSGPN
+>P46531_PF00008_795 <unknown description>
+CASNPCLNQGTCIDDVAGYKCNCLLPYTGA
+>P46531_PF00008_833 <unknown description>
+CAPSPCRNGGECRQSEDYESFSCVCPTGWQGQ
+>P46531_PF07645_869 <unknown description>
+DINECVLSPCRHGASCQNTHGGYRCHCQAGYSGR
+>P46531_PF00008_911 <unknown description>
+CRPNPCHNGGSCTDGINTAFCDCLPGFRG
+>P46531_PF12661_992 <unknown description>
+CFNGGTCVDGINSFTCLCPPGF
+>P46531_PF00008_1025 <unknown description>
+CDSQPCLHGGTCQDGCGSYRCTCPQGYTGPN
+>P46531_PF00008_1063 <unknown description>
+CDSSPCKNGGKCWQTHTQYRCECPSGWTGL
+>P46531_PF00008_1116 <unknown description>
+CQHGGLCVDAGNTHHCRCQAGYTGSY
+>P46531_PF00008_1149 <unknown description>
+CSPSPCQNGATCTDYLGGYSCKCVAGYHGV
+>P46531_PF00008_1187 <unknown description>
+CLSHPCQNGGTCLDLPNTYKCSCPRGTQG
+>P46531_PF00008_1236 <unknown description>
+PKCFNNGTCVDQVGGYSCTCPPGFVGER
+>P46531_PF00008_1311 <unknown description>
+CKGKPCKNGGTCAVASNTARGFICKCPAGFEGA
+>P46531_PF12661_1357 <unknown description>
+CLNGGTCISGPRSPTCLCL
+>P46531_PF00066_1447 <unknown description>
+EACELPECQEDAGNKVCSLQCNNHACGWDGGDCS
+>P46531_PF00066_1487 <unknown description>
+WKNCTQSLQCWKYFSDGHCDSQCNSAGCLFDGFDCQ
+>P46531_PF00066_1526 <unknown description>
+GQCNPLYDQYCKDHFSDGHCDQGCNSAECEWDGLDC
+>P46531_PF06816_1566 <unknown description>
+PERLAAGTLVVVVLMPPEQLRNSSFHFLRELSRVLHTNVVFKRDAHGQQMIFPYYG
+>P46531_PF07684_1672 <unknown description>
+RGSIVYLEIDNRQCVQASSQCFQSATDVAAFLGALASLGSLNIPYKIEAVQSETVEPP
+>P46531_PF12796_1916 <unknown description>
+QGASLHNQTDRTGETALHLAARYSRSDAAKRLLEASADANIQDNMGRTPLHAAVSADAQG
+VFQILIRNRATDLD
+>P46531_PF12796_1999 <unknown description>
+LILAARLAVEGMLEDLINSHADVNAVDDLGKSALHWAAAVNNVDAAVVLLKNGANKDMQN
+NREETPLFLAAREGSYETAKVLLDHFANRDIT
+>Q04721_PF00008_68 <unknown description>
+CEKNRCQNGGTCVAQAMLGKATCRCASGFTGE
+>Q04721_PF00008_113 <unknown description>
+RPCLNGGTCHMLSRDTYECTCQVGFTGK
+>Q04721_PF00008_148 <unknown description>
+CLSHPCANGSTCTTVANQFSCKCLTGFTGQ
+>Q04721_PF07645_182 <unknown description>
+DVNECDIPGHCQHGGTCLNLPGSYQCQCPQGFT
+>Q04721_PF12661_230 <unknown description>
+CVNGGTCRQTGDFTFECNCLPGF
+>Q04721_PF00008_264 <unknown description>
+CPNHRCQNGGVCVDGVNTYNCRCPPQWTG
+>Q04721_PF07645_298 <unknown description>
+DVDECLLQPNACQNGGTCANRNGGYGCVCVNGWS
+>Q04721_PF12661_347 <unknown description>
+CTPGSTCIDRVASFSCMCPEG
+>Q04721_PF00008_379 <unknown description>
+CISNPCHKGALCDTNPLNGQYICTCPQGYKGA
+>Q04721_PF07645_415 <unknown description>
+DVDECAMANSNPCEHAGKCVNTDGAFHCECLKGYA
+>Q04721_PF00008_460 <unknown description>
+CHSDPCQNDATCLDKIGGFTCLCMPGFKGV
+>Q04721_PF00008_498 <unknown description>
+CQSNPCVNNGQCVDKVNRFQCLCPPGFTGP
+>Q04721_PF00008_536 <unknown description>
+CSSTPCLNGAKCIDHPNGYECQCATGFTG
+>Q04721_PF12661_579 <unknown description>
+CHHGQCQDGIDSYTCICNPGY
+>Q04721_PF00008_611 <unknown description>
+CYSSPCLNDGRCIDLVNGYQCNCQPGTSG
+>Q04721_PF00008_649 <unknown description>
+CASNPCIHGICMDGINRYSCVCSPGFTGQR
+>Q04721_PF00008_686 <unknown description>
+CASNPCRKGATCINGVNGFRCICPEG
+>Q04721_PF00008_761 <unknown description>
+CLSNPCQNGGTCDNLVNGYRCTCKKGFKG
+>Q04721_PF00008_799 <unknown description>
+CASNPCLNQGTCFDDISGYTCHCVLPYTGKN
+>Q04721_PF00008_837 <unknown description>
+CSPNPCENAAVCKESPNFESYTCLCAPGWQGQR
+>Q04721_PF07645_873 <unknown description>
+DIDECISKPCMNHGLCHNTQGSYMCECPPGFS
+>Q04721_PF00008_915 <unknown description>
+CLANPCQNGGSCMDGVNTFSCLCLPGFTGD
+>Q04721_PF00008_953 <unknown description>
+CLSEPCKNGGTCSDYVNSYTCKCQAGFDGV
+>Q04721_PF00008_991 <unknown description>
+CTESSCFNGGTCVDGINSFSCLCPVGFTGS
+>Q04721_PF00008_1029 <unknown description>
+CSSHPCLNEGTCVDGLGTYRCSCPLGYTGKN
+>Q04721_PF00008_1067 <unknown description>
+CSRSPCKNKGTCVQKKAESQCLCPSGWAGAY
+>Q04721_PF00008_1120 <unknown description>
+CQHSGVCINAGNTHYCQCPLGYTGSY
+>Q04721_PF00008_1153 <unknown description>
+CASNPCQHGATCSDFIGGYRCECVPGYQGV
+>Q04721_PF00008_1191 <unknown description>
+CQNQPCQNGGTCIDLVNHFKCSCPPGTRG
+>Q04721_PF00008_1229 <unknown description>
+CARGPHCLNGGQCMDRIGGYSCRCLPGFAGER
+>Q04721_PF00008_1308 <unknown description>
+CPQMPCLNGGTCAVASNMPDGFICRCPPGFSGAR
+>Q04721_PF00066_1423 <unknown description>
+ATCLSQYCADKARDGVCDEACNSHACQWDGGDCS
+>Q04721_PF00066_1463 <unknown description>
+WANCSSPLPCWDYINNQCDELCNTVECLFDNFECQ
+>Q04721_PF00066_1501 <unknown description>
+KTCKYDKYCADHFKDNHCDQGCNSEECGWDGLDC
+>Q04721_PF06816_1539 <unknown description>
+PENLAEGTLVIVVLMPPEQLLQDARSFLRALGTLLHTNLRIKRDSQGELMVYPYYG
+>Q04721_PF07684_1618 <unknown description>
+VAGSKVFLEIDNRQCVQDSDHCFKNTDAAAALLASHAIQGTLSYPLVSVVSESL
+>Q04721_PF13857_1873 <unknown description>
+TDRTGEMALHLAARYSRADAAKRLLDAGADANAQDNMGRCP
+>Q04721_PF12796_1958 <unknown description>
+GMVAELINCQADVNAVDDHGKSALHWAAAVNNVEATLLLLKNGANRDMQDNKEETPLFLA
+AREGSYEAAKILLDHFANRDIT
+>Q9UM47_PF00008_43 <unknown description>
+CLDGSPCANGGRCTQLPSREAACLCPPGWVGER
+>Q9UM47_PF00008_123 <unknown description>
+CLSSPCAHGARCSVGPDGRFLCSCPPGYQGR
+>Q9UM47_PF07645_158 <unknown description>
+DVDECRVGEPCRHGGTCLNTPGSFRCQCPAGYT
+>Q9UM47_PF00008_201 <unknown description>
+CAPSPCRNGGTCRQSGDLTYDCACLPGFEGQ
+>Q9UM47_PF00008_240 <unknown description>
+CPGHRCLNGGTCVDGVNTYNCQCPPEWTGQ
+>Q9UM47_PF07645_274 <unknown description>
+DVDECQLQPNACHNGGTCFNTLGGHSCVCVNGWT
+>Q9UM47_PF00008_318 <unknown description>
+CATAVCFHGATCHDRVASFYCACPMGKTG
+>Q9UM47_PF00008_355 <unknown description>
+CVSNPCHEDAICDTNPVNGRAICTCPPGFTG
+>Q9UM47_PF07645_391 <unknown description>
+DVDECSIGANPCEHLGRCVNTQGSFLCQCGRGYT
+>Q9UM47_PF07645_431 <unknown description>
+DVNECLSGPCRNQATCLDRIGQFTCICMAGFT
+>Q9UM47_PF00008_473 <unknown description>
+CQSSPCVNGGVCKDRVNGFSCTCPSGFSGST
+>Q9UM47_PF00008_511 <unknown description>
+CASTPCRNGAKCVDQPDGYECRCAEGFEG
+>Q9UM47_PF00008_549 <unknown description>
+CSPDPCHHGRCVDGIASFSCACAPGYTGTR
+>Q9UM47_PF00008_586 <unknown description>
+CRSQPCRHGGKCLDLVDKYLCRCPSGTTGV
+>Q9UM47_PF12661_666 <unknown description>
+CGEGGSCVDGENGFRCLCPPG
+>Q9UM47_PF12661_704 <unknown description>
+CSHGICYDAPGGFRCVCEPGW
+>Q9UM47_PF00008_775 <unknown description>
+CTPNPCEHGGRCESAPGQLPVCSCPQGWQGPR
+>Q9UM47_PF07645_810 <unknown description>
+DVDECAGPAPCGPHGICTNLAGSFSCTCHGGYT
+>Q9UM47_PF00008_853 <unknown description>
+CDPNPCLNGGSCQDGVGSFSCSCLPGFAGPR
+>Q9UM47_PF12661_896 <unknown description>
+CGPGTCTDHVASFTCTCPPGY
+>Q9UM47_PF00008_928 <unknown description>
+CSPSSCFNGGTCVDGVNSFSCLCRPGYTGAH
+>Q9UM47_PF00008_1004 <unknown description>
+CSRQPCQNGGRCVQTGAYCLCPPGWSGR
+>Q9UM47_PF00008_1055 <unknown description>
+CQAGGQCVDEDSSHYCVCPEGRTGSH
+>Q9UM47_PF00008_1088 <unknown description>
+CLAQPCQHGGTCRGYMGGYMCECLPGYNGDN
+>Q9UM47_PF00008_1126 <unknown description>
+CASQPCQHGGSCIDLVARYLCSCPPG
+>Q9UM47_PF00008_1172 <unknown description>
+SGPRCLHNGTCVDLVGGFRCTCPPGYTGLR
+>Q9UM47_PF00008_1339 <unknown description>
+CAAAPCLHGGSCRPAPLAPFFRCACAQGWTGPR
+>Q9UM47_PF00066_1385 <unknown description>
+PRCPRAACQAKRGDQRCDRECNSPGCGWDGGDCS
+>Q9UM47_PF00066_1425 <unknown description>
+WRQCEALQCWRLFNNSRCDPACSSPACLYDNFDC
+>Q9UM47_PF00066_1465 <unknown description>
+RTCNPVYEKYCADHFADGRCDQGCNTEECGWDGLDC
+>Q9UM47_PF06816_1505 <unknown description>
+PALLARGVLVLTVLLPPEELLRSSADFLQRLSAILRTSLRFRLDAHGQAMVFPYH
+>Q9UM47_PF07684_1577 <unknown description>
+VIGSVVMLEIDNRLCLQSPENDHCFPDAQSAADYLGALSAVERLDFPYPLRDVRGEPLEP
+P
+>Q9UM47_PF13857_1834 <unknown description>
+RTDRTGETALHLAARYARADAAKRLLDAGADTNAQDHSGRTPLHTA
+>Q9UM47_PF12796_1910 <unknown description>
+LILAARLAVEGMVEELIASHADVNAVDELGKSALHWAAAVNNVEATLALLKNGANKDMQD
+SKEETPLFLAAREGSYEAAKLLLDHFANREI
+>Q99466_PF12661_35 <unknown description>
+CANGGTCLSLSLGQGTCQCAPGF
+>Q99466_PF12661_127 <unknown description>
+CSKRGRCHIQASGRPQCSCMPGW
+>Q99466_PF00008_160 <unknown description>
+CSANPCVNGGVCLATYPQIQCHCPPGFEG
+>Q99466_PF07645_194 <unknown description>
+DVNECFQDPGPCPKGTSCHNTLGSFQCLCPVGQE
+>Q99466_PF00008_238 <unknown description>
+CPPRGCSNGGTCQLMPEKDSTFHLCLCPPGFIGP
+>Q99466_PF00008_280 <unknown description>
+CVSHQCQNGGTCQDGLDTYTCLCPETWTG
+>Q99466_PF07645_314 <unknown description>
+DVDECETQGPPHCRNGGTCQNSAGSFHCVCVSGWGGT
+>Q99466_PF12661_364 <unknown description>
+CAPGSTCIDRVGSFSCLCPPG
+>Q99466_PF07645_432 <unknown description>
+DLDECLMAQQGPSPCEHGGSCLNTPGSFNCLCPPGYT
+>Q99466_PF00008_479 <unknown description>
+CLSQPCHPGSTCLDLLATFHCLCPPGLEG
+>Q99466_PF00008_517 <unknown description>
+CASAPCLNHADCHDLLNGFQCICLPGFSGTR
+>Q99466_PF07645_551 <unknown description>
+DIDECRSSPCANGGQCQDQPGAFHCKCLPGFEGP
+>Q99466_PF00008_593 <unknown description>
+CLSDPCPVGASCLDLPGAFFCLCPSGFTG
+>Q99466_PF00008_771 <unknown description>
+CVSAPCFNGGTCVNRPGTFSCLCAMGFQGPR
+>Q99466_PF00008_810 <unknown description>
+CADSPCRNRATCQDSPQGPRCLCPTGYTG
+>Q99466_PF07645_848 <unknown description>
+CAQKPCPRNSHCLQTGPSFHCLCLQGWT
+>Q99466_PF00008_901 <unknown description>
+CHNGGLCVDSGPSYFCHCPPGFQGS
+>Q99466_PF00008_934 <unknown description>
+CESRPCQNGATCMAQPSGYLCQCAPGYDGQ
+>Q99466_PF00008_972 <unknown description>
+CQSQPCHNHGTCTPKPGGFHCACPPGFVGLR
+>Q99466_PF00008_1050 <unknown description>
+CHSQPCFHGGTCEATAGSPLGFICHCPKGFEGPT
+>Q99466_PF00066_1168 <unknown description>
+PRCQKPGAKGCEGRSGDGACDAGCSGPGGNWDGGDCS
+>Q99466_PF00066_1211 <unknown description>
+WKGCPSHSRCWLLFRDGQCHPQCDSEECLFDGYDCE
+>Q99466_PF00066_1249 <unknown description>
+PACTPAYDQYCHDHFHNGHCEKGCNTAECGWDGGDCR
+>Q99466_PF06816_1297 <unknown description>
+LALLVVLSPPALDQQLFALARVLSLTLRVGLWVRKDRDGRDMVYPYPG
+>Q99466_PF07684_1379 <unknown description>
+AGFVVVMGVDLSRCGPDHPASRCPWDPGLLLRFLAAMAAVGALEPLLPGPLLAVHPH
+>Q99466_PF12796_1638 <unknown description>
+LHLAARFSRPTAARRLLEAGANPNQPDRAGRTPLHAAVAADAREVCQLLLRSRQTAVDAR
+TEDGTTPLMLAARLAVEDLVEELIAAQADVGARD
+>Q99466_PF00023_1734 <unknown description>
+GKTALHWAAAVNNARAARSLLQAGADKDAQDN
+>A8MTQ0_PF00046_157 <unknown description>
+KRVRTMFNLEQLEELEKVFAKQHNLVGKKRAQLAARLKLTENQVRVWFQNRRVKYQK
+>Q6P988_PF03283_83 <unknown description>
+QQLNEDLRLHLLLNTSVTCNDGSPAGYYLKESRGSRRWLLFLEGGWYCFNRENCDSRYDT
+MRRLMSSRDWPRTRTGTGILSSQPEENPYWWNANMVFIPYCSSDVWSGASSKSEKNEYAF
+MGALIIQEVVRELLGRGLSGAKVLLLAGSSAGGTGVLLNVDRVAEQLEKLGYPAIQVRGL
+ADSGWFLDNKQYRHTDCVDTITCAPTEAIRRGIRYWNGVVPERCRRQFQEGEEWNCFFGY
+KVYPTLRCPVFVVQWLFDEAQLTVDNVHLTGQPVQEGLRLYIQNLGRELRHTLKDVPASF
+APACLSHEIIIRSHWTDVQVKGTSLPRALHCWDRS
+>P51513_PF00013_53 <unknown description>
+KVLIPSYAAGSIIGKGGQTIVQLQKETGATIKLSKSKDFYPGTTERVCLIQGTVEALNA
+>P51513_PF00013_174 <unknown description>
+VKIIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPDGINLQERVVTVSGEPEQNRKA
+VELII
+>P51513_PF00013_424 <unknown description>
+VEIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFVPGTRNRKVTITGTPAATQA
+AQYLIT
+>Q9UNW9_PF00013_36 <unknown description>
+KVLIPSYAAGSIIGKGGQTIVQLQKETGATIKLSKSKDFYPGTTERVCLVQGTAEALNAV
+>Q9UNW9_PF00013_134 <unknown description>
+KLIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPEGINLQERVVTVSGEPEQVHKAV
+SAIV
+>Q9UNW9_PF00013_410 <unknown description>
+EIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFLPGTRNRRVTITGSPAATQAA
+QYLIS
+>Q9Y5S8_PF01794_56 <unknown description>
+SALCLNFNSTLILLPVCRNLLSFLRGTCSFCSRTLRKQLDHNLTFHKLVAYMICLHTAIH
+IIAHLFNFDCYSRSRQATDGSLASILSSLSHDEKKGGSWLNPIQSRNTTVEYVTFTSIAG
+LTGVIMTIALILMVTSATEFIRRSYFEVFWYTHHLFIFYIL
+>Q9Y5S8_PF08022_295 <unknown description>
+VVITKVVMHPSKVLELQMNKRGFSMEVGQYIFVNCPSISLLEWHPFTLTSAPEEDFFSIH
+IRAAGDWTENLIRAFEQQYSPIPRIEVDGPFGTA
+>Q9Y5S8_PF08030_395 <unknown description>
+YEVAVLVGAGIGVTPFASILKSIWYKFQCADHNLKTKKIYFYWICRETGAFSWFNNLLTS
+LEQEMEELGKVGFLNYRLFLTGWDSNIVGHAALNFDKATDIVTGLKQKTSFGRPMWDNEF
+STIATSHPKSVVGVFLCGPRTLAKSLRKCC
+>Q9HBY0_PF01794_56 <unknown description>
+ASALCLNFNCMLILIPVSRNLISFIRGTSICCRGPWRRQLDKNLRFHKLVAYGIAVNATI
+HIVAHFFNLERYHWSQSEEAQGLLAALSKLGNTPNESYLNPVRTFPTNTTTELLRTIAGV
+TGLVISLALVLIMTSSTEFIRQASYELFWYTHHVFIVFFLSL
+>Q9HBY0_PF08022_293 <unknown description>
+VVITKVVSHPSGVLELHMKKRGFKMAPGQYILVQCPAISSLEWHPFTLTSAPQEDFFSVH
+IRAAGDWTAALLEAFGAEGQALQEPWSLPRLAVDGPFGT
+>Q9HBY0_PF08030_401 <unknown description>
+VCVCVAAGIGVTPFAALLKSIWYKCSEAQTPLKLSKVYFYWICRDARAFEWFADLLLSLE
+TRMSEQGKTHFLSYHIFLTGWDENQALHIALHWDENTDVITGLKQKTFYGRPNWNNEFKQ
+IAYNHPSSSIGVFFCGPKALSRTLQKMC
+>Q9NPH5_PF01794_59 <unknown description>
+ASASVLNLNCSLILLPMCRTLLAYLRGSQKVPSRRTRRLLDKSRTFHITCGVTICIFSGV
+HVAAHLVNALNFSVNYSEDFVELNAARYRDEDPRKLLFTTVPGLTGVCMVVVLFLMITAS
+TYAIRVSNYDIFWYTHNLFFVFYMLL
+>Q9NPH5_PF08022_309 <unknown description>
+VTIISVMSHPSDVMEIRMVKENFKARPGQYITLHCPSVSALENHPFTLTMCPTETKATFG
+VHLKIVGDWTERFRDLLLPPSSQDSEILPFIQSRNYPKLYIDGPFGSP
+>Q9NPH5_PF08030_423 <unknown description>
+YEVSLCVAGGIGVTPFASILNTLLDDWKPYKLRRLYFIWVCRDIQSFRWFADLLCMLHNK
+FWQENRPDYVNIQLYLSQTDGIQKIIGEKYHALNSRLFIGRPRWKLLFDEIAKYNRGKTV
+GVFCCGPNSLSKTLHKLS
+>Q96PH1_PF13405_63 <unknown description>
+ERFFALFDSDRSGTITLQELQEALT
+>Q96PH1_PF13202_173 <unknown description>
+LFESADADGNGAITFEELR
+>Q96PH1_PF01794_272 <unknown description>
+GCGQCLNFDCSFIAVLMLRRCLTWLRATWLAQVLPLDQNIQFHQLMGYVVVGLSLVHTVA
+HTVNFVLQAQAEASPFQFWELLLTTRPGIGWVHGSASPTGVALLLLLLLMFICSSSCIRR
+SGHFEVFYWTHLSYLLVWLLLI
+>Q96PH1_PF08022_446 <unknown description>
+VCIMEVNLLPSKVTHLLIKRPPFFHYRPGDYLYLNIPTIARYEWHPFTISSAPEQKDTIW
+LHIRSQGQWTNRLYESFKASDPLGRGSKRLSRSVTMRKSQRSSKGSEILLEKHKFCNIKC
+YIDGPYGTP
+>Q96PH1_PF08030_583 <unknown description>
+HAVLIGAGIGITPFASILQSIMYRHQKRKHTCPSCQHSWIEGVQDNMKLHKVDFIWINRD
+QRSFEWFVSLLTKLEMDQAEEAQYGRFLELHMYMTSALGKNDMKAIGLQMALDLLANKEK
+KDSITGLQTRTQPGRPDWSKVFQKVAAEKKGKVQVFFCGSPALAKVLKGHC
+>Q86UR1_PF00564_323 <unknown description>
+TVALRARRGADLSSLRALLGQALPHQAQLGQLSYLAPGEDGHWVPIPEEESLQRAWQDAA
+ACPRGLQLQCR
+>Q86UR1_PF00018_405 <unknown description>
+VAQHSYSAQGPEDLGFRQGDTVDVLCEVDQAWLEGHCDGRIGIFPK
+>Q8NFA2_PF14604_242 <unknown description>
+AYESSRADELSVPAGARVRVLETSDRGWWLCRYGDRAGLLPAV
+>P55209_PF00956_76 <unknown description>
+RRVNALKNLQVKCAQIEAKFYEEVHDLERKYAVLYQPLFDKRFEIINAIYEPTEEECEWK
+PDEEDEISEELKEKAKIEDEKKDEEKEDPKGIPEFWLTVFKNVDLLSDMVQEHDEPILKH
+LKDIKVKFSDAGQPMSFVLEFHFEPNEYFTNEVLTKTYRMRSEPDDSDPFSFDGPEIMGC
+TGCQIDWKKGKNVTLKTIKKKQKHKGRGTVRTVTKTVSNDSFFNFFAPPEVPESGDLDDD
+AEAILAADFEIGHFLRERIIPRSVLYFTGE
+>Q9ULW6_PF00956_111 <unknown description>
+RVLALKKLQTRAANLESKFLREFHDIERKFAEMYQPLLEKRRQIINAIYEPTEEECEYKS
+DSEDCDDEEMCHEEMYGNEEGMVHEYVDEDDGYEDYYYDYAVEEEEEEEEEDDIEATGEE
+NKEEEDPKGIPDFWLTVLKNVDTLTPLIKKYDEPILKLLTDIKVKLSDPGEPLSFTLEFH
+FKPNEYFKNELLTKTYVLKSKLAYYDPHPYRGTAIEYSTGCEIDWNEGKNVTLKTIKKKQ
+KHRIWGTIRTVTEDFPKDSFFNFFSPHGITSNGRDGNDDFLLGHNLRTYIIPRSVLFFSG
+D
+>Q99457_PF00956_106 <unknown description>
+NRVQALRNIQDECDKVDTLFLKAIHDLERKYAELNKPLYDRRFQIINAEYEPTEEECEWN
+SEDEEFSSDEEVQDNTPSEMPPLEGEEEENPKENPEVKAEEKEVPKEIPEVKDEEKEVPK
+EIPEVKAEEKADSKDCMEATPEVKEDPKEVPQVKADDKEQPKATEAKARAAVRETHKRVP
+EERLQDSVDLKRARKGKPKREDPKGIPDYWLIVLKNVDKLGPMIQKYDEPILKFLSDVSL
+KFSKPGQPVSYTFEFHFLPNPYFRNEVLVKTYIIKAKPDHNDPFFSWGWEIEDCKGCKID
+WRRGKDVTVTTTQSRTTATGEIEIQPRVVPNASFFNFFSPPEIPMIGKLEPREDAILDED
+FEIGQILHDNVILKSIYYYTGE
+>Q99733_PF00956_65 <unknown description>
+RRINALKQLQVRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAESEWH
+SENEEEEKLAGDMKSKVVVTEKAAATAEEPDPKGIPEFWFTIFRNVDMLSELVQEYDEPI
+LKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNSVLTKTYKMKSEPDKADPFSFEGPEI
+VDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFNPLKASGDGES
+LDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGE
+>Q96NT1_PF00956_80 <unknown description>
+RVLALKKLQKRCDKIEAKFDKEFQALEKKYNDIYKPLLAKIQELTGEMEGCAWTLEGEEE
+EEEEYEDDEEEGEDEEEEEAA
+>O60287_PF11707_78 <unknown description>
+CVEIFQLLSGEKRPESETMLIFQVFEAILLRTASDLSHFHVVGTNIVKKLMNNHMKLICE
+SLYASGYRLARACLSLMTAMVTQGPEAARDVCSHFDLNKKTLYTLVTKRDSKGVYDVRQA
+YVQFALSFLIAGDDSTIVQVLEVKEFIPCIFSSGIKEDRISTINILLSTLKTKVVHNKNI
+TKTQKVRFFTGQLLNHIASLYNWNGITDVNPENVKVSAEEAGKTMVRELVHNFLMDLCCS
+LKHGINFYDASLGTFGRGGNLTLLHFLLGLKTAADDDLVADLVVNILKVCPDLLNKYFKE
+VTFSFIPRAKSTWLNN
+>O60287_PF16201_1668 <unknown description>
+LGLTVTALSSYDPQMRAIAYHVLAAYYSHLEGARFQEQSQLLYLLDVVRNGIRTQDMRLT
+FTLALFIAKAALQILKPEEHMYLKVSNFLLSHEYLNMDKVPGFYQFFYSSDFEQKTEQKW
+VFGVLRQGIRDKQCYELCARRGIFHIILSFFHSPLCDEAAQNWILEILQNAAQVARSAYE
+IIRDYSLLTW
+>Q9H841_PF05653_45 <unknown description>
+QIHLFGVLLAILGNLVISISLNIQKYSHLQLAQQEHPRPYFKSVLWWGGVLLMAVGETGN
+FAAYGFAPITLIAPLGCVSVTGSAIISVTFLKDNLRASDLLGTTLAFAGTYLLVNFAPNI
+TQAISARTVQYYLVGWQFLIYVILEILIFCILLYFYKRKGMKHMVILLTLVAILASLTVI
+SVKAVSGMITFSVMDKMQLTYPIFYIMFIIMIASCVFQVKFLNQATKLYNTTTVVPVNHI
+FFTISAIIAGIIFYQEFLGAPFLTVFIYLFGCFLSFLGVFLVTRNRE
+>Q6P499_PF05653_32 <unknown description>
+ENLIGALLAIFGHLVVSIALNLQKYCHIRLAGSKDPRAYFKTKTWWLGLFLMLLGELGVF
+ASYAFAPLSLIVPLSAVSVIASAIIGIIFIKEKWKPKDFLRRYVLSFVGCGLAVVGTYLL
+VTFAPNSHEKMTGENVTRHLVSWPFLLYMLVEIILFCLLLYFYKEKNANNIVVILLLVAL
+LGSMTVVTVKAVAGMLVLSIQGNLQLDYPIFYVMFVCMVATAVYQAAFLSQASQMYDSSL
+IASVGYILSTTIAITAGAIFYLDFIGEDVLHICMFALGCLIAFLGVFLITRNRK
+>Q9NZP6_PF15229_154 <unknown description>
+QEGPRRVKKDEDPVQIEGEDDEKRTPLSSGEASSTSRSQGTQGDVASFRCSPGPLEGNVY
+HKFSENSMSEKAQASPASSCLEGPAMPSTHSQAGCARHLGKPDPDATAPPEPAVGCSLLQ
+QKLAAEVLNEEPPPSSLGLPIPLMSGKRMPDEKPFCIPPRSAAPPRAARNRPCKRKMSIP
+LLLPLPPSLPLLWDRGELPPPAKLPCLSVEGDLHTLEKSPEYKRNSRILE
+>Q99742_PF00010_50 <unknown description>
+SRNAARSRRGKENLEFFELAKLLPLPGAISSQLDKASIVRLSVTYLR
+>Q99742_PF00989_141 <unknown description>
+HILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVEMTGSSVFDYIHPGDHSEVLEQL
+>Q99742_PF08447_316 <unknown description>
+LACESRVSDHMDLGPSELVGRSCYQFVHGQDATRIRQSHVDLLDKGQVMTGYYRWLQRAG
+GFVWLQSVATVAGS
+>Q99743_PF00010_10 <unknown description>
+KRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQ
+>Q99743_PF00989_85 <unknown description>
+FTQLMLEALDGFIIAVTTDGSIIYVSDSITPLLGHLPSDVMDQNLLNFLPEQEHSEVYKI
+LSSHMLVTDSPS
+>Q99743_PF14598_249 <unknown description>
+EEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHIDDLELLARCHQHLMQFGK
+GKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVS
+>Q8IXF0_PF00989_152 <unknown description>
+SHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQLG
+M
+>Q8IXF0_PF08447_343 <unknown description>
+IIYCENRISDYMDLTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQCVTKYYRWMQKN
+GGYIWIQSSATIAINAKNANEKNIIWVN
+>Q8IUM7_PF08447_229 <unknown description>
+DISESVLIYLGFERSELLCKSWYGLLHPEDLAHASAQHYRLLAESGDIQAEMVVRLQAKT
+GGWAWIY
+>Q14207_PF15712_758 <unknown description>
+SAVSSINGENLPTIILSSPTKSPTKNAELVKCLSSEETVGAVVYAEVGDSASMEQSLLTF
+KSEDSAVNNTQNEDGIAFSANVTPCVSKDGGYIQLMPATSTAFGNSNNILIATCVTDPTA
+LGTSVSQSNVVVLPGNSAPMTAQPLPPQLQTPPRSNSVFAVNQAVSPNFSQGSAIIIASP
+VQPVLQGMVGMIPVSVVGQNGNNFSTPPRQVLHMPLTAPVCNRSIPQFPVPPKSQKAQGL
+RNKPCIGKQVNNLVDSSGHSVGCHAQKTEVSDKSIATDLGKKSEETTVPFPEESIVPAAK
+PCHRRVLCFDSTTAPVANTQGPNHKMVSQNKERNAVSFPNLDSPNVSSTLKPPSNNAIKR
+EKEKPPLPKILSKSESAISRHTTIRETQSEKKVSPTEIVLESFHKATANKENELCSDVER
+QKNPENSKLSIGQQNGGLRSEKSIASLQEMTKKQGTSSNNKNVLSVGTAVKDLKQEQTKS
+ASSLITTEMLQDIQRHSSVSRLADSSDLPVPRTPGSGAGEKHKEEPIDIIKAPSSRRFSE
+DSSTSKVMVPPVTPDLPACSPASETGSENSVNMAAHTLMILSRAAISRTTSATPLKDNTQ
+QFRASSRSTTKKRKIEELDERERNSRPSSKNLTNSSIPMKKKKIKKKKLPSSFPAGMDVD
+KFLLSLHYDE
+>E5RHQ5_PF06409_41 <unknown description>
+VINTLADHHHRGTDFGGSPWLHIIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGS
+TDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKR
+KVTTKINRHDKINGKRKTARK
+>E5RHQ5_PF06409_183 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNR
+MGHQPPPPTQQHCITDNSLSLKTPLECLLTPLPPSADDNLKT
+>A6NJU9_PF06409_41 <unknown description>
+VINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGS
+TDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKR
+KVTTKINHHDKINGKRKTARK
+>A6NJU9_PF06409_183 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNR
+MGHQPPPPTQQHSITDNSLSLKTPPECVLTPLPPSADDNLKT
+>A6NHN6_PF06409_23 <unknown description>
+VINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRDTFTILFCTSYL
+CVSFLKTIFWSRNGHDGSTDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDV
+ITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARK
+>A6NHN6_PF06409_183 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHRHSSGLPCWPYLTAEALKNR
+MGRQPPPPTQQHSITDNSLSLKTPPECLLHPLPPSVDDNIK
+>P48145_PF00001_54 <unknown description>
+GNSAVLYVLLRAPRMKTVTNLFILNLAIADELFTLVLPINIADFLLRQWPFGELMCKLIV
+AIDQYNTFSSLYFLTVMSADRYLVVLATAESRRVAGRTYSAARAVSLAVWGIVTLVVLPF
+AVFARLDDEQGRRQCVLVFPQPEAFWWRASRLYTLVLGFAIPVSTICVLYTTLLCRLHAM
+RLDSHAKALERAKKRVTFLVVAILAVCLLCWTPYHLSTVVALTTDLPQTPLVIAISYFIT
+SLSYANSCLNPFLY
+>P48146_PF00001_62 <unknown description>
+GNTAVILVILRAPKMKTVTNVFILNLAVADGLFTLVLPVNIAEHLLQYWPFGELLCKLVL
+AVDHYNIFSSIYFLAVMSVDRYLVVLATVRSRHMPWRTYRGAKVASLCVWLGVTVLVLPF
+FSFAGVYSNELQVPSCGLSFPWPEQVWFKASRVYTLVLGFVLPVCTICVLYTDLLRRLRA
+VRLRSGAKALGKARRKVTVLVLVVLAVCLLCWTPFHLASVVALTTDLPQTPLVISMSYVI
+TSLSYANSCLNPFLY
+>Q8NG41_PF15180_7 <unknown description>
+LAAAALALCLLLAPPGLAWYKPAAGHSSYSVGRAAGLLSGLRRSPYARRSQPYRGAEPPG
+GAGASPELQLHPRLRSLAVCVQDVAPNLQRCERLPDGRGTYQCKANVFLSLRAADCL
+>O15118_PF16414_23 <unknown description>
+QSCVWYGECGIAYGDKRYNCEYSGPPKPLPKDGYDLVQELCPGFFFGNVSLCCDVRQLQT
+LKDNLQLPLQFLSRCPSCFYNLLNLFCELTCSPRQSQFLNVTATEDYVDPVTNQTKTNVK
+ELQYYVGQSFANAMYNACRDVEAPSSNDKALGLLCGKDADACNATNWIEYMFNKDNGQAP
+FTITPVFSDFPVHGMEPMNNATKGCDESVDEVTAPCSCQDCSIVCGPKPQPPPPPAPWTI
+LGLD
+>O15118_PF12349_650 <unknown description>
+VDSKVSLGIAGILIVLSSVACSLGVFSYIGLPLTLIVIEVIPFLVLAVGVDNIFILVQAY
+QRDERLQGETLDQQLGRVLGEVAPSMFLSSFSETVAFFLGALSVMPAVHTFSLFAGLAVF
+IDFLLQITCFVSLLGLDIKRQEKNRLDIFCCVR
+>O15118_PF02460_1039 <unknown description>
+MTYHTVLQTSADFIDALKKARLIASNVTETMGINGSAYRVFPYSVFYVFYEQYLTIIDDT
+IFNLGVSLGAIFLVTMVLLGCELWSAVIMCATIAMVLVNMFGVMWLWGISLNAVSLVNLV
+MSCGISVEFCSHITRAFTVSMKGSRVERAEEALAHMGSSVFSGITLTKFGGIVVLAFAKS
+QIFQIFYFRMYLAMVLLGATHGLIFLPVLLSY
+>P61916_PF02221_22 <unknown description>
+VQFKDCGSVDGVIKEVNVSPCPTQPCQLSKGQSYSVNVTFTSNIQSKSSKAVVHGILMGV
+PVPFPIPEPDGCKSGINCPIQKDKTYSYLNKLPVKSEYPSIKLVVEWQLQDDKNQSLFCW
+EIPVQI
+>Q9NQX5_PF06809_1 <unknown description>
+MATPLPPPSPRHLRLLRLLLSGLVLGAALRGAAAGHPDVAACPGSLDCALKRRARCPPGA
+HACGPCLQPFQEDQQGLCVPRMRRPPGGGRPQPRLEDEIDFLAQELARKESGHSTPPLPK
+DRQRLPEPATLGFSARGQGLELGLPSTPGTPTPTPHTSLGSPVSSDPVHMSPLEPRGGQG
+DGLALVLILAFCVAGAAALSVASLCWCRLQREIRLTQKADYATAKAPGSPAAPRISPGDQ
+RLAQSAEMYHYQHQRQQMLCLERHKEPPKELDTASSDEENEDGDFTVYECPGLAPTGEME
+VRNPLFDHAALSAPLPAPSSPPALP
+>Q9GZQ6_PF00001_60 <unknown description>
+GNTLVCFIVLKNRHMHTVTNMFILNLAVSDLLVGIFCMPTTLVDNLITGWPFDNATCKMS
+GLVQGMSVSASVFTLVAIAVERFRCIVHPFREKLTLRKALVTIAVIWALALLIMCPSAVT
+LTVTREEHHFMVDARNRSYPLYSCWEAWPEKGMRRVYTTVLFSHIYLAPLALIVVMYARI
+ARKLCQAPGPAPGGEEAADPRASRRRARVVHMLVMVALFFTLSWLPLWALLLLIDYGQLS
+APQLHLVTVYAFPFAHWLAFFNSSANPIIY
+>Q9Y5X5_PF00001_62 <unknown description>
+GNTVVCFIVMRNKHMHTVTNLFILNLAISDLLVGIFCMPITLLDNIIAGWPFGNTMCKIS
+GLVQGISVAASVFTLVAIAVDRFQCVVYPFKPKLTIKTAFVIIMIIWVLAITIMSPSAVM
+LHVQEEKYYRVRLNSQNKTSPVYWCREDWPNQEMRKIYTTVLFANIYLAPLSLIVIMYGR
+IGISLFRAAVPHTGRKNQEQWHVVSRKKQKIIKMLLIVALLFILSWLPLWTLMMLSDYAD
+LSPNELQIINIYIYPFAHWLAFGNSSVNPIIY
+>O15130_PF15085_44 <unknown description>
+QDAQTSGSLLHYLLQAMERPGRSQAFLFQPQRFGRNTQGSWRNEWLSPRAGEGLNSQFWS
+LAAPQRFGKK
+>O60500_PF07679_37 <unknown description>
+LPENLTVVEGASVELRCGVSTPGSAVQWAKDGLLLGPDPRIPGFPRYRLEGDPARGEFHL
+HIEACDLSDDAEYECQVGRS
+>O60500_PF08205_141 <unknown description>
+TPEAGTMVTWVAGQEYVVNCVSGDAKPAPDITILLSGQTISDISANVNEGSQQKLFTVEA
+TARVTPRSSDNRQLLVCEASSPALEAP
+>O60500_PF08205_258 <unknown description>
+GQSLELPCVARGGNPLATLQWLKNGQPVSTAWGTEHTQAVARSVLVMTVRPEDHGAQLSC
+EAHNS
+>O60500_PF08205_347 <unknown description>
+GSASQTENKNVTLSCVSKSSRPRVLLRWWLGWRQLLPMEETVMDGLHGGHISMSNLTFLA
+RREDNGLTLTCEAFSEAFTK
+>O60500_PF08205_446 <unknown description>
+IEGPPEGQKLRAGTRVRLVCLAIGGNPEPSLMWYKDSRTVTESRLPQESRRVHLGSVEKS
+GSTFSRELVLVTGPSDNQAKFTCKAG
+>O60500_PF08205_553 <unknown description>
+NASALRPGDALNLTCVSVSSNPPVNLSWDKEGERLEGVAAPPRRAPFKGSAAARSVLLQV
+SSRDHGQRVTCRAHSAELRE
+>O60500_PF13927_740 <unknown description>
+PTIRALQDPTEVNVGGSVDIVCTVDANPILPGMFNWERLGEDEEDQSLDDMEKISRGPTG
+RLRIHHAKLAQAGAYQCIVDN
+>O60500_PF13927_854 <unknown description>
+DSTSSATLHCRARGVPNIVFTWTKNGVPLDLQDPRYTEHTYHQGGVHSSLLTIANVSAAQ
+DYALFTCTATN
+>O60500_PF00041_942 <unknown description>
+DPPSGLKVVSLTPHSVGLEWKPGFDGGLPQRFCIRYEALGTPGFHYVDVVPPQATTFTLT
+GLQPSTRYRVWLLASNALGDS
+>O15259_PF00018_158 <unknown description>
+IAVGDFTAQQVGDLTFKKGEILLVIEKKPDGWWIAKDAKGNEGLVP
+>Q7Z494_PF13424_943 <unknown description>
+ADLYETLGRFLKDLGLLSQAIVPLQRSLEIRETALDPDHPRVAQSLHQLASVYVQWKKFG
+NAEQLYKQALEISE
+>Q7Z494_PF13424_1116 <unknown description>
+LKRSLEMRERVLGPDHPDCAQSLNNLAALCNEKKQYDKAEELYERALDIRR
+>Q7Z494_PF13424_1182 <unknown description>
+HLAILYKKMGKLDKAVPLYELAVEIRQKSFGPKHPSVATALVNLAVLYSQMKKHVEALPL
+YERALKIYE
+>Q7Z494_PF13176_1263 <unknown description>
+TLKNLAVLSYEGGDFEKAAELYKRAMEIKEAE
+>Q9UND3_PF06409_22 <unknown description>
+VINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGS
+TDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRK
+TAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRC
+KITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSL
+SLKTPSECLLTPLPPSALPSADDNLKT
+>Q9UND3_PF06409_304 <unknown description>
+NLKTPPECLLTPLPPSAPPSVDDNLKT
+>E9PIF3_PF06409_41 <unknown description>
+VINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGS
+TDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKICKMKVTTKVNRHDKINGKRK
+TAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRC
+KITPSARKPLCNRVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSL
+SLKTPPECLLTPLPPSALPSADDNLKT
+>E9PIF3_PF06409_323 <unknown description>
+NLKTPPECLLTPLPPSAPPSADDNLKT
+>E9PKD4_PF06409_22 <unknown description>
+VINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGS
+TDVQQRARRSNCRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRK
+TAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRC
+KITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSL
+SLKTPSERLLYPLPPSADDNLKT
+>E9PKD4_PF06409_281 <unknown description>
+NLKTPPECLLTPLPPSALPSADDNLKT
+>E9PKD4_PF06409_305 <unknown description>
+LKTPAECLLTPLPPSAPPSADDNLKT
+>E9PJI5_PF06409_22 <unknown description>
+VINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGS
+TDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRK
+TAKEHLRKLSMKEREHREEERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRC
+KITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSL
+SLKTPSECVLYPLPPSADDNLKT
+>E9PJI5_PF06409_281 <unknown description>
+NLKTPPECLLTPLPPSALPSADDNLKT
+>E9PJI5_PF06409_323 <unknown description>
+NLKTPPECLLTPLPPSAPPSADDNLKT
+>P0DM63_PF06409_22 <unknown description>
+VINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGS
+TDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRK
+TAKEHLRKLSMKEREHREEERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRC
+KITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSL
+SLKTPSECVLYPLPPSADDNLKT
+>P0DM63_PF06409_281 <unknown description>
+NLKTPPECLLTPLPPSALPSADDNLKT
+>P0DM63_PF06409_323 <unknown description>
+NLKTPPECLLTPLPPSAPPSADDNLKT
+>A6NJ64_PF06409_22 <unknown description>
+VINTLADHHHRGTDFGGSPWLRIIIAFPRSYKVVLTLWTVYLWLSFLKTIFQSENGHDVS
+TDVQQRARRSNRRRQEGLRSICMHTKKRVSSFPGNKIGLKDVITLRRHVETKGRAKIRKM
+KVTTKINHHDKINGKRKTAKKQKLSVKECEHAEKERQVSEAEENGKLDMKEIHTYMKMFQ
+RAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQ
+PPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKT
+>A6NJ64_PF06409_296 <unknown description>
+NLKTPPECLLTPLPPSAPPSADDNLKT
+>A8MRT5_PF06409_41 <unknown description>
+VINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGS
+TDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKR
+KVTTKINHHDKINGKRKTARK
+>A8MRT5_PF06409_183 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNR
+MGHQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKT
+>E9PJ23_PF06409_41 <unknown description>
+VINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRYTFNILFCTSCL
+CVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDV
+ITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARK
+>E9PJ23_PF06409_201 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMVAAEHRHSSGLPYWPYLTAETLKNR
+MGRQPPPPTQQHSITDNSLSLKTPTECLLTPLPPSVDDNIK
+>O75200_PF06409_23 <unknown description>
+VINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRYTFNVLFCTSCL
+CVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDV
+ITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARK
+>O75200_PF06409_183 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHCHSSGLPYWLYLTAETLKNR
+MGRQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSVDDNIK
+>E9PQR5_PF06409_41 <unknown description>
+VINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRCYTFNILFCTSCL
+CVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDV
+ITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARK
+>E9PQR5_PF06409_201 <unknown description>
+KMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHRHSSGLPYWLYLTAETLKNR
+MGRQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSVDDNIK
+>Q8TAT6_PF11543_1 <unknown description>
+MAESIIIRVQSPDGVKRITATKRETAATFLKKVAKEFGFQNNGFSVYINRNKTGEITASS
+NKSLNLLKIKHGDLLFLFP
+>Q8TAT6_PF05020_106 <unknown description>
+VEDEIDQYLSKQDGKIYRSRDPQLCRHGPLGKCVHCVPLEPFDEDYLNHLEPPVKHMSFH
+AYIRKLTGGADKGKFVALENISCKIKSGCEGHLPWPNGICTKCQPSAITLNRQKYRHVDN
+IMFENHTVADRFLDFWRKTG
+>Q8TAT6_PF05021_249 <unknown description>
+FGYLYGRYTEHKDIPLGIRAEVAAIYEPPQIGTQNSLELLEDPKAEVVDEIAAKLGLRKV
+GWIFTDLVSEDTRKGTVRYSRNKDTYFLSSEECITAGDFQNKHPNMCRLSPDGHFGSKFV
+TAVATGGPDNQVHFEGYQVSNQCMALVRDECLLPCKDAPELGYAKESSSEQYVPDVFYKD
+VDKFGNEITQLARPLPVEYLIIDITTTFPKDPVYTFSISQNPFPIENRDVLGETQDFHSL
+ATYLSQNTSSVFLDTISDFHLLLFLVTNEVMPLQDSISLLLEAVRTRNEELAQTWKRSEQ
+WATIEQLC
+>Q9BXD5_PF00701_8 <unknown description>
+LQGLVAATITPMTENGEINFSVIGQYVDYLVKEQGVKNIFVNGTTGEGLSLSVSERRQVA
+EEWVTKGKDKLDQVIIHVGALSLKESQELAQHAAEIGADGIAVIAPFFLKPWTKDILINF
+LKEVAAAAPALPFYYYHIPALTGVKIRAEELLDGILDKIPTFQGLKFSDTDLLDFGQCVD
+QNRQQQFAFLFGVDEQLLSALVMGATGAVGSTYNYLGKKTNQMLEAFEQKDFSLALNYQF
+CIQRFINFVVKLGFGVSQTKAIMTLVSGIPMGPPRLPLQKASREFTDSAEAKLK
+>Q86SE8_PF03066_18 <unknown description>
+LWGCELSQERRTWTFRPQLEGKQSCRLLLHTICLGEKAKEEMHRVEILPPANQEDKKMQP
+VTIASLQASVLPMVSMVGVQLSPPVTFQLRAGSGPVFLSGQE
+>O75607_PF03066_38 <unknown description>
+FFGCELSGHTRSFTFKVEEEDDAEHVLALTMLCLTEGAKDECNVVEVVARNHDHQEIAVP
+VANLKLSCQPMLSLDDFQLQPPVTFRLKSGSGPVRITGRHQ
+>P06748_PF03066_18 <unknown description>
+LFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIVEAEAMNYEGSPIKVTLA
+TLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLV
+>P06748_PF16276_245 <unknown description>
+EDIKAKMQASIEKGGSLPKVEAKFINYVKNCFRMTDQEAIQDLWQWRKS
+>Q6UXI9_PF07645_89 <unknown description>
+DLNECGLKPRPCKHRCMNTYGSYKCYCLNGYM
+>Q6UXI9_PF07645_169 <unknown description>
+DVDECATGRASCPRFRQCVNTFGSYICKCHKGFD
+>Q6UXI9_PF12947_218 <unknown description>
+CSLGQYQCSSFARCYNIRGSYKCKCKEGYQGDGLTC
+>Q6UXI9_PF00629_422 <unknown description>
+CNFDHGLCGWIREKDNDLHWEPIRDPAGGQYLTVSAAKAPGGKAARLVLPLGRLMHSGDL
+CLSFRHKVTGLHSGTLQVFVRKHGAHGAALWGRNGGHGWRQTQITLRGADIKSVVFKGEK
+RRGHTGEIGLDDVSLKKGHCS
+>Q8WTW4_PF06218_7 <unknown description>
+IECIFFSEFHPTLGPKITYQVPEDFISRELFDTVQVYIITKPELQNKLITVTAMEKKLIG
+CPVCIEHKKYSRNALLFNLGFVCDAQAKTCALEPIVKKLAGYLTTLELESSFVSMEESKQ
+KLVPIMTILLEELNASGRCTLPIDESNTIHLKVIEQRPDPPVAQEYDVPVFTKDKEDFFN
+SQWDLTTQQILPYIDGFRHIQKISAEADVELNLVRIAIQNLLYYGVVTLVSILQYSNVYC
+PTPKVQDLVDDKSLQEACLSYVTKQGHKR
+>Q12980_PF03666_64 <unknown description>
+FSDVILATILATKSEMCGQKFELKIDNVRFVGHPTLLQH
+>Q12980_PF03666_113 <unknown description>
+SPKREAPTMILFNVVFALRANADPSVINCLHNLSRRIATVLQHEERRCQYLTREAKLILA
+LQDEVSAMADGNEGPQSPFHHILPKCKLARDLKEAYDSLCTSGVVRLHINSWLEVSFCLP
+HKIHYAASSLIPPEAIERSLKAIRPYHALLLLSDEKSLLGELPIDCSPALVRVIKTTSAV
+KNLQQLAQDADLALLQVFQLAAHLVYWGKAIIIYPLCENNVYMLSPNASVCLYSPLAEQF
+SHQFPSHDLPSVLAKFSLPVSLSEFRNPLAPAVQETQLIQMVVWMLQRRLLIQLHTYVCL
+MASP
+>Q9UFN0_PF07978_37 <unknown description>
+YEFRSYYLKPSKMNEFLENFEKNAHLRTAHSELVGYWSVEFGGRMNTVFHIWKYDNFAHR
+TEVRKALAKDKEWQEQFLIPNLALIDKQESEITYLVPWCK
+>Q9UFN0_PF07978_146 <unknown description>
+YELATFQMKPGGPALWGDAFKRAVHAHVNLGYTKLVGVFHTEYGALNRVHVLWWNESADS
+RAAGRHKSHEDPRVVAAVRESVNYLVSQQNMLLIPTSFSP
+>Q9BS92_PF07978_37 <unknown description>
+YEFRTYYLKPSNMNAFMENLKKNIHLRTSYSELVGFWSVEFGGRTNKVFHIWKYDNFAHR
+AEVRKALANCKEWQEQSIIPNLARIDKQETEITYLIPWSK
+>Q9BS92_PF07978_146 <unknown description>
+YELAVFQMKPGGPALWGDAFERAINAHVNLGYTKVVGVFHTEYGELNRVHVLWWNESADS
+RAAGRHKSHEDPRVVAAVRESVNYLVSQQNMLLIPASFSP
+>Q6W5P4_PF00001_66 <unknown description>
+GNSVVLFSTWRRKKKSRMTFFVTQLAITDSFTGLVNILTDINWRFTGDFTAPDLVCRVVR
+YLQVVLLYASTYVLVSLSIDRYHAIVYPMKFLQGEKQARVLIVIAWSLSFLFSIPTLIIF
+GKRTLSNGEVQCWALWPDDSYWTPYMTIVAFLVYFIPLTIISIMYGIVIRTIWIKSKTYE
+TVISNCSDGKLCSSYNRGLISKAKIKAIKYSIIIILAFICCWSPYFLFDILDNFNLLPDT
+QERFYASVIIQNLPALNSAINPLIY
+>P0C0P6_PF14993_24 <unknown description>
+YPVPSSKVSGKSDYFLILLNSCPTRLDRSKELAFLKPILEKMFVKRSFRNGVGTGMKKTS
+FQRAK
+>Q14916_PF07690_28 <unknown description>
+CCNVIITAQRACLNLTMVVMVNSTDPHGLPNTSTKKLLDNIKNPMYNWSPDIQGIILSST
+SYGVIIIQVPVGYFSGIYSTKKMIGFALCLSSVLSLLIPPAAGIGVAWVVVCRAVQGAAQ
+GIVATAQFEIYVKWAPPLERGRLTSMSTSGFLLGPFIVLLVTGVICESLGWPMVFYIFGA
+CGCAVCLLWFVLFYDDPKDHPCISISEKEYITSSLVQQVSSSRQSLPIKAILKSLPVWAI
+STGSFTFFWSHNIMTLYTPMFINSMLHVNIKENGFLSSLPYLFAWICGNLAGQLSDFFLT
+RNILSVIAVRKLFTAAGFLLPAIFGVCLPYLSSTFYSIVIFLILAGATGSFCLGGVFING
+LDIAPRYFGFIKACSTLTGMIG
+>Q06495_PF02690_113 <unknown description>
+LFVCSLDMLSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIIVS
+MVSSGLLEVSSAIPIIMGSNIGTSVTNTIVALMQA
+>Q06495_PF02690_366 <unknown description>
+LILLVKMLNSLLKGQVAKVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVFTSA
+ITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSAFQIALCHFFFNISGI
+LL
+>O95436_PF02690_110 <unknown description>
+FFVCSLDILSSAFQLVGGKMAGQFFSNSSIMSNPLLGLVIGVLVTVLVQSSSTSTSIVVS
+MVSSSLLTVRAAIPIIMGANIGTSITNTIVALMQV
+>O95436_PF02690_388 <unknown description>
+GSVLKGQVATVIKKTINTDFPFPFAWLTGYLAILVGAGMTFIVQSSSVFTSALTPLIGIG
+VITIERAYPLTLGSNIGTTTTAILAALASPGNALRSSLQIALCHFFFNISGIL
+>Q8N130_PF02690_85 <unknown description>
+FFICSLDVLSSAFQLLGSKVAGDIFKDNVVLSNPVAGLVIGVLVTALVQSSSTSSSIVVS
+MVAAKLLTVRVSVPIIMGVNVGTSITSTLVSMAQSGDRDEFQRAFSGSAVHGIFNWLTVL
+>Q8N130_PF02690_376 <unknown description>
+YLAVLAGAGLTFALQSSSVFTAAVVPLMGVGVISLDRAYPLLLGSNIGTTTTALLAALAS
+PADRMLSALQVALIHFFFNLAGILL
+>O00624_PF07690_40 <unknown description>
+IAIIAMVNTTQQQGLSNASTEGPVADAFNNSSISIKEFDTKASVYQWSPETQGIIFSSIN
+YGIILTLIPSGYLAGIFGAKKMLGAGLLISSLLTLFTPLAADFGVILVIMVRTVQGMAQG
+MAWTGQFTIWAKWAPPLERSKLTTIAGSGSAFGSFIILCVGGLISQALSWPFIFYIFGST
+GCVCCLLWFTVIYDDPMHHPCISVREKEHILSSLAQQPSSPGRAVPIKAMVTCLPLWAIF
+LGFFSHFWLCTIILTYLPTYISTLLHVNIRDSGVLSSLPFIAAASCTILGGQLADFLLSR
+NLLRLITVRKLFSSLGLLLPSICAVALPFVASSYVITIILLILIPGTSNLCDSGFIINTL
+DIAPRYASFLMGISRGFGLIAGIIS
+>O00476_PF07690_58 <unknown description>
+IMNITMVAMVNSTSPQSQLNDSSEVLPVDSFGGLSKAPKSLPAKAPVYDWSPQIQGIIFG
+AVGYGGILTMAPSGYLAGRVGTKRVVGISLFATSFLTLCIPLATDFGIVLLIVTRIVQGL
+SQSSILGGQFAIWEKWGPPQERSRLCSIALSGMLLGCFTAILIGGFISETLGWPFVFYIF
+GGVGCVCCLLWFVVIYDDPVSYPWISTSEKEYIISSLKQQVGSSKQPLPIKAMLRSLPIW
+SICLGCFSHQWLVSTMVVYIPTYISSVYHVNIRDNGLLSALPFIVAWVIGMVGGYLADFL
+LTKKFRLITVRKIATILGSLPSSALIVSLPYLNSGYITATALLTLSCGLSTLCQSGIYIN
+VLDIAPRYSSFLMG
+>Q9Y639_PF13927_36 <unknown description>
+SPMSETKLTGDAFELYCDVVGSPTPEIQWWYAEVNRAESFRQLWDGARKRRVTVNTAYGS
+NGVSVLRITRLTLEDSGTYECRASN
+>Q9Y639_PF13927_238 <unknown description>
+PDITGHKRSENKNEGQDATMYCKSVGYPHPDWIWRKKENGMPMDIVNTSGRFFIINKENY
+TELNIVNLQITEDPGEYECNATN
+>Q15818_PF00354_232 <unknown description>
+FPLRTNYMYAKVKKSLPEMYAFTVCMWLKSSATPGVGTPFSYAVPGQANELVLIEWGNNP
+MEILINDKVAKLPFVINDGKWHHICVTWTTRDGVWEAYQDGTQGGSGENLAPYHPIKPQG
+VLVLGQEQDTLGGGFDATQAFVGELAHFNIWDRKLTPGEVYNLATCSTKALSGNVIAWAE
+SHIEIYGGA
+>P47972_PF00354_232 <unknown description>
+RTNYLYGKIKKTLPELYAFTICLWLRSSASPGIGTPFSYAVPGQANEIVLIEWGNNPIEL
+LINDKVAQLPLFVSDGKWHHICVTWTTRDGMWEAFQDGEKLGTGENLAPWHPIKPGGVLI
+LGQEQDTVGGRFDATQAFVGELSQFNIWDRVLRAQEIVNIANCSTNMPGNIIPWVDNNVD
+VFGGA
+>O95502_PF00354_301 <unknown description>
+RNNYMYARVRKALPELYAFTACMWLRSRSSGTGQGTPFSYSVPGQANEIVLLEAGHEPME
+LLINDKVAQLPLSLKDNGWHHICIAWTTRDGLWSAYQDGELQGSGENLAAWHPIKPHGIL
+ILGQEQDTLGGRFDATQAFVGDIAQFNLWDHALTPAQVLGIANCTAPLLGNVLPWEDKLV
+EAF
+>Q8N729_PF15180_17 <unknown description>
+LALLLLLLLLPLPSGAWYKHVASPRYHTVGRAAGLLMGLRRSPYLWRRALRAAAGPLARD
+TLSPEPAAREAPLLLPSWVQELWETRRRSS
+>P25929_PF00001_57 <unknown description>
+GNLALIIIILKQKEMRNVTNILIVNLSFSDLLVAIMCLPFTFVYTLMDHWVFGEAMCKLN
+PFVQCVSITVSIFSLVLIAVERHQLIINPRGWRPNNRHAYVGIAVIWVLAVASSLPFLIY
+QVMTDEPFQNVTLDAYKDKYVCFDQFPSDSHRLSYTTLLLVLQYFGPLCFIFICYFKIYI
+RLKRRNNMMDKMRDNKYRSSETKRINIMLLSIVVAFAVCWLPLTIFNTVFDWNHQIIATC
+NHNLLFLLCHLTAMISTCVNPIFY
+>P49146_PF00001_67 <unknown description>
+GNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWKMGPVLCHLV
+PYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLASPLAIF
+REYSLIEIIPDFEIVACTEKWPGEEKSIYGTVYSLSSLLILYVLPLGIISFSYTRIWSKL
+KNHVSPGAANDHYHQRRQKTTKMLVCVVVVFAVSWLPLHAFQLAVDIDSQVLDLKEYKLI
+FTVFHIIAMCSTFANPLLY
+>P0DQD5_PF00001_58 <unknown description>
+GNLCLMCVTVRQKEKANVTNLLIANLAFSDFLMCLLCQPLTAVYTIMDYWIFGETLCKMS
+AFIQCMSVTVSILSLVLVALERHQLIINPTGWKPSISQAYLGIVLIWVIACVLSLPFLAN
+SILENVFHKNHSKALEFLADKVVCTESWPLAHHRTIYTTFLLLFQYCLPLGFILVCYARI
+YRRLQRQGRVFHKGTYSLRAGHMKQVNVVLVVMVVAFAVLWLPLHVFNSLEDWHHEAIPI
+CHGNLIFLVCHLLAMASTCVNPFIY
+>P50391_PF00001_58 <unknown description>
+GNLCLMCVTVRQKEKANVTNLLIANLAFSDFLMCLLCQPLTAVYTIMDYWIFGETLCKMS
+AFIQCMSVTVSILSLVLVALERHQLIINPTGWKPSISQAYLGIVLIWVIACVLSLPFLAN
+SILENVFHKNHSKALEFLADKVVCTESWPLAHHRTIYTTFLLLFQYCLPLGFILVCYARI
+YRCLQRQGRVFHKGTYSLRAGHMKQVNVVLVVMVVAFAVLWLPLHVFNSLEDWHHEAIPI
+CHGNLIFLVCHLLAMASTCVNPFIY
+>Q15761_PF00001_58 <unknown description>
+GNLLILMALMKKRNQKTTVNFLIGNLAFSDILVVLFCSPFTLTSVLLDQWMFGKVMCHIM
+PFLQCVSVLVSTLILISIAIVRYHMIKHPISNNLTANHGYFLIATVWTLGFAICSPLPVF
+HSLVELQETFGSALLSSRYLCVESWPSDSYRIAFTISLLLVQYILPLVCLTVSHTSVCRS
+ISCGLSNKENRLEENEMINLTLHPSKKSGPQVKLSGSHKWSYSFIKKHRRRYSKKTACVL
+PAPERPSQENHSRILPENFGSVRSQLSSSSKFIPGVPTCFEIKPEENSDVHELRVKRSVT
+RIKKRSRSVFYRLTILILVFAVSWMPLHLFHVVTDFNDNLISNRHFKLVYCICHLLGMMS
+CCLNPILY
+>P01303_PF00159_30 <unknown description>
+PSKPDNPGEDAPAEDMARYYSALRHYINLITRQRYG
+>P15559_PF02525_5 <unknown description>
+RALIVLAHSERTSFNYAMKEAAAAALKKKGWEVVESDLYAMNFNPIISRKDITGKLKDPA
+NFQYPAESVLAYKEGHLSPDIVAEQKKLEAADLVIFQFPLQWFGVPAILKGWFERVFIGE
+FAYTYAAMYDKGPFRSKKAVLSITTGGSGSMYSLQGIHGDMNVILWPIQSGILHFCGFQV
+LEPQLTYSIGHTPADARIQILEGWKKR
+>P16083_PF02525_4 <unknown description>
+KKVLIVYAHQEPKSFNGSLKNVAVDELSRQGCTVTVSDLYAMNLEPRATDKDITGTLSNP
+EVFNYGVETHEAYKQRSLASDITDEQKKVREADLVIFQFPLYWFSVPAILKGWMDRVLCQ
+GFAFDIPGFYDSGLLQGKLALLSVTTGGTAEMYTKTGVNGDSRYFLWPLQHGTLHFCGFK
+VLAPQISFAPEIASEEERKGMVAAWSQRL
+>P51843_PF14046_1 <unknown description>
+MAGENHQWQGSILYNMLMSAKQTRAAPEAPETRLVDQCWGCSCGDEPGV
+>P51843_PF14046_68 <unknown description>
+FCGKDHPRQGSILYSMLTSAKQTYAAPKAPEATLGPCWGCSCGSDPGV
+>P51843_PF14046_134 <unknown description>
+FCGEDHPRQGSILYSLLTSSKQTHVAPAAPEARPGGAWWDRSYFAQRP
+>P51843_PF14046_201 <unknown description>
+FCGEDHPQQGSTLYCVPTSTNQAQAAPEERPRAPWWDTSSGALRPV
+>P51843_PF00104_254 <unknown description>
+VCEAASAGLLKTLRFVKYLPCFQVLPLDQQLVLVRNCWASLLMLELAQDRLQFETVEVSE
+PSMLQKILTTRRRETGGNEPLPVPTLQHHLAPPAEARKVPSASQVQAIKCFLSKCWSLNI
+STKEYAYLKGTVLFNPDVPGLQCVKYIQGLQWGTQQILSEHTRMTHQGPHDRFIELNSTL
+FLLRFINANVIAELFF
+>Q15466_PF00104_63 <unknown description>
+LAKTVAFLRNLPSFWQLPPQDQRRLLQGCWGPLFLLGLAQDAVTFEVAEAPVPSILKKIL
+LEEPSSSGGSGQLPDRPQPSLAAVQWLQCCLESFWSLELSPKEYACLKGTILFNPDVPGL
+QAASHIGHLQQEAHWVLCEVLEPWCPAAQGRLTRVLLTASTL
+>P20393_PF00105_131 <unknown description>
+LCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKRCLKNENCSIVRINRNRCQQCRF
+KKCLSVGMSR
+>P20393_PF00104_437 <unknown description>
+EDFSMSFTPAVREVVEFAKHIPGFRDLSQHDQVTLLKAGTFEVLMVRFASLFNVKDQTVM
+FLSRTTYSLQELGAMGMGDLLSAMFDFSEKLNSLALTEEELGLFTAVVLVSADRSGMENS
+ASVEQLQETLLRALRALVLKNRPLETSRFTKLLLKLPDLRTLNNMHSEKLLSFR
+>Q14995_PF00105_102 <unknown description>
+LCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKKCLKNENCSIMRMNRNRCQQCRF
+KKCLSVGMSR
+>Q14995_PF00104_403 <unknown description>
+EEFSMSFTPAVKEVVEFAKRIPGFRDLSQHDQVNLLKAGTFEVLMVRFASLFDAKERTVT
+FLSGKKYSVDDLHSMGAGDLLNSMFEFSEKLNALQLSDEEMSLFTAVVLVSADRSGIENV
+NSVEALQETLIRALRTLIMKNHPNEASIFTKLLLKLPDLRSLNNMHSEELLAFKV
+>P55055_PF00105_86 <unknown description>
+LCRVCGDKASGFHYNVLSCEGCKGFFRRSVVRGGARRYACRGGGTCQMDAFMRRKCQQCR
+LRKCKEAGMR
+>P55055_PF00104_264 <unknown description>
+QQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLETARRYNHET
+ECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIAINIFSADRP
+NVQEPGRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVHSEQVFALRL
+>Q13133_PF00105_97 <unknown description>
+LCSVCGDKASGFHYNVLSCEGCKGFFRRSVIKGAHYICHSGGHCPMDTYMRRKCQECRLR
+KCRQAGMR
+>Q13133_PF00104_253 <unknown description>
+RFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLETSRRYNPGSES
+ITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAISIFSADRPNV
+QDQLQVERLQHTYVEALHAYVSIHHPHDRLMFPRMLMKLVSLRTLSSVHSEQVFALRL
+>Q96RI1_PF00105_126 <unknown description>
+LCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLR
+KCKEMGM
+>Q96RI1_PF00104_287 <unknown description>
+NFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPS
+GHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDRE
+AVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRV
+>O75469_PF00105_40 <unknown description>
+ICRVCGDKATGYHFNVMTCEGCKGFFRRAMKRNARLRCPFRKGACEITRKTRRQCQACRL
+RKCLESGMKK
+>O75469_PF00104_243 <unknown description>
+MADMSTYMFKGIISFAKVISYFRDLPIEDQISLLKGAAFELCQLRFNTVFNAETGTWECG
+RLSYCLEDTAGGFQQLLLEPMLKFHYMLKKLQLHEEEYVLMQAISLFSPDRPGVLQHRVV
+DQLQEQFAITLKSYIECNRPQPAHRFLFLKIMAMLTELRSINAQHTQRL
+>Q14994_PF00105_10 <unknown description>
+NCVVCGDQATGYHFNALTCEGCKGFFRRTVSKSIGPTCPFAGSCEVSKTQRRHCPACRLQ
+KCLDAGMRK
+>Q14994_PF00104_166 <unknown description>
+TFMVLQVIKFTKDLPVFRSLPIEDQISLLKGAAVEICHIVLNTTFCLQTQNFLCGPLRYT
+IEDGARVGFQVEFLELLFHFHGTLRKLQLQEPEYVLLAAMALFSPDRPGVTQRDEIDQLQ
+EEMALTLQSYIKGQQRRPRDRFLYAKLLGLLAELRSINEAY
+>P13056_PF00105_112 <unknown description>
+LCVVCGDKASGRHYGAVTCEGCKGFFKRSIRKNLVYSCRGSKDCIINKHHRNRCQYCRLQ
+RCIAFGMK
+>P13056_PF00104_380 <unknown description>
+MPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNELFTLGLA
+QCWQVMNVATILATFVNCLHNSLQQDKMSTERRKLLMEHIFKLQEFCNSMVKLCIDGYEY
+AYLKAIVLFSPDHPSLENMEQIEKFQEKAYVEFQDYITKTYPDDTYRLSRLLLRLPALRL
+MNATITEELFFKGL
+>P49116_PF00105_116 <unknown description>
+YCVVCGDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSNQDCIINKHHRNRCQFCRLK
+KCLEMGMK
+>P49116_PF00104_373 <unknown description>
+MPSPMPEYLNVHYICESASRLLFLSMHWARSIPAFQALGQDCNTSLVRACWNELFTLGLA
+QCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCNSMAKLDIDGYEY
+AYLKAIVLFSPDHPGLTSTSQIEKFQEKAQMELQDYVQKTYSEDTYRLARILVRLPALRL
+MSSNITEELFFTGL
+>Q9Y466_PF00105_15 <unknown description>
+PCKVCGDRSSGKHYGVYACDGCSGFFKRSIRRNRTYVCKSGNQGGCPVDKTHRNQCRACR
+LKKCLEVNMNK
+>Q9Y466_PF00104_183 <unknown description>
+ESVCESAARLLFMSIKWAKSVPAFSTLSLQDQLMLLEDAWRELFVLGIAQWAIPVDANTL
+LAVSGMNGDNTDSQKLNKIISEIQALQEVVARFRQLRLDATEFACLKCIVTFKAVPTHSG
+SELRSFRNAAAIAALQDEAQLTLNSYIHTRYPTQPCRFGKLLLLLPALRSISPSTIEEVF
+FKKT
+>Q9Y5X4_PF00105_46 <unknown description>
+QCRVCGDSSSGKHYGIYACNGCSGFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRL
+KKCLQAGMN
+>Q9Y5X4_PF00104_208 <unknown description>
+PYSSSSPCGLDSIHETSARLLFMAVKWAKNLPVFSSLPFRDQVILLEEAWSELFLLGAIQ
+WSLPLDSCPLLAPPEASAAGGAQGRLTLASMETRVLQETISRFRALAVDPTEFACMKALV
+LFKPETRGLKDPEHVEALQDQSQVMLSQHSKAHHPSQPVRFGKLLLLLPSLRFITAERIE
+LLFFRKT
+>P10588_PF00105_55 <unknown description>
+DCVVCGDKSSGKHYGVFTCEGCKSFFKRSIRRNLSYTCRSNRDCQIDQHHRNQCQYCRLK
+KCFRVGMRK
+>P10588_PF00104_198 <unknown description>
+GIDNVCELAARLLFSTVEWARHAPFFPELPVADQVALLRLSWSELFVLNAAQAALPLHTA
+PLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVDSAEYGCLKAIALFTPDACG
+LSDPAHVESLQEKAQVALTEYVRAQYPSQPQRFGRLLLRLPALRAVPASLISQLFFMRL
+>Q68D85_PF07654_156 <unknown description>
+ENEDKYMCESSGFYPEAINITWEKQTQKFPHPIEISEDVITGPTIKNMDGTFNVTSCLKL
+NSSQEDPGTVYQCVVRHASL
+>P22736_PF00105_266 <unknown description>
+RCAVCGDNASCQHYGVRTCEGCKGFFKRTVQKNAKYICLANKDCPVDKRRRNRCQFCRFQ
+KCLAVGMVK
+>P22736_PF00104_402 <unknown description>
+DVQQFYDLLSGSLEVIRKWAEKIPGFAELSPADQDLLLESAFLELFILRLAYRSKPGEGK
+LIFCSGLVLHRLQCARGFGDWIDSILAFSRSLHSLLVDVPAFACLSALVLITDRHGLQEP
+RRVEELQNRIASCLKEHVAAVAGEPQPASCLSRLLGKLPELRTLCTQGLQRIFYLKL
+>P43354_PF00105_262 <unknown description>
+LCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANKNCPVDKRRRNRCQYCRFQ
+KCLAVGMVK
+>P43354_PF00104_401 <unknown description>
+QHIQQFYDLLTGSMEIIRGWAEKIPGFADLPKADQDLLFESAFLELFVLRLAYRSNPVEG
+KLIFCNGVVLHRLQCVRGFGEWIDSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKE
+PKRVEELQNKIVNCLKDHVTFNNGGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKL
+>Q92570_PF00105_291 <unknown description>
+TCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANKNCPVDKRRRNRCQYCRFQ
+KCLSVGMVK
+>Q92570_PF00104_434 <unknown description>
+VQQFYNLLTASIDVSRSWAEKIPGFTDLPKEDQTLLIESAFLELFVLRLSIRSNTAEDKF
+VFCNGLVLHRLQCLRGFGEWLDSIKDFSLNLQSLNLDIQALACLSALSMITERHGLKEPK
+RVEELCNKITSSLKDHQSKGQALEPTESKVLGALVELRKICTLGLQRIFYLKL
+>O00482_PF00105_85 <unknown description>
+LCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKRYTCIENQNCQIDKTQRKRCPYCRFQ
+KCLSVGMK
+>O00482_PF00104_334 <unknown description>
+SKHEKLSTFGLMCKMADQTLFSIVEWARSSIFFRELKVDDQMKLLQNCWSELLILDHIYR
+QVVHGKEGSIFLVTGQQVDYSIIASQAGATLNNLMSHAQELVAKLRSLQFDQREFVCLKF
+LVLFSLDVKNLENFQLVEGVQEQVNAALLDYTMCNYPQQTEKFGQLLLRLPEIRAISMQA
+EEYLYYK
+>Q15406_PF00105_59 <unknown description>
+TCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNCVMSRKQRNRCQYCRLL
+KCLQMGMNR
+>Q15406_PF00104_287 <unknown description>
+LCRLADELLFRQIAWIKKLPFFCELSIKDYTCLLSSTWQELILLSSLTVYSKQIFGELAD
+VTAKYSPSDEELHRFSDEGMEVIERLIYLYHKFHQLKVSNEEYACMKAINFLNQDIRGLT
+SASQLEQLNKRYWYICQDFTEYKYTHQPNRFPDLMMCLPEIRYIAGK
+>Q8N912_PF15555_33 <unknown description>
+CRAEREDNRKCPPSILKRSRPEHHRPEAKPQRTSRRVWFREPPAVTVHYIADKNATATVR
+VPGRPRPHGGSLLLQLCVCVLLVLALGLYCGRAKPVATALEDLRARLLGLVLHLRHVALT
+CWR
+>P49279_PF01566_78 <unknown description>
+IESDLQAGAVAGFKLLWVLLWATVLGLLCQRLAARLGVVTGKDLGEVCHLYYPKVPRTVL
+WLTIELAIVGSDMQEVIGTAIAFNLLSAGRIPLWGGVLITIVDTFFFLFLDNYGLRKLEA
+FFGLLITIMALTFGYEYVVARPEQGALLRGLFLPSCPGCGHPELLQAVGIVGAIIMPHNI
+YLHSALVKSREIDRARRADIREANMYFLIEATIALSVSFIINLFVMAVFGQAFYQKTNQA
+AFNICANSSLHDYAKIFPMNNATVAVDIYQGGVILGCLFGPAALYIWAIGLLAAGQSSTM
+TGTYAGQFVMEGFLRLRWSRFARVLLTRSCAILPTVLVAVFRDLRDLSGLNDLLNVLQSL
+LLPFAVLPILTFTSMPTLMQEFANG
+>P49281_PF01566_90 <unknown description>
+IESDLQSGAVAGFKLLWILLLATLVGLLLQRLAARLGVVTGLHLAEVCHRQYPKVPRVIL
+WLMVELAIIGSDMQEVIGSAIAINLLSVGRIPLWGGVLITIADTFVFLFLDKYGLRKLEA
+FFGFLITIMALTFGYEYVTVKPSQSQVLKGMFVPSCSGCRTPQIEQAVGIVGAVIMPHNM
+YLHSALVKSRQVNRNNKQEVREANKYFFIESCIALFVSFIINVFVVSVFAEAFFGKTNEQ
+VVEVCTNTSSPHAGLFPKDNSTLAVDIYKGGVVLGCYFGPAALYIWAVGILAAGQSSTMT
+GTYSGQFVMEGFLNLKWSRFARVVLTRSIAIIPTLLVAVFQDVEHLTGMNDFLNVLQSLQ
+LPFALIPILTFTSLRPVMSDFANG
+>Q86VF7_PF00412_6 <unknown description>
+CSRCGYGVYPAEKISCIDQIWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAH
+>Q86VF7_PF00880_209 <unknown description>
+DTPELLRSKAGAQLQSDVRYTEDYEQQ
+>Q86VF7_PF00880_316 <unknown description>
+TPAYQNAKKAHELASDIKYRQDFNKMK
+>Q86VF7_PF00880_493 <unknown description>
+DTPQIVQAKINAQQLSHVNYRADYEKNK
+>Q86VF7_PF00880_530 <unknown description>
+PQLVKAKTNAKLFSEVKYKEGWEKTK
+>Q86VF7_PF00880_564 <unknown description>
+DAMSLLAAKASGELASNIKYKEEYEKTK
+>Q86VF7_PF00880_769 <unknown description>
+PLFLQARANAANLSEKLYKSSWENQK
+>Q86VF7_PF00880_1010 <unknown description>
+DLPEVLLAKLNAMNISETRYKESW
+>Q86VF7_PF00880_1048 <unknown description>
+LPFQAAKASGEIISDYKYKEAFEKMK
+>Q86VF7_PF00880_1496 <unknown description>
+DHPDFTRARLNALHLSDKVYRNSWEQT
+>Q7Z6K4_PF12796_20 <unknown description>
+EAVRKGNTQELQSLLQNMTNCEFNVNSFGPEGQTALHQSVIDGNLELVKLLVKFGADIRL
+ANRDGWSALHIAAFGGHQDIVLYLITKA
+>Q96F24_PF17169_4 <unknown description>
+MEGPLNLAHQQSRRADRLLAAGKYEEAISCHKKAAAYLSEAMKLTQSEQAHLSLELQRDS
+HMKQLLLIQERWKRAQREERLKA
+>Q96F24_PF08961_89 <unknown description>
+NTDKDAAAHLQTSHKPSAEDAEGQSPLSQKYSPSTEKCLPEIQGIFDRDPDTLLYLLQQK
+SEPAEPCIGSKAPKDDKTIIEEQATKIADLKRHVEFLVAENERLRKENKQLKAEKARLLK
+GPIEKELDVDADFVETSELWSLPPHAETATASSTWQKFAANTGKAKDIPIPNLPPLDFPS
+PELPLMELSEDILKGF
+>Q9NSY0_PF00069_85 <unknown description>
+EKIQTVFEQLVLVDHPNIVKLHKYWLDTSEACARVIFITEYVSSGSLKQFLKKTKKNHKA
+MNARAWKRWCTQILSALSFLHACSPPIIHGNLTSDTIFIQHNGLIKIGSVWHRIFSNALP
+DDLRSPIRAEREELRNLHFFPPEYGEVADGTAVDIFSFGMCALEMAVLEIQTNGDTRVTE
+EAIARARHSLSDPNMREFILCCLARDPARRPSAHSLLFHRV
+>Q9UHY1_PF07714_107 <unknown description>
+YKLQEEKVRAVFDNLIQLEHLNIVKFHKYWADIKENKARVIFITEYMSSGSLKQFLKKTK
+KNHKTMNEKAWKRWCTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVAPDTI
+NNHVKTCREEQKNLHFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQE
+AISSAIQLLEDPLQREFIQKCLQSEPARRPTAREL
+>Q92823_PF13927_45 <unknown description>
+PPTITQQSPKDYIIDPRENIVIQCEAKGKPPPSFSWTRNGTHFDIDKDPLVTMKPGTGTL
+IINIMSEGKAETYEGVYQCTARN
+>Q92823_PF07679_284 <unknown description>
+RGNVLSLECIAEGLPTPIIYWAKEDGMLPKNRTVYKNFEKTLQIIHVSEADSGNYQCIAK
+NALGAIHHTISVRV
+>Q92823_PF13927_364 <unknown description>
+ITAPQNLVLSPGEDGTLICRANGNPKPRISWLTNGVPIEIAPDDPSRKIDGDTIIFSNVQ
+ERSSAVYQCNASN
+>Q92823_PF07679_465 <unknown description>
+QVIANRPALLDCAFFGSPLPTIEWFKGAKGSALHEDIYVLHENGTLEIPVAQKDSTGTYT
+CVARNKLGMAKNEVHLEI
+>Q92823_PF07679_548 <unknown description>
+IVKQPEYAVVQRGSMVSFECKVKHDHTLSLTVLWLKDNRELPSDERFTVDKDHLVVADVS
+DDDSGTYTCVANTTLDSVSASAVLSV
+>Q92823_PF00041_649 <unknown description>
+PPFDLELTDQLDKSVQLSWTPGDDNNSPITKFIIEYEDAMHKPGLWHHQTEVSGTQTTAQ
+LKLSPYVNYSFRVMAVNSIGKSLPS
+>Q92823_PF00041_750 <unknown description>
+PTAVEGLGSEPDNLVITWKPLNGFESNGPGLQYKVSWRQKDGDDEWTSVVVANVSKYIVS
+GTPTFVPYLIKVQALNDMGF
+>Q92823_PF00041_848 <unknown description>
+APGNVRVNVVNSTLAEVHWDPVPLKSIRGHLQGYRIYYWKTQSSSKRNRRHIEKKILTFQ
+GSKTHGMLPGLEPFSHYTLNVRVVNGKGEGPAS
+>Q92823_PF00041_953 <unknown description>
+SAPSSLKIVNPTLDSLTLEWDPPSHPNGILTEYTLKYQPINSTHELGPLVDLKIPANKTR
+WTLKNLNFSTRYKFYFYAQTSAGSG
+>Q92823_PF00041_1069 <unknown description>
+RISNLTAAAAETYANISWEYEGPEHVNFYVEYGVAGSKEEWRKEIVNGSRSFFGLKGLMP
+GTAYKVRVGAVGDSG
+>Q92823_PF13882_1191 <unknown description>
+RRNKGGKYPVKEKEDAHADPEIQPMKEDDGTFGEYSDAEDHKPLKKGSRTPSDRTVKKED
+SDDSLVDYGEGVNGQFNEDGSFIGQYSGKK
+>O43847_PF00675_203 <unknown description>
+RKKTTEKQSAAALCVGVGSFADPDDLPGLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGS
+DNASTDCERTVFQFDVQRKYFKEALDRWAQFFIHPLMIRDAIDREVEAVDSEYQLARPSD
+ANRKEMLFGSLARP
+>O43847_PF05193_363 <unknown description>
+HARLREFWMRYYSSHYMTLVVQSKETLDTLEKWVTEIFSQIPNNGLPRPNFGHLTDPFDT
+PAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYISWLVGHEGKGSILSFLRKKCW
+ALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEVAYTVFQYLKMLQKLGPEKRIF
+EEIRK
+>O43847_PF16187_553 <unknown description>
+FHYQEQTDPVEYVENMCENMQLYPLQDILTGDQLLFEYKPEVIGEALNQLVPQKANLVLL
+SGANEGKCDLKEKWFGTQYSIEDIENSWAELWNSNFELNPDLHLPAENKYIATDFTLKAF
+DCPETEYPVKIVNTPQGCLWYKKDNKFKIPKAYIRFHLISPLIQKSAANVVLFDIFVNIL
+THNLAEPAYEADVAQLEYKLVAGEHGLIIRVKGFNHKLPLLFQLIIDYLAEFNSTPAVFT
+MITEQLKKTYFNILIKPETLAKDVRLLILEYARWSMIDKYQA
+>O43847_PF05193_839 <unknown description>
+LSLESLLSFVKEFKSQLFVEGLVQGNVTSTESMDFLKYVVDKLNFKPLEQEMPVQFQVVE
+LPSGHHLCKVKALNKGDANSEVTVYYQSGTRSLREYTLMELLVMHMEEPCFDFLRTKQTL
+GYHVYPTCRNTSGILGFSVTVGTQATKYNSEVVDKKIEEFLSSFEEKIENLTEEAFNTQV
+TA
+>Q9H7Z3_PF08424_310 <unknown description>
+KVEEFNRRVRENPRDTQLWMAFVAFQDEVMKSPGLYAIEEGEQEKRKRSLKLILEKKLAI
+LERAIESNQSSVDLKLAKLKLCTEFWEPSTLVKEWQKLIFLHPNNTALWQKYLLFCQSQF
+STFSISKIHSLYGKCLSTLSAVKDGSILSHPALPGTEEAMFALFLQQCHFLRQAGHSEKA
+ISLFQAMVDFTFFKPDSVKDLPTKGQVEFFEPFWDSGEPRAGEKGARGWKAWMHQQERGG
+WVVINPDEDDDEPEEDDQEIKDKTLPRWQIWLAAERSRDQRHWRPWRPDKTKKQTEEDCE
+DPERQVLFDDIGQSLIRLSSHDLQFQLVEAFLQFLGVP
+>Q16612_PF11092_2 <unknown description>
+VYYPELFVWVSQEPFPNKDMEGRLPKGRLPVPKEVNRKKNDETNAASLTPLGSSELRSPR
+ISYLHFF
+>Q16656_PF10491_75 <unknown description>
+GPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLDEYTTRVGQQAIVLC
+ISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQEVNSELPPLTIDGIP
+VSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPWANVRSDVRTEEQKQ
+RVSWTQALRTIVKNCYKQHGREDLLYAFE
+>Q16656_PF10492_450 <unknown description>
+GLVQIPVSMYQTVVTSLAQGNGPVQVAMAPVTT
+>Q02297_PF07679_41 <unknown description>
+EMKSQESAAGSKLVLRCETSSEYSSLRFKWFKNGNELNRKNKPQNIKIQKKPGKSELRIN
+KASLADSGEYMCKVISKLGNDSASANITI
+>Q02297_PF00008_187 <unknown description>
+KTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGAR
+>Q02297_PF02158_267 <unknown description>
+TKKQRKKLHDRLRQSLRSERNNMMNIANGPHHPNPPPENVQLVNQYVSKNVISSEHIVER
+EAETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHTESILSESHSVIVMSSVENSRHSSPTG
+GPRGRLNGTGGPRECNSFLRHARETPDSYRDSPHSERYVSAMTTPARMSPVDFHTPSSPK
+SPPSEMSPPVSSMTVSMPSMAVSPFMEEERPLLLVTPPRLREKKFDHHPQQFSSFHHNPA
+HDSNSLPASPLRIVEDEEYETTQEYEPAQEPVKKLANSRRAKRTKPNGHIANRLEVDSNT
+SSQSSNSESETEDERVGEDTPFLGIQNPLAASLEATPAFRLADSRTNPAGRFSTQE
+>O14511_PF07679_240 <unknown description>
+KKMKSQTGQVGEKQSLKCEAAAGNPQPSYRWFKDGKELNRSRDIRIKYGNGRKNSRLQFN
+KVKVEDAGEYVCEAENILGKDTVRGRL
+>O14511_PF02158_430 <unknown description>
+TKKQRKQMHNHLRQNMCPAHQNRSLANGPSHPRLDPEEIQMADYISKNVPATDHVIRRET
+ETTFSGSHSCSPSHHCSTATPTSSHRHESHTWSLERSESLTSDSQSGIMLSSVGTSKCNS
+PACVEARARRAAAYNLEERRRATAPPYHDSVDSLRDSPHSERYVSALTTPARLSPVDFHY
+SLATQVPTFEITSPNSAHAVSLPPAAPISYRLAEQQPLLRHPAPPGPGPGPGPGPGPGAD
+MQRSYDSYYYPAAGPGPRRGTCALGGSLGSLPASPFRIPEDDEYETTQECAPPPPPRPRA
+RGASRRTSAGPRRWRRSRLNGLAAQRARAARDSLSLSSGSGGGSASASDDDADDADGALA
+AESTPFLGLRGAHDALRSDSPPLCPAADSRTYYSLDSHSTR
+>Q8WWG1_PF00008_9 <unknown description>
+CGPSHKSFCLNGGLCYVIPTIPSPFCRCVENYTGAR
+>P48552_PF15687_27 <unknown description>
+HQAAGGSGTAVDKKSAGHNEEDQNFNISGSAFPTCQSNGPVLNTHTYQGSGMLHLKKARL
+LQSSEDWNAAKRKRLSDSIMNLNVKKEALLAGMVDSVPKGKQDSTLLASLLQSFSSRLQT
+VALSQQIRQSLKEQGYALSHDSLKVEKDLRCYGVASSHLKTLLKKSKVKDQKPDTNLPDV
+TKNLIRDRFAESPHHVGQSGTKVMSEPLSCAARLQAVASMVEKRASPATSPKPSVACSQL
+ALLLSSEAHLQQYSREHALKTQNANQAASERLAAMARLQENGQKDVGSYQLPKGMSSHLN
+GQAR
+>P48552_PF15688_411 <unknown description>
+PTTIDEYSDNNPSFTDDSSGDESSYSNCVPIDLSCKHRTEKSESDQPVSLDNFTQSLLNT
+WDPKVPDVDIKEDQDTSKNSKLNSHQKVTLLQLLLGHKNEENVEKNTSPQGVHNDVSKFN
+TQNYARTSVIESPSTNRTTPVSTPPLLTSSKAGSPINLSQHSLVIKWNSPPYVCSTQSEK
+LTNTASNHSMDLTKSKDPPGEKPAQNEGAQNSATFSASKLLQNLAQCGMQSSMSVEEQRP
+SKQLLTGNTDKPIGMIDRLNSPLLSNKTNAVEENKAFSSQPTGPEPGLSGSEIENLLERR
+TVLQLLLGNPNKGKSEKKEKTPLRDES
+>P48552_PF15689_753 <unknown description>
+VKIKSEPCDDLQIPNTNVHLSHDAKSAPFLGMAPAVQRSAPALPVSEDFKSEPVSPQDFS
+FSKNGLLSRLLRQNQDSYLADDSDRSHR
+>P48552_PF15690_848 <unknown description>
+ESKNLCMVPKKRKLYTEPLENPFKKMKNNIVDAANNHSAPEVLYGSLLNQEELKFSRNDL
+EFKYPAGHGSASESEHRSWARESKSFNVLKQLLLSENCVRDLSPHRSNSVADSKKKGHKN
+NVTNSKPEFSISSLNGLMYSSTQPSSCMDNRTFSYPGVVKTPVSPTFPEHLGCAGSRPES
+GLLNGCSMPSEKGPIKWVITDAEKNEYEKDSPRLTKTNPILYYMLQKGGNSVTSRETQDK
+DIWREASSAESVSQVTAKEELLPTAETKASFFNLRSPYNSHMGNNASRPHSANGEVYGLL
+GSVLTIKKESE
+>Q9BQI9_PF09668_156 <unknown description>
+EIPALLVNCKCQDQLLRVAVDTGTQYNRISAGCLSRLGLEKRVLKASAGDLAPGPPTQVE
+QLELQLGQETVVCSAQVVDAESPEFCLGLQTLLSLKCCID
+>Q9NQ35_PF09668_105 <unknown description>
+DDMILVSCQCAGKDVKALVDTGCLYNLISLACVDRLGLKEHVKSHKHEGEKLSLPRHLKV
+VGQIEHLVITLGSLRLDCPAAVVDDNEKNLSLGLQTLRSLKCIIN
+>Q9NWW6_PF13238_6 <unknown description>
+IGISGVTNSGKTTLAKNLQKHLPNCSVISQDDFFKPESEIETDKNGFLQYDVLEALNMEK
+MMSAISCWMESARHSVVSTDQESAEEIPILIIEGFLLFNYKPLDTIWNRSYFLTIPYEEC
+KRRRSTRVYQPPDSPG
+>Q9NPI5_PF13238_5 <unknown description>
+VGIGGMTNGGKTTLTNSLLRALPNCCVIHQDDFFKPQDQIAVGEDGFKQWDVLESLDMEA
+MLDTVQAWLSSPQKFARAHGVSVQPEASDTHILLLEGFLLYSYKPLVDLYSRRYFLTVPY
+EECKWRRSTRNYTVPD
+>Q7Z2Y5_PF00069_26 <unknown description>
+SLDKTIGLGTYGRIYLGLHEKTGAFTAVKVMNARKTPLPEIGRRVRVNKYQKSVGWRYSD
+EEEDLRTELNLLRKYSFHKNIVSFYGAFFKLSPPGQRHQLWMVMELCAAGSVTDVVRMTS
+NQSLKEDWIAYICREILQGLAHLHAHRVIHRDIKGQNVLLTHNAEVKLVDFGVSAQVSRT
+NGRRNSFIGTPYWMAPEVIDCDEDPRRSYDYRSDVWSVGITAIEMAEGAPPLCNLQPLEA
+LFVILRESAPTVKSSGWSRKFHNFMEKCTIKNFLFRPTSANMLQHPFV
+>Q7Z2Y5_PF00780_1218 <unknown description>
+WGVNLLLGTRSNLYLMDRSGKADITKLIRRRPFRQIQVLEPLNLLITISGHKNRLRVYHL
+TWLRNKILNNDPESKRRQEEMLKTEEACKAIDKLTGCEHFSVLQHEETTYIAIALKSSIH
+LYAWAPKSFDESTAIKVCIDQSADSEGDYMSYQAYIRILAKIQAADPVNRFKRPDELLHL
+LKLKVFPTLDHKPVTVDLAIGSEKRLKIFFSSADGYHLIDAESEVMSDVTLPKNPLEIII
+PQNIIILPDCLGIGMMLTFNAEALSVEANEQLFKKILEMWKDIPSSIAFECTQRTTGWGQ
+KAIEVRSLQSRVLESELKRRSIKKLRFL
+>P54845_PF08383_67 <unknown description>
+PGLEELYWLATLQQQLGAGEALGLSPEEAMELLQG
+>P54845_PF03131_132 <unknown description>
+FSDAALVSMSVRELNRQLRGCGRDEALRLKQRRRTLKNRGYAQACRSKRLQQRRGLEAER
+ARLAAQLDALRAEVARLARERDLYKARCDRL
+>Q496H8_PF15056_42 <unknown description>
+CDTIYQGFAECLIRLGDSMGRGGELETICRSWNDFHACASQVLSGCPEEAAAVWESLQQE
+ARQAPRPNNLHTLCG
+>Q9NPD7_PF15056_31 <unknown description>
+CDAVFKGFSDCLLKLGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDM
+WDKLRKESKNLNIQGSLFELCGSGN
+>O14786_PF00431_27 <unknown description>
+CGDTIKIESPGYLTSPGYPHSYHPSEKCEWLIQAPDPYQRIMINFNPHFDLEDRDCKYDY
+VEVFDGENENGHFRGKFCGKIAPPPVVSSGPFLFIKFVSDYETHGAGFSIRY
+>O14786_PF00431_147 <unknown description>
+CSQNYTTPSGVIKSPGFPEKYPNSLECTYIVFVPKMSEIILEFESFDLEPDSNPPGGMFC
+RYDRLEIWDGFPDVGPHIGRYCGQKTPGRIRSSSGILSMVFYTDSAIAKEGFSANY
+>O14786_PF00754_290 <unknown description>
+QITASSQYSTNWSAERSRLNYPENGWTPGEDSYREWIQVDLGLLRFVTAVGTQGAISKET
+KKKYYVKTYKIDVSSNGEDWITIKEGNKPVLFQGNTNPTDVVVAVFPKPLITRFVRIKPA
+TWETGISMRFEV
+>O14786_PF00754_446 <unknown description>
+QITSSNQGDRNWMPENIRLVTSRSGWALPPAPHSYINEWLQIDLGEEKIVRGIIIQGGKH
+RENKVFMRKFKIGYSNNGSDWKMIMDDSKRKAKSFEGNNNYDTPELRTFPALSTRFIRIY
+PERATHGGLGLRMEL
+>O14786_PF00629_650 <unknown description>
+CEFGWGSHKTFCHWEHDNHVQLKWSVLTSKTGPIQDHTGDGNFIYSQADENQKGKVARLV
+SPVVYSQNSAHCMTFWYHMSGSHVGTLRVKLRYQKPEEYDQLVWMAIGHQGDHWKEGRVL
+LHKSLKLYQVIFEGEIGKGNLGGIAVDDISINNHISQEDC
+>O14786_PF11980_845 <unknown description>
+RKPGNVLKTLDPILITIIAMSALGVLLGAVCGVVLYCACWHNGMSERNLSALENYNFELV
+DGVKLKKDKLNTQSTYSEA
+>O60462_PF00431_28 <unknown description>
+CGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVYAPEPNQKIVLNFNPHFEIEKHDCKYDF
+IEIRDGDSESADLLGKHCGNIAPPTIISSGSMLYIKFTSDYARQGAGFSLRY
+>O60462_PF00431_149 <unknown description>
+CSKNFTSPNGTIESPGFPEKYPHNLDCTFTILAKPKMEIILQFLIFDLEHDPLQVGEGDC
+KYDWLDIWDGIPHVGPLIGKYCGTKTPSELRSSTGILSLTFHTDMAVAKDGFSARY
+>O60462_PF00754_292 <unknown description>
+QISASSTYSDGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRE
+TQNGYYVKSYKLEVSTNGEDWMVYRHGKNHKVFQANNDATEVVLNKLHAPLLTRFVRIRP
+QTWHSGIALRLEL
+>O60462_PF00754_449 <unknown description>
+QISASSTQEYLWSPSAARLVSSRSGWFPRIPQAQPGEEWLQVDLGTPKTVKGVIIQGARG
+GDSITAVEARAFVRKFKVSYSLNGKDWEYIQDPRTQQPKLFEGNMHYDTPDIRRFDPIPA
+QYVRVYPERWSPAGIGMRLEV
+>O60462_PF00629_646 <unknown description>
+CNFDFLEEPCGWMYDHAKWLRTTWASSSSPNDRTFPDDRNFLRLQSDSQREGQYARLISP
+PVHLPRSPVCMEFQYQATGGRGVALQVVREASQESKLLWVIREDQGGEWKHGRIILPSYD
+MEYQIVFEGVIGKGRSGEIAIDDIRIS
+>O60462_PF11980_847 <unknown description>
+TDKEKSWLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTLENYNFE
+LYDGLKHKVKMNHQKCCSEA
+>Q8IZ57_PF14927_23 <unknown description>
+RYGVRSYLHQFYEDCTASIWEYEDDFQIQRSPNRWSSVFWKVGLISGTVFVILGLTVLAV
+GFLVPPKIEAFGEADFVVVDTHAVQFNSALDMYKLAGAVLFCIGGTSMAGCLLMSVFVKS
+YSKEEKFLQQ
+>Q9GZP1_PF14927_22 <unknown description>
+WYGVRSYLHLFYEDCAGTALSDDPEGPPVLCPRRPWPSLCWKISLSSGTLLLLLGVAALT
+TGYAVPPKLEGIGEGEFLVLDQRAADYNQALGTCRLAGTALCVAAGVLLAICLFWAMIGW
+LSQDTKAEPLDP
+>Q99748_PF00019_103 <unknown description>
+CGLRELEVRVSELGLGYASDETVLFRYCAGACEAAARVYDLGLRRLRQRRRLRRERVRAQ
+PCCRPTAYEDEVSFLDAHSRYHTVHELSARECAC
+>Q9P2S2_PF02210_57 <unknown description>
+LRTNATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVL
+LTRDARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFR
+GLLANLKLGE
+>Q9P2S2_PF02210_318 <unknown description>
+FRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVR
+VTRNLRQHAGIGHAMVNKLHYLVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNTAD
+LPGSPVSNNFMGCLKDVVYKN
+>Q9P2S2_PF02210_521 <unknown description>
+FRTTEPNGLLLFSQGRRAGGGAGSHSSAQRADYFAMELLDGHLYLLLDMGSGGIKLRASS
+RKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATGDSEILDLESELYLGGLPEGGRVDLP
+LPPEVWTAALRAGYVGCVRDLFIDGR
+>Q9P2S2_PF02210_760 <unknown description>
+FMSQRAYGLMMATTSRESADTLRLELDGGQMKLTVNLDCLRVGCAPSKGPETLFAGHKLN
+DNEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHMRLEFHNIETGIMTERRFISVVPSNFI
+GHLSGLVFNGQ
+>Q9P2S2_PF02210_946 <unknown description>
+FKTTAPDGLLLFNSGNGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVV
+VSRDPGNVHTLKIDSRTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGC
+LASVDLNGR
+>Q9P2S2_PF02210_1169 <unknown description>
+FSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVV
+RFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTI
+FNSQAAIKIGGRDQGRPFQGQVSGLYYNG
+>Q9Y6Y0_PF00651_22 <unknown description>
+LNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEIFNSDSDPHGISHVKFDDLNPE
+AVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQVCGDYLL
+>Q9Y6Y0_PF07707_134 <unknown description>
+CISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEVMLEDNVCLPSNGK
+LYTKVINWVQRSIWENGDSLEELMEEVQ
+>Q9Y6Y0_PF01344_357 <unknown description>
+ARSGLGTAEMNGKLIAAGGYNREECLRTVECYNPHTDHWSFLAPMR
+>Q9Y6Y0_PF01344_404 <unknown description>
+PRARFQMAVLMGQLYVVGGSNGHSDDLSCGEMYDSNIDDWIPVPELR
+>Q9Y6Y0_PF01344_453 <unknown description>
+RCNAGVCALNGKLYIVGGSDPYGQKGLKNCDVFDPVTKLWTSCAPLN
+>Q9Y6Y0_PF01344_501 <unknown description>
+RRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWTLIAPMN
+>Q9Y6Y0_PF01344_548 <unknown description>
+ARRGAGVAVLNGKLFVCGGFDGSHAISCVEMYDPTRNEWKMMGNM
+>Q9Y6Y0_PF01344_595 <unknown description>
+PRSNAGIATVGNTIYAVGGFDGNEFLNTVEVYNLESNEWSP
+>O95478_PF01201_35 <unknown description>
+RSKKAKKMIGLKAKLYHKQRHAEKIQMKKTIKMHEKRNTKQKNDEKTPQGAVPAYLLDRE
+GQSRAKVLSNMIKQKRKEKAGKWEVPLPKVRAQGETEVLKVIRTGKRKKKAWKRMVTKVC
+FVGDGFTRKPPKYERFIRPMGLRFKKAHVTHPELKATFCLPILGVKKNPSSPLYTTLGVI
+TKGTVIEVNVSELGLVTQGGKVIWGKYAQVTNNPENDGCINAVLL
+>Q96L73_PF00855_324 <unknown description>
+GDLIWAKFKRRPWWPCRICSDPLINTHSKMKVSNRRPYRQYYVEAFGDPSERAWVAGKAI
+VMFEGRHQFEELPVLRRRGKQKEKGYRHK
+>Q96L73_PF00855_1758 <unknown description>
+EIVWVKVGRYRWWPAEICHPRAVPSNIDKMRHDVGEFPVLFFGSNDYLWTHQARVFPYME
+GDVSSKDKMGKGVDGTYKKALQEAAAR
+>Q96L73_PF17907_1901 <unknown description>
+DENPCGIDSECINRMLLYECHPTVCPAGGRCQNQCFSKR
+>Q96L73_PF00856_1953 <unknown description>
+GWGLRTKTDIKKGEFVNEYVGELIDEEECRARIRYAQEHDITNFYMLTLDKDRIIDAGPK
+GNYARFMNHCCQPNCETQKWSVNGDTRVGLFALSDIKAGTELTFNYN
+>Q96L73_PF17982_2161 <unknown description>
+WHQCDICGKEAASFCEMCPSSFCKQHREGMLFISKLDGRLSCTEHDPCGP
+>O96028_PF00855_223 <unknown description>
+GDLVWSKVSGYPWWPCMVSADPLLHSYTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLV
+AFEGEGQFEKLCQESAKQAPTKAEKIKLLKPISGKLRAQWEMGIVQAEE
+>O96028_PF00505_457 <unknown description>
+ASQFLVFCQKHRDEVVAEHPDASGEEIEELLRSQWSLLSEKQRARYNTKF
+>O96028_PF00855_882 <unknown description>
+DIIWVKLGNYRWWPAEVCHPKNVPPNIQKMKHEIGEFPVFFFGSKDYYWTHQARVFPYME
+GDRGSRYQGVRGIGRVFKNALQEAEARF
+>O96028_PF17907_1022 <unknown description>
+DENPCGFDSECLNRMLMFECHPQVCPAGEFCQNQCFTKR
+>O96028_PF00856_1074 <unknown description>
+GWGLVAKRDIRKGEFVNEYVGELIDEEECMARIKHAHENDITHFYMLTIDKDRIIDAGPK
+GNYSRFMNHSCQPNCETLKWTVNGDTRVGLFAVCDIPAGTELTFNYN
+>O96028_PF17982_1282 <unknown description>
+WHHCDVCGKPSTSFCHLCPNSFCKEHQDGTAFSCTPDGRSYCCEHD
+>Q9BZ95_PF00855_271 <unknown description>
+GDLVWSKVGTYPWWPCMVSSDPQLEVHTKINTRGAREYHVQFFSNQPERAWVHEKRVREY
+KGHKQYEELLAEATKQASNHSEKQKIRKPRPQRERAQWDIGIAHAEKAL
+>Q9BZ95_PF00855_962 <unknown description>
+QIVWVKLGNYRWWPAEICNPRSVPLNIQGLKHDLGDFPVFFFGSHDYYWVHQGRVFPYVE
+GDKSFAEGQTSINKTFKKALEEAAKRF
+>Q9BZ95_PF17907_1104 <unknown description>
+DENPCGLESECLNRMLQYECHPQVCPAGDRCQNQCFTKR
+>Q9BZ95_PF00856_1156 <unknown description>
+GWGLRTKRSIKKGEFVNEYVGELIDEEECRLRIKRAHENSVTNFYMLTVTKDRIIDAGPK
+GNYSRFMNHSCNPNCETQKWTVNGDVRVGLFALCDIPAGMELTFNYN
+>Q9BZ95_PF17982_1364 <unknown description>
+WHQCDECSSAAVSFCEFCPHSFCKDHEKGALVPSALEGRLCCSEHDPMAP
+>Q15738_PF01073_42 <unknown description>
+VIGGSGFLGQHMVEQLLARGYAVNVFDIQQGFDNPQVRFFLGDLCSRQDLYPALKGVNTV
+FHCASPPPSSNNKELFYRVNYIGTKNVIETCKEAGVQKLILTSSASVIFEGVDIKNGTED
+LPYAMKPIDYYTETKILQERAVLGANDPEKNFLTTAIRPHGIFGPRDPQLVPILIEAARN
+GKMKFVIGNGKNLVDFTFVENVVHGHILAAEQLSRDSTLGGKAFHITNDEPIPFWTFLSR
+ILTGLNYEAPK
+>Q8WV22_PF07574_15 <unknown description>
+HRRFLQLLMTHGVLEEWDVKRLQTHCYKVHDRNATVDKLEDFINNINSVLESLYIEIKRG
+VTEDDGRPIYALVNLATTSISKMATDFAENELDLFRKALELIIDSETGFASSTNILNLVD
+QLKGKKMRKKEAEQVLQKFVQNKWLIEKEGEFTLHGRAILEM
+>Q8WV22_PF08746_191 <unknown description>
+CNICHSLLIQGQSCETCGIRMHLPCVAKYFQSNAEPRCPHC
+>Q96MF7_PF11789_156 <unknown description>
+EDIIVTQSQTNFTCPITKEEMKKPVKNKVCGHTYEEDAIVRMIESRQKRKKKAYCPQIGC
+S
+>Q96MG7_PF01454_92 <unknown description>
+LVQFLLIKDQKKIPIKRADILKHVIGDYKDIFPDLFKRAAERLQYVFGYKLVELEPKSNT
+YILINTLEPVEEDAEMRGDQGTPTTGLLMIVLGLIFMKGNTIKETEAWDFLRRLGVYPTK
+KHLIFGDPKKLITEDFVRQRYLEYRRIPHTDPVDYEFQWGPRTNLETSK
+>Q9NXX6_PF15412_133 <unknown description>
+DAHFLVLASDLGKEKAKQLRSDLSSFDMLRYVETLLTHMGVNPLEAEELIRDEDS
+>Q9NXX6_PF08743_285 <unknown description>
+PMSFFDFVVDPHSFPRTVENIFHVSFIIRDGFARIRLDQDRLPVIEPVSINEENEGFEHN
+TQVRNQGIIALSYRDWEEIVKTFEISEPVI
+>Q9UNZ2_PF14555_6 <unknown description>
+QEALREFVAVTGAEEDRARFFLESAGWDLQIALASFYEDGGD
+>Q9UNZ2_PF08059_184 <unknown description>
+LKLWKSGFSLDNGELRSYQDPSNAQFLESIRRGEVPAELRRLAHGGQVNLDMEDHRDEDF
+VKPKGAFKAFTGEGQ
+>Q9UNZ2_PF00789_291 <unknown description>
+ESEPTTNIQIRLADGGRLVQKFNHSHRISDIRLFIVDARPAMAATSFILMTTFPNKELAD
+ESQTLKEANLLNAVIVQR
+>P46459_PF02359_6 <unknown description>
+MQAARCPTDELSLTNCAVVNEKDFQSGQHVIVRTSPNHRYTFTLKTHPSVVPGSIAFSLP
+QRKWAGLSIGQEIEVSLY
+>P46459_PF02933_111 <unknown description>
+PYDTDKMAAEFIQQFNNQAFSVGQQLVFSFNEKLFGLLVKDIEAMDPSI
+>P46459_PF00004_256 <unknown description>
+ILLYGPPGCGKTLLARQIGKMLNAREPKVVNGPEILNKYVGESEANIRKLFADAEEEQRR
+LGANSGLHIIIFDEIDAICKQRGSMAGSTGVHDTVVNQLLSKIDGVEQLNNILVIGMTNR
+PDLIDEALLRPGRLEVKMEIG
+>P46459_PF17862_423 <unknown description>
+DVDIKELAVETKNFSGAELEGLVRAAQSTAMNRHIKAST
+>P46459_PF00004_539 <unknown description>
+VLLEGPPHSGKTALAAKIAEESNFPFIKICSPDKMIGFSETAKCQAMKKIFDDAYKSQLS
+CVVVDDIERLLDYVPIGPRFSNLVLQALLVLLKKAPPQGRKLLIIGTTSRKDVLQEMEML
+NAFSTTIHVP
+>P42857_PF06387_1 <unknown description>
+MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGK
+ARPPQIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKN
+TQCIPEGLESYYAEQDSSAREKFYTVINHYNLAKQSITRSVSPWMSVLSEEKLSEQETE
+>Q9Y328_PF06387_1 <unknown description>
+MVKLNSNPSEKGTKPPSVEDGFQTVPLITPLEVNHLQLPAPEKVIVKTRTEYQPEQKNKG
+KFRVPKIAEFTVTILVSLALAFLACIVFLVVYKAFTYDHSCPEGFVYKHKRCIPASLDAY
+YSSQDPNSRSRFYTVISHYSVAKQSTARAIGPWLSAAAVIHEPKPPKT
+>Q96IY1_PF08641_83 <unknown description>
+AVQENISINGQAWQEASDNCFMDSDIKVLEDQFDEIIVDIATKRKQYPRKILECVIKTIK
+AKQEILKQYHPVVHPLDLKYDPDPAPHMENLKCRGETVAKEISEAMKSLPALIEQ
+>Q9NY59_PF03372_338 <unknown description>
+RRHPDEAFDHEVSAFFPANLDFLCLQEVFDKRAATKLKEQLHGYFEYILYDVGVYGCQGC
+CSFKCLNSGLLFASRYPIMDVAYHCYPNKCNDDALASKGALFLKVQVGSTPQDQRIVGYI
+ACTHLHAPQEDSAIRCGQLDLLQDWLADFRKSTSSSSAANPEELVAFDVVCGDFNFDNCS
+SDDKLEQQHSLFTHYRDPCRLGPGEEKPWAIG
+>O60906_PF03372_15 <unknown description>
+NCWGIPYLSKHRADRMRRLGDFLNQESFDLALLEEVWSEQDFQYLRQKLSPTYPAAHHFR
+SGIIGSGLCVFSKHPIQELTQHIYTLNGYPYMIHHGDWFSGKAVGLLVLHLSGMVLNAYV
+THLHAEYNRQKDIYLAHRVAQAWELAQFIHHTSKKADVVLLCGDLNMHPEDLGCCLLKEW
+TGLHDAYLETRDFKGSEEGNTMVPKNCYVSQQELKPFPFGVRIDYVLYKAVSG
+>Q9H0G5_PF09745_59 <unknown description>
+KLEIQKALAEDATVYEYDSIYDEMQKKKEENNPKLLLGKDRKPKYIHNLLKAVEIRKKEQ
+EKRMEKKIQREREMEKGEFDDKEAFVTSAYKKKLQERAEEEEREKRAAALEACLDVTKQ
+>Q08J23_PF01189_170 <unknown description>
+LLLNVRPHHKILDMCAAPGSKTTQLIEMLHADMNVPFPEGFVIANDVDNKRCYLLVHQAK
+RLSSPCIMVVNHDASSIPRLQIDVDGRKEILFYDRILCDVPCSGDGTMRKNIDVWKKWTT
+LNSLQLHGLQLRIATRGAEQLAEGGRMVYSTCSLNPIEDEAVIASLLEKSEG
+>Q9H649_PF01189_125 <unknown description>
+LALELRDGEKVLDLCAAPGGKSIALLQCACPGYLHCNEYDSLRLRWLRQTLESFIPQPLI
+NVIKVSELDGRKMGDAQPEMFDKVLVDAPCSNDRSWLFSSDSQKASCRISQRRNLPLLQI
+ELLRSAIKALRPGGILVYSTCTLSKAENQDVISEILNSHGNIMPMDIKGIARTCSHDFTF
+APTGQECGLLVIPDKGKAWGPMYVAKL
+>Q96CB9_PF01189_167 <unknown description>
+LALGLQPGDIVLDLCAAPGGKTLALLQTGCCRNLAANDLSPSRIARLQKILHSYVPEEIR
+DGNQVRVTSWDGRKWGELEGDTYDRVLVDVPCTTDRHSLHEEENNIFKRSRKKERQILPV
+LQVQLLAAGLLATKPGGHVVYSTCSLSHLQNEYVVQGAIELLANQYSIQVQVED
+>Q96P11_PF01189_219 <unknown description>
+AMLLDPPPGSHVIDACAAPGNKTSHLAALLKNQGKIFAFDLDAKRLASMATLLARAGVSC
+CELAEEDFLAVSPSDPRYHEVHYILLDPSCSGSGMPSRQLEEPGAGTPSPVRLHALAGFQ
+QRALCHALTFPSLQRLVYSTCSLCQEENEDVVRDALQQNPGAFRLAPALPAWPHRGLSTF
+PGAEHCLRASPETTLSSGFFVAV
+>Q8TEA1_PF01189_229 <unknown description>
+VLNPQPGEKILDLCAAPGGKTTHIAALMHDQGEVIALDKIFNKVEKIKQNALLLGLNSIR
+AFCFDGTKAVKLDMVEDTEGEPPFLPESFDRILLDAPCSGMGQRPNMACTWSVKEVASYQ
+PLQRKLFTAAVQLLKPEGVLVYSTCTITLAENEEQVAWALTKFPCLQLQPQE
+>Q8NE18_PF01189_308 <unknown description>
+SHMSILTNNNTSKVFVCGVQSQAKDPDLKTLFTKIGCKNIEILHEKFINIESKDHRLQKV
+KVILLLPRCSGLGVSNPVEFILNEHEDTEFLKDHSQGGISVDKLHVLAQQQYEQLTHAMK
+FTKAQAVVYCTCSVFPEENEAVVKKALEF
+>Q7Z3S9_PF00008_29 <unknown description>
+CEKNRCQNGGTCVAQAMLGKATCRCASGFTGE
+>Q7Z3S9_PF00008_74 <unknown description>
+RPCLNGGTCHMLSRDTYECTCQVGFTGK
+>Q7Z3S9_PF00008_109 <unknown description>
+CLSHPCANGSTCTTVANQFSCKCLTGFTGQ
+>Q7Z3S9_PF07645_143 <unknown description>
+DVNECDIPGHCQHGGTCLNLPGSYQCQCLQGFT
+>P0DPK3_PF00008_42 <unknown description>
+CEKNRCQNGGTCVAQAMLGKATCRCASGFTGE
+>P0DPK3_PF00008_87 <unknown description>
+RPCLNGGTCHMLSRDTYECTCQVGFTGK
+>P0DPK3_PF00008_122 <unknown description>
+CLSHPCANGSTCTTVANQFSCKCLTGFTGQ
+>P0DPK3_PF07645_156 <unknown description>
+DVNECDIPGHCQHGGICLNLPGSYQCQCLQGFT
+>P0DPK4_PF00008_86 <unknown description>
+CEKNRCQNGGTCVAQAMLGKATCRCASGFTGE
+>P0DPK4_PF00008_131 <unknown description>
+RPCLNGGTCHMLSRDTYECTCQVGFTGK
+>P0DPK4_PF00008_166 <unknown description>
+CLSHPCANGSTCTTVANQFSCKCLTGFTGQ
+>P0DPK4_PF07645_200 <unknown description>
+DVNECDIPGHCQHGGTCLNLPGSYQCQCLQGFT
+>Q8TCD5_PF06941_3 <unknown description>
+RSVRVLVDMDGVLADFEAGLLRGFRRRFPEEPHVPLEQRRGFLAREQYRALRPDLADKVA
+SVYEAPGFFLDLEPIPGALDAVREMNDLPDTQVFICTSPLLKYHHCVGEKYRWVEQHLGP
+QFVERIILTRDKTVVLGDLLIDDKDTVRGQEETPSWEHILFTCCHNRHLVLPPTRRRLLS
+WSDNWREIL
+>Q5TFE4_PF05761_4 <unknown description>
+HFSLAACDVVGFDLDHTLCRYNLPESAPLIYNSFAQFLVKEKGYDKELLNVTPEDWDFCC
+KGLALDLEDGNFLKLANNGTVLRASHGTKMMTPEVLAEAYGKKEWKHFLSDTGMACRSGK
+YYFYDNYFDLPGALLCARVVDYLTKLNNGQKTFDFWKDIVAAIQHNYKMSAFKENCGIYF
+PEIKRDPGRYLHSCPESVKKWLRQLKNAGKILLLITSSHSDYCRLLCEYILGNDFTDLFD
+IVITNALKPGFFSHLPSQRPFRTLENDEEQEALPSLDKPGWYSQGNAVHLYELLKKMTGK
+PEPKVVYFGDSMHSDIFPARHYSNWETVLILEELRGD
+>Q9H857_PF05761_93 <unknown description>
+IYANNEISLRDVEVYGFDYDYTLAQYADALHPEIFSTARDILIEHYKYPEGIRKYDYNPS
+FAIRGLHYDIQKSLLMKIDAFHYVQLGTAYRGLQPVPDEEVIELYGGTQHIPLYQMSGFY
+GKGPSIKQFMDIFSLPEMALLSCVVDYFLGHSLEFDQAHLYKDVTDAIRDVHVKGLMYQW
+IEQDMEKYILRGDETFAVLSRLVAHGKQLFLITNSPFSFVDKGMRHMVGPDWRQLFDVVI
+VQADKPSFFTDRRKPFRKLDEKGSLQWDRITRLEKGKIYRQGNLFDFLRLTEWRGPRVLY
+FGDHLYSDLADLMLRHGWRTGAIIPELEREIRIINTEQYMHSLTWQQALTGLLERMQTYQ
+DAESRQVLAAWMKERQELRCITKALFNAQFGSIFRTFHNPTYFSRRLVRFSDLYMASLSC
+LLNYRVDFTFYPRRTPLQHEA
+>Q86UY8_PF05761_85 <unknown description>
+IFSNNEMSLSDIEIYGFDYDYTLVFYSKHLHTLIFNAARDLLINEHRYPAEIRKYEYDPN
+FAIRGLHYDVQRAVLMKIDAFHYIQLGTVYRGLSVVPDEEVIEMYEGSHVPLEQMSDFYG
+KSSHGNTMKQFMDIFSLPEMTLLSCVNEYFLKNNIDYEPVHLYKDVKDSIRDVHIKGIMY
+RAIEADIEKYICYAEQTRAVLAKLADHGKKMFLITNSPSSFVDKGMSYIVGKDWRDLFDV
+VIVQAEKPNFFNDKRRPFRKMNEKGVLLWDKIHKLQKGQIYKQGNLYEFLKLTGWRGSRV
+LYFGDHIYSDLADLTLKHGWRTGAIIPELRSELKIMNTEQYIQTMTWLQTLTGLLEQMQV
+HRDAESQLVLQEWKKERKEMREMTKSFFNAQFGSLFRTDQNPTYFLRRLSRFADIYMASL
+SCLLNYDVSHTFYPRRTPLQHEL
+>Q9NPB1_PF06941_38 <unknown description>
+VLVDMDGVLADFEGGFLRKFRARFPDQPFIALEDRRGFWVSEQYGRLRPGLSEKAISIWE
+SKNFFFELEPLPGAVEAVKEMASLQNTDVFICTSPIKMFKYCPYEKYAWVEKYFGPDFLE
+QIVLTRDKTVVSADLLIDDRPDITGAEPTPSWEHVLFTACHNQHLQLQPPRRRLHSWADD
+WKAILDS
+>Q9GZY6_PF15703_28 <unknown description>
+CSRPGAKRSEKIYQQRSLREDQQSFTGSRTYSLVGQAWPGPLADMAPTRKDKLLQFYPSL
+EDPASSRYQNFSKGSRHGSEEAYIDPIAMEYYNWGRFSKPPEDDDANSYENVLICKQKTT
+ETGA
+>Q9GZY6_PF15703_180 <unknown description>
+PSASPEEDEESEDYQNSASIHQWRESRKVMGQLQREASPGPVGSPDEEDGEPDYVNGEV
+>Q96AB6_PF14736_37 <unknown description>
+SVQQVGPQGLLYVQQRELAVTSPKDGSISILGSDDATTCHIVVLRHTGNGATCLTHCDGT
+DTKAEVPLIMNSIKSFSDHAQCGRLEVHLVGGFSDDRQLSQKLTHQLLSEFDRQEDDIHL
+VTLCVTELNDREENENHFPVIYGIAVNIKTAEIYRASFQDRGPEEQLRAARTLAGGPMIS
+IYDAETEQLRIGPYSWTPFPHVDFWLHQDDKQILENLSTSPLAEPPHFVEHIRSTLMFLK
+KHPSPAHTLFSGNKALLYKKNEDGLWEK
+>Q96HA8_PF09764_23 <unknown description>
+YSSCYCEENIWKLCEYIKNHDQYPLEECYAVFISNERKMIPIWKQQARPGDGPVIWDYHV
+VLLHVSSGGQNFIYDLDTVLPFPCLFDTYVEDAFKSDDDIHPQFRRKFRVIRADSYLKNF
+ASDRSHMKDSSGNWREPPPPYPCIETGDSKMNLNDFISMDPKVGWGAVYTLSEFTHRF
+>Q12908_PF01758_39 <unknown description>
+TILLALVMFSMGCNVEIKKFLGHIKRPWGICVGFLCQFGIMPLTGFILSVAFDILPLQAV
+VVLIIGCCPGGTASNILAYWVDGDMDLSVSMTTCSTLLALGMMPLCLLIYTKMWVDSGSI
+VIPYDNIGTSLVSLVVPVSIGMFVNHKWPQKAKIILKIGSIAGAILIVLIAVVGGIL
+>Q96EP9_PF01758_112 <unknown description>
+ALCITMLGLGCTVDVNHFGAHVRRPVGALLAALCQFGLLPLLAFLLALAFKLDEVAAVAV
+LLCGCCPGGNLSNLMSLLVDGDMNLSIIMTISSTLLALVLMPLCLWIYSWAWINTPIVQL
+LPLGTVTLTLCSTLIPIGLGVFIRYKYSRVADYIVKVSLWSLLVTLVVLFIMTG
+>Q5PT55_PF01758_149 <unknown description>
+PLILLNKCAFGCKIELQLFQTVWKRPLPVILGAVTQFFLMPFCGFLLSQIVALPEAQAFG
+VVMTCTCPGGGGGYLFALLLDGDFTLAILMTCTSTLLALIMMPVNSYIYSRILGLSGTFH
+IPVSKIVSTLLFILVPVSIGIVIKHRIPEKASFLERIIRPLSFILMFVGIYLTFTV
+>Q0GE19_PF13593_10 <unknown description>
+DWFMVGIVLAIAGAKLEPSIGVNGGPLKPEITVSYIAVATIFFNSGLSLKTEELTSALVH
+LKLHLFIQIFTLAFFPATIWLFLQLLSITPINEWLLKGLQTVGCMPPPVSSAVILTKAVG
+GNEAAAIFNSAFGSFLGIVITPLLLLLFLGSSSSVPFTSIFSQLFMTVVVPLIIGQIVRR
+YIKDWLERKKPPFGAISSSVLLMIIYTTFCDTFSNPNIDLDKFSLVLILFIIFSIQLSFM
+LLTFIFSTRNNSGFTPADTVAIIFCSTHKSLTLGIPMLKIVFAGHEHLSLISVPLLIYHP
+AQILLGSVLVPTIK
+>Q14973_PF01758_33 <unknown description>
+FMLFFIMLSLGCTMEFSKIKAHLWKPKGLAIALVAQYGIMPLTAFVLGKVFRLKNIEALA
+ILVCGCSPGGNLSNVFSLAMKGDMNLSIVMTTCSTFCALGMMPLLLYIYSRGIYDGDLKD
+KVPYKGIVISLVLVLIPCTIGIVLKSKRPQYMRYVIKGGMIIILLCSVAVTVLSAINV
+>P61970_PF02136_10 <unknown description>
+IGSSFIQHYYQLFDNDRTQLGAIYIDASCLTWEGQQFQGKAAIVEKLSSLPFQKIQHSIT
+AQDHQPTPDSCIISMVVGQLKADEDPIMGFHQMFLLKNINDAWVCTNDMFRL
+>P20783_PF19338_33 <unknown description>
+NMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQSTLPKAEAPREPERGGPAK
+SAFQPVIAMDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLMEDYVGSPVVANRT
+>P20783_PF00243_157 <unknown description>
+SHRGEYSVCDSESLWVTDKSSAIDIRGHQVTVLGEIKTGNSPVKQYFYETRCKEARPVKN
+GCRGIDDKHWNSQCKTSQTYVRALTSENNKLVGWRWIRIDTSCVCALSRKI
+>P34130_PF00243_90 <unknown description>
+RRGELAVCDAVSGWVTDRRTAVDLRGREVEVLGEVPAAGGSPLRQYFFETRCKADNAEEG
+GPGAGGGGCRGVDRRHWVSECKAKQSYVRALTADAQGRVGWRWIRIDTACVCTLLSRT
+>P78549_PF00730_127 <unknown description>
+SLMLSSQTKDQVTAGAMQRLRARGLTVDSILQTDDATLGKLIYPVGFWRSKVKYIKQTSA
+ILQQHYGGDIPASVAELVALPGVGPKMAHLAMAVAWGTVSGIAVDTHVHRIANRLRWTKK
+ATKSPEETRAALEEWLP
+>P78549_PF00633_192 <unknown description>
+YGGDIPASVAELVALPGVGPKMAH
+>Q9BV86_PF05891_8 <unknown description>
+DEKQFYSKAKTYWKQIPPTVDGMLGGYGHISSIDINSSRKFLQRFLREGPNKTGTSCALD
+CGAGIGRITKRLLLPLFREVDMVDITEDFLVQAKTYLGEEGKRVRNYFCCGLQDFTPEPD
+SYDVIWIQWVIGHLTDQHLAEFLRRCKGSLRPNGIIVIKDNMAQEGVILDDVDSSVCRDL
+DVVRRIICSAGLSLLAEERQENLPDEIYHVYSFALR
+>Q5VVY1_PF05891_66 <unknown description>
+MQFYARAKLFYQEVPATEEGMMGNFIELSSPDIQASQKFLRKFVGGPGRAGTDCALDCGS
+GIGRVSKHVLLPVFNSVELVDMMESFLLEAQNYLQVKGDKVESYHCYSLQEFTPPFRRYD
+VIWIQWVSGHLTDKDLLAFLSRCRDGLKENGIIILKDNVAREGCILDLSDSSVTRDMDIL
+RSLIRKSGLVVLGQEKQDGFPEQCIPVWMFAL
+>Q8IVF1_PF12881_136 <unknown description>
+VTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTLPSTPLVTEQDGCGP
+SGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVV
+PVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGEGSLASSQ
+AKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMT
+LEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPR
+LEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARL
+PPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGEVKQPQEEDW
+TPPDPGLLSYTDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQELEQEEGLTL
+AQLVEKRLLPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPVPQQGVGMETCPPQ
+TTARDSQGRGRAHTGMARSKDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALD
+LPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQ
+GTHQFPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPHPGPGLRVSGEQSLTWGLGGP
+SQSQKRKGDPLVSRKEKKQR
+>A6NNL0_PF12881_136 <unknown description>
+VTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTFPSTPLVTEQDGCGP
+SGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVV
+PVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGESSLASSQ
+AKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMT
+LEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPR
+LEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARL
+PPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGKVKQPQEEDW
+TPPDPGLLSYIDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQDLEQEEGLTL
+AQLVEKRLPPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPDPQQGVGMETCPPQ
+MTARDSQGRGRAHTGMARSEDSVVLLGCQDSPGLRAAWPTSPPQDHRPTCPGVGTKDALD
+LPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQ
+GTHQSPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPYPGPGLRVSGEQSLTWGLGGP
+SQSQKRKGDPLVSRKEKKQH
+>Q5VT03_PF12881_64 <unknown description>
+VTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSNFPSTPLVTEQDGCGP
+SGAGASNVFVQMRTEVGPVKAAQAQTLVLTQTPLVWQAPGALCGGVVCPPPLLLAAAPVV
+PVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGEGSLASSQ
+AKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMT
+LEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPR
+LEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARL
+PPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGEVKQPQEEDW
+TPPDPGLLSYTDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQELEQEEGLTL
+AQLVEKRLLPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPDPQQGVGMETCPPQ
+TTARDSQGRGRAHTGMARSKDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALD
+LPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQ
+GTHQSPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPHPGPGLRVSGEQSLTWGLGGP
+SQSQKRKGDPLVSRKEKKQR
+>B1AL46_PF12881_136 <unknown description>
+VTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTFPSTPLVTEQDGCSP
+SGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVV
+PVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGESSLASSQ
+AKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMT
+LEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPR
+LEPRGPPAPEVVKQPVYLPSKAGPKAQTACLPPPRPQRPVTKARRPPPQPHRRAETKARL
+PPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGKVKQPQEEDW
+TPPDPGLLSYIDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQDLEQEEGLTL
+AQLVEKRLPPLKEKQHSRAAPSRGTARLDSSSSKFAAGQGAERDVPDPQEGVGMETCPPQ
+TTARDSQGRGRAHTGMARSEDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALD
+LPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQ
+GTHQSPSAERRGLNLAPSPANKAKKQPLFGSLSPAEKTPHRGPGLRVSGEQSLTWGLGGP
+SQSQKRKGDPLVSRKEKKQH
+>A1L443_PF12881_14 <unknown description>
+VTVNPGTSLSVFTALPFATPAPGPAHRPPLVTAVVPPAGPLVLSAFPSTPLVAGQDGRGP
+SGAGASNVFVQMRTEVGPVKPPQAQTLILTQAPLVWQAPGTLCGGVMCPPPLLLAAAPGV
+PVTSAQVVGGTQACEGGWSHGLPLPPPPPAAQVAPIVSPGNARPWPQGAHGEGSLAPSQA
+KARPDDSCKPKSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTL
+EEGLWQAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQSLPPPAPPRL
+EPRGPPAPEVVKQPVYLPSKDGPKAPTACLPPPRPQRPAETKAHLPPPRPQRPAETNAHL
+PPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGSHPGDTGEPEGQREKGKVEQPQEEDG
+ITSDPGLLSYIDKLCSQEDFVTKVEAVIHPRFLEELLSPDPQMDFLALSQELEQEEGLTL
+AQLVEKRLLSLKEKGCGRAAPRHGTARLDSSPSEFAAGQEAAREVPDPQQRVSVETSPPQ
+TAAQDPQGQGRVRTGMARSEDPAVLLGCQDSPRLKAVRPTSPPQDHRPTCPGLGTKDALG
+LPGESPVKESHGLAKGSSEETELPGMVYVVGSHHRLRPWRLSQSPVPSSGLLSPGGRGPQ
+GALQSPSAQKRGLSPSPSPASKSKKRPLFGSPSPAEKTPHPGPGLRVSGEQSLAWGLGGP
+SQSQKRKGDPLASRRKKKRH
+>Q5VZR2_PF12881_14 <unknown description>
+VTVNPGTSLSVFTALPFATPSPGPTHRPPLVTAVVPPAGPLVLSAFPSTPLVAGQDGRGP
+SGAGASNVFVQMRTEVGPVKPPQAQTLILTQAPLVWQAPGTLCGGVMCPPPLLLAAAPGV
+PVTSAQVVGGTQACEGGWSHGLPLPPPPPAAQVAPIVSPGNAGPWPQGAHGEGSLAPSQA
+KARPDDSCKPKSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTL
+EEGLWRAMREWQHTSNFDRMIFYEMAAKFLEFEAEEEMQIQKSQWMKGPQSLPPPAPPRL
+EPRGPPAPEVVKQPVYLPSKDGPKAPTACLPPPRPQRPAETKAHLPPPRPPRPAETKVPE
+EIPPEVVQEYVDIMEELLGSHPGDTGEPEGQREKGKVEQPQEEDGMTSDPGLLSYIDKLC
+SQEDFVTKVEAVIHPRFLEELLSPDPQMDFLALSQELEQEEGLTLAQLVEKRLLSLKEKG
+CGRAAPRHGTARLDSSPSEFAAGQEAAREVPDPQQRVSVETSPPQTAAQDPQGQGRVRTG
+MARSEDPAVLLGCQDSPRLKAVRPTSPPQDHRPTCPGLGTKDALGLPGESPVKESHGLAK
+GSSEETELPGMVYVVGSHHRLRPWRLSQSPVPSSGLLSPGGRGPQGALQSPSAQKRGLSP
+SPSPASKSKKRPLFGSPSPAEKTPHPGPGLRVSGEQSLAWGLGGPSQSQKRKGDPLASRR
+KKKRH
+>Q9Y2I2_PF00055_50 <unknown description>
+CQPESTDMTKYLKVKLDPPDITCGDPPETFCAMGNPYMCNNECDASTPELAHPPELMFDF
+EGRHPSTFWQSATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYG
+RTWQPYQYYATDCLDAFHMDPKSVKDLSQHTVLEIICTEEYSTGYTTNSKIIHFEIKDRF
+AFFAGPRLRNMASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAIS
+DIKVRG
+>Q9Y2I2_PF00053_297 <unknown description>
+CKCNLHATVCVYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPG
+>Q9Y2I2_PF00053_364 <unknown description>
+CECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENV
+>Q9Y2I2_PF00053_420 <unknown description>
+CYCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWH
+>Q96CW9_PF00055_39 <unknown description>
+CQPKVMRLKDYVKVKVEPSGITCGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDK
+EEEGLATYWQSITWSRYPSPLEANITLSWNKTVELTDDVVMTFEYGRPTVMVLEKSLDNG
+RTWQPYQFYAEDCMEAFGMSARRARDMSSSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDR
+FAIFAGPDLRNMDNLYTRLESAKGLKEFFTLTDLRMRLLRPALGGTYVQRENLYKYFYAI
+SNIEVIG
+>Q96CW9_PF00053_287 <unknown description>
+CKCNLHANLCSMREGSLQCECEHNTTGPDCGKCKKNFRTRSW
+>Q96CW9_PF00053_353 <unknown description>
+CECYGHSNRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELD
+>Q96CW9_PF00053_409 <unknown description>
+CNCNQIGSVHDRCNETGFCECREGAAGPKCDDCLPTHYWRQGCYPNVC
+>Q9BSD7_PF03266_4 <unknown description>
+HVFLTGPPGVGKTTLIHKASEVLKSSGVPVDGFYTEEVRQGGRRIGFDVVTLSGTRGPLS
+RVGLEPPPGKRECRVGQYVVDLTSFEQLALPVLRNADCSSGPGQRVCVIDEIGKMELFSQ
+LFIQAVRQTLSTPGTIILGTIPVPKGKPLALVEEIRNRKDVKVFNVTKENRNHLLPDI
+>P30989_PF00001_80 <unknown description>
+GNTVTAFTLARKKSLQSLQSTVHYHLGSLALSDLLTLLLAMPVELYNFIWVHHPWAFGDA
+GCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLMSRSRTKKFISAIWLASALL
+AVPMLFTMGEQNRSADGQHAGGLVCTPTIHTATVKVVIQVNTFMSFIFPMVVISVLNTII
+ANKLTVMVRQAAEQGQVCTVGGEHSTFSMAIEPGRVQALRHGVRVLRAVVIAFVVCWLPY
+HVRRLMFCYISDEQWTPFLYDFYHYFYMVTNALFYVSSTINPILY
+>O95665_PF00001_49 <unknown description>
+GNALSAHVVLKARAGRAGRLRHHVLSLALAGLLLLLVGVPVELYSFVWFHYPWVFGDLGC
+RGYYFVHELCAYATVLSVAGLSAERCLAVCQPLRARSLLTPRRTRWLVALSWAASLGLAL
+PMAVIMGQKHELETADGEPEPASRVCTVLVSRTALQVFIQVNVLVSFVLPLALTAFLNGV
+TVSHLLALCSQVPSTSTPGSSTPSRLELLSEEGLLSFIVWKKTFIQGGQVSLVRHKDVRR
+IRSLQRSVQVLRAIVVMYVICWLPYHARRLMYCYVPDDAWTDPLYNFYHYFYMVTNTLFY
+VSSAVTPLLY
+>P04629_PF13855_92 <unknown description>
+ELRNLTIVKSGLRFVAPDAFHFTPRLSRLNLSFNALESLSWKTVQGLSLQELVLSGNPL
+>P04629_PF16920_151 <unknown description>
+HCSCALRWLQRWEEEGLGGVPEQKLQCHGQGPLAHMPNASCG
+>P04629_PF18613_417 <unknown description>
+GVSVAVGLAVFACLFLSTLLLV
+>P04629_PF07714_512 <unknown description>
+LKWELGEGAFGKVFLAECHNLLPEQDKMLVAVKALKEASESARQDFQREAELLTMLQHQH
+IVRFFGVCTEGRPLLMVFEYMRHGDLNRFLRSHGPDAKLLAGGEDVAPGPLGLGQLLAVA
+SQVAAGMVYLAGLHFVHRDLATRNCLVGQGLVVKIGDFGMSRDIYSTDYYRVGGRTMLPI
+RWMPPESILYRKFTTESDVWSFGVVLWEIFTYGKQPWYQLSNTEAIDCITQGRELERPRA
+CPPEVYAIMRGCWQREPQQRHSIKDVHARL
+>Q16620_PF01462_31 <unknown description>
+ACPTSCKCSASRIWCSDPSPGIVAFPRLEP
+>Q16620_PF13855_92 <unknown description>
+GLRNLTIVDSGLKFVAHKAFLKNSNLQHINFTRNKLTSLSRKHFRHLDLSELILVGNP
+>Q16620_PF16920_151 <unknown description>
+TCSCDIMWIKTLQEAKSSPDTQDLYCLNESSKNIPLANLQIPNCG
+>Q16620_PF07679_204 <unknown description>
+PNLTVEEGKSITLSCSVAGDPVPNMYWDVGNLVSKHMNETSHTQGSLRITNISSDDSGKQ
+ISCVAENLVGEDQDSVNLTV
+>Q16620_PF07679_305 <unknown description>
+FTVKGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYTLIAK
+NEYGKDEKQIS
+>Q16620_PF07714_555 <unknown description>
+VLKRELGEGAFGKVFLAECYNLCPEQDKILVAVKTLKDASDNARKDFHREAELLTNLQHE
+HIVKFYGVCVEGDPLIMVFEYMKHGDLNKFLRAHGPDAVLMAEGNPPTELTQSQMLHIAQ
+QIAAGMVYLASQHFVHRDLATRNCLVGENLLVKIGDFGMSRDVYSTDYYRVGGHTMLPIR
+WMPPESIMYRKFTTESDVWSLGVVLWEIFTYGKQPWYQLSNNEVIECITQGRVLQRPRTC
+PQEVYELMLGCWQREPHMRKNIKGIHTL
+>Q16288_PF01462_31 <unknown description>
+ACPANCVCSKTEINCRRPDDGNLFPL
+>Q16288_PF13855_104 <unknown description>
+GLQKLTIKNSGLRSIQPRAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELQLEQN
+>Q16288_PF16920_163 <unknown description>
+NCSCDIRWMQLWQEQGEAKLNSQNLYCINADGSQLPLFRMNISQCD
+>Q16288_PF00047_216 <unknown description>
+HVNLTVREGDNAVITCNGSGSPLPDVDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTS
+EDNGFTLTCIAENVVGMSNASVA
+>Q16288_PF07679_323 <unknown description>
+FVVRGNPPPTLHWLHNGQPLRESKIIHVEYYQEGEISEGCLLFNKPTHYNNGNYTLIAKN
+PLGTANQTI
+>Q16288_PF07714_539 <unknown description>
+VLKRELGEGAFGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHE
+HIVKFYGVCGDGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLH
+IASQIASGMVYLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYRLFNPSGN
+DFCIWCEVGGHTMLPIRWMPPESIMYRKFTTESDVWSFGVILWEIFTYGKQPWFQLSNTE
+VIECITQGRVLERPRVCPKEVYDVMLGCWQREPQQRLNIKEIYKI
+>P57740_PF04121_210 <unknown description>
+WLLQQEMVTWRLLASLYRDRIQSALEEESVFAVTAVNASEKTVVEALFQRDSLVRQSQLV
+VDWLESIAKDEIGEFSDNIEFYAKSVYWENTLHTLKQRQLTSYVGSVRPLVTELDPDAPI
+RQKMPLDDLDREDEVRLLKYLFTLIRAGMTEEAQRLCKRCGQAWRAATLEGWKLYHDPNV
+NGGTELEPVEGNPYRRIWKISCWRMAEDELFNRYERAIYAALSGNLKQLLPVCDTWEDTV
+WAYFRVMVDSLVEQEIQTSVATLDETEELPREYLGANWTLEKVFEELQATDKKRVLEENQ
+EHYHIVQKFLILGDIDGLMDEFSKWLSKSRNNLPGHLLRFMTHLILFFRTLGLQTKEEVS
+IEVLKTYIQLLIREKHTNLIAFYTCHLPQDLAVAQYALFLESVTEFEQRHHCLELAKEAD
+LDVATITKTVVENIRKKDNGEFSHHDLAPALDTGTTEEDRLKIDVIDWLVFDPAQRAEAL
+KQGNAIMRKFLASKKHEAAKEVFVKIPQDSIAEIYNQCEEQGMESPLPAEDDNAIREHLC
+IRAYLEAHETFNEWFKHMNSVPQKPALIPQPTFTEKVAHEHKEKKYEMDFGIWKGHLDAL
+TADVKEKMYNVLLFVDGGWMVDVREDAKEDHERTHQMVLLRKLCLPMLCFLLHTILHSTG
+QYQECLQLADMVSSERHKLYLVFSKEELRKLLQKLRESS
+>Q8WUM0_PF03177_598 <unknown description>
+LHQLEDKMKAHSFLMDFIHQVGLFGRLGSFPVRGTPMATRLLLCEHAEKLSAAIVLKNHH
+SRLSDLVNTAILIALNKREYEIPSNLTPADVFFREVSQVDTICECLLEHEEQVLRDAPMD
+SIEWAEVVINVNNILKDMLQAASHYRQNRNSLYRREESLEKEPEYVPWTATSGPGGIRTV
+IIRQHEIVLKVAYPQADSNLRNIVTEQLVALIDCFLDGYVSQLKSVDKSSNRERYDNLEM
+EYLQKRSDLLSPLLSLGQYLWAASLAEKYCDFDILVQMCEQTDNQSRLQRYMTQFADQNF
+SDFLFRWYLEKGKRGKLLSQPISQHGQLANFLQAHEHLSWLHEINSQELEKAHATLLGLA
+NMETRYFAKKKTLLGLSKLAALASDFSEDMLQEKIEEMAEQERFLLHQET
+>P49790_PF08604_113 <unknown description>
+PSTTSTASNYPDVLTRPSLHRSHLNFSMLESPALHCQPSTSSAFPIGSSGFSLVKEIKDS
+TSQHDDDNISTTSGFSSRASDKDITVSKNTSLPPLWSPEAERSHSLSQHTATSSKKPAFN
+LSAFGTLSPSLGNSSILKTSQLGDSPFYPGKTTYGGAAAAVRQSKLRNTPYQAPVRRQMK
+AKQLSAQSYGVTSSTARRILQSLEKMSSPLADAKRIPSIVSSPLNSPLDRSGIDITDFQA
+KREKVDSQYPPVQRLMTPKPVSIATNRSVYFKPSLTPSGEFRKTNQRIDNKCSTGYEKNM
+TPGQNREQRESGFSYPNFSLPAANGLSSGVGGGGGKMRRERTRFVASKPLEEEEMEVPVL
+PKISLPITSSSLPTFNFSSPEITTSSPSPINSSQALTNKVQMTSPSSTGSPMFKFSSPIV
+KSTEANVLPPSSIGFTFSVPVAKTAELSGSSSTLEPIISSSAHHVTTVNSTNCKKTPPED
+CEGPFRPAEILKEGSVLDILKSPGFASPK
+>P49790_PF00641_657 <unknown description>
+KAGSSWQCDTCLLQNKVTDNKCIACQAAKL
+>P49790_PF00641_724 <unknown description>
+GTWDCDTCLVQNKPEAIKCVACETPKPG
+>P49790_PF00641_794 <unknown description>
+IGSWECSVCCVSNNAEDNKCVSCMSEKPG
+>P49790_PF00641_851 <unknown description>
+PEGSWDCELCLVQNKADSTKCLACESAKPG
+>P49790_PF10599_1386 <unknown description>
+GTTPNSSSAFQFGSSTTNFNFTNNSPSGVFTFGANSSTPAASAQPSGSGGFPFNQSPAAF
+TVGSNGKNVFSSSGTSFSGRKIKTAVRRRK
+>O75694_PF08801_79 <unknown description>
+PEISSIRRVPLPPELVEQFGHMQCNCMMGVFPPISRAWLTIDSDIFMWNYEDGGDLAYFD
+GLSETILAVGLVKPKAGIFQPHVRHLLVLATPVDIVILGLSYANLQTGSGVLNDSLSGGM
+QLLPDPLYSLPTDNTYLLTITSTDNGRIFLAGKDGCLYEVAYQAEAGWFSQRCRKINHSK
+SSLSFLVPSLLQFTFSEDDPILQIAIDNSRNILYTRSEKGVIQVYDLGQDGQGMSRVASV
+SQNAIVSAAGNIARTIDRSVFKPIVQIAVIENSESLDCQLLAVTHAGVRLYFSTCPFRQP
+LARPNTLTLVHVRLPPGFSASSTVEKPSKVHRALYSKGILLMAASENEDNDILWCVNHDT
+FPFQKPMMETQMTAGVDGHSWALSAIDELKVDKIITPLNKDHIPITDSPVVVQQHMLPPK
+KFVLLSAQGS
+>O75694_PF03177_776 <unknown description>
+ISLQAIQQLVRKSYQALALWKLLCEHQFTIIVAELQKELQEQLKITTFKDLVIRDKELTG
+ALIASLINCYIRDNAAVDGISLHLQDICPLLYSTDDAICSKANELLQRSRQVQNKTEKER
+MLRESLKEYQKISNQVDLSNVCAQYRQVRFYEGVVELSLTAAEKKDPQGLGLHFYKHGEP
+EEDIVGLQAFQERLNSYKCITDTLQELVNQSKAAPQSPSVPKKPGPPVLSSDPNMLSNEE
+AGHHFEQMLKLSQRSKDELFSIALYNWLIQVDLADKLLQVASPFLEPHLVRMAKVDQNRV
+RYMDLLWRYYEKNRSFSNAARVLSRLADMHSTEISLQQRLEYIARAILSAKSSTAISSIA
+ADGEFLHELEEKMEVARIQLQIQETLQRQYSHHSSVQDAVSQL
+>Q12769_PF11715_44 <unknown description>
+KYSESAGGFYYVESGKLFSVTRNRFIHWKTSGDTLELMEESLDINLLNNAIRLKFQNCSV
+LPGGVYVSETQNRVIILMLTNQTVHRLLLPHPSRMYRSELVVDSQMQSIFTDIGKVDFTD
+PCNYQLIPAVPGISPNSTASTAWLSSDGEALFALPCASGGIFVLKLPPYDIPGMVSVVEL
+KQSSVMQRLLTGWMPTAIRGDQSPSDRPLSLAVHCVEHDAFIFALCQDHKLRMWSYKEQM
+CLMVADMLEYVPVKKDLRLTAGTGHKLRLAYSPTMGLYLGIYMHAPKRGQFCIFQLVSTE
+SNRYSLDHISSLFTSQETLIDFALTSTDIWALWHDAENQTVVKYINFEHNVAGQWNPVFM
+QPLPEEEIVIRDDQDPREMYLQSLFTPGQFTNEALCKALQIFCRGTERNLDLSWSELKKE
+VTLAVENELQGSVTEYEFSQEEFRNLQQEFWCKFYACCLQYQEALSHPLALHLNPHTNMV
+CLLKKGYLSFLIPSSLVDHL
+>Q5SRE5_PF10487_31 <unknown description>
+QIEAELNKHWRRLLEGLSYYKPPSPSSAEKVKANKDVASPLKELGLRISKFLGLDEEQSV
+QLLQCYLQEDYRGTRDSVKTVLQDERQSQALILKIADYYYEERTCILRCVLHLLTYFQDE
+RHPYRVEYADCVDKLEKELVSKYRQQFEELYKTEAPTWETHGNLMTERQVSRWFVQCLRE
+QSMLLEIIFLYYAYFEMAPSDLLVLTKMFKEQGFGSRQTNRHLVDETMDPFVDRIGYFSA
+LILVEGMDIESLHKCALDDRRELHQFAQDGLICQDMDCLMLTFGDIPHHAPVLLAWALLR
+HTLNPEETSSVVRKIGGTAIQLNVFQYLTRLLQSLASGGNDCTTSTACMCVYGLLSFVLT
+SLELHTLGNQQDIIDTACEVLADPSLPELFWGTEPTSGLGIILDSVCGMFPHLLSPLLQL
+LRALVSGKSTAKKVYSFLDKMSFYNELYKHKPHDVISHEDGTLWRRQTPKLLYPLGGQTN
+LRIPQGTVGQVMLDDRAYLVRWEYSYSSWTLFTCEIEMLLHVVSTADVIQHCQRVKPIID
+LVHKVISTDLSIADCLLPITSRIYMLLQRLTTVISPPVDVIASCVNCLTVLAARNPAKVW
+TDLRHTGFLPFVAHPVSSLSQMISAEGMNAGGYGNLLMNSEQPQGEYGVTIAFLRLITTL
+VKGQLGSTQSQGLVPCVMFVLKEMLPSYHKWRYNSHGVREQIGCLILELIHAILNLCHET
+DLHSSHTPSLQFLCICSLAYTEAGQTVINIMGIGVDTIDMVMAAQPRSDGAEGQGQGQLL
+IKTVKLAFSVTNNVIRLKPPSNVVSPLEQALSQHGAHGNNLIAVLAKYIYHKHDPALPRL
+AIQLLKRLATVAPMSVYACLGNDAAAIRDAFLTRLQSKIEDMRIKVMILEFLTVAVETQP
+GLIELFLNLE
+>Q92621_PF11894_14 <unknown description>
+WGPYKDIWHKVGNALWRRQPEAVHLLDKILKKHKPDFISLFKNPPKNVQQHEKVQKASTE
+GVAIQGQQGTRLLPEQLIKEAFILSDLFDIGELAAVELLLAGEHQQPHFPGLTRGLVAVL
+LYWDGKRCIANSLKALIQSRRGKTWTLELSPELASMTTRFTDELMEQGLTYKVLTLVSQI
+DVNNEFEKLQRERGLGSEKHRKEVSDLIKECRQSLAESLFAWACQSPLGKEDTLLLIGHL
+ERVTVEANGSLDAVNLALLMALLYCFDISFIEQSTEERDDMIHQLPLLTEKQYIATIHSR
+LQDSQLWKLPGLQATVRLAWALALRGISQLPDVTALAEFTEADEAMAELAIADNVFLFLM
+ESVVVSEYFYQEEFYIRRVHNLITDFLALMPMKVKQLRNRADEDARMIHMSMQMGNEPPI
+SLRRDLEHLMLLIGELYKKNPFHLELALEYWCPTEPLQTPTIMGSYLGVAHQRPPQRQVV
+LSKFVRQMGDLLPPTIYIPYLKMLQGLANGPQCAHYCFSLLKVNGSSHVENIQGAGGSPV
+SWEHFFHSLMLYHEHLRKDLPSADSVQYRHLPSRGITQKEQDGLIAFLQLTSTIITWSEN
+ARLALCEHPQWTPVVVILGLLQCSIPPVLKAELLKTLAAFGKSPEIAASLWQSLEYTQIL
+QTVRIPSQRQAIGIEVELNEIESRCEEYPLTRAFCQLISTLVESSFPSNLGAGLRPPGFD
+PYLQFLRDSVFLRFRTRAYRRAAEKWEVAEVVLEVFYKLLRDYEPQLEDFVDQFVELQGE
+EIIAYKPPGFSLMYHLLNESPMLELALSLLEEGVKQLDTYAPFPGKKHLEKAVQHCLALL
+NLTLQKENLFMDLLRESQLALIVCPLEQLLQGINPRTKKADNVVNIARYLYHGNTNPELA
+FESAKILCCISCNSNIQIKLVGDFTHDQSISQKLMAGFVECLDCEDAEEFVRLEEGSELE
+KKLVAIRHETRIHILNLLITSLECNPPNLALYLLGFELKKPVSTTNLQDPGVLGCPRTCL
+HAILNILEKGTEGRTGPVAVRESPQLAELCYQVIYQLCACSDTSGPTMRYLRTSQDFLFS
+QLQYLPFSNKEYEISMLNQMSWLMKTASIELRVTSLNRQRSHTQRLLHLLLDDMPVKPYS
+DGEGGIEDENRSVSGFLHFDTATKVRRKILNILDSIDFSQEIPEPLQLDFFDRAQIEQVI
+ANCEHKNLRGQTVCNVKLLHRVLVAEVNALQGMAAIGQRPLLMEEISTVLQYVVGRNKLL
+QCLHAKRHALESWRQLVEIILTACPQDLIQAEDRQLIIRDILQDVHDKILDDEAAQELMP
+VVAGAVFTLTAHLSQAVLTEQKETSVLGPAEAHYAFMLDSCFTSPPPEENPLVGFASIGD
+SSLYIILKKLLDFILKTGGGFQRVRTHLYGSLLYYLQIAQRPDEPDTLEAAKKTMWERLT
+APEDVFSKLQRENIAIIESYGAALMEVVCRDACDGHEIGRMLALALLDRIVSVDKQQQWL
+LYLSNSGYLKVLVDSLVEDDRTLQSLLTPQPPLLKALYTYESKMAFLTRVAKIQQGALEL
+LRSGVIVRLAQCQVYDMRPETDPQSMFGMRDPPMFIPTPVDRYRQILLPALQLCQVILTS
+SMAQHLQAAGQVLQFLISHSDTIQAILRCQDVSAGSLQELALLTGIISKA
+>P35658_PF18617_1916 <unknown description>
+SNTSNLFGNSGAKTFGGFASSSFGEQKPTGTFSSGGGSVASQGFGFSSPNKTGGFGAAPV
+FG
+>Q9NRX3_PF06522_16 <unknown description>
+RHPGIIPMIGLICLGMGSAALYLLRLALRSPDVCWDRKNNPEPWNRLSPNDQYKFLAVST
+DYKKLKKD
+>O60285_PF00069_55 <unknown description>
+YELQETLGKGTYGKVKRATERFSGRVVAIKSIRKDKIKDEQDMVHIRREIEIMSSLNHPH
+IISIYEVFENKDKIVIIMEYASKGELYDYISERRRLSERETRHFFRQIVSAVHYCHKNGV
+VHRDLKLENILLDDNCNIKIADFGLSNLYQKDKFLQTFCGSPLYASPEIVNGRPYRGPEV
+DSWALGVLLYTLVYGTMPFDGFDHKNLIRQISSGEYREPTQPSDARGLIRWMLMVNPDRR
+ATIEDIANHWWV
+>Q9H093_PF00069_53 <unknown description>
+YEFLETLGKGTYGKVKKARESSGRLVAIKSIRKDKIKDEQDLMHIRREIEIMSSLNHPHI
+IAIHEVFENSSKIVIVMEYASRGDLYDYISERQQLSEREARHFFRQIVSAVHYCHQNRVV
+HRDLKLENILLDANGNIKIADFGLSNLYHQGKFLQTFCGSPLYASPEIVNGKPYTGPEVD
+SWSLGVLLYILVHGTMPFDGHDHKILVKQISNGAYREPPKPSDACGLIRWLLMVNPTRRA
+TLEDVASHWWV
+>Q9Y5A7_PF18037_70 <unknown description>
+RGTGNDNYRTTGIATIEVFLPPRLKKDRKNLLETRLHITGRELRSKIAETFGLQENYIKI
+VINKKQLQLGKTLEEQGVAHNVKAMVLELKQSEE
+>Q9Y5A7_PF00627_375 <unknown description>
+IDPSKVDNLLQLGFTAQEARLGLRACDGNVDHAAT
+>Q9Y5A7_PF00627_491 <unknown description>
+SQENIDRLVYMGFDALVAEAALRVFRGNVQLAAQTL
+>P53384_PF10609_53 <unknown description>
+VKHKILVLSGKGGVGKSTFSAHLAHGLAEDENTQIALLDIDICGPSIPKIMGLEGEQVHQ
+SGSGWSPVYVEDNLGVMSVGFLLSSPDDAVIWRGPKKNGMIKQFLRDVDWGEVDYLIVDT
+PPGTSDEHLSVVRYLATAHIDGAVIITTPQEVSLQDVRKEINFCRKVKLPIIGVVENMSG
+FICPKCKKESQIFPPTTGGAELMCQDLEVPLLGRVPLDPLIGKNCDKGQSFFIDAPDSPA
+TLAYRSIIQRI
+>Q9Y5Y2_PF10609_13 <unknown description>
+VRHIILVLSGKGGVGKSTISTELALALRHAGKKVGILDVDLCGPSIPRMLGAQGRAVHQC
+DRGWAPVFLDREQSISLMSVGFLLEKPDEAVVWRGPKKNALIKQFVSDVAWGELDYLVVD
+TPPGTSDEHMATIEALRPYQPLGALVVTTPQAVSVGDVRRELTFCRKTGLRVMGIVENMS
+GFTCPHCTECTSVFSRGGGEELAQLAGVPFLGSVPLDPALMRTLEEGHDFIQEFPGSPAF
+AALTSIAQKI
+>Q8TB37_PF10609_66 <unknown description>
+VKQVIVVASGKGGVGKSTTAVNLALALAANDSSKAIGLLDVDVYGPSVPKMMNLKGNPEL
+SQSNLMRPLLNYGIACMSMGFLVEESEPVVWRGLMVMSAIEKLLRQVDWGQLDYLVVDMP
+PGTGDVQLSVSQNIPITGAVIVSTPQDIALMDAHKGAEMFRRVHVPVLGLVQNMSVFQCP
+KCKHKTHIFGADGARKLAQTLGLEVLGDIPLHLNIREASDTGQPIVFSQPESDEAKAYLR
+IAVEV
+>Q02818_PF13499_247 <unknown description>
+TFFILHDINSDGVLDEQELEALFTKELEKVYDPKNEEDDMREMEEERLRMREHVMKNVDT
+NQDRLVTLEEFLA
+>P80303_PF13499_247 <unknown description>
+KTFFKLHDVNSDGFLDEQELEALFTKELEKVYDPKNEEDDMVEMEEERLRMREHVMNEVD
+TNKDRLVTLEEFLKAT
+>Q14249_PF01223_61 <unknown description>
+PGELAKYGLPGLAQLKSRESYVLCYDPRTRGALWVVEQLRPERLRGDGDRRECDFREDDS
+VHAYHRATNADYRGSGFDRGHLAAAANHRWSQKAMDDTFYLSNVAPQVPHLNQNAWNNLE
+KYSRSLTRSYQNVYVCTGPLFLPRTEADGKSYVKYQVIGKNHVAVPTHFFKVLILEAAGG
+QIELRTYVMPNAPVDEAIPLERFLVPIESIERASGLLFVPNI
+>P19338_PF00076_309 <unknown description>
+LFVGNLNFNKSAPELKTGISDVFAKNDLAVVDVRIGMTRKFGYVDFESAEDLEKALELTG
+LKVFGNEIK
+>P19338_PF00076_398 <unknown description>
+KNLPYKVTQDELKEVFEDAAEIRLVSKDGKSKGIAYIEFKTEADAEKTFEEKQGTEIDGR
+SI
+>P19338_PF00076_488 <unknown description>
+LVLSNLSYSATEETLQEVFEKATFIKVPQNQNGKSKGYAFIEFASFEDAKEALNSCNKRE
+IEGRAIR
+>P19338_PF00076_574 <unknown description>
+LFVKGLSEDTTEETLKESFDGSVRARIVTDRETGSSKGFGFVDFNSEEDAKAAKEAMEDG
+EIDGNKV
+>Q8NFP7_PF00293_19 <unknown description>
+RAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGR
+LLGVFEQNQDPKHRTYVYVLTVTELLEDWEDSVSIGRKREWFKVEDAIKVLQCHKPVHAE
+YLE
+>Q96G61_PF00293_19 <unknown description>
+RAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGR
+LLGVFEQNQDRKHRTYVYVLTVTELLEDWEDSVSIGRKREWFKVEDAIKVLQCHKPVHAE
+YLE
+>Q9BQG2_PF12796_38 <unknown description>
+LLNETSENGWTALMYAARNGHPEIVQFLLEKGCDRSIVNKSRQTALDIAVFWGYKHIANL
+LATA
+>Q9BQG2_PF09296_148 <unknown description>
+TVFILFSDLNPLVTLGGNKESFQQPEVRLCQLNYTDIKDYLAQPEKITLIFLGVELEIKD
+KLLNYAGEVPREEEDGLVAWFALGIDPIAAEEFKQRHENCYFLHPPMPALLQLKEKEAGV
+VAQARSVLAW
+>Q9BQG2_PF09297_279 <unknown description>
+SRYKFCPTCGNATKIEEGGYKRLCLKEDC
+>Q9BQG2_PF00293_329 <unknown description>
+VIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPGETIEDAVRREVEEESGVKVGHVQYVACQ
+PWPMPSSLMIGCLALAVSTEIKVDKNEIEDARWFTREQVLDVLT
+>Q86X67_PF09296_49 <unknown description>
+FYLFHSLAPLLQTSAHQYLAPRHSLLELERLLGKFGQDAQRIEDSVLIGCSEQQEAWFAL
+DLGLDSSFSISASLHKPEMETELKGSFIELRKALFQLNARDASLLSTAQALLRW
+>Q86X67_PF09297_165 <unknown description>
+AHQFCSRSGQPTKKNVAGSKRVCPSNNIIYY
+>Q86X67_PF00293_201 <unknown description>
+VAITLVSDGTRCLLARQSSFPKGMYSALAGFCDIGESVEETIRREVAEEVGLEVESLQYY
+ASQHWPFPSGSLMIACHATVKPGQTEIQVNLRELETAAWFSHDEVATALK
+>O95848_PF00293_39 <unknown description>
+THDSVTVLLFNSSRRSLVLVKQFRPAVYAGEVERRFPGSLAAVDQDGPRELQPALPGSAG
+VTVELCAGLVDQPGLSLEEVACKEAWEECGYHLAPSDLRRVATYWSGVGLTGSRQTMFYT
+EVTDAQRSGPGGGLVEEGELIEVVHLPLEGA
+>Q9NV35_PF00293_13 <unknown description>
+GVGVGVVVTSCKHPRCVLLGKRKGSVGAGSFQLPGGHLEFGETWEECAQRETWEEAALHL
+KNVHFASVVNSFIEKENYHYVTILMKGEVDVTHDSEPKNVEPEKNESWEWVPWEELPPL
+>Q96DE0_PF00293_45 <unknown description>
+ILMQMRFDGRLGFPGGFVDTQDRSLEDGLNRELREELGEAAAAFRVERTDYRSSHVGSGP
+RVVAHFYA
+>P0C025_PF00293_94 <unknown description>
+GVAVILQSSDKTVLLTRRARTLSVSPNLWVPPGGHVELEEELLDGGLRELWEESGLHLPQ
+GQFSWVPLGLWESAYPPRLSWGLPKYHHIVLYLLVISQESQQQLQARIQPNPNEVSALMW
+LTPDVAAAVA
+>Q6ZVK8_PF00293_46 <unknown description>
+VLAVFLSEQDEVLLIQEAKRECRGSWYLPAGRMEPGETIVEALQREVKEEAGLHCEPETL
+LSVEERGPSWVRFVFLARPTGGILKTSKEADAESLQAAWYPRTSLPTPLR
+>A0A024RBG1_PF00293_20 <unknown description>
+RAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGR
+LLGIFEQNQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREWFKVEDAIKVLQCHKPVHAE
+YL
+>Q96RS6_PF04969_278 <unknown description>
+YYWQQTEDDLTVTIRLPEDSTKEDIQIQFLPDHINIVLKDHQFLEGKLYSSIDHESSTWI
+IKESNSLEISLIK
+>Q8WVJ2_PF04969_19 <unknown description>
+GQWYQTLEEVFIEVQVPPGTRAQDIQCGLQSRHVALSVGGREILKGKLFDSTIADEGTWT
+LEDRKMVRIVLTK
+>Q8IVD9_PF14050_9 <unknown description>
+YDQALLGILQHVGNVQDFLRVLFGFLYRKTDFYRLLRHPSDRMGFPPGAAQALVLQVFK
+>Q8IVD9_PF04969_189 <unknown description>
+NYTWSQDYTDLEVRVPVPKHVVKGKQVSVALSSSSIRVAMLEENGERVLMEGKLTHKINT
+ESSLWSLEPGKCVLVNLSK
+>Q9Y266_PF14050_9 <unknown description>
+RFDGMLLAMAQQHEGGVQELVNTFFSFLRRKTDFFIGGEEGMAEKLITQTF
+>Q9Y266_PF16273_96 <unknown description>
+KSETSGPQIKELTDEEAERLQLEIDQKKDAENHEAQLKNGSLDSPGKQDTEEDEEEDEKD
+K
+>Q9Y266_PF04969_170 <unknown description>
+PNYRWTQTLSELDLAVPFCVNFRLKGKDMVVDIQRRHLRVGLKGQPAIIDGELYNEVKVE
+ESSWLIEDGKVVTVHLEK
+>O95989_PF00293_20 <unknown description>
+RAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPSVAAVREVCEEAGVKGTLGR
+LVGIFENQERKHRTYVYVLIVTEVLEDWEDSVNIGRKREWFKIEDAIKVLQYHK
+>Q9NZJ9_PF00293_20 <unknown description>
+RAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGR
+LLGIFENQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREWFKVEDAIKVLQCHKPVHAEY
+L
+>Q9UKK9_PF00293_61 <unknown description>
+GVAVIPVLQRTLHYECIVLVKQFRPPMGGYCIEFPAGLIDDGETPEAAALRELEEETGYK
+GDIAECSPAVCMDPGLSNCTIHIVTVTINGDDAENARPKPKPGDGEFVEVISLPKNDLLQ
+>P53370_PF18290_46 <unknown description>
+LQGELDRFGGISVRLARLDALDRLDAAAFQKGLQAAVQQWRSEGRTAVWLHIPILQSRFI
+APAASLGFCFHHAESDSSTLTLWL
+>P53370_PF00293_142 <unknown description>
+HQVGVAGAVFDESTRKILVVQDRNKLKNMWKFPGGLSEPEEDIGDTAVREVFEETGIKSE
+FRSVLSIRQQHTNPGAFGKSDMYIICRLKPYSFTINFCQEECLRCEWMDLNDLAKTEN
+>P0C024_PF00293_42 <unknown description>
+VLLPLVAKEGKLHLLFTVRSEKLRRAPGEVCFPGGKRDPTDMDDAATALREAQEEVGLRP
+HQVEVVCCLVPCLIDTDTLITPFVGLIDHNFQAQPNPAEVKDVFLVPLAYFLH
+>Q8WV74_PF00293_33 <unknown description>
+AVLVPLCSVRGVPALLYTLRSSRLTGRHKGDVSFPGGKCDPADQDVVHTALRETREELGL
+AVPEEHVWGLLRPVYDPQKATVVPVLAGVGPLDPQSLRPNSEEVDEVFALPLAHLLQ
+>Q9BW91_PF00293_199 <unknown description>
+FVAIKRKDCGEWAIPGGMVDPGEKISATLKREFGEEALNSLQKTSAEKREIEEKLHKLFS
+QDHLVIYKGYVDDPRNTDNAWMETEAVNYHDETGEIMDNLMLEAGDDAGKVKWVDINDKL
+KLYASHSQF
+>Q9BZD4_PF03800_4 <unknown description>
+LSFPRYNVAEIVIHIRNKILTGADGKNLTKNDLYPNPKPEVLHMIYMRALQIVYGIRLEH
+FYMMPVNSEVMYPHLMEGFLPFSNLVTHLDSFLPICRVNDFETADILCPKAKRTSRFLSG
+IINFIHFREACRETYMEFLWQY
+>Q9UHK0_PF12171_173 <unknown description>
+HFFCDTCDRGFKNQEKYDKHMSE
+>Q9UHK0_PF10453_216 <unknown description>
+HWRNMHAPGMKKIKLDTPEEIARWREERRKNYPTLANIERKKKLKLEKEKRG
+>Q7Z417_PF15293_89 <unknown description>
+KKKTGYGELNGNAGEREISLKNLSSDEATNPISRVLNGNQQVVDTSLKQTVKANTFGKAG
+IKTKNFIQKNSMDKKNGKSYENKSGENQSVDKSDTIPIPNGVVTNNSGYITNGYMGKGAD
+NDGSGSESGYTTPKKRKARRNSAKGCENLNIVQDKIMQQETSVPTLKQGLETFKPDYSEQ
+KGNRVDGSKPIWKYETGPGGTSRGKPAVGDMLRKSSDSKPGVSSKKFDDRPKGKHASAVA
+SKEDSWTLFKPPPVFPVDNSSAKIVPKISYASKVKENLNKTIQNSSVSPTSSSSSSSSTG
+ETQTQSSSRLSQVPMSALKSVTSANFSNGPVLAGTDGNVYPPGGQPLLTTAANTLTPISS
+GTDSVLQDMSLTSAAVEQIKTSLFIYPSNMQTMLLSTAQVDLPSQTDQQNLGDIFQNQWG
+LSFINEPSAGPETVTGKSSEHKVMEVTFQGEYPATLVSQGAEIIPSGTEHPVFPKAYELE
+KRTSPQVLGSILKSGTTSESGALSLEPSHIGDLQKADTSSQGALVFLSKDYEIESQNPLA
+SPTNTLLGSAKEQRYQRGLERNDSWGSFDLRAAIVYHTKEMESIWNLQKQDPKRIITYNE
+>Q9Y6R0_PF00640_80 <unknown description>
+FPVRYLGHVEVEESRGMHVCEDAVKKLKAMGRKSVKSVLWVSADGLRVVDDKTKDLLVDQ
+TIEKVSFCAPDRNLDKAFSYICRDGTTRRWICHCFLALKDSGERLSHAVGCAFAACLERK
+QR
+>Q9Y6R0_PF06311_288 <unknown description>
+AAIPRRHAPLEQLVRQGSFRGFPALSQKNSPFKRQLSLRLNELPSTLQRRTDFQVKGTVP
+EMEPPGAGDSDSINALCTQISSSF
+>P49757_PF00640_39 <unknown description>
+FPVKYLGHVEVDESRGMHICEDAVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVV
+DEKTKDLIVDQTIEKVSFCAPDRNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAV
+GCAFAACLERKQK
+>P49757_PF06311_258 <unknown description>
+PHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINELPSTMQRKTDFPIKNAV
+PEVEGEAESISSLCSQITNAF
+>Q8NFH5_PF05172_169 <unknown description>
+DHLDDSWVTVFGFPQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKD
+GRIFGESIMIGVKPCIDKSVME
+>Q8NFH4_PF00400_118 <unknown description>
+KVLEGHTDFINGLVFDPKEGQEIASVSDDHTCRIWN
+>Q8NFH3_PF00400_211 <unknown description>
+LSLTGDRVPLHCVDRHPNQQHVVATGGQDGMLSIWD
+>Q9UKX7_PF08911_2 <unknown description>
+AKRNAEKELTDRNWDQEDEAEEVGTFSMASEEVLKNRAIKKAKRRNVGFESDTGGAFKGF
+KGL
+>Q9UKX7_PF00638_352 <unknown description>
+VKEEDAFYSKKCKLFYKKDNEFKEKGIGTLHLKPTANQKTQLLVRADTNLGNILLNVLIP
+PNMPCTRTGKNNVLIVCVPNPPIDEKNATMPVTMLIRVKTSEDADELHKILLEK
+>Q7Z3B4_PF13874_300 <unknown description>
+PPAGVDPIIWEQAKVDNPDSEKLIPVPMVGFKELLRRLKVQDQMTKQHQTRLDIISEDIS
+ELQKNQTTSVAKIAQYKRKLMDLSHRTLQVLIKQEIQRKSGYAIQADEEQLRVQLDTIQG
+ELNAPTQFKGRLNELMSQ
+>Q7Z3B4_PF18437_453 <unknown description>
+YYIDADLLREIKQHLKQQQEGLSHLISIIKDDLEDIKL
+>Q9BVL2_PF15967_3 <unknown description>
+TGFSFGSGTLGSTTVAAGGTSTGGVFSFGTGASSNPSVGLNFGNLGSTSTPATTSAPSSG
+FGTGLFGSKPATGFTLGGTNTGIATTITTGLTLGTPATTSAATTGFSLGFNKPAASATPF
+ALPITSTSASGLTLSSALTSTPAASTGFTLNNLGGTTATTTTASTGLSLGGALAGLGGSL
+FQSTNTGTSGLGQNALGLTLGTTAATSTAGNEGLGGIDFSSSSDKKSDKTGTRPEDSKAL
+KDENLPPVICQDVENLQKFVKEQKQVQEEISRMSSKAMLKVQEDIKALKQLLSLAANGIQ
+RNTLNIDKLKIETAQELKNAEIALRTQKTPPGLQHEYAAPADYFRILVQQFEVQLQQYRQ
+QIEELENHLATQANNSHITPQDLSMAMQKIYQTFVALAAQLQSIHENVKVLKEQYLGYRK
+MFLGDAVDVFETRRAEAKKWQNTPRVTTGPTPFSTMPNAAAVAMAATLTQQQQPATGPQP
+SLGVSFGTPFGSGIGTGLQSSGLGSSNLGGFGTSSGFGCSTTGASTFGFGTTNKPSGSLS
+AGFGSSSTSGFNFSNPGITASAGLTFGVSNPASAGFGTGGQLLQLKKPPAGNKRGKR
+>P37198_PF05064_324 <unknown description>
+ASSAMTYAQLESLINKWSLELEDQERHFLQQATQVNAWDRTLIENGEKITSLHREVEKVK
+LDQKRLDQELDFILSQQKELEDLLSPLEELVKEQSGTIYLQHADEE
+>Q9BW27_PF07575_54 <unknown description>
+IYIIRKDVDVYSQILRKLFNESHGIFLGLQRIDEELTGKSRKSQLVRVSKNYRSVIRACM
+EEMHQVAIAAKDPANGRQFSSQVSILSAMELIWNLCEILFIEVAPAGPLLLHLLDWVRLH
+VCEVDSLSADVLGSENPSKHDSFWNLVTILVLQGRLDEARQMLSKEADASPASAGICRIM
+GDLMRTMPILSPGNTQTLTELELKWQHWHEECERYLQDSTFATSPHLESLLKIMLGDEAA
+LLEQKELLSNWYHFLVTRLLYSNPTVKPIDLHYYAQSSLDLFLGGESSPEPLDNILLAAF
+EFDIHQVIKECSIALSNWWFVAHLTDLLDHCKLLQSHNLYFGSNMREFLLLEYASGLFAH
+PSLWQLGVDYFDYCPELGRVSLELHIERIPLNTEQKALKVLRICEQRQMTEQVRSICKIL
+AMKAVRNNRLGSALSWSIRAKDAAFATLVSDRFLRDYCERGCFSDLDLIDNLGPAMMLSD
+RLTFLGKYREFHRMYGEKRFADAASLLLSLMTSRIAPRSFWMTLLTDALPLLEQKQVIFS
+AEQTYELMRCLED
+>Q99567_PF10168_14 <unknown description>
+WQTWLPNHVVFLRLREGLKNQSPTEAEKPASSSLPSSPPPQLLTRNVVFGLGGELFLWDG
+EDSSFLVVRLRGPSGGGEEPALSQYQRLLCINPPLFEIYQVLLSPTQHHVALIGIKGLMV
+LELPKRWGKNSEFEGGKSTVNCSTTPVAERFFTSSTSLTLKHAAWYPSEILDPHVVLLTS
+DNVIRIYSLREPQTPTNVIILSEAEEESLVLNKGRAYTASLGETAVAFDFGPLAAVPKTL
+FGQNGKDEVVAYPLYILYENGETFLTYISLLHSPGNIGKLLGPLPMHPAAEDNYGYDACA
+VLCLPCVPNILVIATESGMLYHCVVLEGEEEDDHTSEKSWDSRIDLIPSLYVFECVELEL
+ALKLASGEDDPFDSDFSCPVKLHRDPKCPSRYHCTHEAGVHSVGLTWIHKLHKFLGSDEE
+DKDSLQELSTEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPTMICITSTYECLIWP
+LLSTVHPASPPLLCTREDVEVAESPLRVLAETPDSFEKHIRSILQRSVANPAFLKASEKD
+IAPPPEECLQLLSRATQVFREQYILKQDLAKEEIQRRVKLLCDQKKKQLEDLSYCREERK
+SLREMAERLADKYEEAKEKQEDIMNRMKKLLHSFHSELPVLSDSERDMKKELQLIPDQLR
+HLGNAIKQVTMKKDYQQQKMEKVLSLPKPTIILSAYQRKCIQSILKEEGEHIREMVKQIN
+DIRNHVN
+>Q8N1F7_PF04097_219 <unknown description>
+SISDMWTMVKQMTDVLLTPATDALKNRSSVEVRMEFVRQALAYLEQSYKNYTLVTVFGNL
+HQAQLGGVPGTYQLVRSFLNIKLPAPLPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVV
+NRAQHQLGEFKTWFQEYMNSKDRRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCD
+VTDNQSEVADKTEDYLWLKLNQVCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQ
+PFLYFQVLFLTAQFEAAVAFLFRMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPG
+DPPCLRRLNFVRLLMLYTRKFESTDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESR
+EFDMILGKLENDGSRKPGVIDKFTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKV
+LELMNKLLSPVVPQISAPQSNKERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITF
+FDEYHSGHIDRAFDIIERLKLVPLNQESVEERVAAFRNFSDEIRHNLSEVLLATMNILFT
+QFKRLKGTSPSSSSRPQRVIEDRDSQLRSQARTLITFAGMIPYRTSGDTNAR
+>P52948_PF04096_722 <unknown description>
+VGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGDVNLTNLNLDDIVHIRRKE
+VVVYLDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSPDRLADINYEGRLEAVSRK
+QGAQFKEYRPETGSWVFKVSHFSKYGL
+>P52948_PF12110_1315 <unknown description>
+EAVFSYLTGKRISEACSLAQQSGDHRLALLLSQFVGSQSVRELLTMQLVDWHQLQADSFI
+QDERLRIFALLAGKPVWQLSEKKQINVCSQLDWKRSLAIHLWYLLPPTASISRALSMYEE
+AFQNTSDSDRYACSPLPSYLEGSGCVIAEEQNSQTPLRDVCFHLLKLYSDRHYDLNQLLE
+PRSITADPLDYRLSWHLWEVLRALNYTHLSAQCEGVLQASYAGQLESEGLWEWAIFVLLH
+IDNSGIREKAVRELLTRHCQLLETPESWAKETFLTQKLRVPAKWIHEAKAVR
+>O60356_PF10195_23 <unknown description>
+SLDESDLYSLAHSYLGGGGRKGRTKREAAANTNRPSPGGHERKLVTKLQNSERKK
+>A6NF83_PF10195_26 <unknown description>
+EELYDCLDYYYLRDFPACGAGRSKGRTRREQALRTNWPAPGGHERKVAQKLLNGQRKR
+>Q9BXS6_PF16006_158 <unknown description>
+SEGKKSLYTDESSKPGKNKRTAITTPNFKKLHEAHFKEMESIDQYIERKKKHFEEHNSMN
+ELKQQPINKGGVRTPVPPRGRLSVASTPISQRRSQGRSCGPASQSTLGLKGSLKRSAISA
+AKTGVRFSAATKDNEHKRSLTKTPARKSAHVTVSGGTPKGEAVLGTHKLKTITGNSAAVI
+TPFKLTTEATQTPVSNKKPVFDLKASLSRPLNYEPHKGKLKPWGQSKENNYLNQHVNRIN
+FYKKTYKQPHLQTKEEQRKKREQERKEKKAKVLGMRRGL
+>Q86Y26_PF12881_42 <unknown description>
+MSMKPSAAPSPSPALPFLPPTSDPPDHPPREPPPQPIMPSVFSPDNPLMLSAFPSSLLVT
+GDGGPCLSGAGAGKVIVKVKTEGGSAEPSQTQNFILTQTALNSTAPGTPCGGLEGPAPPF
+VTASNVKTILPSKAVGVSQEGPPGLPPQPPPPVAQLVPIVPLEKAWPGPHGTTGEGGPVA
+TLSKPSLGDRSKISKDVYENFRQWQRYKALARRHLSQSPDTEALSCFLIPVLRSLARLKP
+TMTLEEGLPLAVQEWEHTSNFDRMIFYEMAERFMEFEAEEMQIQNTQLMNGSQGLSPATP
+LKLDPLGPLASEVCQQPVYIPKKAASKTRAPRRRQRKAQRPPAPEAPKEIPPEAVKEYVD
+IMEWLVGTHLATGESDGKQEEEGQQQEEEGMYPDPGLLSYINELCSQKVFVSKVEAVIHP
+QFLADLLSPEKQRDPLALIEELEQEEGLTLAQLVQKRLMALEEEEDAEAPPSFSGAQLDS
+SPSGSVEDEDGDGRLRPSPGLQGAGGAACLGKVSSS
+>Q86Y26_PF12881_935 <unknown description>
+KDDCGLQLRVSEDTCPLNVHSYDPQGEGRVDPDLSKPKNLAPLQESQESYTTGTPKATSS
+HQGLGSTLPRRGTRNAIVPRETSVSKTHRSADRAKGKEKKKKEAEEEDEELSNFAYLLAS
+KLSLSPREHPLSPHHASGGQGSQRASHLLPAGAKGPSKLPYPVAKSGKRALAGGPAPTEK
+TPHSGAQLGVPREKPLALGVVRPSQPRKRRCDSFVTGRRKKRR
+>O15381_PF16725_2 <unknown description>
+KPRPAGFVDNKLKQRVIQYLTSNKCGKYVDIGVLASDLQRVYSIDYGRRKRNAFRIQVEK
+VFSIISSEKE
+>O15381_PF00004_301 <unknown description>
+VLLHGPPGCGKTLLAHAIAGELDLPILKVAAPEIVSGVSGESEQKLRELFEQAVSNAPCI
+IFIDEIDAITPKREVASKDMERRIVAQLLTCMDDLNNVAATARVLVIGATNRPDSLDPAL
+RRAGRFDREICL
+>O15381_PF17862_458 <unknown description>
+DFCHLAHLTPGFVGADLMALCREAAMCAVNRVLM
+>O15381_PF00004_618 <unknown description>
+VLLAGPPGCGKTLLAKAVANESGLNFISVKGPELLNMYVGESERAVRQVFQRAKNSAPCV
+IFFDEVDALCPRRSDRETGASVRVVNQLLTEMDGLEARQQVFIMAATNRPDIIDPAILRP
+GRLDKTLFVG
+>O15381_PF17862_773 <unknown description>
+DVNLEAIAGDLRCDCYTGADLSALVREASICALRQEMARQKSGNEKGELKVSHKHFEE
+>Q149M9_PF05729_337 <unknown description>
+LVLFGPPGIGKTALMCKLAEQMPRLLGHKTVTVLRLLGTSQMSSDARGLLKSICFQVCLA
+YGLPLPPAQVLDAHTRVVQFFHTLLHTVSCRNFESLVLLLDAMDDLDSVRHARRVPWLPL
+NCPPRVHLILSACSGALGVLDTLQRVLLDPEAYWEVKPLSGNQGQQMIQ
+>Q149M9_PF00400_903 <unknown description>
+IHMLTGHTGEVRCVKIFAKGTLANSASKDYTLHLWN
+>Q149M9_PF00400_1384 <unknown description>
+LETHRSRVACVEVSHKEQLVVSGSEDALLCLWD
+>Q9ULI1_PF05729_411 <unknown description>
+PLIIYGGPCTGKTLLLAEVAKKAYGWLHEDTGPESDPVVIVRFLGTTDMSSDLRTLLLSV
+CEQLAVNYRCLVQSYPKKIHDLCDLFINLLNESSLQRPLVIIFDALEQLSENDDARKLWW
+LPAHLPRFVRIVLSTLPNKHGILQ
+>Q9UBU9_PF09162_119 <unknown description>
+WFKITIPYGRKYDKAWLLSMIQSKCSVPFTPIEFHYENTRAQFFVEDASTASALKAVNYK
+ILDRENRRISIIINSSAPPH
+>Q9UBU9_PF02136_387 <unknown description>
+VLHFLQQYYAIYDSGDRQGLLDAYHDGACCSLSIPFIPQNPARSSLAEYFKDSRNVKKLK
+DPTLRFRLLKHTRLNVVAFLNELPKTQHDVNSFVVDISAQTSTLLCFSVNGVFKEVDGKS
+RDSLRAFTRTFIAVPASNSGLCIVNDELF
+>Q9UBU9_PF03943_569 <unknown description>
+EMLQAFSTQSGMNLEWSQKCLQDNNWDYTRSAQAFTHLKAKGEIPEVAF
+>Q9GZY0_PF09162_124 <unknown description>
+WFKVTIPYGIKYDKAWLMNSIQSHCSDRFTPVDFHYVRNRACFFVQDASAASALKDVSYK
+IYDDENQKICIFVNHSTAPY
+>Q9GZY0_PF02136_392 <unknown description>
+VLQFLQQYYSIYDSGDRQGLLGAYHDEACFSLAIPFDPKDSAPSSLCKYFEDSRNMKTLK
+DPYLKGELLRRTKRDIVDSLSALPKTQHDLSSILVDVWCQTERMLCFSVNGVFKEVEGQS
+QGSVLAFTRTFIATPGSSSSLCIVNDELF
+>Q9GZY0_PF03943_574 <unknown description>
+EMVQAFSAQSGMKLEWSQKCLQDNEWNYTRAGQAFTMLQTEGKIPAEAF
+>Q9H4D5_PF09162_113 <unknown description>
+WFKITVPFGIKYNEKWLLNLIQNECSVPFVPVEFHYENMHASFFVENASIAYALKNVSGK
+IWDEDNEKISIFVNPAGIP
+>Q9H4D5_PF02136_345 <unknown description>
+VLQFLQQYYLIYDSGDRQGLLSAYHDEACFSLSIPFNPEDSAPSSFCKFFKDSRNIKILK
+DPYLRGELLKHTKLDIVDSLSALPKTQHDLSSFLVDMWYQTEWMLCFSVNGVFKEVEGQS
+QGSVLAFTRTFIATPGSSSSLCIVNDKLF
+>Q96CM4_PF13905_32 <unknown description>
+NRLVLLFFGAGACPQCQAFVPILKDFFVRLTDEFYVLRAAQLALVYVSQDSTEEQQDLFL
+KDMPKKWLFLPFEDDLRRDLGRQFSVERLPAVVVLKPDGDV
+>Q5VZ03_PF13905_26 <unknown description>
+NKVVALYFAAARCAPSRDFTPLLCDFYTALVAEARRPAPFEVVFVSADGSSQEMLDFMRE
+LHGAWLALPFHDPYRHELRKRYNVTAIPKLVIVKQNGEV
+>Q6DKJ4_PF13905_33 <unknown description>
+LLGLYFGCSLSAPCAQLSASLAAFYGRLRGDAAAGPGPGAGAGAAAEPEPRRRLEIVFVS
+SDQDQRQWQDFVRDMPWLALPYKEKHRKLKLWNKYRISNIPSLIFLDATTGKV
+>Q6DKJ4_PF13905_195 <unknown description>
+HVGVYFSAHWCPPCRSLTRVLVESYRKIKEAGQNFEIIFVSADRSEESFKQYFSEMPWLA
+VPYTDEARRSRLNRLYGIQGIPTLIMLDPQGEV
+>Q6DKJ4_PF13848_311 <unknown description>
+PVLELSDSNAAQLNEGPCLVLFVDSEDDGESEAAKQLIQPIAEKIIAKYKAKEEEAPLLF
+FVAGEDDMTDSLRDYTNLPEAAPLLTILDMSARAKYVMDVEEITPAIVEAFVND
+>Q8IWE2_PF05334_126 <unknown description>
+GWAGWGSWGKSLLSSASATVGHGLTAVKEKAGATLRIHGVNSGSSEGAQPNTENGVPEIT
+DAATDQGPAESPPTSPSSASRGMLSAITNVVQNTGKSVLTGGLDALEFIGKKTMNVLAES
+DPGFKRTKTLMERTVSLSQMLREAKEKEKQRLAQQLTMERTAHYGMLFDEYQGL
+>Q8N323_PF06312_130 <unknown description>
+QRKQYGGDFLRARMSSPALTAGASGKVMDFNNGTYLVSFTLFWEGQVSLSLLLIHPSEGA
+SALWRARNQGYDKIIFKGKFVNGTSHVFTECGLTLNSNAELCEYLDDRDQEAFYCMKPQH
+MPCEALTYMTTRNRE
+>Q96DL1_PF06312_141 <unknown description>
+HRKQYGGDFLRARMYSTALMAGASGKVTDFNNGTYLVSFTLFWEGQVSLSLLLIHPSEGV
+SALWRARNQGCDRIIFTGLFANRSSNVFTECGLTLNTNAELCQYMDDRDQEAFYCVRPQH
+MPCEALTHMTTR
+>Q969Y0_PF06312_74 <unknown description>
+ERMEEDSLLAALHRQVPDVGPVPFVKSTDPSSSYFVILNSAAFFKVGSQLEVLVHVQDFQ
+RKPKKYGGDYLQARIHSLKLQAGAVGRVVDYQNGFYKVFFTLLWPGKVKVSVSLVHPSEG
+IRVLQRLQEDKPDRVYFKSLFRSGRISETTECNVCLPGNLPLCNFTDLYTGEPWFCFKPK
+KLPCSSRITHFKGGYLKGLLTAAESAFFQS
+>Q6UWF7_PF06312_104 <unknown description>
+ILNPRDTYCRGDQLHILLEVRDHLGRRKQYGGDFLRARMSSPALMAGASGKVTDFNNGTY
+LVSFTLFWEGQVSLSLLLIHPSEGVSALWSARNQGYDRVIFTGQFVNGTSQVHSECGLIL
+NTNAELCQYLDNRDQEGFYCVRPQHMPCAALTHMYSK
+>P58417_PF06312_65 <unknown description>
+GKENDTDLDLRYDTPEPYSEQDLWDWLRNSTDLQEPRPRAKRRPIVKTGKFKKMFGWGDF
+HSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGQGNVSVSLVPPTKIVEFDLAQQTVI
+DAKDSKSFNCRIEYEKVDKATKNTLCNYDPSKTCYQEQTQSHVSWLCSKPFKVICIYISF
+YSTDYKLVQKVCPDYNYHSDTPYFPSG
+>O95156_PF06312_62 <unknown description>
+QSPVPKPGPMAYADSMENFWDWLANITEIQEPLARTKRRPIVKTGKFKKMFGWGDFHSNI
+KTVKLNLLITGKIVDHGNGTFSVYFRHNSTGLGNVSVSLVPPSKVVEFEVSPQSTLETKE
+SKSFNCRIEYEKTDRAKKTALCNFDPSKICYQEQTQSHVSWLCSKPFKVICIYIAFYSVD
+YKLVQKVCPDYNYHSETPYLSSG
+>O95157_PF06312_61 <unknown description>
+ANSTLLGLLAPPGEAWGILGQPPNRPNHSPPPSAKVKKIFGWGDFYSNIKTVALNLLVTG
+KIVDHGNGTFSVHFQHNATGQGNISISLVPPSKAVEFHQEQQIFIEAKASKIFNCRMEWE
+KVERGRRTSLCTHDPAKICSRDHAQSSATWSCSQPFKVVCVYIAFYSTDYRLVQKVCPDY
+NYHSDTPYYPSG
+>O95158_PF06312_83 <unknown description>
+AGALPAQRTKRKPSIKAARAKKIFGWGDFYFRVHTLKFSLLVTGKIVDHVNGTFSVYFRH
+NSSSLGNLSVSIVPPSKRVEF
+>O95158_PF06312_225 <unknown description>
+AKESRAFNCHVEYEKTNRARKHRPCLYDPSQVCFTEHTQSQAAWLCAKPFKVICIFVSFL
+SFDYKLVQKVCPDYNFQSEHPYF
+>Q6NXP6_PF03807_78 <unknown description>
+KVGIIGGGHLGKQLAGTLLQLGPIPAESLRISTRRPETLGELQKLGIKCFYHNADLVSWA
+DVIFLCCLPSQLPNICVEIYTSLEKASIVYSFV
+>Q9UKK6_PF02136_17 <unknown description>
+AEEFVNVYYTTMDKRRRLLSRLYMGTATLVWNGNAVSGQESLSEFFEMLPSSEFQISVVD
+CQPVHDEATPSQTTVLVVICGSVKFEGNKQRDFNQNFILTAQASPSNTVWKIASDCFRF
+>Q9NPJ8_PF02136_18 <unknown description>
+AEEFVNIYYETMDKRRRALTRLYLDKATLIWNGNAVSGLDALNNFFDTLPSSEFQVNMLD
+CQPVHEQATQSQTTVLVVTSGTVKFDGNKQHFFNQNFLLTAQSTPNNTVWKIASDCFRF
+>Q6ZVC0_PF15439_4 <unknown description>
+LYRKTKLEWRQHKEEEAKRSSSKEVAPAGSAGPAAGQGPGVRVRDIASLRRSLRMGFMTM
+PASQEHTPHPCRSAMAPRSLSCHSVGSMDSVGGGPGGASGGLTEDSSTRRPPAKPRRHPS
+TKLSMVGPGSGAETPPSKKAGSQKPTPEGRESSRKVPPQKPRRSPNTQLSVSFDESCPPG
+PSPRGGNLPLQRLTRGSRVAGDPDVGAQEEPVYIEMVGDVFRGGGRSGGGLAGPPLGGGG
+PTPPAGADSDSEESEAIYEEMKYPLPEEAGEGRANGPPPLTATSPPQQPHALPPHAHRRP
+ASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRTPGDGVSRLPVLCHS
+KEPAGSTPAPQVPARERETPPPPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQPRGERE
+LP
+>Q6ZVC0_PF15452_575 <unknown description>
+KGCGVGAPSPMVKIQLQEQGTDGGAFASISCAHVIASAGTPEEEEEEVGAATFGAGWALQ
+RKVLYGGRKAKELDKVEDGARAWNGSAEGPGKVEREDRGPGTSGIPVRSQGAEGLLARIH
+HGDRGGSRTALPIPCQTFPACHRNGDFTGGYRLGRSASTSGVRQVVLHTPRPCSQPRDAL
+SQPHPALPLPLPLPPQPARERDGKLLEVIERKRCVCKEIKARHRPDRGLCKQESMPILPS
+WRRGPEPRKSGTPPCRRQHTVLWDTAI
+>Q9P242_PF15439_56 <unknown description>
+AYLKEKNEKRRRQEEAIKRIGGEVGRGHEGSYVGKHFRMGFMTMPAPQDRLPHPCSSGFS
+VRSQSLHSVGGTDDDSSCGSRRQPPPKPKRDPSTKLSTSSETVSSTAASKSGKTPERTEA
+SAKPRPHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHGPRRTSLPRDSSLSQMGSPA
+GDPEEEEPVYIEMVGNILRDFRKEDDDQSEAVYEEMKYPIFDDLGQDAKCDFDHHSCSSQ
+CATPTVPDLDFAKASVPCPPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVHCSPNSDESPL
+TPLEVTKLPVLENVSYMKQPAGASPSTLPSHVPGHAKLEKEQAAALGPASATPALSSSPP
+PPSTLYRTQSPHGYPK
+>Q9P242_PF15452_467 <unknown description>
+RSSPSVPHSTPRPVSQDGAKMVNAAVNTYGAAPGGSRSRTPTSPLEELTSLFSSGRSLLR
+KSSSGRRSKEPAEKSTEELKVRSHSTEPLPKLDNKERGHHGASSSREPVKAQEWDGTPGT
+PVVTSRLGRCSVSPTLLAGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQSSDLQQSQVA
+CMQWFHGDHTMLEMIEKKRCLCKEIKARQKTEKGLCKQDSMPILPSWKKNAGAKKYSPPP
+YSKQQTVFWDTAI
+>Q9P2P1_PF11977_792 <unknown description>
+LRRVVIDGSSVAMVHGLQHFFSCRGIAMAVQFFWNRGHREVTVFVPTWQLKKNRRVRESH
+FLTKLHSLKMLSITPSQLENGKKITTYDYRFMVKLAEETDGIIVTNEQIHILMNSSKKLM
+VKDRLLPFTFAGNLFMVPDDPLGRDGPTLDE
+>Q9P2P1_PF17919_1130 <unknown description>
+WDQEHEEAFLALKRALVSALCLMAPNSQLPFRLEVTVSHVALTAILHQEHSGRKHPIAYT
+SKPLLPDEESQGPQSGGDSPYAVAWALKHFSRCIGDTPV
+>Q9P2P1_PF17921_1536 <unknown description>
+VPTQLRRDLIFSVHDIPLGAHQRPEETYKKLRLLGWWPGMQEHVKDYCRSCLFCI
+>Q9GZU5_PF01462_25 <unknown description>
+ACPAACACSTVERGCSVRCDRAGLLRVPAELP
+>Q9GZU5_PF13855_61 <unknown description>
+SIDLDRNGLRFLGERAFGTLPSLRRLSLRHNNLSFITPGAFKGLPRLAELRLAHN
+>Q9GZU5_PF13855_227 <unknown description>
+LEHLLLNDNLLAELPADAFRGLRRLRTLNLGGNALDRVARAWFADLAELELLYLDRNSI
+>Q9H208_PF13853_19 <unknown description>
+LTIYLVTLMGNCLIILVTLADPMLHSPMYFFLRNLSFLEIGFNLVIVPKMLGTLLAQDTT
+ISFLGCATQMYFFFFFGVAECFLLATMAYDRYVAICSPLHYPVIMNQRTRAKLAAASWFP
+GFPVATVQTTWLFSFPFCGTNKVNHFFCDSPPVLRLVCADTALFEIYAIVGTILVVMIPC
+LLILCSYTHIAAAILKIPSAKGKNKAFSTCSSHLLVVSLFYISLSLTYFRPKSNNSPEGK
+KLLSLSYTVMTPMLNPIIYSLRNNEVKNALSRTVS
+>P58181_PF13853_31 <unknown description>
+FLVIYVVTLMGNAIITVIISLNQSLHVPMYLFLLNLSVVEVSFSAVITPEMLVVLSTEKT
+MISFVGCFAQMYFILLFGGTECFLLGAMAYDRFAAICHPLNYPVIMNRGVFMKLVIFSWI
+SGIMVATVQTTWVFSFPFCGPNEINHLFCETPPVLELVCADTFLFEIYAFTGTILIVMVP
+FLLILLSYIRVLFAILKMPSTTGRQKAFSTCASHLTSVTLFYGTANMTYLQPKSGYSPET
+KKLISLAYTLLTPLLNPLIYSLRNSEMKRTLIKLWR
+>Q9H209_PF13853_33 <unknown description>
+LTIYLVTLMGNVLIILVTIADSALQSPMYFFLRNLSFLEIGFNLVIVPKMLGTLIIQDTT
+ISFLGCATQMYFFFFFGAAECCLLATMAYDRYVAICDPLHYPVIMGHISCAQLAAASWFS
+GFSVATVQTTWIFSFPFCGPNRVNHFFCDSPPVIALVCADTSVFELEALTATVLFILFPF
+LLILGSYVRILSTIFRMPSAEGKHQAFSTCSAHLLVVSLFYSTAILTYFRPQSSASSESK
+KLLSLSSTVVTPMLNPIIYSSRNKEVKAALKRLI
+>Q9H207_PF13853_33 <unknown description>
+LTIYLVTLKGNSLIILVTLADPMLHSPMYFFLRNLSFLEIGFNLVIVPKMLGTLLAQDTT
+ISFLGCATQMYFFFFFGVAECFLLATMAYDRYVAICSPLHYPVIMNQRTRAKLAAASWFP
+GFPVATVQTTWLFSFPFCGTNKVNHFFCDSPPVLKLVCADTALFEIYAIVGTILVVMIPC
+LLILCSYTRIAAAILKIPSAKGKHKAFSTCSSHLLVVSLFYISSSLTYFWPKSNNSPESK
+KLLSLSYTVVTPMLNPIIYSLRNSEVKNALSRTFH
+>Q8NH74_PF13853_31 <unknown description>
+FLVIYLVTLIGNAIIIVIVSLDQSLHVPMYLFLLNLSVVDLSFSAVIMPEMLVVLSTEKT
+TISFGGCFAQMYFILLFGGAECFLLGAMAYDRFAAICHPLNYQMIMNKGVFMKLIIFSWA
+LGFMLGTVQTSWVSSFPFCGLNEINHISCETPAVLELACADTFLFEIYAFTGTFLIILVP
+FLLILLSYIRVLFAILKMPSTTGRQKAFSTCAAHLTSVTLFYGTASMTYLQPKSGYSPET
+KKVMSLSYSLLTPLLNLLIYSLRNSEMKRALMKL
+>Q8NGE5_PF13853_31 <unknown description>
+FLAIYTVTLLGNFLIVTVTSVDLALQTPMYFFLQNLSLLEVCFTLVMVPKMLVDLVSPRK
+IISFVGCGTQMYFFFFFGSSECFLLSMMAYDRFVAICNPLHYSVIMNRSLCLWMAIGSWM
+SGVPVSMLQTAWMMALPFCGPNAVDHFFCDGPPVLKLVTVDTTMYEMQALASTLLFIMFP
+FCLILVSYTRIIITILRMSSATGRQKAFSTCSSHLIVVSLFYGTASLTYLRPKSNQSPES
+KKLVSLSYTVITPMLNPIIYGLRNNEVKGAVKRTITQK
+>Q8NGE0_PF13853_32 <unknown description>
+LALYSLTMAMNGLIIFITSWTDPKLNSPMYFFLGHLSLLDVCFITTTIPQMLIHLVVRDH
+IVSFVCCMTQMYFVFCVGVAECILLAFMAYDRYVAICYPLNYVPIISQKVCVRLVGTAWF
+FGLINGIFLEYISFREPFRRDNHIESFFCEAPIVIGLSCGDPQFSLWAIFADAIVVILSP
+MVLTVTSYVHILATILSKASSSGRGKTFSTCASHLTVVIFLYTSAMFSYMNPHSTHGPDK
+DKPFSLLYTIITPMCNPIIYSFRNKEIKEAMVRAL
+>Q96KK4_PF13853_31 <unknown description>
+LTIYLLTVAGNFLIVVLVSTDAALQSPMYFFLRTLSALEIGYTSVTVPLLLHHLLTGRRH
+ISRSGCALQMFFFLFFGATECCLLAAMAYDRYAAICEPLRYPLLLSHRVCLQLAGSAWAC
+GVLVGLGHTPFIFSLPFCGPNTIPQFFCEIQPVLQLVCGDTSLNELQIILATALLILCPF
+GLILGSYGRILVTIFRIPSVAGRRKAFSTCSSHLIMVSLFYGTALFIYIRPKASYDPATD
+PLVSLFYAVVTPILNPIIYSLRNTEVKAALKRTI
+>Q8NH80_PF13853_34 <unknown description>
+FYACTLLGNVSILVAVMSSARLHTPMYFFLGNLSVFDMGFSSVTCPKMLLYLMGLSRLIS
+YKDCVCQLFFFHFLGSIECFLFTVMAYDRFTAICYPLRYTVIMNPRICVALAVGTWLLGC
+IHSSILTSLTFTLPYCGPNEVDHFFCDIPALLPLACADTSLAQRVSFTNVGLISLVCFLL
+ILLSYTRITISILSIRTTEGRRRAFSTCSAHLIAILCAYGPIITVYLQPTPNPMLGTVVQ
+ILMNLVGPMLNPLIYTLRNKEVKTALKTILH
+>Q8NGC3_PF13853_35 <unknown description>
+FFIIYILTQLGNLLILLTMWADPKLCARPMYILLGVLSFLDMWLSSVTVPLLILDFTPSI
+KAIPFGGCVAQLYFFHFLGSTQCFLYTLMAYDRYLAICQPLRYPVLMNGRLCTVLVAGAW
+VAGSMHGSIQATLTFRLPYCGPNQVDYFICDIPAVLRLACADTTVNELVTFVDVGVVAAS
+CFMLILLSYANIVNAILKIRTTDGRRRAFSTCGSHLIVVTVYYVPCIFIYLRAGSKDPLD
+GAAAVFYTVVTPLLNPLIYTLRNQEVKSALKRI
+>Q8NGC4_PF13853_31 <unknown description>
+FFLIYILTQLGNLLILITVWADPRLHARPMYIFLGVLSVIDMSISSIIVPRLMMNFTLGV
+KPIPFGGCVAQLYFYHFLGSTQCFLYTLMAYDRYLAICQPLRYPVLMTAKLSALLVAGAW
+MAGSIHGALQAILTFRLPYCGPNQVDYFFCDIPAVLRLACADTTVNELVTFVDIGVVVAS
+CFSLILLSYIQIIQAILRIHTADGRRRAFSTCGAHVTVVTVYYVPCAFIYLRPETNSPLD
+GAAALVPTAITPFLNPLIYTLRNQEVKLALKRML
+>Q8NGN3_PF13853_29 <unknown description>
+FLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSG
+RAISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTSMMSGSRCALLATGTW
+LSGSLHSAVQTILTFHLPYCGPNQIQHYFCDAPPILKLACADTSANVMVIFVDIGIVASG
+CFVLIVLSYVSIVCSILRIRTSDGRRRAFQTCASHCIVVLCFFVPCVVIYLRPGSMDAMD
+GVVAIFYTVLTPLLNPVVYTLRNKEVKKAVLKL
+>Q8NH81_PF13853_52 <unknown description>
+FLVIYLLTVSGNGLIILTVLVDIRLHRPMCLFLCHLSFLDMTISCAIVPKMLAGFLLGSR
+IISFGGCVIQLFSFHFLGCTECFLYTLMAYDRFLAICKPLHYATIMTHRVCNSLALGTWL
+GGTIHSLFQTSFVFRLPFCGPNRVDYIFCDIPAMLRLACADTAINELVTFADIGFLALTC
+FMLILTSYGYIVAAILRIPSADGRRNAFSTCAAHLTVVIVYYVPCTFIYLRPCSQEPLDG
+VVAVFYTVITPLLNSIIYTLCNKEMKAALQRL
+>Q8NGN6_PF13853_29 <unknown description>
+FLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSG
+RTISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTNMMTGRSCALLATGTW
+LSGSLHSAVQTILTFHLPYCGPNQIQHYFCDAPPILKLACADTSANEMVIFVNIGLVASG
+CFVLIVLSYVSIVCSILRIRTSEGRHRAFQTCASHCIVVLCFFGPGLFIYLRPGSRDALH
+GVVAVFYTTLTPLFNPVVYTLRNKEVKKALLK
+>Q8NGN5_PF13853_29 <unknown description>
+FLVVYVLTVLGNLLILLVIRVDSHLHTTMYYFLTNLSFIDMWFSTVTVPKLLMTLVFPSG
+RAISFHSCMAQLYFFHFLGGTECFLYRVMSCDRYLAISYPLRYTSMMTGRSCTLLATSTW
+LSGSLHSAVQAILTFHLPYCGPNWIQHYLCDAPPILKLACADTSAIETVIFVTVGIVASG
+CFVLIVLSYVSIVCSILRIRTSEGKHRAFQTCASHCIVVLCFFGPGLFIYLRPGSRKAVD
+GVVAVFYTVLTPLLNPVVYTLRNKEVKKALLK
+>Q8NGN4_PF13853_29 <unknown description>
+FLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSG
+RAISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTSMMSGSRCALLATSTW
+LSGSLHSAVQTILTFHLPYCGPNQIQHYLCDAPPILKLACADTSANEMVIFVDIGLVASG
+CFLLIVLSYVSIVCSILRIHTSEGRHRAFQTCASHCIVVLCFFVPCVFIYLRPGSRDVVD
+GVVAIFYTVLTPLLNPVVYTLRNKEVKKAVLKL
+>Q9Y4A9_PF13853_31 <unknown description>
+FLLMYLFTLLGNLLIMATVWSERSLHTPMYLFLCALSVSEILYTVAIIPRMLADLLSTQR
+SIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSPRGCACLVGCSWA
+GGLVMGMVVTSAIFHLAFCGHKEIHHFACHVPPLLKLACGDDVLVVAKGVGLVCITALLG
+CFLLILLSYAFIVAAILKIPSAEGRNKAFSTCASHLTVVVVHYGFASVIYLKPKSPQSLE
+GDTLMGITYTVLTPFLSPIIFSLRNKELKVAMKKTFFS
+>O60403_PF13853_31 <unknown description>
+FLLMYLFTLLGNLLIMATVWSERSLHTPMYLFLCVLSVSEILYTVAIIPRMLADLLSTQR
+SIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSPRGCACLVGCSWA
+GGSVMGMVVTSAIFQLTFCGSHEIQHFLCHVPPLLKLACGNNVPAVALGVGLVCIMALLG
+CFLLILLSYAFIVADILKIPSAEGRNKAFSTCASHLIVVIVHYGFASVIYLKPKGPHSQE
+GDTLMATTYAVLTPFLSPIIFSLRNKELKVAMKRTFL
+>O60404_PF13853_34 <unknown description>
+LMFLFTLLGNLLIMATVWIERRLHTPMYLFLCALSISEILFTVAITPRMLADLLFTHRSI
+TFVACAIQMFFSFMFGFTHSFLLMVMGYDHYVTICHPLHYNMLMSPRGCAHLVAWTWAGG
+SVMGMMVTMMVFHLTFCGSNVIHHFLCHVLSLLKLACGSKTSSVIMGVMLVCVTALIGCL
+FLIILSFVFIVAAILRIPSAEGRHKTFSTCVSHLTVVVMHYSFASLIYLKPKGLHSMYSD
+ALMATTYTVFTPFLSPIIFSLRNKELKNAINKNFCR
+>Q8NGA5_PF13853_34 <unknown description>
+LMFLFTLLGNLLIMATIWIEHRLHTPMYLFLCTLSVSEILFTVAITPRMLADLLSTHHSI
+TFVACANQMFFSFMFGFTHSFLLLVMGYDRYVAICHPLRYNVLMSPRDCAHLVACTWAGG
+SVMGMMVTTIVFHLTFCGSNVIHHFFCHVLSLLKLACENKTSSVIMGVMLVCVTALIGCL
+FLIILSYVFIVAAILRIPSAEGRHKTFSTCVSHLTVVVTHYSFASFIYLKPKGLHSMYSD
+ALMATTYTVFTPFLSPIIFSLRNKELKNAINKNFYR
+>Q8NGA6_PF13853_31 <unknown description>
+FLLMYLFTLLGNLLIMATVWSERSLHMPMYLFLCALSITEILYTVAIIPRMLADLLSTQR
+SIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSLRGCTCRVGCSWA
+GGLVMGMVVTSAIFHLAFCGHKEIHHFFCHVPPLLKLACGDDVLVVAKGVGLVCITALLG
+CFLLILLSYAFIVAAILKIPSAEGRNKAFSTCASHLTVVVVHYGFASVIYLKPKGPQSPE
+GDTLMGITYTVLTPFLSPIIFSLRNKELKVAMKKTC
+>Q8NHC4_PF13853_32 <unknown description>
+LTVYILTLVANIIIVTIICIDHHLHTPMYFFLSMLASSETVYTLVIVPRMLLSLIFHNQP
+ISLAGCATQMFFFVILATNNCFLLTAMGYDRYVAICRPLRYTVIMSKGLCAQLVCGSFGI
+GLTMAVLHVTAMFNLPFCGTVVDHFFCDIYPVMKLSCIDTTINEIINYGVSSFVIFVPIG
+LIFISYVLVISSILQIASAEGRKKTFATCVSHLTVVIVHCGCASIAYLKPKSESSIEKDL
+VLSVTYTIITPLLNPVVYSLRNKEVKDALCRVV
+>Q8NGX5_PF13853_31 <unknown description>
+FLLLYLFTLGTNAIIISTIVLDRALHTPMYFFLAILSCSEICYTFVIVPKMLVDLLSQKK
+TISFLGCAIQMFSFLFFGSSHSFLLAAMGYDRYMAICNPLRYSVLMGHGVCMGLMAAACA
+CGFTVSLVTTSLVFHLPFHSSNQLHHFFCDISPVLKLASQHSGFSQLVIFMLGVFALVIP
+LLLILVSYIRIISAILKIPSSVGRYKTFSTCASHLIVVTVHYSCASFIYLRPKTNYTSSQ
+DTLISVSYTILTPLFNPMIYSLRNKEFKSALRRTI
+>Q6IF99_PF13853_31 <unknown description>
+FLLLYLFTLGTNAIIISTIVLDRALHIPMYFFLAILSCSEICYTFIIVPKMLVDLLSQKK
+TISFLGCAIQMFSFLFLGCSHSFLLAVMGYDRYIAICNPLRYSVLMGHGVCMGLVAAACA
+CGFTVAQIITSLVFHLPFYSSNQLHHFFCDIAPVLKLASHHNHFSQIVIFMLCTLVLAIP
+LLLILVSYVHILSAILQFPSTLGRCKAFSTCVSHLIIVTVHYGCASFIYLRPQSNYSSSQ
+DALISVSYTIITPLFNPMIYSLRNKEFKSALCKIV
+>Q8NGE3_PF13853_33 <unknown description>
+LVYLVTLLGNSLIILLTQVSPALHSPMYFFLRQLSVVELFYTTDIVPRTLANLGSPHPQA
+ISFQGCAAQMYVFIVLGISECCLLTAMAYDRYVAICQPLRYSTLLSPRACMAMVGTSWLT
+GIITATTHASLIFSLPFRSHPIIPHFLCDILPVLRLASAGKHRSEISVMTATIVFIMIPF
+SLIVTSYIRILGAILAMASTQSRRKVFSTCSSHLLVVSLFFGTASITYIRPQAGSSVTTD
+RVLSLFYTVITPMLNPIIYTLRNKDVRRALRHLV
+>Q8NGQ4_PF13853_35 <unknown description>
+FLLLYLMILCGNTAIIWVVCTHSTLRTPMYFFLSNLSFLELCYTTVVVPLMLSNILGAQK
+PISLAGCGAQMFFFVTLGSTDCFLLAIMAYDRYVAICHPLHYTLIMTRELCTQMLGGALG
+LALFPSLQLTALIFTLPFCGHHQEINHFLCDVPPVLRLACADIRVHQAVLYVVSILVLTI
+PFLLICVSYVFITCAILSIRSAEGRRRAFSTCSFHLTVVLLQYGCCSLVYLRPRSSTSED
+EDSQIALVYTFVTPLLNPLLYSLRNKDVKGALRSAIIR
+>Q8NGX3_PF13853_32 <unknown description>
+FLLLYLTILVANVTIMAVIRFSWTLHTPMYGFLFILSFSESCYTFVIIPQLLVHLLSDTK
+TISFMACATQLFFFLGFACTNCLLIAVMGYDRYVAICHPLRYTLIINKRLGLELISLSGA
+TGFFIALVATNLICDMRFCGPNRVNHYFCDMAPVIKLACTDTHVKELALFSLSILVIMVP
+FLLILISYGFIVNTILKIPSAEGKKAFVTCASHLTVVFVHYGCASIIYLRPKSKSASDKD
+QLVAVTYTVVTPLLNPLVYSLRNKEVKTALKRVL
+>Q8NGI7_PF13853_31 <unknown description>
+FLMMYLTSLGGNATIAVIVQINHSLHTPMYFFLANLAVLEIFYTSSITPLALANLLSMGK
+TPVSITGCGTQMFFFVFLGGADCVLLVVMAYDQFIAICHPLRYRLIMSWSLCVELLVGSL
+VLGFLLSLPLTILIFHLPFCHNDEIYHFYCDMPAVMRLACADTRVHKTALYIISFIVLSI
+PLSLISISYVFIVVAILRIRSAEGRQQAYSTCSSHILVVLLQYGCTSFIYLSPSSSYSPE
+MGRVVSVAYTFITPILNPLIYSLRNKELKDALRKAL
+>Q8NGF6_PF13853_23 <unknown description>
+SLVYGLIITGNILIVVSIHTETCLCTSMYYFLGSLSGIEICYTAVVVPHILANTLQSEKT
+ITLLGCATQMAFFIALGSADCFLLAAMAYDRYVAICHPLQYPLLMTLTLCVHLVVASVIS
+GLFLSLQLVAFIFSLPFCQAQGIEHFFCDVPPVMHVVCAQSHIHEQSVLVAAILAIAVPF
+FLITTSYTFIVAALLKIHSAAGRHRAFSTCSSHLTVVLLQYGCCAFMYLCPSSSYNPKQD
+RFISLVYTLGTPLLNPLIYALRNSEMKGAVGRVLT
+>Q8NGY0_PF13853_48 <unknown description>
+FCLYLLTLAGNLIIMGLTWVDRSLHTPMYLFLSALSFSETCYTLTIVPKMLEDLLAKDRS
+ISVTGCSLQMCFFLGLGGTNCIILTLMGYDRFLAICNPLRYPLLMTNIVCGQLVASACTA
+GFFISLTETALIFRDSFCRPNLVKHFFCHMLAVIRLSCIDSNHTEFIITLISVSGLLGTL
+LLIILTDVFIISTVLRIPSAEGKQKAFTTCASHLTVVIIHFGFASIVYLKPEASGDDTLI
+AVPYTVITPFLSPIIFSLRNKDMKNAFRRMM
+>Q8NGY1_PF13853_33 <unknown description>
+SLYLVTLTSNVFIIIAIRLDSHLHTPMYLFLSFLSFSETCYTLGIIPRMLSGLAGGDQAI
+SYVGCAAQMFFSASWACTNCFLLAAMGFDRYVAICAPLHYASHMNPTLCAQLVITSFLTG
+YLFGLGMTLVIFHLSFCSSHEIQHFFCDTPPVLSLACGDTGPSELRIFILSLLVLLVSFF
+FITISYAYILAAILRIPSAEGQKKAFSTCASHLTVVIIHYGCASFVYLRPKASYSLERDQ
+LIAMTYTVVTPLLNPIVYSLRNRAIQTALRNAF
+>Q9GZK7_PF13853_33 <unknown description>
+FTAVYVFIIIGNMLIIVAVVSSQRLHKPMYIFLANLSFLDILYTSAVMPKMLEGFLQEAT
+ISVAGCLLQFFIFGSLATAECLLLAVMAYDRYLAICYPLHYPLLMGPRRYMGLVVTTWLS
+GFVVDGLVVALVAQLRFCGPNHIDQFYCDFMLFVGLACSDPRVAQVTTLILSVFCLTIPF
+GLILTSYARIVVAVLRVPAGASRRRAFSTCSSHLAVVTTFYGTLMIFYVAPSAVHSQLLS
+KVFSLLYTVVTPLFNPVIYTMRNKEVHQALRKILCI
+>Q8NGC7_PF13853_51 <unknown description>
+VLYLLTLLGNGAIVCAVKLDRRLHTPMYILLGNFAFLEIWYISSTVPNMLVNILSEIKTI
+SFSGCFLQFYFFFSLGTTECFFLSVMAYDRYLAICRPLHYPSIMTGKFCIILVCVCWVGG
+FLCYPVPIVLISQLPFCGPNIIDHLVCDPGPLFALACISAPSTELICYTFNSMIIFGPFL
+SILGSYTLVIRAVLCIPSGAGRTKAFSTCGSHLMVVSLFYGTLMVMYVSPTSGNPAGMQK
+IITLVYTAMTPFLNPLIYSLRNKDMKDALKRVL
+>B2RN74_PF13853_46 <unknown description>
+FTTTYALTITGNGAIAFVLWCDWRLHTPMYMFLGNFSFLEIWYVSSTVPKMLVNFLSEKK
+NISFAGCFLQFYFFFSLGTSECLLLTVMAFDQYLAICRPLLYPNIMTGHLCAKLVILCWV
+CGFLWFLIPIVLISQMPFCGPNIIDHVVCDPGPRFALDCVSAPRIQLFCYTLSSLVIFGN
+FLFIIGSYTLVLKAVLGMPSSTGRHKAFSTCGSHLAVVSLCYSSLMVMYVSPGLGHSTGM
+QKIETLFYAMVTPLFNPLIYSLQNKEIKAALRKVL
+>Q8NGX0_PF13853_31 <unknown description>
+FLLIYCLTIIGNVVIITVVSQGLRLHSPMYMFLQHLSFLEVWYTSTTVPLLLANLLSWGQ
+AISFSACMAQLYFFVFLGATECFLLAFMAYDRYLAICSPLRYPFLMHRGLCARLVVVSWC
+TGVSTGFLPSLMISRLDFCGRNQINHFFCDLPPLMQLSCSRVYITEVTIFILSIAVLCIC
+FFLTLGPYVFIVSSILRIPSTSGRRKTFSTCGSHLAVVTLYYGTMISMYVCPSPHLLPEI
+NKIISVFYTVVTPLLNPVIYSLRNKDFKEAVRKVMRR
+>P58182_PF13853_30 <unknown description>
+LTIYFISVTGNGAVLMIVISDPRLHSLMYFFLGNLSYLDICYSTVTLPKMLQNFLSTHKA
+ISFLGCISQLHFFHSLGSTESMLFAVMAFDLSVAICKPLRYTVIMNPQLCTQMAITIWVI
+GFFHALLHSVMTSRLNFCGSNRIHHFLCDIKPLLKLACGNTELNQWLLSTVTGTIAMGPF
+FLTLLSYFYIITYLFFKTRSCSMLCKALSTCASHFMVVILFYAPVLFTYIHPALESFMDQ
+DRIVAIMYTVVTPVLNPLIYTLRNKEVKGALGRVI
+>Q9UGF7_PF13853_29 <unknown description>
+FLIIYLINLIGNGSILVMVVLEPQLHSPMYFFLGNLSCLDISYSSVTLPKLLVNLVCSRR
+AISFLGCITQLHFFHFLGSTEAILLAIMAFDRFVAICNPLRYTVIMNPQVCILLAAAAWL
+ISFFYALMHSVMTAHLSFCGSQKLNHFFYDVKPLLELACSDTLLNQWLLSIVTGSISMGA
+FFLTLLSCFYVIGFLLFKNRSCRILHKALSTCASHFMVVCLFYGPVGFTYIRPASATSMI
+QDRIMAIMYSAVTPVLNPLIYTLRNKEVMMALKKIFGR
+>Q8NGR1_PF13853_50 <unknown description>
+LFLYSGALTGNVLITLAITFNPGLHAPMYFFLLNLATMDIICTSSIMPKALASLVSEESS
+ISYGGCMAQLYFLTWAASSELLLLTVMAYDRYAAICHPLHYSSMMSKVFCSGLATAVWLL
+CAVNTAIHTGLMLRLDFCGPNVIIHFFCEVPPLLLLSCSSTYVNGVMIVLADAFYGIVNF
+LMTIASYGFIVSSILKVKTAWGRQKAFSTCSSHLTVVCMYYTAVFYAYISPVSGYSAGKS
+KLAGLLYTVLSPTLNPLIYTLRNKEVKAALRKLF
+>Q8NGS9_PF13853_33 <unknown description>
+IMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTI
+SLSGCAVQMFLGLAMGTTECVLLGMMAFDRYVAICNPLRYPIIMSKDAYVPMAAGSWIIG
+AVNSAVQSVFVVQLPFCRNNIINHFTCEILAVMKLACADISDNEFIMLVATTLFILTPLL
+LIIVSYTLIIVSIFKISSSEGRSKASSTCSAHLTVVIIFYGTILFMYMKPKSKETLNSDD
+LDATDKIISMFYGVMTPMMNPLIYSLRNKDVKEAVKHLLN
+>Q8NGS5_PF13853_33 <unknown description>
+VMYVVILIGNGVLIIASILDSRLHMPMYFFLGNLSFLDICYTTSSIPSTLVSLISKKRNI
+SFSGCAVQMFFGFAMGSTECFLLGMMAFDRYVAICNPLRYPIIMNKVVYVLLTSVSWLSG
+GINSTVQTSLAMRWPFCGNNIINHFLCEILAVLKLACSDISVNIVTLAVSNIAFLVLPLL
+VIFFSYMFILYTILRTNSATGRHKAFSTCSAHLTVVIIFYGTIFFMYAKPKSQDLLGKDN
+LQATEGLVSMFYGVVTPMLNPIIYSLRNKDVKAAIKYLLSRK
+>Q8NGS8_PF13853_33 <unknown description>
+IMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTI
+SLSGCAVQMFLSLAMGTTECVLLGVMAFDRYVAICNPLRYPIIMSKDAYVPMAAGSWIIG
+AVNSAVQTVFVVQLPFCRNNIINHFTCEILAVMKLACADISGNEFILLVTTTLFLLTPLL
+LIIVSYTLIILSIFKISSSEGRSKPSSTCSARLTVVITFCGTIFLMYMKPKSQETLNSDD
+LDATDKLIFIFYRVMTPMMNPLIYSLRNKDVKEAVKHLLRRK
+>Q8NGS7_PF13853_33 <unknown description>
+WMYLMILLGNGVLISVIIFDSHLHTPMYFFLCNLSFLDVCYTSSSVPLILASFLAVKKKV
+SFSGCMVQMFISFAMGATECMILGTMALDRYVAICYPLRYPVIMSKGAYVAMAAGSWVTG
+LVDSVVQTAFAMQLPFCANNVIKHFVCEILAILKLACADISINVISMTGSNLIVLVIPLL
+VISISYIFIVATILRIPSTEGKHKAFSTCSAHLTVVIIFYGTIFFMYAKPESKASVDSGN
+EDIIEALISLFYGVMTPMLNPLIYSLRNKDVKAAVKNILCRK
+>Q8NGT0_PF13853_33 <unknown description>
+IMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTI
+SFSGCAVQMFLGLAMGTTECVLLGMMAFDRYVAICNPLRYPIIMSKNAYVPMAVGSWFAG
+IVNSAVQTTFVVQLPFCRKNVINHFSCEILAVMKLACADISGNEFLMLVATILFTLMPLL
+LIVISYSLIISSILKIHSSEGRSKAFSTCSAHLTVVIIFYGTILFMYMKPKSKETLNSDD
+LDATDKIISMFYGVMTPMMNPLIYSLRNKDVKEAVKHL
+>Q8NGS4_PF13853_33 <unknown description>
+LMYLITLLGNIFLISITILDSHLHTPMYLFLSNLSFLDIWYSSSALSPMLANFVSGRNTI
+SFSGCATQMYLSLAMGSTECVLLPMMAYDRYVAICNPLRYPVIMNRRTCVQIAAGSWMTG
+CLTAMVEMMSVLPLSLCGNSIINHFTCEILAILKLVCVDTSLVQLIMLVISVLLLPMPML
+LICISYAFILASILRISSVEGRSKAFSTCTAHLMVVVLFYGTALSMHLKPSAVDSQEIDK
+FMALVYAGQTPMLNPIIYSLRNKEVKVALKKLLI
+>Q8NGZ3_PF13853_28 <unknown description>
+FLIVYLVAFLGNMLIIIAKIYNNTLHTPMYVFLLTLAVVDIICTTSIIPKMLGTMLTSEN
+TISYAGCMSQLFLFTWSLGAEMVLFTTMAYDRYVAICFPLHYSTIMNHHMCVALLSMVMA
+IAVTNSWVHTALIMRLTFCGPNTIDHFFCEIPPLLALSCSPVRINEVMVYVADITLAIGD
+FILTCISYGFIIVAILRIRTVEGKRKAFSTCSSHLTVVTLYYSPVIYTYIRPASSYTFER
+DKVVAALYTLVTPTLNPMVYSFQNREMQAGIRKVF
+>Q8NG92_PF13853_33 <unknown description>
+IIYLSTLLGNGFMIFLIHFDPNLHTPIYFFLSNLSFLDLCYGTASMPQALVHCFSTHPYL
+SYPRCLAQTSVSLALATAECLLLAAMAYDRVVAISNPLRYSVVMNGPVCVCLVATSWGTS
+LVLTAMLILSLRLHFCGANVINHFACEILSLIKLTCSDTSLNEFMILITSIFTLLLPFGF
+VLLSYIRIAMAIIRIRSLQGRLKAFTTCGSHLTVVTIFYGSAISMYMKTQSKSYPDQDKF
+ISVFYGALTPMLNPLIYSLRKKDVKRAIRKVMLK
+>Q8NGT2_PF13853_32 <unknown description>
+SAMYLVTLLGNTAIMAVSVLDIHLHTPVYFFLGNLSTLDICYTPTFVPLMLVHLLSSRKT
+ISFAVCAIQMCLSLSTGSTECLLLAITAYDRYLAICQPLRYHVLMSHRLCVLLMGAAWVL
+CLLKSVTEMVISMRLPFCGHHVVSHFTCKILAVLKLACGNTSVSEDFLLAGSILLLPVPL
+AFICLSYLLILATILRVPSAARCCKAFSTCLAHLAVVLLFYGTIIFMYLKPKSKEAHISD
+EVFTVLYAMVTTMLNPTIYSLRNKEVKEAARKV
+>Q8NHC5_PF13853_29 <unknown description>
+FLLIYLCALMGNVLIIMITTLDHHLHTPVYFFLKNLSFLDLCLISVTAPKSIANSLIHNN
+SISFLGCVSQVFLLLSSASAELLLLTVMSFDRYTAICHPLHYDVIMDRSTCVQRATVSWL
+YGGLIAVMHTAGTFSLSYCGSNMVHQFFCDIPQLLAISCSENLIREIALILINVVLDFCC
+FIVIIITYVHVFSTVKKIPSTEGQSKAYSICLPHLLVVLFLSTGFIAYLKPASESPSILD
+AVISVFYTMLPPTFNPIIYSLRNKAIKVAL
+>Q8NHC7_PF13853_29 <unknown description>
+FFMLYLVTLMGNILIVTVTTCDSSLHMPMYFFLRNLSILDACYISVTVPTSCVNSLLDST
+TISKAGCVAQVFLVVFFVYVELLFLTIMAHDRYVAVCQPLHYPVIVNSRICIQMTLASLL
+SGLVYAGMHTGSTFQLPFCRSNVIHQFFCDIPSLLKLSCSDTFSNEVMIVVSALGVGGGC
+FIFIIRSYIHIFSTVLGFPRGADRTKAFSTCIPHILVVSVFLSSCSSVYLRPPAIPAATQ
+DLILSGFYSIMPPLFNPIIYSLRNKQIKVAIKKIM
+>Q9UGF5_PF13853_30 <unknown description>
+LVTYLLALTGNLLIITIITVDRRLHSPMYYFLKHLSLLDLCFISVTVPQSIANSLMGNGY
+ISLVQCILQVFFFIALASSEVAILTVMSYDRYAAICQPLHYETIMDPRACRHAVIAVWIA
+GGLSGLMHAAINFSIPLCGKRVIHQFFCDVPQMLKLACSYEFINEIALAAFTTSAAFICL
+ISIVLSYIRIFSTVLRIPSAEGRTKVFSTCLPHLFVATFFLSAAGFEFLRLPSDSSSTVD
+LVFSVFYTVIPPTLNPVIYSLRNDSMKAALRKMLSK
+>Q8NGZ2_PF13853_29 <unknown description>
+FSLIYLTAVLMNLVIILLMILDHRLHMAMYFFLRHLSFLDLCLISATVPKSILNSVASTD
+SISFLGCVLQLFLVVLLAGSEIGILTAMSYDRYAAICCPLHCEAVMSRGLCVQLMALSWL
+NRGALGLLYTAGTFSLNFYGSDELHQFFCDVPALLKLTCSKEHAIISVSVAIGVCYAFSC
+LVCIVVSYVYIFSAVLRISQRQRQSKAFSNCVPHLIVVTVFLVTGAVAYLKPGSDAPSIL
+DLLVSVFYSVAPPTLNPVIYCLKNKDIKSALSKVLW
+>Q8NGT7_PF13853_30 <unknown description>
+FLLFYSLTLMGNGIILGLIYLDSRLHTPMYVFLSHLAIVDMSYASSTVPKMLANLVMHKK
+VISFAPCILQTFLYLAFAITECLILVMMCYDRYVAICHPLQYTLIMNWRVCTVLASTCWI
+FSFLLALVHITLILRLPFCGPQKINHFFCQIMSVFKLACADTRLNQVVLFAGSAFILVGP
+LCLVLVSYLHILVAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIVMYMAPKSSHSQER
+RKILSLFYSLFNPILNPLIYSLRNAEVKGALKRVLW
+>Q96R47_PF13853_30 <unknown description>
+FSAFYTLTLLGNGVIFGIICLDCKLHTPMYFFLSHLAIVDISYASNYVPKMLTNLMNQES
+TISFFPCIMQTFLYLAFAHVECLILVVMSYDRYADICHPLRYNSLMSWRVCTVLAVASWV
+FSFLLALVPLVLILSLPFCGPHEINHFFCEILSVLKLACADTWLNQVVIFAACVFILVGP
+LCLVLVSYLRILAAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIVTYMAPKSRHPEEQ
+QKVLSLFYSLFNPMLNPLIYSLRNAEVKGALRRALR
+>A4D2G3_PF13853_30 <unknown description>
+FSLFYIFILLGNGTILGLISLDSRLHTPMYFFLSHLAVVDIACACSTVPQMLVNLLHPAK
+PISFAGCMTQMFLFLSFAHTECLLLVVMSYDRYVAICHPLRYSTIMTWKVCITLALTSWI
+LGVLLALVHLVLLLPLSFCGPQKLNHFFCEIMAVLKLACADTHINEVMVLAGAVSVLVGA
+FFSTVISYVHILCAILKIQSGEGCQKAFSICSSHLCVVGLFYGTAIIMYVEPQYESPKEQ
+KKYLLLFHSLFNPMLNPLIYSLRNKEVQGTLKRMLEKK
+>Q8NHA4_PF13853_33 <unknown description>
+VVFLIAVSGNTLTILLICIDPQLHTPMYFLLSQLSLMDLMHVSTIILKMATNYLSGKKSI
+SFVGCATQHFLYLCLGGAECFLLAVMSYDRYVAICHPLRYAVLMNKKVGLMMAVMSWLGA
+SVNSLIHMAILMHFPFCGPRKVYHFYCEFPAVVKLVCGDITVYETTVYISSILLLLPIFL
+ISTSYVFILQSVIQMRSSGSKRNAFATCGSHLTVVSLWFGACIFSYMRPRSQCTLLQNKV
+GSVFYSIITPTLNSLIYTLRNKDVAKALRRVLR
+>Q9H205_PF13853_32 <unknown description>
+TILYLLALISNGLLLLAITMEARLHMPMYLLLGQLSLMDLLFTSVVTPKALADFLRRENT
+ISFGGCALQMFLALTMGGAEDLLLAFMAYDRYVAICHPLTYMTLMSSRACWLMVATSWIL
+ASLSALIYTVYTMHYPFCRAQEIRHLLCEIPHLLKVACADTSRYELMVYVMGVTFLIPSL
+AAILASYTQILLTVLHMPSNEGRKKALVTCSSHLTVVGMFYGAATFMYVLPSSFHSTRQD
+NIISVFYTIVTPALNPLIYSLRNKEVMRALRRVL
+>A6NM03_PF13853_31 <unknown description>
+FTILYMLALTSNGLLLLAITIEARLHMPMYLLLGQLSLMDLLFTSVVTPKALADFLRREN
+TISFGGCALQMFLALTMGSAEDLLLAFMAYDRYVAICHPLKYMTLMSPRVCWIMVATSWI
+LASLIAIGHTMYTMHLPFCVSWEIRHLLCEIPPLLKLACADTSRYELIIYVTGVTFLLLP
+ISAIVASYTLVLFTVLRMPSNEGRKKALVTCSSHLIVVGMFYGAATFMYVLPSSFHSPKQ
+DNIISVFYTIVTPALNPLIYSLRNKEVMRALRRVL
+>Q8NGZ0_PF13853_33 <unknown description>
+VIFIMSVTENTLMILLIRSDSRLHTPMYFLLSHLSLMDILHVSNIVPKMVTNFLSGSRTI
+SFAGCGFQVFLSLTLLGGECLLLAAMSCDRYVAICHPLRYPILMKEYASALMAGGSWLIG
+VFNSTVHTAYALQFPFCGSRAIDHFFCEVPAMLKLSCADTTRYERGVCVSAVIFLLIPFS
+LISASYGQIILTVLQMKSSEARKKSFSTCSFHMIVVTMYYGPFIFTYMRPKSYHTPGQDK
+FLAIFYTILTPTLNPFIYSFRNKDVLAVMKNMLK
+>Q8NGE2_PF13853_31 <unknown description>
+LAYLLSILGNLTILILTLLDSHLQTPMYFFLRNFSFLEISFTNIFIPRVLISITTGNKSI
+SFAGCFTQYFFAMFLGATEFYLLAAMSYDRYVAICKPLHYTTIMSSRICIQLIFCSWLGG
+LMAIIPTITLMSQQDFCASNRLNHYFCDYEPLLELSCSDTSLIEKVVFLVASVTLVVTLV
+LVILSYAFIIKTILKLPSAQQRTKAFSTCSSHMIVISLSYGSCMFMYINPSAKEGDTFNK
+GVALLITSVAPLLNPFIYTLRNQQVKQPFKDMV
+>Q8NGZ9_PF13853_34 <unknown description>
+IFLMAVSWNITLILLIHIDSSLHTPMYFFINQLSLIDLTYISVTVPKMLVNQLAKDKTIS
+VLGCGTQMYFYLQLGGAECCLLAAMAYDRYVAICHPLRYSVLMSHRVCLLLASGCWFVGS
+VDGFMLTPIAMSFPFCRSHEIQHFFCEVPAVLKLSCSDTSLYKIFMYLCCVIMLLIPVTV
+ISVSYYYIILTIHKMNSVEGRKKAFTTCSSHITVVSLFYGAAIYNYMLPSSYQTPEKDMM
+SSFFYTILTPVLNPIIYSFRNKDVTRALKKMLS
+>Q8NH01_PF13853_30 <unknown description>
+AVFLGAVTANLVMIFLIQVDSRLHTPMYFLLSQLSIMDTLFICTTVPKLLADMVSKEKII
+SFVACGIQIFLYLTMIGSEFFLLGLMAYDCYVAVCNPLRYPVLMNRKKCLLLAAGAWFGG
+SLDGFLLTPITMNVPYCGSRSINHFFCEIPAVLKLACADTSLYETLMYICCVLMLLIPIS
+IISTSYSLILLTIHRMPSAEGRKKAFTTCSSHLTVVSIFYGAAFYTYVLPQSFHTPEQDK
+VVSAFYTIVTPMLNPLIYSLRNKDVIGAFKKVF
+>Q8NG77_PF13853_34 <unknown description>
+LTSLFSNALMILLIHWDHRLHRPMYFLLSQLSLMDMMLVSTTVPKMAADYLTGNKAISRA
+GCGVQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMTMSSWLLGAAD
+GLLQAVATLSFPYCGAHEIDHFFCEAPVLVRLACADTSVFENAMYICCVLMLLVPFSLIL
+SSYGLILAAVLLMRSTEARKKAFATCSSHVAVVGLFYGAGIFTYMRPKSHRSTNHDKVVS
+AFYTMFTPLLNPLIYSVRNSEVKEALKRWL
+>Q8NH04_PF13853_33 <unknown description>
+LVFLTSIASNVVKIILIHIDSRLHTPMYFLLSQLSLRDILYISTIVPKMLVDQVMSQRAI
+SFAGCTAQHFLYLTLAGAEFFLLGLMSYDRYVAICNPLHYPVLMSRKICWLIVAAAWLGG
+SIDGFLLTPVTMQFPFCASREINHFFCEVPALLKLSCTDTSAYETAMYVCCIMMLLIPFS
+VISGSYTRILITVYRMSEAEGRGKAVATCSSHMVVVSLFYGAAMYTYVLPHSYHTPEQDK
+AVSAFYTILTPMLNPLIYSLRNKDVTGALQKVV
+>Q8NH02_PF13853_37 <unknown description>
+VVFLKALSGNAVLILLIHCDAHLHSPMYFFISQLSLMDMAYISVTVPKMLLDQVMGVNKV
+SAPECGMQMFLYLTLAGSEFFLLATMAYDRYVAICHPLRYPVLMNHRVCLFLASGCWFLG
+SVDGFMLTPITMSFPFCRSWEIHHFFCEVPAVTILSCSDTSLYETLMYLCCVLMLLIPVT
+IISSSYLLILLTVHRMNSAEGRKKAFATCSSHLTVVILFYGAAVYTYMLPSSYHTPEKDM
+MVSVFYTILTPVLNPLIYSLRNKDVMGALKKMLT
+>Q8NG76_PF13853_32 <unknown description>
+IVLTSLFGNSLMILLIHWDHRLHTPMYFLLSQLSLMDMMLVSTTVPKMAADYLTGSKAIS
+RAGCGVQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMTMSCWLLGA
+ADGLLQAVVTLSFPYCGAHEIDHFFCETPVLVRLACADTSVFENAMYICCVLMLLVPFSL
+ILSSYGLILAAVLHMRSTEARKKAFATCSSHVAVVGLFYGAAIFTYMRPKSHRSTNHDKV
+VSAFYTMFTPLLNPLIYSVKNSEVKGALKRWL
+>Q8NGX1_PF13853_38 <unknown description>
+LLFLMALTGNALLILLIHSEPRLHTPMYFFISQLALMDLMYLCVTVPKMLVGQVTGDDTI
+SPSGCGIQMFFHLTLAGAEVFLLAAMAYDRYAAVCRPLHYPLLMNQRVCQLLVSACWVLG
+MVDGLLLTPITMSFPFCQSRKILSFFCETPALLKLSCSDVSLYKMLTYLCCILMLLTPIM
+VISSSYTLILHLIHRMNSAAGRRKALATCSSHMIIVLLLFGASFYTYMLRSSYHTAEQDM
+MVSAFYTIFTPVLNPLIYSLRNKDVTRALRSMMQ
+>Q8NGX2_PF13853_35 <unknown description>
+IFVVAITANLVMILLIHMDSRLHTPMYFLLSQLSIMDTIYICITVPKMLQDLLSKDKTIS
+FLGCAVQIFYLTLIGGEFFLLGLMAYDRYVAVCNPLRYPLLMNRRVCLFMVVGSWVGGSL
+DGFMLTPVTMSFPFCRSREINHFFCEIPAVLKLSCTDTSLYETLMYACCVLMLLIPLSVI
+SVSYTHILLTVHRMNSAEGRRKAFATCSSHIMVVSVFYGAAFYTNVLPHSYHTPEKDKVV
+SAFYTILTPMLNPLIYSLRNKDVAAALRKVL
+>Q8NH70_PF13853_29 <unknown description>
+FLLIYIVTMVGNLLIWVTTIGSPSLGSLMYFFLAYLSLMDAIYSTAMSPKLMIDLLCDKI
+AISLSACMGQLFIEHLLGGAEVFLLVVMAYDRYVAISKPLHYLNIMNRLVCILLLVVAMI
+GGFVHSVVQIVFLYSLPICGPNVIDHSVCDMYPLLELLCLDTYFIGLTVVANGGIICMVI
+FTFLLISCGVILNFLKTYSQEERHKALPTCISHIIVVALVFVPCIFMYVRPVSNFPFDKL
+MTVFYSIITLMLNPLIYSLRQSEMKNAMKNLWCEK
+>Q6IF82_PF13853_29 <unknown description>
+FLLFYILTMVGNLLIVVTVTVSETLGSPMYFFLAGLSFIDIIYSSSISPRLISGLFFGNN
+SISFQSCMAQLFIEHIFGGSEVFLLLVMAYDCYVAICKPLHYLVIMRQWVCVVLLVVSWV
+GGFLHSVFQLSIIYGLPFCGPNVIDHFFCDMYPLLKLVCTDTHAIGLLVVANGGLACTIV
+FLLLLISYGVILHSLKNLSQKGRQKALSTCSSHMTVVVFFFVPCIFMYARPARTFPIDKS
+VSVFYTVITPMLNPLIYTLRNSEMTSAMKKL
+>A6NHA9_PF13853_29 <unknown description>
+FFVIYIITVVGYVLIVVTITASPSLGSPMYLSLAYLSFIDACYSSVNTPNLITHSLYGKK
+AILFNGCMTQVFGEHFFGGAEGILLTVMAYDHYVAICKPLHYMTIMNQCVCALLMGVVWM
+GGFLHATIQILFIFQLPFCGPNVIDHFMCDLNPLLNLACTDTHMLELFIAANSGFICLLN
+FALLLVSYVVILCSLRTHSLEARHKALSTCVSHITVVILFFVPCIFVYMRPAATLPIDKA
+VAIFYTMITPMLNPLIYTLKNAQMKNAIRKLCSR
+>Q8NGB8_PF13853_33 <unknown description>
+LFYFASMMGNLVIVFTVTMDAHLHSPMYFLLANLSIIDMAFCSITAPKMICDIFKKHKAI
+SFRGCITQIFFSHALGGTEMVLLIAMAFDRYMAICKPLHYLTIMSPRMCLYFLATSSIIG
+LIHSLVQLVFVVDLPFCGPNIFDSFYCDLPRLLRLACTNTQELEFMVTVNSGLISVGSFV
+LLVISYIFILFTVWKHSSGGLAKALSTLSAHVTVVILFFGPLMFFYTWPSPTSHLDKYLA
+IFDAFITPFLNPVIYTFRNKDMKVAMRRL
+>Q8NGA8_PF13853_27 <unknown description>
+FYGGIVFGNLLIVITVVSDSHLHSPMYFLLANLSLIDLSLSSVTAPKMITDFFSQRKVIS
+FKGCLVQIFLLHFFGGSEMVILIAMGFDRYIAICKPLHYTTIMCGNACVGIMAVAWGIGF
+LHSVSQLAFAVHLPFCGPNEVDSFYCDLPRVIKLACTDTYRLDIMVIANSGVLTVCSFVL
+LIISYTIILMTIQHRPLDKSSKALSTLTAHITVVLLFFGPCVFIYAWPFPIKSLDKFLAV
+FYSVITPLLNPIIYTLRNKDMKTAIRQ
+>O95013_PF13853_32 <unknown description>
+SVLYVASITGNIFIVFSVTTDPHLHSPMYFLLASLSFIDLGACSVTSPKMIYDLFRKRKV
+ISFGGCIAQIFFIHVIGGVEMVLLIAMAFDRYVALCKPLHYLTIMSPRMCLSFLAVAWTL
+GVSHSLFQLAFLVNLAFCGPNVLDSFYCDLPRLLRLACTDTYRLQFMVTVNSGFICVGTF
+FILLISYVFILFTVWKHSSGGSSKALSTLSAHSTVVLLFFGPPMFVYTRPHPNSQMDKFL
+AIFDAVLTPFLNPVVYTFRNKEMKAAIKRVC
+>Q8NGJ7_PF13853_33 <unknown description>
+ICSMYLIAILGNGTILFIIKTEPSLHGPMYYFLSMLAMSDLGLSLSSLPTVLSIFLFNAP
+ETSSSACFAQEFFIHGFSVLESSVLLIMSFDRFLAIHNPLRYTSILTTVRVAQIGIVFSF
+KSMLLVLPFPFTLRSLRYCKKNQLSHSYCLHQDVMKLACSDNRIDVIYGFFGALCLMVDF
+ILIAVSYTLILKTVPGIASKKEELKALNTCVSHICAVIIFYLPIINLAVVHRFAGHVSPL
+INVLMANVLLLVPPLMKPIVYCVKTKQIRVRVVAKLCQ
+>Q8NGJ6_PF13853_33 <unknown description>
+ICSMYLIAILGNGTILFIIKTEPSLHEPMYYFLSMLAMSDLGLSLSSLPTVLSIFLFNAP
+EISSNACFAQEFFIHGFSVLESSVLLIMSFDRFLAIHNPLRYTSILTTVRVAQIGIVFSF
+KSMLLVLPFPFTLRNLRYCKKNQLSHSYCLHQDVMKLACSDNRIDVIYGFFGALCLMVDF
+ILIAVSYTLILKTVLGIASKKEQLKALNTCVSHICAVIIFYLPIINLAVVHRFARHVSPL
+INVLMANVLLLVPPLTNPIVYCVKTKQIRVRVVAKLCQ
+>Q8NH64_PF13853_31 <unknown description>
+ICLMYLLAIMGNCTILFIIKTEPSLHEPMYYFLAMLAVSDMGLSLSSLPTMLRVFLFNAM
+GISPNACFAQEFFIHGFTVMESSVLLIMSLDRFLAIHNPLRYSSILTSNRVAKMGLILAI
+RSILLVIPFPFTLRRLKYCQKNLLSHSYCLHQDTMKLACSDNKTNVIYGFFIALCTMLDL
+ALIVLSYVLILKTILSIASLAERLKALNTCVSHICAVLTFYVPIITLAAMHHFAKHKSPL
+VVILIADMFLLVPPLMNPIVYCVKTRQIWEKILGKL
+>Q9Y5P1_PF13853_29 <unknown description>
+FFAVYVCILLGNGMLLYLIKHDHSLHEPMYYFLTMLAGTDLMVTLTTMPTVMGILWVNHR
+EISSVGCFLQAYFIHSLSVVESGSLLAMAYDCFIAIRNPLRYASILTNTRVIALGVGVFL
+RGFVSILPVILRLFSFSYCKSHVITRAFCLHQEIMRLACADITFNRLYPVILISLTIFLD
+CLIILFSYILILNTVIGIASGEERAKALNTCISHISCVLIFYVTVMGLTFIYRFGKNVPE
+VVHIIMSYIYFLFPPLMNPVIYSIKTKQIQYGIIRLLSK
+>Q9Y5P0_PF13853_29 <unknown description>
+FFVIYFSVLFGNGTLLVLIWNDHSLHEPMYYFLAMLADTDLGMTFTTMPTVLGVLLLDQR
+EIAHAACFTQSFIHSLAIVESGILLVLAYDCFIAIRTPLRYNCILTNSRVMNIGLGVLMR
+GFMSILPIILSLYCYPYCGSRALLHTFCLHQDVIKLACADITFNHIYPIIQTSLTVFLDA
+LIIIFSYILILKTVMGIASGQEEAKSLNTCVSHISCVLVFHITVMGLSFIHRFGKHAPHV
+VPITMSYVHFLFPPFVNPIIYSIKTKQIQRSIIRLFS
+>Q9H339_PF13853_29 <unknown description>
+FLFMYISILFGNGTLLLLIKEDHNLHEPMYFFLAMLAATDLGLALTTMPTVLGVLWLDHR
+EIGSAACFSQAYFIHSLSFLESGILLAMAYDRFIAICNPLRYTSVLTNTRVVKIGLGVLM
+RGFVSVVPPIRPLYFFLYCHSHVLSHAFCLHQDVIKLACADTTFNRLYPAVLVVFIFVLD
+YLIIFISYVLILKTVLSIASREERAKALITCVSHICCVLVFYVTVIGLSLIHRFGKQVPH
+IVHLIMSYAYFLFPPLMNPITYSVKTKQIQNAILHLFT
+>Q9H340_PF13853_31 <unknown description>
+AAYISILLGNGTLLFLIRNDHNLHEPMYYFLAMLAATDLGVTLTTMPTVLGVLWLDHREI
+GHGACFSQAYFIHTLSVMESGVLLAMAYDCFITIRSPLRYTSILTNTQVMKIGVRVLTRA
+GLSIMPIVVRLHWFPYCRSHVLSHAFCLHQDVIKLACADITFNRLYPVVVLFAMVLLDFL
+IIFFSYILILKTVMGIGSGGERAKALNTCVSHICCILVFYVTVVCLTFIHRFGKHVPHVV
+HITMSYIHFLFPPFMNPFIYSIKTKQIQSGILRLF
+>Q8NGF3_PF13853_44 <unknown description>
+LCFMYALATLGNLTIVLIIRVERRLHEPMYLFLAMLSTIDLVLSSITMPKMASLFLMGIQ
+EIEFNICLAQMFLIHALSAVESAVLLAMAFDRFVAICHPLRHASVLTGCTVAKIGLSALT
+RGFVFFFPLPFILKWLSYCQTHTVTHSFCLHQDIMKLSCTDTRVNVVYGLFIILSVMGVD
+SLFIGFSYILILWAVLELSSRRAALKAFNTCISHLCAVLVFYVPLIGLSVVHRLGGPTSL
+LHVVMANTYLLLPPVVNPLVYGAKTKEICSRVLCMFSQ
+>Q8TCB6_PF13853_34 <unknown description>
+LCSLYLIAVLGNLTIIYIVRTEHSLHEPMYIFLCMLSGIDILISTSSMPKMLAIFWFNST
+TIQFDACLLQMFAIHSLSGMESTVLLAMAFDRYVAICHPLRHATVLTLPRVTKIGVAAVV
+RGAALMAPLPVFIKQLPFCRSNILSHSYCLHQDVMKLACDDIRVNVVYGLIVIISAIGLD
+SLLISFSYLLILKTVLGLTREAQAKAFGTCVSHVCAVFIFYVPFIGLSMVHRFSKRRDSP
+LPVILANIYLLVPPVLNPIVYGVKTKEIRQRILRLF
+>Q9H255_PF13853_32 <unknown description>
+SMYVVAMFGNCIVVFIVRTERSLHAPMYLFLCMLAAIDLALSTSTMPKILALFWFDSREI
+SFEACLTQMFFIHALSAIESTILLAMAFDRYVAICHPLRHAAVLNNTVTAQIGIVAVVRG
+SLFFFPLPLLIKRLAFCHSNVLSHSYCVHQDVMKLAYADTLPNVVYGLTAILLVMGVDVM
+FISLSYFLIIRTVLQLPSKSERAKAFGTCVSHIGVVLAFYVPLIGLSVVHRFGNSLHPIV
+RVVMGDIYLLLPPVINPIIYGAKTKQIRTRVLAMF
+>A6NGY5_PF13853_40 <unknown description>
+FCCFYAIALSGNSVILFVIITQQSLHEPMYYFLFRLSATDLGLTVSSLSTTLGILWFEAR
+EISLYSCIVQMFFLHGFTFMESGVLVATAFDRYVAICDPLRYTTILTNSRIIQMGLLMIT
+RAIVLILPLLLLLKPLYFCRMNALSHSYCYHPDVIQLACSDIRANSICGLIDLILTTGID
+TPCIVLSYILIIHSVLRIASPEEWHKVFSTCVSHVGAVAFFYIHMLSLSLVYRYGRSAPR
+VVHSVMANVYLLLPPVLNPIIDSVKTKQIRKAMLSLLLTK
+>Q8NGK1_PF13853_33 <unknown description>
+FCFIYLTVILGNLTILHVICTDATLHGPMYYFLGMLAVTDLGLCLSTLPTVLGIFWFDTR
+EIGIPACFTQLFFIHTLSSMESSVLLSMSIDRYVAVCNPLHDSTVLTPACIVKMGLSSVL
+RSALLILPLPFLLKRFQYCHSHVLAHAYCLHLEIMKLACSSIIVNHIYGLFVVACTVGVD
+SLLIFLSYALILRTVLSIASHQERLRALNTCVSHICAVLLFYIPMIGLSLVHRFGEHLPR
+VVHLFMSYVYLLVPPLMNPIIYSIKTKQIRQRIIKKF
+>Q8NGK0_PF13853_36 <unknown description>
+LCFMYLVSIPGNCTILFIIKTERSLHEPMYLFLSMLALIDLGLSLCTLPTVLGIFWVGAR
+EISHDACFAQLFFIHCFSFLESSVLLSMAFDRFVAICHPLHYVSILTNTVIGRIGLVSLG
+RSVALIFPLPFMLKRFPYCGSPVLSHSYCLHQEVMKLACADMKANSIYGMFVIVSTVGID
+SLLILFSYALILRTVLSIASRAERFKALNTCVSHICAVLLFYTPMIGLSVIHRFGKQAPH
+LVQVVMGFMYLLFPPVMNPIVYSVKTKQIRDRVTHAFC
+>Q9H343_PF13853_33 <unknown description>
+FCILYMISIVGNLSILTLVFWEPALHQPMYYFLSMLALNDLGVSFSTLPTVISTFCFNYN
+HVAFNACLVQMFFIHTFSFMESGILLAMSLDRFVAICYPLRYVTVLTHNRILAMGLGILT
+KSFTTLFPFPFVVKRLPFCKGNVLHHSYCLHPDLMKVACGDIHVNNIYGLLVIIFTYGMD
+STFILLSYALILRAMLVIISQEQRLKALNTCMSHICAVLAFYVPIIAVSMIHRFWKSAPP
+VVHVMMSNVYLFVPPMLNPIIYSVKTKEIRKGILKFFH
+>Q9H344_PF13853_31 <unknown description>
+LCVMYAVALGGNTVILQAVRVEPSLHEPMYYFLSMLSFSDVAISMATLPTVLRTFCLNAR
+NITFDACLIQMFLIHFFSMMESGILLAMSFDRYVAICDPLRYATVLTTEVIAAMGLGAAA
+RSFITLFPLPFLIKRLPICRSNVLSHSYCLHPDMMRLACADISINSIYGLFVLVSTFGMD
+LFFIFLSYVLILRSVMATASREERLKALNTCVSHILAVLAFYVPMIGVSTVHRFGKHVPC
+YIHVLMSNVYLFVPPVLNPLIYSAKTKEIRRAIFRMFH
+>Q8NGJ5_PF13853_33 <unknown description>
+FCLAYLVAFMGNVTILSVIWIESSLHQPMYYFISILAVNDLGMSLSTLPTMLAVLWLDAP
+EIQASACYAQLFFIHTFTFLESSVLLAMAFDRFVAICHPLHYPTILTNSVIGKIGLACLL
+RSLGVVLPTPLLLRHYHYCHGNALSHAFCLHQDVLRLSCTDARTNSIYGLCVVIATLGVD
+SIFILLSYVLILNTVLDIASREEQLKALNTCVSHICVVLIFFVPVIGVSMVHRFGKHLSP
+IVHILMADIYLLLPPVLNPIVYSVRTKQIRLGILHKF
+>Q9H341_PF13853_43 <unknown description>
+FFFMYMVAISGNCFILIIIKTNPRLHTPMYYLLSLLALTDLGLCVSTLPTTMGIFWFNSH
+SIYFGACQIQMFCIHSFSFMESSVLLMMSFDRLVAICHPLRYSVIITGQQVVRAGLIVIF
+RGPVATIPIVLLLKAFPYCGSVVLSHSFCLHQEVIQLACTDITFNNLYGLMVVVFTVMLD
+LVLIALSYGLILHTVAGLASQEEQRRAFQTCTAPLCAVLVFFVPMMGLSLVHRFGKHAPP
+AIHLLMANVYLFVPPMLNPIIYSIKTKEIHRAIIKFL
+>Q8NH59_PF13853_34 <unknown description>
+CCLYTISIMGNTTILTVIRTEPSVHQRMYLFLSMLALTDLGLTLTTLPTVMQLLWFNVRR
+ISSEACFAQFFFLHGFSFMESSVLLAMSVDCYVAICCPLHYASILTNEVIGRTGLAIICC
+CVLAVLPSLFLLKRLPFCHSHLLSRSYCLHQDMIRLVCADIRLNSWYGFALALLIIIVDP
+LLIVISYTLILKNILGTATWAERLRALNNCLSHILAVLVLYIPMVGVSMTHRFAKHASPL
+VHVIMANIYLLAPPVMNPIIYSVKNKQIQWGMLNFL
+>Q8NGJ8_PF13853_40 <unknown description>
+IAVYLLSALGNGTILWIIALQPALHRPMHFFLFLLSVSDIGLVTALMPTLLGIALAGAHT
+VPASACLLQMVFIHVFSVMESSVLLAMSIDRALAICRPLHYPALLTNGVISKISLAISFR
+CLGLHLPLPFLLAYMPYCLPQVLTHSYCLHPDVARLACPEAWGAAYSLFVVLSAMGLDPL
+LIFFSYGLIGKVLQGVESREDRWKAGQTCAAHLSAVLLFYIPMILLALINHPELPITQHT
+HTLLSYVHFLLPPLINPILYSVKMKEIRKRILNRL
+>Q8NGJ9_PF13853_34 <unknown description>
+CCLYTIALLGNSMIFLVIITKRRLHKPMYYFLSMLAAVDLCLTITTLPTVLGVLWFHARE
+ISFKACFIQMFFVHAFSLLESSVLVAMAFDRFVAICNPLNYATILTDRMVLVIGLVICIR
+PAVFLLPLLVAINTVSFHGGHELSHPFCYHPEVIKYTYSKPWISSFWGLFLQLYLNGTDV
+LFILFSYVLILRTVLGIVARKKQQKALSTCVCHICAVTIFYVPLISLSLAHRLFHSTPRV
+LCSTLANIYLLLPPVLNPIIYSLKTKTIRQAMFQLLQS
+>Q9UKL2_PF13853_33 <unknown description>
+FCAIYLIAMIGNSLLLSIIKSERSLHEPLYIFLGMLGATDIALASSIMPKMLGIFWFNVP
+EIYFDSCLLQMWFIHTLQGIESGILVAMALDRYVAICYPLRHANIFTHQLVIQIGTMVVL
+RAAILVAPCLVLIKCRFQFYHTTVISHSYCEHMAIVKLAAANVQVNKIYGLFVAFTVAGF
+DLTFITLSYIQIFITVFRLPQKEARFKAFNTCIAHICVFLQFYLLAFFSFFTHRFGSHIS
+PYIHILFSSIYLLVPPFLNPLVYGAKTTQIRIHVVKMFC
+>Q9H2C5_PF13853_33 <unknown description>
+FSAMYLIGVIGNSLILVIIKYENSLHIPMYIFLAMLAATDIALNTCILPKMLGIFWFHLP
+EISFDACLFQMWLIHSFQAIESGILLAMALDRYVAICIPLRHATIFSQQFLTHIGLGVTL
+RAAILIIPSLGLIKCCLKHYRTTVISHSYCEHMAIVKLATEDIRVNKIYGLFVAFAILGF
+DIIFITLSYVQIFITVFQLPQKEARFKAFNTCIAHICVFLQFYLLAFFSFFTHRFGSHIP
+PYIHILLSNLYLLVPPFLNPIVYGVKTKQIRDHIVKVFF
+>Q96RD2_PF13853_33 <unknown description>
+LCLIYITAVLGNSILIVVIVMERNLHVPMYFFLSMLAVMDILLSTTTVPKALAIFWLQAH
+NIAFDACVTQGFFVHMMFVGESAILLAMAFDRFVAICAPLRYTTVLTWPVVGRIALAVIT
+RSFCIIFPVIFLLKRLPFCLTNIVPHSYCEHIGVARLACADITVNIWYGFSVPIVMVILD
+VILIAVSYSLILRAVFRLPSQDARHKALSTCGSHLCVILMFYVPSFFTLLTHHFGRNIPQ
+HVHILLANLYVAVPPMLNPIVYGVKTKQIREGVAHRF
+>Q8NGK2_PF13853_35 <unknown description>
+ISYVTALLGNSLLIFIILTKRSLHEPMYLFLCMLAGADIVLSTCTIPQALAIFWFRAGDI
+SLDRCITQLFFIHSTFISESGILLVMAFDHYIAICYPLRYTTILTNALIKKICVTVSLRS
+YGTIFPIIFLLKRLTFCQNNIIPHTFCEHIGLAKYACNDIRINIWYGFSILMSTVVLDVV
+LIFISYMLILHAVFHMPSPDACHKALNTFGSHVCIIILFYGSGIFTILTQRFGRHIPPCI
+HIPLANVCILAPPMLNPIIYGIKTKQIQEQVVQFLF
+>Q8NGF0_PF13853_51 <unknown description>
+FCIMYITALEGNGILICVILSQAILHEPMYIFLSMLASADVLLSTTTMPKALANLWLGYS
+LISFDGCLTQMFFIHFLFIHSAVLLAMAFDRYVAICSPLRYVTILTSKVIGKIVTAALSH
+SFIIMFPSIFLLEHLHYCQINIIAHTFCEHMGIAHLSCSDISINVWYGLAAALLSTGLDI
+MLITVSYIHILQAVFRLLSQDARSKALSTCGSHICVILLFYVPALFSVFAYRFGGRSVPC
+YVHILLASLYVVIPPMLNPVIYGVRTKPILEGAKQMFS
+>Q9H346_PF13853_34 <unknown description>
+FCAMYLVALVGNAALILVIAMDNALHAPMYLFLCLLSLTDLALSSTTVPKMLAILWLHAG
+EISFGGCLAQMFCVHSIYALESSILLAMAFDRYVAICNPLRYTTILNHAVIGRIGFVGLF
+RSVAIVSPFIFLLRRLPYCGHRVMTHTYCEHMGIARLACANITVNIVYGLTVALLAMGLD
+SILIAISYGFILHAVFHLPSHDAQHKALSTCGSHIGIILVFYIPAFFSFLTHRFGHHEVP
+KHVHIFLANLYVLVPPVLNPILYGARTKEIRSRLLKLL
+>Q8NGJ4_PF13853_33 <unknown description>
+FCAVYMIALIGNFTILLVIKTDSSLHQPMFYFLAMLATTDVGLSTATIPKMLGIFWINLR
+GIIFEACLTQMFFIHNFTLMESAVLVAMAYDSYVAICNPLQYSAILTNKVVSVIGLGVFV
+RALIFVIPSILLILRLPFCGNHVIPHTYCEHMGLAHLSCASIKINIIYGLCAICNLVFDI
+TVIALSYVHILCAVFRLPTHEARLKSLSTCGSHVCVILAFYTPALFSFMTHRFGRNVPRY
+IHILLANLYVVVPPMLNPVIYGVRTKQIYKCVKKILLQ
+>Q8NGH9_PF13853_33 <unknown description>
+FCIVYLIAIVGNMTILFVIKTEHSLHQPMFYFLAMLSMIDLGLSTSTIPKMLGIFWFNLQ
+EISFGGCLLQMFFIHMFTGMETVLLVVMAYDRFVAICNPLQYTMILTNKTISILASVVVG
+RNLVLVTPFVFLILRLPFCGHNIVPHTYCEHRGLAGLACAPIKINIIYGLMVISYIIVDV
+ILIASSYVLILRAVFRLPSQDVRLKAFNTCGSHVCVMLCFYTPAFFSFMTHRFGQNIPHY
+IHILLANLYVVVPPALNPVIYGVRTKQIREQIVKIFVQK
+>Q8NH55_PF13853_33 <unknown description>
+FFAVYLTALLGNIIILFVIQTEQSLHQPMFYFLAMLAGTDLGLSTATIPKMLGIFWFNLG
+EIAFGACITQMYTIHICTGLESVVLTVTGIDRYIAICNPLRYSMILTNKVIAILGIVIIV
+RTLVFVTPFTFLILRLPFCGVRIIPHTYCEHMGLAKLACASINVIYGLIAFSVGYIDISV
+IGFSYVQILRAVFHLPAWDARPKALSTCGSHVCVMLAFYLPALFSFMTHRFGHNIPHYIH
+ILLANLYVVFPPALNSVIYGVKTKQIREQVLRILN
+>Q96RD3_PF13853_34 <unknown description>
+FSVYLIALLGNAAIFFVIQTEQSLHEPMYYCLAMLDSIDLSLSTATIPKMLGIFWFNIKE
+ISFGGYLSQMFFIHFFTVMESIVLVAMAFDRYIAICKPLWYTMILTSKIISLIAGIAVLR
+SLYMVIPLVFLLLRLPFCGHRIIPHTYCEHMGIARLACASIKVNIMFGLGSISLLLLDVL
+LIILSHIRILYAVFCLPSWEARLKALNTCGSHIGVILAFSTPAFFSFFTHCFGHDIPQYI
+HIFLANLYVVVPPTLNPVIYGVRTKHIRETVLRIFF
+>Q6IFG1_PF13853_33 <unknown description>
+FFFVYLVALLGNTALLFVIQTEQSLHEPMYYFLAMLDSIDLGLSTATIPKMLGIFWFNTK
+EISFGGCLSHMFFIHFFTAMESIVLVAMAFDRYIAICKPLRYTMILTSKIISLIAGIAVL
+RSLYMVVPLVFLLLRLPFCGHRIIPHTYCEHMGIARLACASIKVNIRFGLGNISLLLLDV
+ILIILSYVRILYAVFCLPSWEARLKALNTCGSHIGVILAFFTPAFFSFLTHRFGHNIPQY
+IHIILANLYVVVPPALNPVIYGVRTKQIRERVLRIFLK
+>Q8NGK6_PF13853_35 <unknown description>
+LSAMYITALLGNTLIVTAIWMDSTRHEPMYCFLCVLAAVDIVMASSVVPKMVSIFCSGDS
+SISFSACFTQMFFVHLATAVETGLLLTMAFDRYVAICKPLHYKRILTPQVMLGMSMAVTI
+RAVTFMTPLSWMMNHLPFCGSNVVVHSYCKHIALARLACADPVPSSLYSLIGSSLMVGSD
+VAFIAASYILILRAVFDLSSKTAQLKALSTCGSHVGVMALYYLPGMASIYAAWLGQDIVP
+LHTQVLLADLYVIIPATLNPIIYGMRTKQLLEGIWSYL
+>Q8NH60_PF13853_33 <unknown description>
+FCSVYLVALLGNATILLVIKVEQTLREPMFYFLAILSTIDLALSTTSVPRMLGIFWFDAH
+EINYGACVAQMFLIHAFTGMEAEVLLAMAFDRYVAVCAPLHYATILTSQVLVGISMCIVI
+RPVLLTLPMVYLIYRLPFCQAHIIAHSYCEHMGIAKLSCGNIRINGIYGLFVVSFFVLNL
+VLIGISYVYILRAVFRLPSHDAQLKALSTCGAHVGVICVFYIPSVFSFLTHRFGHQIPGY
+IHILVANLYLIIPPSLNPIIYGVRTKQIRERVLYVFTKK
+>Q8NGK4_PF13853_33 <unknown description>
+FCFAYTLALLGNCTLLFIIQADAALHEPMYLFLAMLATIDLVLSSTTLPKMLAIFWFRDQ
+EINFFACLVQMFFLHSFSIMESAVLLAMAFDRYVAICKPLHYTTVLTGSLITKIGMAAVA
+RAVTLMTPLPFLLRRFHYCRGPVIAHCYCEHMAVVRLACGDTSFNNIYGIAVAMFIVVLD
+LLFVILSYVFILQAVLQLASQEARYKAFGTCVSHIGAILSTYTPVVISSVMHRVARHAAP
+RVHILLAIFYLLFPPMVNPIIYGVKTKQIREYVLSLFQR
+>Q8NGK3_PF13853_33 <unknown description>
+FCLAYTLALLGNCTLLLIIQADAALHEPMYLFLAMLAAIDLVLSSSALPKMLAIFWFRDR
+EINFFACLAQMFFLHSFSIMESAVLLAMAFDRYVAICKPLHYTKVLTGSLITKIGMAAVA
+RAVTLMTPLPFLLRCFHYCRGPVIAHCYCEHMAVVRLACGDTSFNNIYGIAVAMFIVVLD
+LLLVILSYIFILQAVLLLASQEARYKAFGTCVSHIGAILAFYTTVVISSVMHRVARHAAP
+HVHILLANFYLLFPPMVNPIIYGVKTKQIRESILGVF
+>Q8NGH7_PF13853_50 <unknown description>
+GILYLLALVGNVTILFIIWMDPSLHQSMYLFLSMLAAIDLVLASSTAPKALAVLLVHAHE
+IGYIVCLIQMFFIHAFSSMESGVLVAMALDRYVAICHPLHHSTILHPGVIGRIGMVVLVR
+GLLLLIPFPILLGTLIFCQATIIGHAYCEHMAVVKLACSETTVNRAYGLTMALLVIGLDV
+LAIGVSYAHILQAVLKVPGSEARLKAFSTCGSHICVILVFYVPGIFSFLTHRFGHHVPHH
+VHVLLATRYLLMPPALNPLVYGVKTQQIRQRVLRVFTQK
+>Q8NGK5_PF13853_33 <unknown description>
+FGSMYLVAVVGNVTILAVVKIERSLHQPMYFFLCMLAAIDLVLSTSTIPKLLGIFWFGAC
+DIGLDACLGQMFLIHCFATVESGIFLAMAFDRYVAICNPLRHSMVLTYTVVGRLGLVSLL
+RGVLYIGPLPLMIRLRLPLYKTHVISHSYCEHMAVVALTCGDSRVNNVYGLSIGFLVLIL
+DSVAIAASYVMIFRAVMGLATPEARLKTLGTCASHLCAILIFYVPIAVSSLIHRFGQCVP
+PPVHTLLANFYLLIPPILNPIVYAVRTKQIRESLLQ
+>Q8NH53_PF13853_33 <unknown description>
+LCTMYSIAITGNFGLMYLIYCDEALHRPMYVFLALLSFTDVLMCTSTLPNTLFILWFNLK
+EIDFKACLAQMFFVHTFTGMESGVLMLMALDHCVAICFPLRYATILTNSVIAKAGFLTFL
+RGVMLVIPSTFLTKRLPYCKGNVIPHTYCDHMSVAKISCGNVRVNAIYGLIVALLIGGFD
+ILCITISYTMILQAVVSLSSADARQKAFSTCTAHFCAIVLTYVPAFFTFFTHHFGGHTIP
+LHIHIIMANLYLLMPPTMNPIVYGVKTRQVRESVIRFFL
+>Q8NGI0_PF13853_33 <unknown description>
+FCFMYIIAVVGNCGLICLISHEEALHRPMYYFLALLSFTDVTLCTTMVPNMLCIFWFNLK
+EIDFNACLAQMFFVHMLTGMESGVLMLMALDRYVAICYPLRYATILTNPVIAKAGLATFL
+RNVMLIIPFTLLTKRLPYCRGNFIPHTYCDHMSVAKVSCGNFKVNAIYGLMVALLIGVFD
+ICCISVSYTMILQAVMSLSSADARHKAFSTCTSHMCSIVITYVAAFFTFFTHRFVGHNIP
+NHIHIIVANLYLLLPPTMNPIVYGVKTKQIQEGVIKFLL
+>Q8NGI2_PF13853_33 <unknown description>
+FCSMYVVAMVGNCGLLYLIHYEDALHKPMYYFLAMLSFTDLVMCSSTIPKALCIFWFHLK
+DIGFDECLVQMFFTHTFTGMESGVLMLMALDRYVAICYPLRYSTILTNPVIAKVGTATFL
+RGVLLIIPFTFLTKLLPYCRGNILPHTYCDHMSVAKLSCGNVKVNAIYGLMVALLIWGFD
+ILCITNSYTMILRAVVSLSSADARQKAFNTCTAHICAIVFSYTPAFFSFFSHRFGEHIIP
+PSCHIIVANIYLLLPPTMNPIVYGVKTKQIRDCVIRILS
+>Q8NH56_PF13853_39 <unknown description>
+LCTMYIIFLVGNLGLVYLIYYEESLHHPMYFFFGHALSLIDLLTCTTTLPNALCIFWFSL
+KEINFNACLAQMFFVHGFTGVESGVLMLMALDRYVAICYPLRYATTLTNPIIAKAELATF
+LRGVLLMIPFPFLVKRLPFCQSNIISHTYCDHMSVVKLSCASIKVNVIYGLMVALLIGVF
+DICCISLSYTLILKAAISLSSSDARQKAFSTCTAHISAIIITYVPAFFTFFAHRFGGHTI
+PPSLHIIVANLYLLLPPTLNPIVYGVKTKQIRKSVIKFF
+>Q8NGF1_PF13853_34 <unknown description>
+FCATYAVAVVGNITLLHVIRIDHTLHEPMYLFLAMLAITDLVLSSSTQPKMLAIFWFHAH
+EIQYHACLIQVFFIHAFSSVESGVLMAMALDCYVAICFPLRHSSILTPSVVIKLGTIVML
+RGLLWVSPFCFMVSRMPFCQHQAIPQSYCEHMAVLKLVCADTSISRGNGLFVAFSVAGFD
+MIVIGMSYVMILRAVLQLPSGEARLKAFSTRSSHICVILALYIPALFSFLTYRFGHDVPR
+VVHILFANLYLLIPPMLNPIIYGVRTKQIGDRVIQ
+>Q6IF63_PF13853_38 <unknown description>
+PIYLLALLGNGALPAVVWIDSTLHQPMFLLLAILAATDLGLATSIAPGLLAVLWLGPRSV
+PYAVCLVQMFFVHALTAMESGVLLAMACDRAAAIGRPLHYPVLVTKACVGYAALALALKA
+VAIVVPFPLLVAKFEHFQAKTIGHTYCAHMAVVELVVGNTQATNLYGLALSLAISGMDIL
+GITGSYGLIAHAVLQLPTREAHAKAFGTCSSHICVILAFYIPGLFSYLTHRFGHHTVPKP
+VHILLSNIYLLLPPALNPLIYGARTKQIRDRLLETF
+>Q8NH54_PF13853_35 <unknown description>
+LSLLFLLAVGANTTLLMTIWLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLTIFWFDLR
+PISFPACFLQMYIMNCFLAMESCTFMVMAYDRYVAICHPLRYPSIITDHFVVKAAMFILT
+RNVLMTLPIPILSAQLRYCGRNVIENCICANMSVSRLSCDDVTINHLYQFAGGWTLLGSD
+LILIFLSYTFILRAVLRLKAEGAVAKALSTCGSHFMLILFFSTILLVFVLTHVAKKKVSP
+DVPVLLNVLHHVIPAALNPIIYGVRTQEIKQGMQRLLK
+>Q8NGH8_PF13853_34 <unknown description>
+LSLLFLLAMGANTTLLITIQLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLAIFWFDLR
+SISFPACFLQMFIMNSFLTMESCTFMVMAYDRYVAICHPLRYPSIITDQFVARAVVFVIA
+RNAFVSLPVPMLSARLRYCAGNIIKNCICSNLSVSKLSCDDITFNQLYQFVAGWTLLGSD
+LILIVISYSFILKVVLRIKAEGAVAKALSTCGSHFILILFFSTVLLVLVITNLARKRIPP
+DVPILLNILHHLIPPALNPIVYGVRTKEIKQGIQNLL
+>P0C7T3_PF13853_34 <unknown description>
+LSLLFLLAMGANATLLITIYLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLAIFWFDLR
+SISFPACFLQVFIMNSFLTMESCTFMIMAYDRYVAICKPLQYSSIITDQFVARAAIFVVA
+RNGLLTMPIPILSSRLRYCAGHIIKNCICTNVSVSKLSCDDITLNQSYQFVIGWTLLGSD
+LILIVLSYFFILKTVLRIKGEGDMAKALGTCGSHFILILFFTTVLLVLVITNLARKRIPP
+DVPILLNILHHLIPPALNPIVYGVRTKEIKQGIQNLL
+>Q8NGI3_PF13853_41 <unknown description>
+ALLYLSALAANTLILIIIWQNPSLQQPMYIFLGILCMVDMGLATTIIPKILAIFWFDAKV
+ISLPECFAQIYAIHFFVGMESGILLCMAFDRYVAICHPLRYPSIVTSSLILKATLFMVLR
+NGLFVTPVPVLAAQRDYCSKNEIEHCLCSNLGVTSLACDDRRPNSICQLVLAWLGMGSDL
+SLIILSYILILYSVLRLNSAEAAAKALSTCSSHLTLILFFYTIVVVISVTHLTEMKATLI
+PVLLNVLHNIIPPSLNPTVYALQTKELRAAFQKVLF
+>Q8NH76_PF13853_38 <unknown description>
+TLLYLLALGANLLIIITIQHETVLHEPMYHLLGILAVVDIGLATTIMPKILAIFWFDAKA
+ISLPMCFAQIYAIHCFFCIESGIFLCMAVDRYIAICRPLQYPSIVTKAFVFKATGFIMLR
+NGLLTIPVPILAAQRHYCSRNEIEHCLCSNLGVISLACDDITVNKFYQLMLAWVLVGSDM
+ALVFSSYAVILHSVLRLNSAEAMSKALSTCSSHLILILFHTGIIVLSVTHLAEKKIPLIP
+VFLNVLHNVIPPALNPLACALRMHKLRLGFQR
+>Q9NZP5_PF13853_33 <unknown description>
+FLVVYLITMVGNLGLIVLIWNDPHLHMPMYLFLGGLAFSDACTSTSITPRMLVNFLDKTA
+MISLAECITQFYFFASSATTECFLLVMMAYDRYVAICNPLLYPVMMSNKLSAQLLSISYV
+IGFLHPLVHVSLLLRLTFCRFNIIHYFYCEILQLFKISCNGPSINALMIFIFGAFIQIPT
+LMTIIISYTRVLFDILKKKSEKGRSKAFSTCGAHLLSVSLYYGTLIFMYVRPASGLAEDQ
+DKVYSLFYTIIIPLLNPFIYSLRNKKVMHALRRVI
+>Q8NH90_PF13853_31 <unknown description>
+FLLIYVTSIMGNSGIILLINTDSRFQTLTYFFLQHLAFVDICYTSAITPKMLQSFTEEKN
+LMLFQGCVIQFLVYATFATSDCYLLAMMAVDPYVAICKPLHYTVIMSRTVCIRLVAGSYI
+MGSINASVQTGFTCSLSFCKSNSINHFFCDVPPILALSCSNVDINIMLLVVFVGSNLIFT
+GLVVIFSYIYIMATILKMSSSAGRKKSFSTCASHLTAVTIFYGTLSYMYLQSHSNNSQEN
+MKVAFIFYGTVIPMLNPLIYSLRNKEVKEALK
+>Q8NGI8_PF13853_32 <unknown description>
+FLVIYITSLAWNLSLIVLIRMDSHLHTPMYFFLSNLSFIDVCYISSTVPKMLSNLLQEQQ
+TITFVGCIIQYFIFSTMGLSESCLMTAMAYDRYAAICNPLLYSSIMSPTLCVWMVLGAYM
+TGLTASLFQIGALLQLHFCGSNVIRHFFCDMPQLLILSCTDTFFVQVMTAILTMFFGIAS
+ALVIMISYGYIGISIMKITSAKGRSKAFNTCASHLTAVSLFYTSGIFVYLSSSSGGSSSF
+DRFASVFYTVVIPMLNPLIYSLRNKEIKDALKRLQ
+>Q8NGF4_PF13853_37 <unknown description>
+FLLIYMANMVGNLGMIVLIKIDLCLHTPMYFFLSSLSFVDASYSSSVTPKMLVNLMAENK
+AISFHGCAAQFYFFGSFLGTECFLLAMMAYDRYAAIWNPLLYPVLVSGRICFLLIATSFL
+AGCGNAAIHTGMTFRLSFCGSNRINHFYCDTPPLLKLSCSDTHFNGIVIMAFSSFIVISC
+VMIVLISYLCIFIAVLKMPSLEGRHKAFSTCASYLMAVTIFFGTILFMYLRPTSSYSMEQ
+DKVVSVFYTVIIPVLNPLIYSLKNKDVKKALKKILW
+>Q8NGP9_PF13853_31 <unknown description>
+FLIVYLVNVVGNIGMIILITTDTQLHTPMYFFLCNLSFVDLGYSSAIAPRMLADFLTNHK
+VISFSSCATQFAFFVGFVDAECYVLAAMAYGRFVAICRPLHYSTFMSKQVCLALMLGSYL
+AGLVSLVAHTTLTFSLSYCGSNIINHFFCEIPPLLALSCSDTYISEILLFSLCGFIEFST
+ILIIFISYTFILVAIIRMRSAEGRLKAFSTCGSHLTGITLFYGTVMFMYLRPTSSYSLDQ
+DKWASVFYTVIIPMLNPLIYSLRNKDVKAAFKKLIGK
+>Q8N127_PF13853_31 <unknown description>
+FLLVYTLTMVGNILLIILVNINSSLQIPMYYFLSNLSFLDISCSTAITPKMLANFLASRK
+SISPYGCALQMFFFASFADAECLILAAMAYDRYAAICNPLLYTTLMSRRVCVCFIVLAYF
+SGSTTSLVHVCLTFRLSFCGSNIVNHFFCDIPPLLALSCTDTQINQLLLFALCSFIQTST
+FVVIFISYFCILITVLSIKSSGGRSKTFSTCASHLIAVTLFYGALLFMYLQPTTSYSLDT
+DKVVAVFYTVVFPMFNPIIYSFRNKDVKNALKKLL
+>A6NHG9_PF13853_31 <unknown description>
+FLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDALLSSSVTLKMLINFLAKSK
+MISLSECKIQLFSFAISVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYV
+GGLLHALIHEGFLFRLTFCNSNIIQHFYCDIIPLLKISYTDSSINFLMVFIFAGSIQVFT
+IGTVLISYIFVLYTILKKKSVKGMRKAFSTCGAHLLSVSLYYGPLAFMYMGSASPQADDQ
+DMMESLFYTVIVPLLNPMIYSLRNKQVIASFTKMFK
+>A6NDH6_PF13853_31 <unknown description>
+FLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDAWISSTVTPKMLNNFLAKSK
+MISLSECKIQFFSIAIGVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYI
+AGILHALIHEGFLFRLTFCNSNIVHHIYCDTIPLSKISCTDSSINFLMVFIFSGSIQVFS
+IVTILISYTFVLFTVLEKKSDKGVRKAFSTCGAHLFSVCLYYGPLLLMYVGPASPQADGQ
+NMVEPLFYTVIIPLLNPIIYSLRNKQVIVSFIKMLK
+>A6NJZ3_PF13853_31 <unknown description>
+ITYLLSVSGNMIIIMLTLSNIHLKTPMYFFLRNFSFLEISFTTVFIPRFLINIATGDTTI
+SYNASMAQVFFLILLGSTEFFLLAVMSYDRYVAICKPLHYTTIMSNKVCNWLVISSWLAG
+FLIIFPPVIMGLQLDFCDSSTIDHFICDSSPMLLIACTDTQFLELMAFLLAVFTLMVTLA
+LVVLSYTLILKTILKIPSAQQRKKAFSTCSSHMIVVSVSYGSCIFMCVKTSAKEGMALSK
+GVAVLNTSVAPMLNPFIYTLRNQQVKQALR
+>A6NDL8_PF13853_31 <unknown description>
+ITYMLSVTGKLTIIALTMLDPHLKTPMYFFLQNLSFLEISFTATCVPRFLYSISTGNKII
+TYNACVIQLFFADLFGVTEFFLLATMSYDRYVAICKPLHYMAIMSNKVCKTMVICCWMAA
+LMIILPPLSLGFHLEFCDSNVINHFGCDALPILKIPCSDTSLIEQMVVASAVLTFIITLV
+CVVLSYTYIIRTILKFPSVQQKKKAFSTCSSHITVVSITYGSCIFIYIKPSAKEEVNINK
+GVSVLISSISPMLNSFIYTLRNEQVKQAFH
+>A6NIJ9_PF13853_34 <unknown description>
+VLSMIGNFTIIALILLDSQLKTPMYFFLRNFSFLEISFTTACIPRFLITIVTREKTISCN
+GCISQLFFYIFLGVTEFFLLAALSYDRYVAICKPLRYMSIMSNKVCYQLVFSSWVTGFLI
+IFTPLILGLNLDFCASNIIDHFICDISLILQLSCSDTHLLELIAFLLAVMTLIVTLFLVI
+LSYSYIIKTILKFPSAQQKKKAFSTCSSHMIVVSITYGSCMFIYIKPSANERVALSKGVT
+VLNTSVAPLLNPFIYTLRNQQVKQAFKAVF
+>A6NCV1_PF13853_31 <unknown description>
+FTYMLSITGNLTIITLTLLDLHLKTPMYFFLRNFSFLEVSFTTVYIPKFLVSMATGDKTI
+SYNDCAAQLFFTILLGATEFFLLAAMSYERYVAICKPLHYTTIMSSRVCSLLVFASWMAG
+FLIIFPPLLMGLQLDFCAANTVDHFFCDVSPILQLSCTDTDIIELMMLLSAILTLLVTLV
+LVILSYTNIIRTILKIPSSQQRKKAFSTCSSHMVVVSISYGSCIFMYVKPSAKERVSLNK
+GIALLSTSVAPMLNPFIYTLRNKQVKDVFKHTV
+>A6NL08_PF13853_31 <unknown description>
+VTYMLSVTGNLIIITLTLSDPHLQTPMYFFLRNFSFLEISFTSVCIPRFLVTVVTGNRTI
+SYNGCVAQLFFFIFLGVTEFYLLAAMSYDRCMAICKPLHYTIIMSTRVCTLLVFSSWLAG
+FLIIFPPVMLLLQLDFCASNVIDHFICDSSPMLQLSCTNTHFLELMAFFLAVVTLMVTLT
+LVILSYTNIIRTILKIPSMSQRKKAFSTCSSHMIVVSISYSSCIFMYIKTSARERVTLSK
+GVAVLNTSVAPLLNPFIYTLRNKQVKQAFKSMV
+>A6NM76_PF13853_31 <unknown description>
+LTYVLSVTGNLTIISLTLLDSHLKTPMYFFLRNFSLEISFTSVCNPRFLISILTGDKSIS
+YNACAAQLFFFIFLGSTEFFLLASMSYDCYVAICKPLHYTTIMSDRICYQLIISSWLAGF
+LVIFPPLAMGLQLDFCDSNVIDHFTCDSAPLLQISCTDTSTLELMSFILALFTLISTLIL
+VILSYTYIIRTILRIPSAQQRKKAFSTCSSHVIVVSISYGSCIFMYVKTSAKEGVALTKG
+VAILNTSVAPMLNPFIYTLRNQQVKQAFKDVL
+>Q6IFN5_PF13853_50 <unknown description>
+LSMYLVTVLGNLLIILAVSSDSHLHTPMYFFLSNLSLADIGFTSTTVPKMIVDMQTHSRV
+ISYEGCLTQMSFFVLFACMDDMLLSVMAYDRFVAICHPLHYRIIMNPRLCGFLILLSFFI
+SLLDSQLHNLIMLQLTCFKDVDISNFFCDPSQLLHLRCSDTFINEMVIYFMGAIFGCLPI
+SGILFSYYKIVSPILRVPTSDGKYKAFSTCGSHLAVVCLFYGTGLVGYLSSAVLPSPRKS
+MVASVMYTVVTPMLNPFIYSLRNKDIQSALCRL
+>Q86UD1_PF14941_31 <unknown description>
+ELRVRVRLPDGQVTEESLQADSDADSISLELRKPDGTLVSFTADFKKDVKVFRALILGEL
+EKGQSQFQALCFVTQLQHNEIIPSEAMAKLRQKNPRAVRQAEEVRGLEHLHMDVAVNFSQ
+GALLSPHLHNVCAEAVDAIYTRQEDVRFWLEQGVDSSVFEALPKASEQAELPRCRQVGDH
+GKPCVCRYGLSLAWYPCMLKYCHSRDRPTPYKCGIRSCQKSYSFDFYVPQRQLCLWDE
+>Q9Y530_PF01661_35 <unknown description>
+SEDCRMGAGIAVLFKKKFGGVQELLNQQKKSGEVAVLKRDGRYIYYLITKKRASHKPTYE
+NLQKSLEAMKSHCLKNGVTDLSMPRIGCGLDRLQWENVSA
+>P00973_PF01909_37 <unknown description>
+ICGFLKERCFRGSSYPVCVSKVVKGGSSGKGTTLRGRSDADLVVFLSPLTTFQDQLNRRG
+EFIQEIRRQLEACQRERAFSVKF
+>P00973_PF10421_164 <unknown description>
+KPNPQIYVKLIEECTDLQKEGEFSTCFTELQRDFLKQRPTKLKSLIRLVKHWYQNCKKKL
+GKLPPQYALELLTVYAWERGSMKTHFNTAQGFRTVLELVINYQQLCIYWTKYYDFKNPII
+EKYLRRQLTKPRPVILDPADPTGNLGGGDPKGWRQLAQEAEAWLNYPCFKNWDGSPVSSW
+I
+>P29728_PF10421_154 <unknown description>
+NPSPWIYRELKRSLDKTNASPGEFAVCFTELQQKFFDNRPGKLKDLILLIKHWHQQCQKK
+IKDLPSLSPYALELLTVYAWEQGCRKDNFDIAEGVRTVLELIKCQEKLCIYWMVNYNFED
+ETIRNILLHQLQSARPVILDPVDPTNNVSGDKICWQWLKKEAQTWLTSPNLDNELPAPSW
+NVLP
+>P29728_PF01909_373 <unknown description>
+IRTFLKENCFRQSTAKIQIVRGGSTAKGTALKTGSDADLVVFHNSLKSYTSQKNERHKIV
+KEIHEQ
+>P29728_PF10421_497 <unknown description>
+TPSPEVYAGLIDLYKSSDLPGGEFSTCFTVLQRNFIRSRPTKLKDLIRLVKHWYKECERK
+LKPKGSLPPKYALELLTIYAWEQGSGVPDFDTAEGFRTVLELVTQYQQLCIFWKVNYNFE
+DETVRKFLLSQLQKTRPVILDPAEPTGDVGGGDRWCWHLLAKEAKEWLSSPCFKDGTGNP
+IPPWKVPV
+>Q9Y6K5_PF10421_161 <unknown description>
+KPKPQVYSTLLNSGCQGGEHAACFTELRRNFVNIRPAKLKNLILLVKHWYHQVCLQGLWK
+ETLPPVYALELLTIFAWEQGCKKDAFSLAEGLRTVLGLIQQHQHLCVFWTVNYGFEDPAV
+GQFLQRQLKRPRPVILDPADPTWDLGNGAAWHWDLLAQEAASCYDHPCFLRGMGDPVQSW
+K
+>Q9Y6K5_PF10421_560 <unknown description>
+KPNPQVYSRLLTSGCQEGEHKACFAELRRNFMNIRPVKLKNLILLVKHWYRQVAAQNKGK
+GPAPASLPPAYALELLTIFAWEQGCRQDCFNMAQGFRTVLGLVQQHQQLCVYWTVNYSTE
+DPAMRMHLLGQLRKPRPLVLDPADPTWNVGHGSWELLAQEAAALGMQACFLSRDGTSVQP
+WDVMP
+>Q9Y6K5_PF01909_780 <unknown description>
+ICSFLKENCFRNSPIKVIKVVKGGSSAKGTALRGRSDADLVVFLSCFSQFTEQGNKRAEI
+ISEIRAQLEACQQERQFEVKFEVSKWENPRV
+>Q9Y6K5_PF10421_904 <unknown description>
+RPSSQVYVDLIHSYSNAGEYSTCFTELQRDFIISRPTKLKSLIRLVKHWYQQCTKISKGR
+GSLPPQHGLELLTVYAWEQGGKDSQFNMAEGFRTVLELVTQYRQLCIYWTINYNAKDKTV
+GDFLKQQLQKPRPIILDPADPTGNLGHNARWDLLAKEAAACTSALCCMGRNGIPIQPWPV
+KA
+>Q15646_PF10421_168 <unknown description>
+QPPPEVYVSLIKACGGPGNFCPSFSELQRNFVKHRPTKLKSLLRLVKHWYQQYVKARSPR
+ANLPPLYALELLTIYAWEMGTEEDENFMLDEGFTTVMDLLLEYEVICIYWTKYYTLHNAI
+IEDCVRKQLKKERPIILDPADPTLNVAEGYRWDIVAQRASQCLKQDCCYDNRENPISSWN
+VKR
+>Q15646_PF00240_436 <unknown description>
+VFVKNPDGGSYAYAINPNSFILGLKQQIEDQQGLPKKQQQLEFQGQVLQDWLGLGIYGIQ
+DSDTLILSKK
+>P04181_PF00202_51 <unknown description>
+GAHNYHPLPVALERGKGIYLWDVEGRKYFDFLSSYSAVNQGHCHPKIVNALKSQVDKLTL
+TSRAFYNNVLGEYEEYITKLFNYHKVLPMNTGVEAGETACKLARKWGYTVKGIQKYKAKI
+VFAAGNFWGRTLSAISSSTDPTSYDGFGPFMPGFDIIPYNDLPALERALQDPNVAAFMVE
+PIQGEAGVVVPDPGYLMGVRELCTRHQVLFIADEIQTGLARTGRWLAVDYENVRPDIVLL
+GKALSGGLYPVSAVLCDDDIMLTIKPGEHGSTYGGNPLGCRVAIAALEVLEEENLAENAD
+KLGIILRNELMKLPSDVVTAVRGKGLLNAIVIKETKDWDAWKVCLRLRDNGLLAKPTHGD
+IIRFAPPLVIKEDELRESIEIINKTI
+>Q16633_PF09310_7 <unknown description>
+TAPEQAPAPARPYQGVRVKEPVKELLRRKRGHASSGAAPAPTAVVLPHQPLATYTTVGPS
+CLDMEGSVSAVTEEAALCAGWLSQPTPATLQPLAPWTPYTEYVPHEAVSCPYSADMYVQP
+VCPSYTVVGPSSVLTYASPPLITNVTTRSSATPAVGPPLEGPEHQAPLTYFPWPQPLSTL
+PTSTLQYQPPAPALPGPQFVQLPISIPEPVLQDMEDPRRAASSLTIDKLLLEEEDSDAYA
+LNHTLSVEG
+>Q5W0B1_PF13923_18 <unknown description>
+CHICLGKVRQPVICINNHVFCSICIDLWLKNNSQCPAC
+>Q9NY56_PF00061_26 <unknown description>
+TGTWYVKAMVVDKDFPEDRRPRKVSPVKVTALGGGNLEATFTFMREDRCIQKKILMRKTE
+EPGKFSAYGGRKLIYLQELPGTDDYVFYCKDQRRGGLRYMGKLVGRNPNTNLEALEEFKK
+LVQHKGLSEEDIFMPLQT
+>Q9NPH6_PF00061_26 <unknown description>
+TGTWYVKAMVVDKDFPEDRRPRKVSPVKVTALGGGKLEATFTFMREDRCIQKKILMRKTE
+EPGKYSAYGGRKLMYLQELPRRDHYIFYCKDQHHGGLLHMGKLVGRNSDTNREALEEFKK
+LVQRKGLSEEDIFTPLQT
+>O15243_PF04133_7 <unknown description>
+LVALSFSGAIGLTFLMLGCALEDYGVYWPLFVLIFHAISPIPHFIAKRVTYDSDATSSAC
+RELAYFFTTGIVVSAFGFPVILARVAVIKWGACGLVLAGNAVIFLTIQGFFLIFGR
+>O75147_PF07679_12 <unknown description>
+PCFLRFPRPVRVVSGAEAELKCVVLGEPPPVVVWEKGGQQLAASERLSFPADGAEHGLLL
+TAALPTDAGVYVCRARNAAGEAYAAAAVTV
+>O75147_PF07679_128 <unknown description>
+PVFLTGPRSQWVLRGAEVVLTCRAGGLPEPTLYWEKDGMALDEVWDSSHFALQPGRAEDG
+PGASLALRILAARLPDSGVYVCHARNAHGHAQAGALLQV
+>O75147_PF07679_252 <unknown description>
+PKTFWVNEGKHAKFRCYVMGKPEPEIEWHWEGRPLLPDRRRLMYRDRDGGFVLKVLYCQA
+KDRGLYVCAARNSAGQTLSAVQLHV
+>O75147_PF07679_342 <unknown description>
+RFTRPLQDVEGREHGIAVLECKVPNSRIPTAWFREDQRLLPCRKYEQIEEGTVRRLIIHR
+LKADDDGIYLCEMRGRV
+>O75147_PF07679_727 <unknown description>
+TFTTSERVVLTCELSRVDFPATWYKDGQKVEESELLVVKMDGRKHRLILPEAKVQDSGEF
+ECRT
+>O75147_PF13927_804 <unknown description>
+PPVHIVDPREHVFVHAITSECVMLACEVDREDAPVRWYKDGQEVEESDFVVLENEGPHRR
+LVLPATQPSDGGEFQCVA
+>O75147_PF07679_918 <unknown description>
+LTCELCRPWAEVRWTKDGEEVVESPALLLQKEDTVRRLVLPAVQLEDSGEYLCEIDDESA
+SFTV
+>O75147_PF13927_1097 <unknown description>
+GRVELRCEVAPAGSQVRWYKDGLEVEASDALQLGAEGPTRTLTLPHAQPEDAGEYVCET
+>O75147_PF07679_1177 <unknown description>
+ETTPSPLCVAPGEPVVLSCELSRAGAPVVWSHNGRPVQEGEGLELHAEGPRRVLCIQAAG
+PAHAGLYTCQSGAAPGAPSLSFTVQV
+>O75147_PF07679_1279 <unknown description>
+VRSTPGGDLELVVHLSGPGGPVRWYKDGERLASQGRVQLEQAGARQVLRVQGARSGDAGE
+YLCDA
+>O75147_PF07679_1363 <unknown description>
+VSELTPLTVHEGDDATFRCEVSPPDADVTWLRNGAVVTPGPQVEMAQNGSSRILTLRGCQ
+LGDAGTVTLRAGSTAT
+>O75147_PF07679_1451 <unknown description>
+FLRRLQDVRAEEGQDVCLEVETGRVGAAGAVRWVRGGQPLPHDSRLSMAQDGHIHRLFIH
+GVILADQGTYGCE
+>O75147_PF07679_1631 <unknown description>
+IVRGPHDLEVTEGDTATFECELSQALADVTWEKDGNALTPSPRLRLQALGTRRLLQLRRC
+GPSDAGTYSCAVGT
+>O75147_PF07679_1722 <unknown description>
+LSELRSVSAREGDGATFECTVSEVETTGRWELGGRPLRPGARVRIRQEGKKHILVLSELR
+AEDAGEVRFQA
+>O75147_PF07679_1813 <unknown description>
+HPPREKTVLVGRRAVLEVTVSRSGGHVCWLREGAELCPGDKYEMRSHGPTHSLVIHDVRP
+EDQGTYCCQAGQ
+>Q02509_PF00068_76 <unknown description>
+LIQFVNGMKCVAGLCPRDFEDYGCTCRFEMEGLPVDESDSCCFQHRRCYEEAAEMDCLQD
+PAKLSTEVNCVSKKIICESKDNCEHLLCTCDKAAIECLARSSLNSS
+>Q02509_PF00068_306 <unknown description>
+PQLGEMLFCLTSRCPEEFESYGCYCGQEGRGEPRDDLDRCCLSHHCCLEQVRRLGCLLER
+LPWSPVVCVDHTPKCGGQSLCEKLLCACDQTAAECMTSASFNQSL
+>Q9NX40_PF07051_24 <unknown description>
+DYIPTEEERRVFAECNDESFWFRSVPLAATSMLITQGLISKGILSSHPKYGSIPKLILAC
+IMGYFAGKLSYVKTCQEKFKKLENSP
+>Q56VL3_PF07051_33 <unknown description>
+HIHRAEISKIMRECQEESFWKRALPFSLVSMLVTQGLVYQGYLAANSRFGSLPKVALAGL
+LGFGLGKVSYIGVCQSKFHFFEDQ
+>Q8IXP5_PF17721_55 <unknown description>
+GSPVTSGYYGVRRSFLSDSDFHNSKQFSNDVYTSSVGKPFPCESSAGQSHAALLEPYFPQ
+EPYGDYRPPALTPNAGSLFSASPLPPLLPPPFPGDPAHFLFRDSWEQTLPDGLSQPDPVS
+ADALLTLPPSTSCLSQLESGSIAQHRGSSWGSSLAGAQSYSLHALEDLHHTPGYPTPPPY
+PFTPFMTVSNDLPPKVGPLSPDEEADTGSLHDPSPWVKEDGSIAWGSYECRRAY
+>Q8TAD7_PF15506_1 <unknown description>
+MGCGNSTATSAGAGQGPAGAAKDVTEESVTEDDKRRNYGGVYVGLPSEAVNMVSSQTKTV
+RK
+>Q9H607_PF07303_153 <unknown description>
+YPPVSSERERSRYVAVFQDQYGEFLELQHEVGCAQAKLRQLEALLSSLPPPQSQKEAQVA
+ARVWREFEMKRMDPGFLDKQARCHYLKGKLRHLKTQIQKFD
+>Q16625_PF01284_57 <unknown description>
+KWTSPPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTSLLGGSVGYPYGGSGFGSYGS
+GYGYGYGYGYGYGGYTDPRAAKGFMLAMAAFCFIAALVIFVTSVIRSEMSRTRRYYLSVI
+IVSAILGIMVFIATIVYIMGVNPTAQSSGSLYGSQIYALCNQFYTPAATGLYVDQYLYHY
+CVVDPQEAIAIVLGFMIIVAFALIIFF
+>Q16625_PF07303_420 <unknown description>
+YPPITSDQQRQLYKRNFDTGLQEYKSLQSELDEINKELSRLDKELDDYREESEEYMAAAD
+EYNRLKQVKGSADYKSKKNHCKQLKSKLSHIKKMVGDYDR
+>P0CE71_PF13499_43 <unknown description>
+QVKDVFRFIDNDQSGYLDEEELKFFLQKFESGARELTESETKSLMAAADNDGDGKIGAEE
+FQEMV
+>Q01968_PF16726_18 <unknown description>
+MEMKGPLREPCALTLAQRNGQYELIIQLHEKEQHVQDIIPINSHFRCVQEAEETLLIDIA
+SNSGCKIRVQGDWIRERRFEIPDEEHCLKFLSAVLAAQKA
+>Q01968_PF03372_245 <unknown description>
+GTWNVNGQSPDSGLEPWLNCDPNPPDIYCIGFQELDLSTEAFFYFESVKEQEWSMAVERG
+LHSKAKYKKVQLVRLVGMMLLIFARKDQCRYIRDIATETVGTGIMGKMGNKGGVAVRFVF
+HNTTFCIVNSHLAAHVEDFERRNQDYKDICARMSFVVPNQTLPQLNIMKHEVVIWLGDLN
+YRLCMPDANEVKSLINKKDLQRLLKFDQLNIQRTQKKAFVDFNEGEIKFIPTYKYDSKTD
+RWDSSGKCRVPAWCDRILWRGTNVNQLNYRSHMELKTSDH
+>Q01968_PF00620_735 <unknown description>
+PKEIWLLVDHLFKYACHQEDLFQTPGMQEELQQIIDCLDTSIPETIPGSNHSVAEALLIF
+LEALPEPVICYELYQRCLDSAYDPRICRQVISQLPRCHRNVFRYLMAFLRELLKFSEYNS
+VNANMIATLFTSLLLRPPPN
+>Q9BR26_PF07782_254 <unknown description>
+FDNIYATQQLTQRLAQAQATHLLAPPPTWLLQAAQLRLSQEELLSCLLRLGLLALLLVAT
+AVAVATDHVAFLLAQATVDWAQKLPTVPITLTVKYDVAYTVLGFIPFLFNQLAPESPFLS
+VHSSYQWELRLTSARCPLLPARRPRAAAPLAAGALQLLAGSTVLLEAYARRLRHAIAASF
+FTAQEARRVRHLH
+>Q9UKG9_PF00755_23 <unknown description>
+LPVPSLEESLKKYLESVKPFANQEEYKKTEEIVQKFQSGIGEKLHQKLLERAKGKRNWLE
+EWWLNVAYLDVRIPSQLNVNFAGPAAHFEHYWPPKEGTQLERGSITLWHNLNYWQLLRKE
+KVPVHKVGNTPLDMNQFRMLFSTCKVPGITRDSIMNYFRTESEGRSPNHIVVLCRGRAFV
+FDVIHEGCLVTPPELLRQLTYIHKKCHSEPDGPGIAALTSEERTRWAKAREYLIGLDPEN
+LALLEKIQSSLLVYSMEDSSPHVTPEDYSEIIAAILIGDPTVRWGDKSYNLISFSNGVFG
+CNCDHAPFDAMIMVNISYYVDEKIFQNEGRWKGSEKVRDIPLPEELIFIVDEKVLNDINQ
+AKAQYLREASDLQIAAYAFTSFGKKLTKNKMLHPDTFIQLALQLAYYRLHGHPGCCYETA
+MTRHFYHGRTETMRSCTVEAVRWCQSMQDPSVNLRERQQKMLQAFAKHNKMMKDCSAGKG
+FDRHLLGLLLIAKEEGLPVPELFTDPLFSKSGGGGNFVLSTSLVGYLRVQGVVVPMVHNG
+YGFFYHIRDDRFVVACSAWKSCPETDAEKLVQ
+>O76082_PF00083_143 <unknown description>
+PLTISLFFVGVLLGSFISGQLSDRFGRKNVLFVTMGMQTGFSFLQIFSKNFEMFVVLFVL
+VGMGQISNYVAAFVLGTEILGKSVRIIFSTLGVCIFYAFGYMVLPLFAYFIRDWRMLLVA
+LTMPGVLCVALWWFIPESPRWLISQGRFEEAEVIIRKAAKANGIVVPSTIFDPSELQDLS
+SKKQQSHNILDLLRTWNIRMVTIMSIMLWMTISVGYFGLSLDTPNLHGDIFVNCFLSAMV
+EVPAYVLAWLLLQYLPRRYSMATALFLGGSVLLFMQLVPPDLYYLATVLVMVGKFGVTAA
+FSMVYVYTAELYPTVVRNMGVGVSSTASRLGSILSPYFVYLGAYDRFLPYILMGSLTILT
+AILTLFLPESFGTPL
+>Q8IXM7_PF07004_235 <unknown description>
+PGPGSHEVQQVTVHKPHIPAFTMGIKH
+>Q3SX64_PF07004_121 <unknown description>
+TPGPGAYSPEKVPPVRHRTPPAFTLGC
+>Q3SX64_PF07004_202 <unknown description>
+PGPGQYDSPDANTYRQRLPAFTMLGRPR
+>Q3SX64_PF07004_237 <unknown description>
+TPGPGAHCPEQVTVNKARAPAFSMGIRHSK
+>Q5T2S8_PF00514_624 <unknown description>
+NKEAIRKAGGIPLLARLLKTSHENMLIPVVGTLQECA
+>Q5T2S8_PF00514_995 <unknown description>
+DNCITMHENGAVKLLLDMVGSPDQDLQEAAAGCISNI
+>Q96NG3_PF17874_321 <unknown description>
+NLYSCIGNAQIELGQMEAALQSHRKDLEIAKEYDLPDAKSRALDNIGRVFARVGKFQQAI
+DTWEEKIPLAKTTLEKTWLFHEIGRCYLELDQAWQAQNYGEKSQQCAEEEGDIEWQLNAS
+VLVAQAQVKLRDFESAVNNFEKALERAK
+>A1E959_PF15424_16 <unknown description>
+APLIPQRLMSASNSNELLLNLNNGQLLPLQLQGPLNSWIPPFSGILQQQQQAQIPGLSQF
+SLSALDQFAGLLPNQIPLTGEASFAQGAQAGQVDPLQLQTPPQTQPGPSHVMPYVFSFKM
+PQEQGQMFQYYPVYMVLPWEQPQQTVPRSPQQTRQQQYEEQIPFYAQFGYIPQLAEPAIS
+GGQQQLAFDPQLGTAPEIAVMSTGEEIPYLQKEAINFRHDSAGVFMPSTSPKPSTTNVFT
+SAVDQTITPELPEEKDKTDSLREP
+>Q17RF5_PF15848_25 <unknown description>
+EEVFTPPGDSQNNADATDCQIFTLTPPPAPRSPVTRAQPITKTPRCPFHFFPRRPRIHFR
+FPNRPFVPSRCNHRFPFQPFYWPHRYLTYRYFPRRRLQRGSSSEE
+>P11182_PF00364_66 <unknown description>
+QFKLSDIGEGIREVTVKEWYVKEGDTVSQFDSICEVQSDKASVTITSRYDGVIKKLYYNL
+DDIAYVGKPLVDI
+>P11182_PF02817_172 <unknown description>
+LATPAVRRLAMENNIKLSEVVGSGKDGRILKEDIL
+>P11182_PF00198_250 <unknown description>
+KDKTEPIKGFQKAMVKTMSAALKIPHFGYCDEIDLTELVKLREELKPIAFARGIKLSFMP
+FFLKAASLGLLQFPILNASVDENCQNITYKASHNIGIAMDTEQGLIVPNVKNVQICSIFD
+IATELNRLQKLGSVSQLSTTDLTGGTFTLSNIGSIGGTFAKPVIMPPEVAIGALGSIKAI
+PRFNQKGEVYKAQIMNVSWSADHRVIDGATMSRFSNLWKSYLENPAFMLL
+>P12694_PF00676_106 <unknown description>
+YKSMTLLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALDNTDLVFGQYREAGVLM
+YRDYPLELFMAQCYGNISDLGKGRQMPVHYGCKERHFVTISSPLATQIPQAVGAAYAAKR
+ANANRVVICYFGEGAASEGDAHAGFNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIA
+ARGPGYGIMSIRVDGNDVFAVYNATKEARRRAVAENQPFLIEAMTYRIGHHSTSDDSSAY
+RSVDEVNYWDKQDHPISRLRHYLLSQGWWDEEQEKAWRKQSRRKVMEAFEQAERKPKPNP
+>P21953_PF02779_70 <unknown description>
+KMNLFQSVTSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVFNTPLCEQGI
+VGFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSLTIRSPWGCV
+GHGALYHSQSPEAFFAHCPGIKVVIPRSPFQAKGLLLSCIEDKNPCIFFEPKILYR
+>P21953_PF02780_262 <unknown description>
+QAEVIQEGSDVTLVAWGTQVHVIREVASMAKEKLGVSCEVIDLRTIIPWDVDTICKSVIK
+TGRLLISHEAPLTGGFASEISSTVQEECFLNLEAPISRVCGYDTPFPHIFEPFYIPDKW
+>Q9BQT8_PF00153_14 <unknown description>
+SRQIVAGGSAGLVEICLMHPLDVVKTRFQIQRCATDPNSYKSLVDSFRMIFQMEGLFGFY
+KGILPPILAETPKRAVKFFTFEQYKKLL
+>Q9BQT8_PF00153_113 <unknown description>
+AIAGLGSGLTEAIVVNPFEVVKVGLQANRNTFAEQPSTVGYARQIIKKEGWGLQGLNKGL
+TATLGRHGVFNMVYFGFYYNVKNMIP
+>Q9BQT8_PF00153_206 <unknown description>
+EFWRKFGIGLLSGTIASVINIPFDVAKSRIQGPQPVPGEIKYRTCFKTMATVYQEEGILA
+LYKGLLPKIMRLGPGGAVMLLVYEYTYSWLQEN
+>Q96PU9_PF07004_100 <unknown description>
+TPGPGDYFPEKSTKYVFDSAPSHSISARTKA
+>Q96PU9_PF07004_136 <unknown description>
+TPGPAAYMLPMVMGPNTVGKASQPSFSIKGRSK
+>Q96PU9_PF07004_216 <unknown description>
+PGPGAHSPEKVTLTKPCAPVVTFGIKHSD
+>A8MYP8_PF07004_65 <unknown description>
+GPGPGHLVPARMTVRGTDGAPAYSIYGRPRRS
+>A8MYP8_PF07004_181 <unknown description>
+TPGPCAYQVVSPGVYKSRAPQFTILART
+>A8MYP8_PF07004_218 <unknown description>
+PGPAAYNVDQHRKPRGWSFGIRHSD
+>Q14990_PF00011_129 <unknown description>
+VNVCGFEPDQVKVRVKDGKVCVSAERENRYDCLGSKKYSYMNICKEFSLPPCVDEKDVTY
+SY
+>Q02218_PF16078_48 <unknown description>
+PFLSGTSSNYVEEMYCAWLENPKSVHKSWDIFFRNTNAG
+>Q02218_PF00676_257 <unknown description>
+RLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDKSSENGVDYVIMGMPHRGRLN
+VLANVIRKELEQIFCQFDSKLEAADEGSGDVKYHLGMYHRRINRVTDRNITLSLVANPSH
+LEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIVYETFHLSDLPSYTTHG
+TVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDPEAVMYVCKVAAEWRST
+FHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKYAELLVSQGVVNQPEYE
+EEISKYDKICEEAFARSKDEKILHI
+>Q02218_PF02779_650 <unknown description>
+TVDWALAEYMAFGSLLKEGIHIRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPN
+QAPYTVCNSSLSEYGVLGFELGFAMASPNALVLWEAQFGDFHNTAQCIIDQFICPGQAKW
+VRQNGIVLLLPHGMEGMGPEHSSARPERFLQMCNDDPDVLPDLKEANFDINQLYDCNWVV
+VNCSTPGNFFHVLRRQILLPFRKPLIIFTPKSLLRHP
+>Q02218_PF16870_869 <unknown description>
+RSSFDEMLPGTHFQRVIPEDGPAAQNPENVKRLLFCTGKVYYDLTRERKARDMVGQVAIT
+RIEQLSPFPFDLLLKEVQKYPNAELAWCQEEHKNQGYYDYVKPRLRTTISRAKPVWYAGR
+DPAAAPATGNKKTHLTELQRLLDTAF
+>P36957_PF00364_72 <unknown description>
+TVKTPAFAESVTEGDVRWEKAVGDTVAEDEVVCEIETDKTSVQVPSPANGVIEALLVPDG
+GKVEGGTPLFTL
+>P36957_PF00198_222 <unknown description>
+EHREKMNRMRQRIAQRLKEAQNTCAMLTTFNEIDMSNIQEMRARHKEAFLKKHNLKLGFM
+SAFVKASAFALQEQPVVNAVIDDTTKEVVYRDYIDISVAVATPRGLVVPVIRNVEAMNFA
+DIERTITELGEKARKNELAIEDMDGGTFTISNGGVFGSLFGTPIINPPQSAILGMHGIFD
+RPVAIGGKVEVRPMMYVALTYDHRLIDGREAVTFLRKIKAAVEDPRVLL
+>P10515_PF00364_93 <unknown description>
+KVPLPSLSPTMQAGTIARWEKKEGDKINEGDLIAEVETDKATVGFESLEECYMAKILVAE
+GTRDVPIGAIIC
+>P10515_PF00364_220 <unknown description>
+QVLLPALSPTMTMGTVQRWEKKVGEKLSEGDLLAEIETDKATIGFEVQEEGYLAKILVPE
+GTRDVPLGTPLCII
+>P10515_PF02817_355 <unknown description>
+VFVSPLAKKLAVEKGIDLTQVKGTGPDGRITKKDI
+>P10515_PF00198_420 <unknown description>
+FTDIPISNIRRVIAQRLMQSKQTIPHYYLSIDVNMGEVLLVRKELNKILEGRSKISVNDF
+IIKASALACLKVPEANSSWMDTVIRQNHVVDVSVAVSTPAGLITPIVFNAHIKGVETIAN
+DVVSLATKAREGKLQPHEFQGGTFTISNLGMFGIKNFSAIINPPQACILAIGASEDKLVP
+ADNEKGFDVASMMSVTLSCDHRVVDGAVGAQWLAEFRKYLEKPITMLL
+>P29803_PF00676_65 <unknown description>
+MMLTVRRMELKADQLYKQKFIRGFCHLCDGQEACCVGLEAGINPSDHVITSYRAHGVCYT
+RGLSVRSILAELTGRRGGCAKGKGGSMHMYTKNFYGGNGIVGAQGPLGAGIALACKYKGN
+DEICLTLYGDGAANQGQIAEAFNMAALWKLPCVFICENNLYGMGTSTERAAASPDYYKRG
+NFIPGLKVDGMDVLCVREATKFAANYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREE
+IQEVRSKRDPIIILQDRMVNSKLATVEELKEIGAEVRKEIDDAAQFATTDPEPHL
+>P08559_PF00676_67 <unknown description>
+MMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRAHGFTFT
+RGLSVREILAELTGRKGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALACKYNGK
+DEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAASTDYYKRG
+DFIPGLRVDGMDILCVREATRFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREE
+IQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPP
+>P11177_PF02779_33 <unknown description>
+VTVRDAINQGMDEELERDEKVFLLGEEVAQYDGAYKVSRGLWKKYGDKRIIDTPISEMGF
+AGIAVGAAMAGLRPICEFMTFNFSMQAIDQVINSAAKTYYMSGGLQPVPIVFRGPNGASA
+GVAAQHSQCFAAWYGHCPGLKVVSPWNSEDAKGLIKSAIRDNNPVVVLENELMY
+>P11177_PF02780_226 <unknown description>
+GKAKIERQGTHITVVSHSRPVGHCLEAAAVLSKEGVECEVINMRTIRPMDMETIEASVMK
+TNHLVTVEGGWPQFGVGAEICARIMEGPAFNFLDAPAVRVTGADVPMPYAKILEDNSIPQ
+VKDI
+>O00330_PF00364_59 <unknown description>
+ILMPSLSPTMEEGNIVKWLKKEGEAVSAGDALCEIETDKAVVTLDASDDGILAKIVVEEG
+SKNIRLGSLIG
+>O00330_PF02817_183 <unknown description>
+RLSPAARNILEKHSLDASQGTATGPRGIFTKEDAL
+>O00330_PF00198_274 <unknown description>
+TFTEIPASNIRRVIAKRLTESKSTVPHAYATADCDLGAVLKVRQDLVKDDIKVSVNDFII
+KAAAVTLKQMPDVNVSWDGEGPKQLPFIDISVAVATDKGLLTPIIKDAAAKGIQEIADSV
+KALSKKARDGKLLPEEYQGGSFSISNLGMFGIDEFTAVINPPQACILAVGRFRPVLKLTE
+DEEGNAKLQQRQLITVTMSSDSRVVDDELATRFLKSFKANLENPIRL
+>Q5SWX8_PF14778_28 <unknown description>
+GLLIGQCSSQKDYVILATRTPPKEEQSENLKHPKAKLDNLDEEWATEHACQVSRMLPGGL
+LVLGVFIITTLELANDFQNALRRLMFAVEKSINRKRLWNFTEEEVSERVTLHICASTKKI
+FCRTYDIHDPKSSARPADWKYQSGLSSSWLSLECTVHINIHIPLSATSVSYTLEKNTKNG
+LTRWAKEIENGVYLINGQVKDEDCDLLEGQKKSSRGNTQATSHSFDVRVLTQLLLNSDHR
+STATVQICSGSVNLKGAVKCRAYIHSSKPKVKDAVQAVKRDILNTVADRCEMLFEDLLLN
+EIPEKKDSEKEFHVLPYRVFVPLPGSTVMLCDYKFDDESAEEIRDHFMEMLDHTIQIEDL
+E
+>O75665_PF16045_75 <unknown description>
+NSLVADHLQRCGYEYSLSVFFPESGLA
+>Q9H488_PF10250_34 <unknown description>
+YLLYCPCMGRFGNQADHFLGSLAFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKL
+EPLQAYHRVISLEDFMEKLAPTHWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWD
+QFHVSFNKSELFTGISFSASYREQWSQRFSPKEHPVLALPGAPAQFPVLEEHRPLQKYMV
+WSDEMVKTGEAQIHAHLVRPYVGIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRS
+TAAPLTMTMCLPDLKEIQRAVKLWVRSLDAQSVYVATDSESYVPELQQLFKGKVKVVSLK
+PEVAQVDLYILGQADHFIGNCVSSFTAFVKRERDL
+>Q9Y2G5_PF10250_45 <unknown description>
+YLLYDVNPPEGFNLRRDVYIRIASLLKTLLKTEEWVLVLPPWGRLYHWQSPDIHQVRIPW
+SEFFDLPSLNKNIPVIEYEQFIAESGGPFIDQVYVLQSYAEGWKEGTWEEKVDERPCIDQ
+LLYSQDKHEYYRGWFWGYEETRGLNVSCLSVQGSASIVAPLLLRNTSARSVMLDRAENLL
+HDHYGGKEYWDTRRSMVFARHLREVGDEFRSRHLNSTDDADRIPFQEDWMKMKVKLGSAL
+GGPYLGVHLRRKDFIWGHRQDVPSLEGAVRKIRSLMKTHRLDKVFVATDAVRKEYEELKK
+LLPEMVRFEPTWEELELYKDGGVAIIDQWICAHARFFIGTSVSTFSFRIHEEREI
+>O60502_PF07555_62 <unknown description>
+CGVVEGFYGRPWVMEQRKELFRRLQKWELNTYLYAPKDDYKHRMFWREMYSVEEAEQLMT
+LISAAREYEIEFIYAISPGLDITFSNPKEVSTLKRKLDQVSQFGCRSFALLFDDIDHNMC
+AADKEVFSSFAHAQVSITNEIYQYLGEPETFLFCPTEYCGTFCYPNVSQSPYLRTVGEKL
+LPGIEVLWTGPKVVSKEIPVESIEEVSKIIKRAPVIWDNIHANDYDQKRLFLGPYKGRST
+ELIPRLKGVLTNPNCEFEANYVAIHTLATWYKSNMNGVRKDVVM
+>Q9ULD0_PF16078_46 <unknown description>
+GGGSSYMEEMYFAWLENPQSVHKSWDSFFREASEE
+>Q9ULD0_PF00676_244 <unknown description>
+RLVRSMRFEDFLARKWSSEKRFGLEGCEVMIPALKTIIDKSSEMGIENVILGMPHRGRLN
+VLANVIRKDLEQIFCQFDPKLEAADEGSGDVKYHLGMYHERINRVTNRNITLSLVANPSH
+LEAVDPVVQGKTKAEQFYRGDAQGKKVMSILVHGDAAFAGQGVVYETFHLSDLPSYTTNG
+TVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNADDPEAVIYVCSVAAEWRNT
+FNKDVVVDLVCYRRRGHNEMDEPMFTQPLMYKQIHRQVPVLKKYADKLIAEGTVTLQEFE
+EEIAKYDRICEEAYGRSKDKKILHI
+>Q9ULD0_PF02779_637 <unknown description>
+TVDWALAEYMAFGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQEVDRRTCVPMNHLWPD
+QAPYTVCNSSLSEYGVLGFELGYAMASPNALVLWEAQFGDFHNTAQCIIDQFISTGQAKW
+VRHNGIVLLLPHGMEGMGPEHSSARPERFLQMSNDDSDAYPAFTKDFEVSQLYDCNWIVV
+NCSTPANYFHVLRRQILLPFRKPLIIFTPKSLLRHP
+>Q9ULD0_PF16870_855 <unknown description>
+KSSFDQMVSGTSFQRVIPEDGAAARAPEQVQRLIFCTGKVYYDLVKERSSQDLEEKVAIT
+RLEQISPFPFDLIKQEAEKYPGAELAWCQEEHKNMGYYDYISPRFMTILRRARPIWYVGR
+DPAAAPATGNRNTHLVSLKKFLDTAF
+>Q8N543_PF13661_141 <unknown description>
+MSCAKYEFTDALLCHDDELEGRRIAFILYLVPPWDRSMGGTLDLYSIDEHFQPKQIVKSL
+IPSWNKLVFFEVSPVSFHQVSEVLSEEKSRLSISGWFH
+>Q8N543_PF10637_262 <unknown description>
+DHEILYDWINPTYLDMDYQVQIQEEFEESSEILLKEFLKPEKFTKVCEALEHGHVEWSSR
+GPPNKRFYEKAEESKLPEILKECMKLFRSEALFLLLSNFTGLKLHFLAPSEEDEMNDKKE
+AETTDITEEGTSHSPPEPENNQMAISNNSQQSNEQTDPEPEENETKKESSVPMCQGELRH
+WKTGHYTLIHDHSKAEFALDLILYCGCEGWEPEYGGFTSYIAKGEDEELLTVNPESNSLA
+LVYRDRETLKFVKHINHRSLEQKKTFPNRTGFWDFSFIY
+>Q6PK18_PF13640_223 <unknown description>
+HDEYWHAHVDKVTYGSFDYTSLLYLSNYLEDFGGGRFMFMEEGANKTVEPRAGRVSFFTS
+GSENLHRVEKVHWGTRYAITI
+>Q9NZT2_PF04664_88 <unknown description>
+NGDTPNLSFYRNEIRFLPNGCFIEDILQNWTDNYDLLEDNHSYIQWLFPLREPGVNWHAK
+PLTLREVEVFKSSQEIQERLVRAYELMLGFYGIRLEDRGTGTVGRAQNYQKRFQNLNWRS
+HNNLRITRILKSLGELGLEHFQAPLVRFFLEETLVRRELPGVRQSALDYFMFAVRCRHQR
+RQLVHFAWEHFRPRCKFVWGPQDKL
+>Q9NZT2_PF04680_517 <unknown description>
+SPSETPGPSPAGPAGDEPAE
+>Q9NZT2_PF04680_537 <unknown description>
+SPSETPGPRPAGPAGDEPAE
+>Q9NZT2_PF04680_557 <unknown description>
+SPSETPGPRPAGPAGDEPAE
+>Q9NZT2_PF04680_577 <unknown description>
+SPSETPGPSPAGPTRDEPAE
+>Q9NZT2_PF04680_597 <unknown description>
+SPSETPGPRPAGPAGDEPAE
+>Q9NZT2_PF04680_617 <unknown description>
+SPSETPGPRPAGPAGDEPAE
+>Q9NZT2_PF04680_637 <unknown description>
+SPSETPGPSPAGPTRDEPA
+>O15527_PF07934_25 <unknown description>
+SIPCPRSELRLDLVLPSGQSFRWREQSPAHWSGVLADQVWTLTQTEEQLHCTVYRGDKSQ
+ASRPTPDELEAVRKYFQLDVTLAQLYHHWGSVDSHFQEVAQKFQGVRLLRQDPIECL
+>O15527_PF00730_143 <unknown description>
+SFICSSNNNIARITGMVERLCQAFGPRLIQLDDVTYHGFPSLQALAGPEVEAHLRKLGLG
+YRARYVSASARAILEEQGGLAWLQQLRESSYEEAHKALCILPGVGTKVADCICLMALDKP
+QAVPVDVHMWHIAQRDYSWHPTTSQ
+>Q15743_PF00001_39 <unknown description>
+NCLSLYFGYLQIKARNELGVYLCNLTVADLFYICSLPFWLQYVLQHDNWSHGDLSCQVCG
+ILLYENIYISVGFLCCISVDRYLAVAHPFRFHQFRTLKAAVGVSVVIWAKELLTSIYFLM
+HEEVIEDENQHRVCFEHYPIQAWQRAINYYRFLVGFLFPICLLLASYQGILRAVRRSHGT
+QKSRKDQIQRLVLSTVVIFLACFLPYHVLLLVRSVWEASCDFAKGVFNAYHFSLLLTSFN
+CVADPVLY
+>Q5TC84_PF04664_115 <unknown description>
+QNDLSNLRFYKNKIPFKPDGVYIEEVLSKWKGDYEKLEHNHTYIQWLFPLREQGLNFYAK
+ELTTYEIEEFKKTKEAIRRFLLAYKMMLEFFGIKLTDKTGNVARAVNWQERFQHLNESQH
+NYLRITRILKSLGELGYESFKSPLVKFILHEALVENTIPNIKQSALEYFVYTIRDRRERR
+KLLRFAQKHYTPSENFIWGPPRKEQ
+>O15294_PF13414_96 <unknown description>
+GNVYKERGQLQEAIEHYRHALRLKPDFIDGYINLAAALVAA
+>O15294_PF13181_163 <unknown description>
+LGNLLKALGRLEEAKACYLKAIETQPN
+>O15294_PF00515_191 <unknown description>
+AVAWSNLGCVFNAQGEIWLAIHHFEKAVTLDPNF
+>O15294_PF13181_226 <unknown description>
+DAYINLGNVLKEARIFDRAVAAYLRALSLSPNH
+>O15294_PF00515_259 <unknown description>
+AVVHGNLACVYYEQGLIDLAIDTYRRAIELQPHF
+>O15294_PF13424_295 <unknown description>
+AYCNLANALKEKGSVAEAEDCYNTALRLCPTHADSLNNLANIKREQGNIEEAVRLYRKAL
+EVFP
+>O15294_PF13414_373 <unknown description>
+QQGKLQEALMHYKEAIRISPTFADAYSNMGNTLKEM
+>O15294_PF13414_438 <unknown description>
+IHKDSGNIPEAIASYRTALKLKPDFPDAYCNLAH
+>O15294_PF13844_476 <unknown description>
+VCDWTDYDERMKKLVSIVADQLEKNRLPSVHPHHSMLYPLSHGFRKAIAERHGNLCLDKI
+NVLHKPPYEHPKDLKLSDGRLRVGYVSSDFGNHPTSHLMQSIPGMHNPDKFEVFCYALSP
+DDGTNFRVKVMAEANHFIDLSQIPCNGKAADRIHQDGIHILVNMNGYTKGARNELFALRP
+APIQAMWLGYPGTSGALFMDYIITDQETSPAEVAEQYSEKLAYMPHTFFIGDHANMFPHL
+KKKAVIDFKSNGHIYDNRIVLNGIDLKAFLDSLPDVKIVKMKCPDGGDNADSSNTALNMP
+VIPMNTIAEAVIEMINRGQIQITINGFSISNGLATTQINNKAATGEEVPRTIIVTTRSQY
+GLPEDAIVYCNFNQLYKIDPSTLQMWANILKRVPNSVLWLLRFPAVGEPNIQQYAQNMGL
+PQNRIIFSPVAPKEEHVRRGQLADVCLDTPLCNGHTTGMDVLWAGTPMVTMPGETLASRV
+AASQLTCLGCLELIAKNRQEYEDIAVKLGTDLEYLKKVRGKVWKQRISSPLFNTKQYTME
+L
+>Q8WWZ8_PF14670_186 <unknown description>
+CEQNNGGCSEICVNLKNSYRCECGVGRVLRSDGKTC
+>Q8WWZ8_PF14670_227 <unknown description>
+CHNNNGGCSHSCLGSEKGYQCECPRGLVLSEDNHTC
+>Q8WWZ8_PF00100_268 <unknown description>
+CKSNAIEVNIPRELVGGLELFLTNTSCRGVSNGTHVNILFSLKTCGTVVDVVNDKIVASN
+LVTGLPKQTPGSSGDFIIRTSKLLIPVTCEFPRLYTISEGYVPNLRNSPLEIMSRNHGIF
+PFTLEIFKDNEFEEPYREALPTLKLRDSLYFGIEPVVHVSGLESLVESCFATPTSKIDEV
+LKYYLIRDGCVSDDSVKQYTSRDHLAKHFQVPVFKFVGKDHKEVFLHCRVLVCGVLDERS
+RCAQGCH
+>Q9NTK5_PF01926_24 <unknown description>
+KIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPNESRVPVPDERFDFLCQYHKPASK
+IPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGIFHLTRAFEDDDITHVE
+>Q9NTK5_PF06071_305 <unknown description>
+LEYFFTAGPDEVRAWTIRKGTKAPQAAGKIHTDFEKGFIMAEVMKYEDFKEEGSENAVKA
+AGKYRQQGRNYIVEDGDIIFFKFN
+>Q6UWY5_PF02191_145 <unknown description>
+LMGIKSLKIVKKMMDTHGSWMKDAVYNSPKVYLLIGSRNNTVWEFANIRAFMEDNTKPAP
+RKQILTLSWQGTGQVIYKGFLFFHNQATSNEIIKYNLQKRTVEDRMLLPGGVGRALVYQH
+SPSTYIDLAVDEHGLWAIHSGPGTHSHLVLTKIEPGTLGVEHSWDTPCRSQDAEASFLLC
+GVLYVVYSTGGQGPHRITCIYDPLGTISEEDLPNLFFPKRPRSHSMIHYNPRDKQLYAWN
+EGNQIIYKLQ
+>Q9NRN5_PF02191_139 <unknown description>
+ISQVRSMKILKRFGGPAGLWTKDPLGQTEKIYVLDGTQNDTAFVFPRLRDFTLAMAARKA
+SRVRVPFPWVGTGQLVYGGFLYFARRPPGRPGGGGEMENTLQLIKFHLANRTVVDSSVFP
+AEGLIPPYGLTADTYIDLAADEEGLWAVYATREDDRHLCLAKLDPQTLDTEQQWDTPCPR
+ENAEAAFVICGTLYVVYNTRPASRARIQCSFDASGTLTPERAALPYFPRRYGAHASLRYN
+PRERQLYAWDDGYQIVYKLEM
+>Q6UX06_PF02191_252 <unknown description>
+VNISKPSVVQLNWRGFSYLYGAWGRDYSPQHPNKGLYWVAPLNTDGRLLEYYRLYNTLDD
+LLLYINARELRITYGQGSGTAVYNNNMYVNMYNTGNIARVNLTTNTIAVTQTLPNAAYNN
+RFSYANVAWQDIDFAVDENGLWVIYSTEASTGNMVISKLNDTTLQVLNTWYTKQYKPSAS
+NAFMVCGVLYATRTMNTRTEEIFYYYDTNTGKEGKLDIVMHKMQEKVQSINYNPFDQKLY
+VYNDGYLLNYDLS
+>Q8TAK6_PF00010_107 <unknown description>
+RRKINSRERKRMQDLNLAMDALREVILPYSAAHCQGAPGRKLSKIATLLLARNYILLL
+>Q13516_PF00010_110 <unknown description>
+RLKINSRERKRMHDLNIAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILML
+>Q7RTU3_PF00010_85 <unknown description>
+RLKINGRERKRMHDLNLAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILML
+>Q68BL7_PF02191_400 <unknown description>
+RAVDPPVRHHSYGRHEGAWMKDPAARDDRIYVTNYYYGNSLVEFRNLENFKQGRWSNMYK
+LPYNWIGTGHVVYQGAFYYNRAFTKNIIKYDLRQRFVASWALLPDVVYEDTTPWKWRGHS
+DIDFAVDESGLWVIYPAVDDRDEAQPEVIVLSRLDPGDLSVHRETTWKTRLRRNSYGNCF
+LVCGILYAVDTYNQQEGQVAYAFDTHTGTDARPQLPFLNEHAYTTQIDYNPKERVLYAWD
+NGHQLTYTLHF
+>Q68BL8_PF02191_499 <unknown description>
+STITGPTTQNTYGRNEGAWMKDPLAKDERIYVTNYYYGNTLVEFRNLENFKQGRWSNSYK
+LPYSWIGTGHVVYNGAFYYNRAFTRNIIKYDLKQRYVAAWAMLHDVAYEEATPWRWQGHS
+DVDFAVDENGLWLIYPALDDEGFSQEVIVLSKLNAADLSTQKETTWRTGLRRNFYGNCFV
+ICGVLYAVDSYNQRNANISYAFDTHTNTQIVPRLLFENEYSYTTQIDYNPKDRLLYAWDN
+GHQVTYHVIF
+>P78380_PF08391_35 <unknown description>
+WCLAAATLGVLCLGLVVTIMVLGMQLSQVSDLLTQEQANLTHQKKKLEGQISARQQAEEA
+SQESENELKEMIETLARKLNEKSKEQMELHHQNLNLQETLKRVANCSAPCPQDWIWHGEN
+CYLF
+>P78380_PF00059_164 <unknown description>
+NWEKSQEKCLSLDAKLLKINSTADLDFIQQAISYSSFPFWMGLSRRNPSYPWLWEDGSPL
+MPHLFRVRGAVSQTYPSGTCAYIQRGAVYAENCILAAFSICQK
+>Q96E52_PF01435_264 <unknown description>
+KEVLCHLIECNKDVPGISQINWVIHVVDSPIINAFVLPNGQMFVFTGFLNSVTDIHQLSF
+LLGHEIAHAVLGHAAEKAGMVHLLDFLGMIFLTMIWAICPRDSLALLCQWIQSKLQEYMF
+NRPYSRKLEAEADKIGLLLAAKACADIRASSVFWQQMEFVDSLHGQPKMPEWLSTHPSHG
+NRVEYLDR
+>Q99983_PF13855_93 <unknown description>
+IQQLYLQFNEIEAVTANSFINATHLKEINLSHNKIKSQKIDYGVFAKLPNLLQLHLEHNN
+L
+>Q99983_PF13855_162 <unknown description>
+KSLERLLLGYNEISKLQTNAMDGLVNLTMLDLCYNYL
+>Q99983_PF13855_234 <unknown description>
+SLMYLSLENNSISSIPEKYFDKLPKLHTLRMSHNKLQDIPYNIFNLPNIVELSVGHNKL
+>P23515_PF01462_25 <unknown description>
+ICPLQCICTERHRHVDCSGRNLSTLPSGL
+>P23515_PF00560_79 <unknown description>
+NLRTLDISNNRLESLPA
+>P23515_PF13855_168 <unknown description>
+KLHIVDLSNNSLTQILPGTLINLTNLTHLYLHNNKFTFIPDQSFDQLFQLQEITLYNNR
+>P47874_PF06554_11 <unknown description>
+LDMPLVLDQGLTRQMRLRVESLKQRGEKRQDGEKLLQPAESVYRLNFTQQQRLQFERWNV
+VLDKPGKVTITGTSQNWTPDLTNLMTRQLLDPTAIFWRKEDSDAIDWNEADALEFGERLS
+DLAKIRKVMYFLVTFGEGVEPANLKASVVFN
+>P13725_PF01291_38 <unknown description>
+LLGQLQKQTDLMQDTSRLLDPYIRIQGLDVPKLREHCRERPGAFPSEETLRGLGRRGFLQ
+TLNATLGCVLHRLADLEQRLPKAQDLERSGLNIEDLEKLQMARPNILGLRNNIYCMAQLL
+DNSDTAEPTKAGRGASQPPTPTPASDAFQRKLEGCRFLHGYHRFMHSVGRVF
+>P0CE72_PF13499_42 <unknown description>
+NQVKDVFRFIDNDQSGYLDEEELKFFLQKFESGARELTESETKSLMAAADNDGDGKIGAE
+EFQEMV
+>O95948_PF02376_331 <unknown description>
+EEINTKEVAQRITAELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRR
+MWKWLQEPEFQRMSA
+>O95948_PF00046_427 <unknown description>
+KKSRLVFTDLQRRTLFAIFKENKRPSKEMQITISQQLGLELTTVSNFFMNARRR
+>O60422_PF02376_319 <unknown description>
+EEINTKEVAQRITAELKRYSIPQAIFAQRILCRSQGTLSDLLRNPKPWSKLKSGRETFRR
+MWKWLQEPEFQRMSA
+>O60422_PF00046_415 <unknown description>
+KKQRLVFTDLQRRTLIAIFKENKRPSKEMQVTISQQLGLELNTVSNFFMNARRR
+>A6NGQ2_PF16005_36 <unknown description>
+IRPWWFPVQELRDPLVFYLEAWLADELFGPDRAIIPEMEWTSQALLTVDIVDSGNLVEIT
+VFGRPRVQNRVKSMLLCLAWFHREHR
+>O60313_PF00350_346 <unknown description>
+VVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDL
+TKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGM
+APDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKV
+>O60313_PF19434_535 <unknown description>
+SRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQV
+TTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKN
+EILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQ
+WTDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAE
+DSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQARLKDTENAIENMVGPDWKKRWLYWK
+NRTQEQCVHNETKNELEKMLKCNEEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQ
+VYRRHFLKTALNHCNLCRRGFYYYQRHFVDSELECNDVVLFWRIQRMLAITANTLRQQLT
+NTEVRRLEKNVKEVLEDFAEDGEKKIKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQE
+K
+>Q9H6K4_PF07047_9 <unknown description>
+AKLLYLGIRQVSKPLANRIKEAARRSEFFKTYICLPPAQLYHWVEMRTKMRIMGFRGTVI
+KPLNEEAAAELGAELLGEATIFIVGGGCLVLEYWRHQAQQRHKEEEQRAAWNALRDEVG
+>Q14982_PF07679_31 <unknown description>
+FPKAMDNVTVRQGESATLRCTIDDRVTRVAWLNRSTILYAGNDKWSIDPRVIILVNTPTQ
+YSIMIQNVDVYDEGPYTCSVQTDNHPKTSRVHLIV
+>Q14982_PF13927_128 <unknown description>
+PPQIMNISSDITVNEGSSVTLLCLAIGRPEPTVTWRHLSVKEGQGFVSEDEYLEISDIKR
+DQSGEYECSALN
+>Q14982_PF07679_220 <unknown description>
+SKAKNTGVSVGQKGILSCEASAVPMAEFQWFKEETRLATGLDGMRIENKGRMSTLTFFNV
+SEKDYGNYTCVATNKLGNTNASITL
+>O60890_PF16746_6 <unknown description>
+LEFSDCYLDSPDFRERLKCYEQELERTNKFIKDVIKDGNALISAMRNYSSAVQKFSQTLQ
+SFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVHNASDLLIKPLENFRKEQIG
+FTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLQEADLQVDKERHNFFESSLDYVYQI
+QEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFSSTREE
+MEEL
+>O60890_PF00169_267 <unknown description>
+TIEGYLYTQEKWALGISWVKYYCQYEKETKTLTMTPMEQKPGAKQGPLDLTLKYCVRRKT
+ESIDKRFCFDIETNERPGTITLQALSEANRRLWMEAM
+>O60890_PF00620_389 <unknown description>
+FVRKCINIIETKGIKTEGLYRTVGSNIQVQKLLNAFFDPKCPGDVDFHNSDWDIKTITSS
+LKFYLRNLSEPVMTYRLHKELVSAAKSDNLDYRLGAIHSLVYKLPEKNREMLELLIRHLV
+NVCEHSKENLMTPSNMGVIFGPTLMRAQED
+>O14841_PF05378_10 <unknown description>
+FAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRRILEQEAGMLLPRDQPL
+DSSHIASIRMGTTVATNALLERKGERVALLVTRGFRDLLHIGTQARGDLFDLAVPMPEVL
+YEEVLEVDERVVLHRGEAGTGTPVKGRTGDLLEVQQPVDLGALRGKLEGLLSRGIRSLAV
+VLMHSYTWAQHEQQVGVLAREL
+>O14841_PF01968_231 <unknown description>
+RGHTACADAYLTPAIQRYVQGFCRGFQGQLKDVQVLFMRSDGGLAPMDTFSGSSAVLSGP
+AGGVVGYSATTYQQEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDIN
+TVAAGGGSRLFFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIF
+GPGENQPLSPEASRKALEAVATEVNSFLTNGPCPASPLSLEEVAMGFVRVANEAMCRPIR
+ALTQARGHDPSAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHE
+>O14841_PF19278_545 <unknown description>
+VQLDQRLSRLEEQCVDALQAQGFPRSQISTESFLHLRYQGTDCALMVSAHQHPATARSPR
+AGDFGAAFVERYMREFGFVIPERPVVVDDVRVRGTGRSGLRLEDAPKAQTGPPRVDKMTQ
+CYFEGGYQETPVYLLAELGYGHKLHGPCLIIDSNSTILVEPGCQAE
+>O14841_PF02538_734 <unknown description>
+DPIQLSIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDGGLVSNAPHIPVHL
+GAMQETVQFQIQHLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFWPGQTRPVFYVASR
+GHHADIGGITPGSMPPHSTMLQQEGAVFLSFKLVQGGVFQEEAVTEALRAPGKVPNCSGT
+RNLHDNLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANAELAVRDMLRAFGT
+SRQARGLPLEVSSEDHMDDGSPIRLRVQISLSQGSAVFDFSGTGPEVFGNLNAPRAVTLS
+ALIYCLRCLVGRDIPLNQGCLAPVRVVIPRGSILDPSPEAAVVGGNVLTSQRVVDVILGA
+FGACAASQGCMNNVTLGNAHMGYYETVAGGAGAGPSWHGRSGVHSHMTNTRITDPEILES
+RYPVILRRFELRRGSGGRGRFRGGDGVTRELLFREEALLSVLTERRAFRPYGLHGGEPGA
+RGLNLLIRKNGRTVNLGGKTSVTVYPGDVFCLHTPGGGGYGDP
+>Q9H1Y3_PF00001_59 <unknown description>
+NLLVLVLYYKFQRLRTPTHLLLVNISLSDLLVSLFGVTFTFVSCLRNGWVWDTVGCVWDG
+FSGSLFGIVSIATLTVLAYERYIRVVHARVINFSWAWRAITYIWLYSLAWAGAPLLGWNR
+YILDVHGLGCTVDWKSKDANDSSFVLFLFLGCLVVPLGVIAHCYGHILYSIRMLRCVEDL
+QTIQVIKILKYEKKLAKMCFLMIFTFLVCWMPYIVICFLVVNGHGHLVTPTISIVSYLFA
+KSNTVYNPVIY
+>Q9UHM6_PF00001_87 <unknown description>
+GNLTVIYTFCRSRSLRTPANMFIINLAVSDFLMSFTQAPVFFTSSLYKQWLFGETGCEFY
+AFCGALFGISSMITLTAIALDRYLVITRPLATFGVASKRRAAFVLLGVWLYALAWSLPPF
+FGWSAYVPEGLLTSCSWDYMSFTPAVRAYTMLLCCFVFFLPLLIIIYCYIFIFRAIRETG
+RALQTFGACKGNGESLWQRQRLQSECKMAKIMLLVILLFVLSWAPYSAVALVAFAGYAHV
+LTPYMSSVPAVIAKASAIHNPIIY
+>Q6U736_PF00001_50 <unknown description>
+GNGYVLYMSSRRKKKLRPAEIMTINLAVCDLGISVVGKPFTIISCFCHRWVFGWIGCRWY
+GWAGFFFGCGSLITMTAVSLDRYLKICYLSYGVWLKRKHAYICLAAIWAYASFWTTMPLV
+GLGDYVPEPFGTSCTLDWWLAQASVGGQVFILNILFFCLLLPTAVIVFSYVKIIAKVKSS
+SKEVAHFDSRIHSSHVLEMKLTKVAMLICAGFLIAWIPYAVVSVWSAFGRPDSIPIQLSV
+VPTLLAKSAAMYNPIIY
+>P41143_PF00001_66 <unknown description>
+GNVLVMFGIVRYTKMKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELLCKAVL
+SIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVGVPIMV
+MAVTRPRDGAVVCMLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRLRSVRL
+LSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDIDRRDPLVVAALHLCIA
+LGYANSSLNPVLY
+>P41145_PF00001_76 <unknown description>
+GNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSTVYLMNSWPFGDVLCKIVI
+SIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLSSSVGISAIV
+LGGTKVREDVDVIECSLQFPDDDYSWWDLFMKICVFIFAFVIPVLIIIVCYTLMILRLKS
+VRLLSGSREKDRNLRRITRLVLVVVAVFVVCWTPIHIFILVEALGSTSHSTAALSSYYFC
+IALGYTNSSLNPILY
+>P35372_PF00001_87 <unknown description>
+GNFLVMYVIVRYTKMKTATNIYIFNLALADALATSTLPFQSVNYLMGTWPFGTILCKIVI
+SIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIINVCNWILSSAIGLPVMF
+MATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLIITVCYGLMILRLKSVRM
+LSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALVTIPETTFQTVSWHFCIAL
+GYTNSCLNPVLY
+>P41146_PF00001_68 <unknown description>
+GNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALCKTVI
+AIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGVPVAI
+MGSAQVEDEEIECLVEIPTPQDYWGPVFAICIFLFSFIVPVLVISVCYSLMIRRLRGVRL
+LSGSREKDRNLRRITRLVLVVVAVFVGCWTPVQVFVLAQGLGVQPSSETAVAILRFCTAL
+GYVNSCLNPILY
+>P03999_PF00001_49 <unknown description>
+NAMVLVATLRYKKLRQPLNYILVNVSFGGFLLCIFSVFPVFVASCNGYFVFGRHVCALEG
+FLGTVAGLVTGWSLAFLAFERYIVICKPFGNFRFSSKHALTVVLATWTIGIGVSIPPFFG
+WSRFIPEGLQCSCGPDWYTVGTKYRSESYTWFLFIFCFIVPLSLICFSYTQLLRALKAVA
+AQQQESATTQKAEREVSRMVVVMVGSFCVCYVPYAAFAMYMVNNRNHGLDLRLVTIPSFF
+SKSACIYNPIIY
+>P08100_PF10413_2 <unknown description>
+NGTEGPNFYVPFSNATGVVRSPFEYPQYYLAEPWQF
+>P08100_PF00001_55 <unknown description>
+NFLTLYVTVQHKKLRTPLNYILLNLAVADLFMVLGGFTSTLYTSLHGYFVFGPTGCNLEG
+FFATLGGEIALWSLVVLAIERYVVVCKPMSNFRFGENHAIMGVAFTWVMALACAAPPLAG
+WSRYIPEGLQCSCGIDYYTLKPEVNNESFVIYMFVVHFTIPMIIIFFCYGQLVFTVKEAA
+AQQQESATTQKAEKEVTRMVIIMVIAFLICWVPYASVAFYIFTHQGSNFGPIFMTIPAFF
+AKSAAIYNPVIY
+>P0DN77_PF00001_70 <unknown description>
+TNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISVVNQVYGYFVLGHPMCVLE
+GYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIF
+GWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCITPLSIIVLCYLQVWLAIRAV
+AKQQKESESTQKAEKEVTRMVVVMVLAFCFCWGPYAFFACFAAANPGYPFHPLMAALPAF
+FAKSATIYNPVIY
+>P0DN78_PF00001_70 <unknown description>
+TNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISVVNQVYGYFVLGHPMCVLE
+GYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIF
+GWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCITPLSIIVLCYLQVWLAIRAV
+AKQQKESESTQKAEKEVTRMVVVMVLAFCFCWGPYAFFACFAAANPGYPFHPLMAALPAF
+FAKSATIYNPVIY
+>P04001_PF00001_70 <unknown description>
+TNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISVVNQVYGYFVLGHPMCVLE
+GYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIF
+GWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCITPLSIIVLCYLQVWLAIRAV
+AKQQKESESTQKAEKEVTRMVVVMVLAFCFCWGPYAFFACFAAANPGYPFHPLMAALPAF
+FAKSATIYNPVIY
+>P04000_PF00001_70 <unknown description>
+TNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISIVNQVSGYFVLGHPMCVLE
+GYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIF
+GWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCIIPLAIIMLCYLQVWLAIRAV
+AKQQKESESTQKAEKEVTRMVVVMIFAYCVCWGPYTFFACFAAANPGYAFHPLMAALPAY
+FAKSATIYNPVIY
+>O14718_PF00001_43 <unknown description>
+NIIVLGIFIKYKELRTPTNAIIINLAVTDIGVSSIGYPMSAASDLYGSWKFGYAGCQVYA
+GLNIFFGMASIGLLTVVAVDRYLTICLPDVGRRMTTNTYIGLILGAWINGLFWALMPIIG
+WASYAPDPTGATCTINWRKNDRSFVSYTMTVIAINFIVPLTVMFYCYYHVTLSIKHHTTS
+DCTESLNRDWSDQIDVTKMSVIMICMFLVAWSPYSIVCLWASFGDPKKIPPPMAIIAPLF
+AKSSTFYNPCIY
+>Q96CV9_PF11577_37 <unknown description>
+PEELLQQMKELLTENHQLKEAMKLNNQAMKGRFEELSAWTEKQKEERQFFEIQSKEAKER
+LMALSHEN
+>Q96CV9_PF16516_408 <unknown description>
+EELTRKESEKVDRAVLKELSEKLELAEKALASKQLQMDEMKQTIAKQEEDLETMTILRAQ
+MEVYCSDFHAERAAREKIHEEKEQLALQLAVLLKENDA
+>Q96CV9_PF18414_551 <unknown description>
+PIHSCPKCGEVLPDIDTLQIHVMDCI
+>Q9UBM4_PF13855_157 <unknown description>
+YLYARFNRISRIRAEDFKGLTKLKRIDLSNNLISSIDNDAFRLLHALQDLILPENQL
+>Q9P1Q5_PF13853_31 <unknown description>
+FLFIYPITLIGNLLIVLAICSDVRLHNPMYFLLANLSLVDIFFSSVTIPKMLANHLLGSK
+SISFGGCLTQMYFMIALGNTDSYILAAMAYDRAVAISRPLHYTTIMSPRSCIWLIAGSWV
+IGNANALPHTLLTASLSFCGNQEVANFYCDITPLLKLSCSDIHFHVKMMYLGVGIFSVPL
+LCIIVSYIRVFSTVFQVPSTKGVLKAFSTCGSHLTVVSLYYGTVMGTYFRPLTNYSLKDA
+VITVMYTAVTPMLNPFIYSLRNRDMKAALRKLFN
+>Q9Y585_PF13853_32 <unknown description>
+LCIYPITLTGNLLIILAICADIRLHNPMYFLLANLSLVDIIFSSVTIPKVLANHLLGSKF
+ISFGGCLMQMYFMIALAKADSYTLAAMAYDRAVAISCPLHYTTIMSPRSCILLIAGSWVI
+GNTSALPHTLLTASLSFCGNQEVANFYCDIMPLLKLSCSDVHFNVKMMYLGVGVFSLPLL
+CIIVSYVQVFSTVFQVPSTKSLFKAFCTCGSHLTVVFLYYGTTMGMYFRPLTSYSPKDAV
+ITVMYVAVTPALNPFIYSLRNWDMKAALQKLFSK
+>Q15619_PF13853_32 <unknown description>
+LCMYLATTLGNMLIIATIGFDSHLHSPMYFFLSNLAFVDICFTSTTVPQMVVNILTGTKT
+ISFAGCLTQLFFFVSFVNMDSLLLCVMAYDRYVAICHPLHYTARMNLCLCVQLVAGLWLV
+TYLHALLHTVLIAQLSFCASNIIHHFFCDLNPLLQLSCSDVSFNVMIIFAVGGLLALTPL
+VCILVSYGLIFSTVLKITSTQGKQRAVSTCSCHLSVVVLFYGTAIAVYFSPSSPHMPESD
+TLSTIMYSMVAPMLNPFIYTLRNRDMKRGLQKMLL
+>P34982_PF13853_32 <unknown description>
+LSMYLVTVVGNVLIILAISSDSRLHTPVYFFLANLSFTDLFFVTNTIPKMLVNLQSHNKA
+ISYAGCLTQLYFLVSLVALDNLILAVMAYDRYVAICCPLHYTTAMSPKLCILLLSLCWVL
+SVLYGLIHTLLMTRVTFCGSRKIHYIFCEMYVLLRMACSNIQINHTVLIATGCFIFLIPF
+GFVIISYVLIIRAILRIPSVSKKYKAFSTCASHLGAVSLFYGTLCMVYLKPLHTYSVKDS
+VATVMYAVVTPMMNPFIYSLRNKDMHGALGRLL
+>P58170_PF13853_32 <unknown description>
+LSMYLVTVLGNVLIILAISSDSHLHTPMYFFLANLSFTDLFFVTNTIPKMLVNFQSQNKA
+ISYAGCLTQLYFLVSLVTLDNLILAVMAYDRYVATCCPLHYVTAMSPGLCVLLLSLCWGL
+SVLYGLLLTFLLTRVTFCGPREIHYLFCDMYILLWLACSNTHIIHTALIATGCFIFLTPL
+GFMTTSYVRIVRTILQMPSASKKYKTFSTCASHLGVVSLFYGTLAMVYLQPLHTYSMKDS
+VATVMYAVLTPMMNPFIYRLRNKDMHGAPGRVL
+>P30953_PF13853_31 <unknown description>
+FLAMYLTTLLGNLLIIVLIRLDSHLHTPMYLFLSNLSFSDLCFSSVTIPKLLQNMQNQDP
+SIPYADCLTQMYFFLLFGDLESFLLVAMAYDRYVAICFPLHYTAIMSPMLCLALVALSWV
+LTTFHAMLHTLLMARLCFCADNVIPHFFCDMSALLKLAFSDTRVNEWVIFIMGGLILVIP
+FLLILGSYARIVSSILKVPSSKGICKAFSTCGSHLSVVSLFYGTVIGLYLCSSANSSTLK
+DTVMAMMYTVVTPMLNPFIYSLRNRDMKGALSRVIHQK
+>P47887_PF13853_31 <unknown description>
+FLAMYLTTLLGNLLIIVLIRLDSHLHTPVYLFLSNLSFSDLCFSSVTMPKLLQNMQNQDP
+SIPYADCLTQMYFFLYFSDLESFLLVAMAYDRYVAICFPMHYTAICFLLHYTAIMSPMLC
+LSVVALSWVLTTFHAMLHTLLMARLCFCADNVIPHFFCDMSALLKLACSDTRVNEWVIFI
+MGGLILVIPFLLILGSYARIVSSILKVPSSKGICKAFSTCGSHLSVVSLFYGTVIGLYLC
+PSANSSTLKDTVMAMMYTVVTPMLTPFIYSLRNRDMKGALERVICK
+>P47890_PF13853_31 <unknown description>
+FLFMYLVTVAGNLLIILVIITDTQLHTPMYFFLANLSLADACFVSTTVPKMLANIQIQSQ
+AISYSGCLLQLYFFMLFVMLEAFLLAVMAYDCYVAICHPLHYILIMSPGLCIFLVSASWI
+MNALHSLLHTLLMNSLSFCANHEIPHFFCDINPLLSLSCTDPFTNELVIFITGGLTGLIC
+VLCLIISYTNVFSTILKIPSAQGKRKAFSTCSSHLSVVSLFFGTSFCVDFSSPSTHSAQK
+DTVASVMYTVVTPMLNPFIYSLRNQEIKSSLRKLI
+>O60431_PF13853_33 <unknown description>
+STYLVTIIGNALIILAIITDSHLHTPMYFFLFNLSLVDTLLSSTTVPKMLANIQAQSRAI
+PFVGCLTQMYAFHLFGTMDSFLLAVMAIDRFVAIVHPQRYLVLMCSPVCGLLLGASWMIT
+NLQSLIHTCLMAQLTFCAGSEISHFFCDLMPLLKLSGSDTHTNELVIFAFGIVVGTSPFS
+CILLSYIRIFWTVFKIPSTRGKWKAFSTCGLHLTVVSLSYGTIFAVYLQPTSPSSSQKDK
+AAALMCGVFIPMLNPFIYSIRNKDMKAALGKL
+>Q8NGS3_PF13853_33 <unknown description>
+GMYLTTVLGNLLIMLLIQLDSHLHTPMYFFLSHLALTDISFSSVTVPKMLMNMQTQHLAV
+FYKGCISQTYFFIFFADLDSFLITSMAYDRYVAICHPLHYATIMTQSQCVMLVAGSWVIA
+CACALLHTLLLAQLSFCADHIIPHYFCDLGALLKLSCSDTSLNQLAIFTAALTAIMLPFL
+CILVSYGHIGVTILQIPSTKGICKALSTCGSHLSVVTIYYRTIIGLYFLPPSSNTNDKNI
+IASVIYTAVTPMLNPFIYSLRNKDIKGALRKLLS
+>Q8NGS2_PF13853_33 <unknown description>
+GMYLTTVLGNLLIMLLIQLDSHLHTPMYFFLSHLALTDISFSSVTVPKMLMDMRTKYKSI
+LYEECISQMYFFIFFTDLDSFLITSMAYDRYVAICHPLHYTVIMREELCVFLVAVSWILS
+CASSLSHTLLLTRLSFCAANTIPHVFCDLAALLKLSCSDIFLNELVMFTVGVVVITLPFM
+CILVSYGYIGATILRVPSTKGIHKALSTCGSHLSVVSLYYGSIFGQYLFPTVSSSIDKDV
+IVALMYTVVTPMLNPFIYSLRNRDMKEALGKLFS
+>Q8NGS1_PF13853_33 <unknown description>
+GMYLITVLGNLLIILLIRLDSHLHTPMFFFLSHLALTDISLSSVTVPKMLLSMQTQDQSI
+LYAGCVTQMYFFIFFTDLDNFLLTSMAYDRYVAICHPLRYTTIMKEGLCNLLVTVSWILS
+CTNALSHTLLLAQLSFCADNTIPHFFCDLVALLKLSCSDISLNELVIFTVGQAVITLPLI
+CILISYGHIGVTILKAPSTKGIFKALSTCGSHLSVVSLYYGTIIGLYFLPSSSASSDKDV
+IASVMYTVITPLLNPFIYSLRNRDIKGALERLF
+>Q8NGR3_PF13853_32 <unknown description>
+FLLLYVASLLGNGLIVAAIQASPALHAPMYFLLAHLSFADLCFASVTVPKMLANLLAHDH
+SISLAGCLTQMYFFFALGVTDSCLLAAMAYDCYVAIRHPLPYATRMSRAMCAALVGMAWL
+VSHVHSLLYILLMARLSFCASHQVPHFFCDHQPLLRLSCSDTHHIQLLIFTEGAAVVVTP
+FLLILASYGAIAAAVLQLPSASGRLRAVSTCGSHLAVVSLFYGTVIAVYFQATSRREAEW
+GRVATVMYTVVTPMLNPIIYSLWNRDVQGALRALL
+>Q8NH93_PF13853_31 <unknown description>
+FLIIYLVTLMGNLLIILAIHSDPRLQNPMYFFLSILSFADICYTTVIVPKMLVNFLSEKK
+TISYAECLAQMYFFLVFGNIDSYLLAAMAINRCVAICNPFHYVTVMNRRCCVLLLAFPIT
+FSYFHSLLHVLLVNRLTFCTSNVIHHFFCDVNPVLKLSCSSTFVNEIVAMTEGLASVMAP
+FVCIIISYLRILIAVLKIPSAAGKHKAFSTCSSHLTVVILFYGSISYVYLQPLSSYTVKD
+RIATINYTVLTSVLNPFIYSLRNKDMKRGLQKLI
+>Q8NGR5_PF13853_32 <unknown description>
+FLIMYLLTAVGNVLIILAIYSDPRLHTPMYFFLSNLSFMDICFTTVIVPKMLVNFLSETK
+IISYVGCLIQMYFFMAFGNTDSYLLASMAIDRLVAICNPLHYDVVMKPWHCLLMLLGSCS
+ISHLHSLFRVLLMSRLSFCASHIIKHFFCDTQPVLKLSCSDTSSSQMVVMTETLAVIVTP
+FLCTIFSYLQIIVTVLRIPSAAGKWKAFSTCGSHLTVVVLFYGSVIYVYFRPLSMYSVMK
+GRVATVMYTVVTPMLNPFIYSLRNKDMKRGLKK
+>Q8NGR8_PF13853_32 <unknown description>
+FLIVYLVTITGNLLIILAIRFNPHLQTPMYFFLSFLSLTDICFTTSVVPKMLMNFLSEKK
+TISYAGCLTQMYFLYALGNSDSCLLAVMAFDRYVAVCDPFHYVTTMSHHHCVLLVAFSCS
+FPHLHSLLHTLLLNRLTFCDSNVIHHFLCDLSPVLKLSCSSIFVNEIVQMTEAPIVLVTR
+FLCIAFSYIRILTTVLKIPSTSGKRKAFSTCGFYLTVVTLFYGSIFCVYLQPPSTYAVKD
+HVATIVYTVLSSMLNPFIYSLRNKDLKQGLRKLMSK
+>Q8NGA1_PF13853_32 <unknown description>
+FCMYLVMVVGNLLIILAISIDSHLHTPMYFFLANLSLVDFCLATNTIPKMLVSLQTGSKA
+ISYPCCLIQMYFFHFFGIVDSVIIAMMAYDRFVAICHPLHYAKIMSLRLCRLLVGALWAF
+SCFISLTHILLMARLVFCGSHEVPHYFCDLTPILRLSCTDTSVNRIFILIVAGMVIATPF
+VCILASYARILVAIMKVPSAGGRKKAFSTCSSHLSVVALFYGTTIGVYLCPSSVLTTVKE
+KASAVMYTAVTPMLNPFIYSLRNRDLKGALRKLVNR
+>Q8NGS0_PF13853_30 <unknown description>
+LCMYLVTLTGNLLIILAIGSDLHLHTPMYFFLANLSFVDMGLTSSTVTKMLVNIQTRHHT
+ISYTGCLTQMYFFLMFGDLDSFFLAAMAYDRYVAICHPLCYSTVMRPQVCALMLALCWVL
+TNIVALTHTFLMARLSFCVTGEIAHFFCDITPVLKLSCSDTHINEMMVFVLGGTVLIVPF
+LCIVTSYIHIVPAILRVRTRGGVGKAFSTCSSHLCVVCVFYGTLFSAYLCPPSIASEEKD
+IAAAAMYTIVTPMLNPFIYSLRNKDMKGALKRLFS
+>Q15612_PF13853_31 <unknown description>
+FSLMYAINISGNLAIITLILSAPRLHIPMYIFLSNLALTDICFTSTTVPKMLQIIFSPTK
+VISYTGCLAQTYFFICFAVMENFILAVMAYDRYIAICHPFHYTMILTRMLCVKMVVMCHA
+LSHLHAMLHTFLIGQLIFCADNRIPHFFCDLYALMKISCTSTYLNTLMIHTEGAVVISGA
+LAFITASYACIILVVLRIPSAKGRWKTFSTCGSHLTVVAIFYGTLSWVYFRPLSSYSVTK
+GRIITVVYTVVTPMLNPFIYSLRNGDVKGGFMKW
+>Q8NGT9_PF13853_30 <unknown description>
+FSLFYIFTLLGNGAILGLISLDSRLHTPMYFFLSHLAVVDIAYTRNTVPQMLANLLHPAK
+PISFAGCMTQTFLCLSFGHSECLLLVLMSYDRYVAICHPLRYSVIMTWRVCITLAVTSWT
+CGSLLALAHVVLILRLPFSGPHEINHFFCEILSVLRLACADTWLNQVVIFAACVFFLVGP
+PSLVLVSYSHILAAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIIMYMAPKSRHPEEQ
+QKVFFLFYSFFNPTLNPLIYSLRNGEVKGALRRAL
+>Q6IF42_PF13853_30 <unknown description>
+FSVFYTLTLLGNGVIFGIICLDSKLHTPMYFFLSHLAIIDMSYASNNVPKMLANLMNQKR
+TISFVPCIMQTFLYLAFAVTECLILVVMSYDRYVAICHPFQYTVIMSWRVCTILVLTSWS
+CGFALSLVHEILLLRLPFCGPRDVNHLFCEILSVLKLACADTWVNQVVIFATCVFVLVGP
+LSLILVSYMHILGAILKIQTKEGRIKAFSTCSSHLCVVGLFFGIAMVVYMVPDSNQREEQ
+EKMLSLFHSVFNPMLNPLIYSLRNAQLKGALHRALQRK
+>O95047_PF13853_30 <unknown description>
+FSLFYVFTLLGNGTILGLISLDSRLHAPMYFFLSHLAVVDIAYACNTVPRMLVNLLHPAK
+PISFAGRMMQTFLFSTFAVTECLLLVVMSYDLYVAICHPLRYLAIMTWRVCITLAVTSWT
+TGVLLSLIHLVLLLPLPFCRPQKIYHFFCEILAVLKLACADTHINENMVLAGAISGLVGP
+LSTIVVSYMCILCAILQIQSREVQRKAFRTCFSHLCVIGLVYGTAIIMYVGPRYGNPKEQ
+KKYLLLFHSLFNPMLNPLICSLRNSEVKNTLKRVL
+>Q96R48_PF13853_30 <unknown description>
+FSLLYVFTLLGNGAILGLIWLDSRLHTPMYFFLSHLAIIDISYASNNVPKMLTNLGLNKR
+KTISFVPCTMQTFLYMAFAHTECLILVMMSYDRYMAICHPLQYSVIMRWGVCTVLAVTSW
+ACGSLLALVHVVLILRLPFCGPHEINHFFCEILSVLKLACADTWLNQVVIFAASVFILVG
+PLCLVLVSYSRILAAILRIQSGEGRRKAFSTCSSHLCMVGLFFGSAIVMYMAPKSRHPEE
+QQKVLSLFYSLFNPMLNPLIYSLRNAEVKGALKRVLW
+>Q96R45_PF13853_30 <unknown description>
+FSLFYVFTLLGNGTILGLISLDSRLHAPMYFFLSHLAVVDIAYACNTVPRMLVNLLHPAK
+PISFAGRMMQTFLFSTFAVTECLLLVVMSYDLYVAICHPLRYLAIMTWRVCITLAVTSWT
+TGVLLSLIHLVLLLPLPFCRPQKIYHFFCEILAVLKLACADTHINENMVLAGAISGLVGP
+LSTIVVSYMCILCAILQIQSREVQRKAFCTCFSHLCVIGLFYGTAIIMYVGPRYGNPKEQ
+KKYLLLFHSLFNPMLNPLICSLRNSEVKNTLKRVL
+>Q9GZK3_PF13853_32 <unknown description>
+LFSYILTIFGNLTIILVSHVDFKLHTPMYFFLSNLSLLDLCYTTSTVPQMLVNICNTRKV
+ISYGGCVAQLFIFLALGSTECLLLAVMCFDRFVAICRPLHYSIIMHQRLCFQLAAASWIS
+GFSNSVLQSTWTLKMPLCGHKEVDHFFCEVPALLKLSCVDTTANEAELFFISVLFLLIPV
+TLILISYAFIVQAVLRIQSAEGQRKAFGTCGSHLIVVSLFYGTAISMYLQPPSPSSKDRG
+KMVSLFCGIIAPMLNPLIYTLRNKEVKEAFKRLVA
+>O76000_PF13853_34 <unknown description>
+SYTITIFGNVSIMMVCILDPKLHTPMYFFLTNLSILDLCYTTTTVPHMLVNIGCNKKTIS
+YAGCVAHLIIFLALGATECLLLAVMSFDRYVAVCRPLHYVVIMNYWFCLRMAAFSWLIGF
+GNSVLQSSLTLNMPRCGHQEVDHFFCEVPALLKLSCADTKPIEAELFFFSVLILLIPVTL
+ILISYGFIAQAVLKIRSAEGRQKAFGTCGSHMIVVSLFYGTAIYMYLQPPSSTSKDWGKM
+VSLFYGIITSMLNSLIYSLRNKDMKEAFKRLM
+>P58173_PF13853_33 <unknown description>
+ISYTVTIFGNLTIILVSRLDTKLHTPMYFFLTNLSLLDLCYTTCTVPQMLVNLCSIRKVI
+SYRGCVAQLFIFLALGATEYLLLAVMSFDRFVAICRPLHYSVIMHQRLCLQLAAASWVTG
+FSNSVWLSTLTLQLPLCDPYVIDHFLCEVPALLKLSCVETTANEAELFLVSELFHLIPLT
+LILISYAFIVRAVLRIQSAEGRQKAFGTCGSHLIVVSLFYSTAVSVYLQPPSPSSKDQGK
+MVSLFYGIIAPMLNPLIYTLRNKEVKEGFKRLV
+>Q5JQS5_PF13853_37 <unknown description>
+LSYVLAMLGNVAIILASRVDPQLHSPMYIFLSHLSFLDLCYTTTTVPQMLVNMGSSQKTI
+SYGGCTVQYAVFHWLGCTECIVLAAMALDRYVAICKPLHYAVLMHRALCQQLVALAWLSG
+FGNSFVQVVLTVQLPFCGRQVLNNFFCEVPAVIKLSCADTAVNDTILAVLVAFFVLVPLA
+LILLSYGFIARAVLRIQSSKGRHKAFGTCSSHLMIVSLFYLPAIYMYLQPPSSYSQEQGK
+FISLFYSIITPTLNPFTYTLRNKDMKGALRRLLA
+>O95371_PF13853_33 <unknown description>
+FSYLLTLLGNSTIILLSRLEARLHTPMYFFLSNLSSLDLAFATSSVPQMLINLWGPGKTI
+SYGGCITQLYVFLWLGATECILLVVMAFDRYVAVCRPLRYTAIMNPQLCWLLAVIACLGG
+LGNSVIQSTFTLQLPLCGHRRVEGFLCEVPAMIKLACGDTSLNQAVLNGVCTFFTAVPLS
+IIVISYCLIAQAVLKIRSAEGRRKAFNTCLSHLLVVFLFYGSASYGYLLPAKNSKQDQGK
+FISLFYSLVTPMVNPLIYTLRNMEVKGALRRLL
+>Q8N628_PF13853_35 <unknown description>
+FYMVSILGNGIIILVSHTDVHLHTPMYFFLANLPFLDMSFTTSIVPQLLANLWGPQKTIS
+YGGCVVQFYISHWLGATECVLLATMSYDRYAAICRPLHYTVIMHPQLCLGLALASWLGGL
+TTSMVGSTLTMLLPLCGNNCIDHFFCEMPLIMQLACVDTSLNEMEMYLASFVFVVLPLGL
+ILVSYGHIARAVLKIRSAEGRRKAFNTCSSHVAVVSLFYGSIIFMYLQPAKSTSHEQGKF
+IALFYTVVTPALNPLIYTLRNTEVKSALRHMVLE
+>Q9H210_PF13853_34 <unknown description>
+VYLVTVLGNLLLISLVHVDSQLHTPMYFFLCNLSLADLCFSTNIVPQALVHLLSRKKVIA
+FTLCAARLLFFLIFGCTQCALLAVMSYDRYVAICNPLRYPNIMTWKVCVQLATGSWTSGI
+LVSVVDTTFILRLPYRGSNSIAHFFCEAPALLILASTDTHASEMAIFLMGVVILLIPVFL
+ILVSYGRIIVTVVKMKSTVGSLKAFSTCGSHLMVVILFYGSAIITYMTPKSSKQQEKSVS
+VFYAIVTPMLNPLIYSLRNKDVKAALRKV
+>Q8NGH3_PF13853_47 <unknown description>
+FLIIYLLTVLGNQLIIILIFLDSRLHTPMYFFLRNLSFADLCFSTSIVPQVLVHFLVKRK
+TISFYGCMTQIIVFLLVGCTECALLAVMSYDRYVAVCKPLYYSTIMTQRVCLWLSFRSWA
+SGALVSLVDTSFTFHLPYWGQNIINHYFCEPPALLKLASIDTYSTEMAIFSMGVVILLAP
+VSLILGSYWNIISTVIQMQSGEGRLKAFSTCGSHLIVVVLFYGSGIFTYMRPNSKTTKEL
+DKMISVFYTAVTPMLNPIIYSLRNKDVKGALRKLVG
+>Q13607_PF13853_31 <unknown description>
+FLVMYVVTVLGNCLIVLLIRLDSRLHTPMYFFLTNLSLVDVSYATSVVPQLLAHFLAEHK
+AIPFQSCAAQLFFSLALGGIEFVLLAVMAYDRYVAVCDALRYSAIMHGGLCARLAITSWV
+SGFISSPVQTAITFQLPMCRNKFIDHISCELLAVVRLACVDTSSNEVTIMVSSIVLLMTP
+FCLVLLSYIQIISTILKIQSREGRKKAFHTCASHLTVVALCYGVAIFTYIQPHSSPSVLQ
+EKLFSVFYAILTPMLNPMIYSLRNKEVKGAWQKLL
+>O95006_PF13853_32 <unknown description>
+LVTYLMTVLGNCLIVLLIRLDSRLHTPMYFFLTNLSLVDVSYATSVVPQLLAHFLAEHKA
+IPFQSCAAQLFFSLALGGIEFVLLAVMAYDRHVAVSDRLRYSAIMHGGLCARLAITSWVS
+GSINSLVQTAITFQLPMCTNKFIDHISCELLAVVRLACVDTSSNEAAIMVSSIVLLMTPF
+CLVLLSYIRIISTILKIQSREGRKKAFHTCASHLTVVALCYGTTIFTYIQPHSGPSVLQE
+KLISVFYAIVMPLLNPVIYSLRNKEVKGAWHKLL
+>Q8NGZ5_PF13853_36 <unknown description>
+ILYLLTILGNTTIILVSRLEPKLHMPMYFFLSHLSFLYRCFTSSVIPQLLVNLWEPMKTI
+AYGGCLVHLYNSHALGSTECVLPAVMSCDRYVAVCRPLHYTVLMHIHLCMALASMAWLSG
+IATTLVQSTLTLQLPFCGHRQVDHFICEVPVLIKLACVGTTFNEAELFVASILFLIVPVS
+FILVSSGYIAHAVLRIKSATRRQKAFGTCFSHLTVVTIFYGTIIFMYLQPAKSRSRDQGK
+FVSLFYTVVTRMLNPLIYTLRIKEVKGALKKVLA
+>Q8NGZ4_PF13853_33 <unknown description>
+FFYLLTLVGNFTIIIISYLDPPLHTPMYFFLSNLSLLDICFTTSLAPQTLVNLQRPKKTI
+TYGGCVAQLYISLALGSTECILLADMALDRYIAVCKPLHYVVIMNPRLCQQLASISWLSG
+LASSLIHATFTLQLPLCGNHRLDHFICEVPALLKLACVDTTVNELVLFVVSVLFVVIPPA
+LISISYGFITQAVLRIKSVEARHKAFSTCSSHLTVVIIFYGTIIYVYLQPSDSYAQDQGK
+FISLFYTMVTPTLNPIIYTLRNKDMKEALRKLLSG
+>Q5TZ20_PF13853_34 <unknown description>
+FYVLSLLGNTALILVCCLDSRLHTPMYFFLSNLSCVDICFTTSVAPQLLVTMNKKDKTMS
+YGGCVAQLYVAMGLGSSECILLAVMAYDRYAAVCRPLRYIAIMHPRFCASLAGGAWLSGL
+ITSLIQCSLTVQLPLCGHRTLDHIFCEVPVLIKLACVDTTFNEAELFVASVVFLIVPVLL
+ILVSYGFITQAVLRIKSAAGRQKAFGTCSSHLVVVIIFYGTIIFMYLQPANRRSKNQGKF
+VSLFYTIVTPLLNPIIYTLRNKDVKGALRTLI
+>Q9GZK4_PF13853_33 <unknown description>
+YLLTLVGNTLIILLSVLYPRLHSPMYFFLSDLSFLDLCFTTSCVPQMLVNLWGPKKTISF
+LGCSVQLFIFLSLGTTECILLTVMAFDRYVAVCQPLHYATIIHPRLCWQLASVAWVMSLV
+QSIVQTPSTLHLPFCPHQQIDDFLCEVPSLIRLSCGDTSYNEIQLAVSSVIFVVVPLSLI
+LASYGATAQAVLRINSATAWRKAFGTCSSHLTVVTLFYSSVIAVYLQPKNPYAQGRGKFF
+GLFYAVGTPSLNPLVYTLRNKEIKRALRRLLG
+>O95918_PF13853_33 <unknown description>
+YLLTLVGNTLIILLSALDPKLHSPMYFFLSNLSFLDLCFTTSCVPQMLVNLWGPKKTISF
+LDCSVQIFIFLSLGTTECILLTVMAFDRYVAVCQPLHYATIIHPRLCWQLASVAWVIGLV
+ESVVQTPSTLHLPFCPDRQVDDFVCEVPALIRLSCEDTSYNEIQVAVASVFILVVPLSLI
+LVSYGAITWAVLRINSAKGRRKAFGTCSSHLTVVTLFYSSVIAVYLQPKNPYAQERGKFF
+GLFYAVGTPSLNPLIYTLRNKEVTRAFRRLL
+>Q9GZK6_PF13853_34 <unknown description>
+IFYLITLIGNLFIIILSYLDSHLHTPMYFFLSNLSFLDLCYTTSSIPQLLVNLWGPEKTI
+SYAGCTVQLYFVLALGTAECVLLVVMSYDRYAAVCRPLHYTVLMHPRFCRLLAAASWVSG
+FTTSALHSSFTFWIPLCRHRLVDHFFCEVPALLRLSCVDTQANELTLMVMSSIFVLIPLI
+LILTSYGAIARAVLSMQSTTGLQKVLRTCGAHLMVVSLFFIPVMCMYLQPPSENSQDQGK
+FIALFYTVVTPSLNPLIYTFRNKDVRGAVKRLM
+>Q8NGT1_PF13853_33 <unknown description>
+VMYLTTLLGNSTLILITILDSRLKTPMYLFLGNLSFMDICYTSASVPTLLVNLLSSQKTI
+IFSGCAVQMYLSLAMGSTECVLLAVMAYDRYVAICNPLRYSIIMNRCVCARMATVSWVTG
+CLTALLETSFALQIPLCGNLIDHFTCEILAVLKLACTSSLLMNTIMLVVSILLLPIPMLL
+VCISYIFILSTILRITSAEGRNKAFSTCGAHLTVVILYYGAALSMYLKPSSSNAQKIDKI
+ISLLYGVLTPMLNPIIYSLRNKEVKDAMKKLL
+>Q8NH16_PF13853_32 <unknown description>
+LIFLMALIGNLSMILLIFLDIHLHTPMYFLLSQLSLIDLNYISTIVPKMVYDFLYGNKSI
+SFTGCGIQSFFFLTLAVAEGLLLTSMAYDRYVAICFPLHYPIRISKRVCVMMITGSWMIS
+SINSCAHTVYALCIPYCKSRAINHFFCDVPAMLTLACTDTWVYESTVFLSSTIFLVLPFT
+GIACSYGRVLLAVYRMHSAEGRKKAYSTCSTHLTVVSFYYAPFAYTYVRPRSLRSPTEDK
+ILAVFYTILTPMLNPIIYSLRNKEVMGALTQVI
+>Q8NG85_PF13853_31 <unknown description>
+VFIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLSGNKS
+ISFTGCGIQSFFFSALGGAEALLLASMAYDRYIAICFPLHYPIRMSKRMCVLMITGSWII
+GSINACAHTVYVLHIPYCQSRAINHFFCDVPAMVTLACMDTWVYEGTVFLSTTIFLVFPF
+IAISCSYGRVLLAVYHMKSAEGRKKAYLTCSTHLTVVTFYYAPFVYTYLRPRSLRSPTED
+KVLAVFYTTLTPMLNPIIYSLRNKEVMGALTRV
+>Q8NG80_PF13853_30 <unknown description>
+FVLIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLYGNK
+SISFIGCGIQSFFFMTFAGAEALLLTSMAYDRYVAICFPLHYPIRMSKRMYVLMITGSWM
+IGSINSCAHTVYAFRIPYCKSRAINHFFCDVPAMLTLACTDTWVYEYTVFLSSTIFLVFP
+FTGIACSYGWVLLAVYRMHSAEGRKKAYSTCSTHLTVVTFYYAPFAYTYLCPRSLRSLTE
+DKVLAVFYTILTPMLNPIIYSLRNKEVMGALTRVIQ
+>Q8NGY9_PF13853_31 <unknown description>
+VFIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLHGNKS
+ISFTGCGIQSFFFLALGGAEALLLASMAYDRYIAICFPLHYLIRMSKRVCVLMITGSWII
+GSINACAHTVYVLHIPYCRSRAINHFFCDVPAMVTLACMDTWVYEGTVFLSATIFLVFPF
+IGISCSYGQVLFAVYHMKSAEGRKKAYLTCSTHLTVVTFYYAPFVYTYLRPRSLRSPTED
+KVLAVFYTILTPMLNPIIYSLRNKEVMGALTRV
+>Q8N349_PF13853_32 <unknown description>
+LIFFLASVGNSAMIHLIHVDPRLHTPMYFLLSQLSLMDLMYISTTVPKMAYNFLSGQKGI
+SFLGCGVQSFFFLTMACSEGLLLTSMAYDRYLAICHSLYYPIRMSKMMCVKMIGGSWTLG
+SINSLAHTVFALHIPYCRSRAIDHFFCDVPAMLLLACTDTWVYEYMVFVSTSLFLLFPFI
+GITSSCGRVLFAVYHMHSKEGRKKAFTTISTHLTVVIFYYAPFVYTYLRPRNLRSPAEDK
+ILAVFYTILTPMLNPIIYSLRNKEVLGAMRRVF
+>Q96R28_PF13853_34 <unknown description>
+IFLVAFMGNSVMVLLIYLDTQLHTPMYFLLSQLSLMDLMLICTTVPKMAFNYLSGSKSIS
+MAGCVTQIFFYISLSGSECFLLAVMAYDRYIAICHPLRYTNLMNPKICGLMATFSWILGS
+TDGIIDAVATFSFSFCGSREIAHFFCEFPSLLILSCNDTSIFEEVIFICCIVMLVFPVAI
+IIASYARVILAVIHMGSGEGRCKAFTTCSSHLMVVGMYYGAALFMYIRPTSDHSPTQDKM
+VSVFYTILTPMLNPLIYSLRNKEVTRAFMKIL
+>Q8NG83_PF13853_33 <unknown description>
+AIFSVAFMGNSVMVLLIYLDTQLHTPMYLLLSQLSLMDLMLICTTVPKMAFNYLSGSKSI
+SMAGCATQIFFYTSLLGSECFLLAVMAYDRYTAICHPLRYTNLMSPKICGLMTAFSWILG
+STDGIIDVVATFSFSYCGSREIAHFFCDFPSLLILSCSDTSIFEKILFICCIVMIVFPVA
+IIIASYARVILAVIHMGSGEGRRKAFTTCSSHLLVVGMYYGAALFMYIRPTSDRSPTQDK
+MVSVFYTILTPMLNPLIYSLRNKEVTRAFMKIL
+>Q96R27_PF13853_34 <unknown description>
+IFSLALMENISMVLLIYIEKQLHTPMYFLLSQLSLMDLMLICTTLPKMIFSYLSGKKSIS
+LAGCGTQIFFYVSLLGAECFLLAVMAYDRYVAICHPLQYTILMNPKLCVFMTVASWTLGS
+LDGIIVLAAVLSFSYCSSLEIHHFFCDVAALLPLSCTETSAFERLLVICCVVMLIFPVSV
+IILSYSHVLRAVIHMGSGESRRKAFTTCSSHLSVVGLYYGAAMFMYMRPASKHTPDQDKM
+VSAFYTILTPMLNPLIYSLRNKEVFRALQKVLK
+>A3KFT3_PF13853_33 <unknown description>
+AIFSVAFMGNSVMVLLIYLDTQLHTPMYFLLSQLFLMDLMLICSTVPKMAFNYLSGSKSI
+SMAGCATQIFFYVSLLGSECFLLAVMSYDRYIAICHPLRYTNLMRPKICGLMTAFSWILG
+SMDAIIDAVATFSFSYCGSREIAHFFCDFPSLLILSCNDTSIFEKVLFICCIVMIVFPVA
+IIIASYARVILAVIHMGSGEGRRKAFTTCSSHLMVVGMYYGAGLFMYIRPTSDRSPMQDK
+LVSVFYTILTPMLNPLIYSLRNKEVTRALRKVL
+>Q8NG81_PF13853_33 <unknown description>
+AIFSVAFMGNSIMVLLIYLDTQLHTPMYFLLSQLSLMDLMLICTTVPKMAFNYLSGSKSI
+SMAGCATQIFFYISLLGSECFLLAVMSYDRYTAICHPLRYTNLMRPKICGLMTAFSWILG
+STDGIIDAVATFSFSYCGSREIAHFCCDFPSLLILSCNDTSIFEEVIFICCIVMLVFPVA
+IIITSYARVILAVIHMGSGEGRRKAFTTCSSHLMVVGMYYGAGLFMCIQPTSHHSPMQDK
+MVSVFYTIVTPMLNPLIYSLRNKEVTRALMKILG
+>Q9NQN1_PF13853_34 <unknown description>
+LMYLVILLGNGVLILVTILDSRLHTPMYFFLGNLSFLDICFTTSSVPLVLDSFLTPQETI
+SFSACAVQMALSFAMAGTECLLLSMMAFDRYVAICNPLRYSVIMSKAAYMPMAASSWAIG
+GAASVVHTSLAIQLPFCGDNVINHFTCEILAVLKLACADISINVISMEVTNVIFLGVPVL
+FISFSYVFIITTILRIPSAEGRKKVFSTCSAHLTVVIVFYGTLFFMYGKPKSKDSMGADK
+EDLSDKLIPLFYGVVTPMLNPIIYSLRNKDVKAAVRRLLR
+>Q6IF00_PF13853_35 <unknown description>
+IFVVAITANLVMILLIHMDSRLHTPMYFLLSQLSIMDTIYICITVPKMLQDLLSKDKTIS
+FLGCAVQIFLYLTLIGGEFFLLGLMAYDRYVAVCNPLRYPLLMNRRVCLFMVVGSWVGGS
+LDGFMLTPVTMSFPFCRSREINHFFCEIPAVLKLSCTDTSLYETLMYACCVLMLLIPLSV
+ISVSYTHILLTVHRMNSAEGRRKAFATCSSHIMVVSVFYGAAFYTNVLPHSYHTPEKDKV
+VSAFYTILTPMLNPLIYSLRNKDVAAALRKVL
+>Q8NH03_PF13853_38 <unknown description>
+LLFLMALTGNALLILLIHSEPRLHTPMYFFISQLALMDLMYLCVTVPKMLVGQVTGDDTI
+SPSGCGIQMFFYLTLAGAEVFLLAAMAYDRYAAVCRPLHYPLLMNQRVCQLLVSACWVLG
+MVDGLLLTPITMSFPFCQSRKILSFFCETPALLKLSCSDVSLYKTLMYLCCILMLLAPIM
+VISSSYTLILHLIHRMNSAAGHRKALATCSSHMIIVLLLFGASFYTYMLPSSYHTAEQDM
+MVSAFYTIFTPVLNPLIYSLRNKDVTRALRSMMQ
+>Q6IEZ7_PF13853_37 <unknown description>
+VVFLKALSGNAVLILLIHCDAHLHSPMYFFISQLSLMDMAYISVTVPKMLLDQVMGVNKV
+SAPECGMQMFLYLTLAGSEFFLLATMAYDRYVAICHPLRYPVLMNHRVCLFLASGCWFLG
+SVDGFMLTPITMSFPFCRSWEIHHFFCEVPAVTILSCSDTSLYETLMYLCCVLMLLIPVT
+IISSSYLLILLTVHRMNSAEGRKKAFATCSSHLTVVILFYGAAVYTYMLPSSYHTPEKDM
+MVSVFYTILTPVLNPLIYSLRNKDVMGALKKMLT
+>Q8NHC8_PF13853_31 <unknown description>
+ICAVFFMAMIANGVMIFLINIDPHLHTPMYFLLSHLSVIDTLYISTIVPKMLVDYLMGEG
+TISFIACTAQCFLYMGFMGAEFFLLGLMAYDRYVAICNPLRYPVLISWRVCWMILASSWF
+GGALDSFLLTPITMSLPFCASHQINHFFCEAPTMLRLACGDKTTYETVMYVCCVAMLLIP
+FSVVTASYTRILITVHQMTSAEGRKKAFATCSSHMMVVTLFYGAALYTYTLPQSYHTPIK
+DKVFSAFYTILTPLLNPLIYSLRNRDVMGALKRVV
+>A6NH00_PF13853_32 <unknown description>
+IVLTSLFGNSLMILLIHWDHRLHTPMYFLLSQLSLMDVMLVSTTVPKMAADYLTGSKAIS
+RAGCGAQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMNLSCWLLGA
+ADGLLQAVATLSFPYCGAHEIDHFFCETPVLVRLACADTSVFENAMYICCVLMLLVPFSL
+ILSSYGLILAAVLHMRSTEARKKAFATCSSHVAVVGLFYGAAIFTYMRPKSHRSTNHDKV
+VSAFYTMFTPLLNPLIYSVKNSEVKGALTRCM
+>Q8NHB1_PF13853_34 <unknown description>
+VVFTVALCGNVLLIFLIYLDAGLHTPMYFFLSQLSLMDLMLVCNIVPKMAANFLSGRKSI
+SFVGCGIQIGFFVSLVGSEGLLLGLMAYDRYVAVSHPLHYPILMNQRVCLQITGSSWAFG
+IIDGVIQMVAAMGLPYCGSRSVDHFFCEVQALLKLACADTSLFDTLLFACCVFMLLLPFS
+IIMASYACILGAVLRIRSAQAWKKALATCSSHLTAVTLFYGAAMFMYLRPRRYRAPSHDK
+VASIFYTVLTPMLNPLIYSLRNGEVMGALRK
+>Q96R30_PF13853_34 <unknown description>
+AVFTVALCGNVLLIFLIYMDPHLHTPMYFFLSQLSLMDLMLVCTNVPKMAANFLSGRKSI
+SFVGCGIQIGLFVCLVGSEGLLLGLMAYDRYVAISHPLHYPILMNQRVCLQITGSSWAFG
+IIDGLIQMVVVMNFPYCGLRKVNHFFCEMLSLLKLACVDTSLFEKVIFACCVFMLLFPFS
+IIVASYAHILGTVLQMHSAQAWKKALATCSSHLTAVTLFYGAAMFIYLRPRHYRAPSHDK
+VASIFYTVLTPMLNPLIYSLRNREVMGALRK
+>Q9Y3N9_PF13853_32 <unknown description>
+AIFYLITLVGNTAIILASLLDSQLHTPMYFFLRNLSFLDLCFTTSIIPQMLVNLWGPDKT
+ISYVGCIIQLYVYMWLGSVECLLLAVMSYDRFTAICKPLHYFVVMNPHLCLKMIIMIWSI
+SLANSVVLCTLTLNLPTCGNNILDHFLCELPALVKIACVDTTTVEMSVFALGIIIVLTPL
+ILILISYGYIAKAVLRTKSKASQRKAMNTCGSHLTVVSMFYGTIIYMYLQPGNRASKDQG
+KFLTLFYTVITPSLNPLIYTLRNKDMKDALKKLM
+>Q7Z3T1_PF13853_33 <unknown description>
+IAYLLTLVGNTTIILVSRLDPHLHTPMYFFLAHLSFLDLSFTTSSIPQLLYNLNGCDKTI
+SYMGCAIQLFLFLGLGGVECLLLAVMAYDRCVAICKPLHYMVIMNPRLCRGLVSVTWGCG
+VANSLAMSPVTLRLPRCGHHEVDHFLREMPALIRMACVSTVAIEGTVFVLAVGVVLSPLV
+FILLSYSYIVRAVLQIRSASGRQKAFGTCGSHLTVVSLFYGNIIYMYMQPGASSSQDQGM
+FLMLFYNIVTPLLNPLIYTLRNREVKGALGRLLLG
+>Q8NGV0_PF13853_33 <unknown description>
+IFYSLTLFGNTIIIALSWLDLRLHTPMYFFLSHLSLLDLCFTTSTVPQLLINLCGVDRTI
+TRGGCVAQLFIYLALGSTECVLLVVMAFDRYAAVCRPLHYMAIMHPHLCQTLAIASWGAG
+FVNSLIQTGLAMAMPLCGHRLNHFFCEMPVFLKLACADTEGTEAKMFVARVIVVAVPAAL
+ILGSYVHIAHAVLRVKSTAGRRKAFGTCGSHLLVVFLFYGSAIYTYLQSIHNYSEREGKF
+VALFYTIITPILNPLIYTLRNKDVKGALWKVL
+>Q8NG97_PF13853_32 <unknown description>
+AVMFVIGLLGNTVLLFLIRVDSRLHTPMYFLLSQLSLFDIGCPMVTIPKMASDFLRGEGA
+TSYGGGAAQIFFLTLMGVAEGVLLVLMSYDRYVAVCQPLQYPVLMRRQVCLLMMGSSWVV
+GVLNASIQTSITLHFPYCASRIVDHFFCEVPALLKLSCADTCAYEMALSTSGVLILMLPL
+SLIATSYGHVLQAVLSMRSEEARHKAVTTCSSHITVVGLFYGAAVFMYMVPCAYHSPQQD
+NVVSLFYSLVTPTLNPLIYSLRNPEVWMALVKVLS
+>P47881_PF13853_35 <unknown description>
+LFAYLVTVRGNLSILAAVLVEPKLHTPMYFFLGNLSVLDVGCISVTVPSMLSRLLSRKRA
+VPCGACLTQLFFFHLFVGVDCFLLTAMAYDRFLAICRPLTYSTRMSQTVQRMLVAASWAC
+AFTNALTHTVAMSTLNFCGPNVINHFYCDLPQLFQLSCSSTQLNELLLFAVGFIMAGTPM
+ALIVISYIHVAAAVLRIRSVEGRKKAFSTCGSHLTVVAIFYGSGIFNYMRLGSTKLSDKD
+KAVGIFNTVINPMLNPIIYSFRNPDVQSAIWRMLT
+>Q8NH83_PF13853_29 <unknown description>
+FLLTYLVTVVGNLLIVVDIIASPSLGSPMYFFLACLSFIDAAYSTTISPKLIVGLFCDKK
+TISFQGCMGQLFIDHFFGGAEVFLLVVMACDRYVAICKPLHYLTIMNRQVCFLLLVVAMI
+GGFVHSAFQIVVYSLPFCGPNVIVHFSCDMHPLLELACTDTYFIGLTVVVNSGAICMVIF
+NLLLISYGVILSSLKTYSQEKRGKALSTCSSGSTVVVLFFVPCIFIYVRPVSNFPTDKFM
+TVFYTIITHMLSPLIYTLRNSEMRNAIEKLLGKK
+>Q8NGF8_PF13853_32 <unknown description>
+VYLATVVGNGLIVLTVSISKSLDSPMYFFLSCLSLVEISYSSTIAPKFIIDLLAKIKTIS
+LEGCLTQIFFFHFFGVAEILLIVVMAYDCYVAICKPLHYMNIISRQLCHLLVAGSWLGGF
+CHSIIQILVIIQLPFCGPNVIDHYFCDLQPLFKLACTDTFMEGVIVLANSGLFSVFSFLI
+LVSSYIVILVNLRNHSAEGRHKALSTCASHITVVILFFGPAIFLYMRPSSTFTEDKLVAV
+FYTVITPMLNPIIYTLRNAEVKIAIRRLWSKK
+>Q8NH37_PF13853_29 <unknown description>
+FLLIYVVTVCGNMLIVVTITSSPTLASPVYFFLANLSFIDTFYSSSMAPKLIADSLYEGR
+TISYECCMAQLFGAHFLGGVEIILLTVMAYDRYVAICKPLHNTTIMTRHLCAMLVGVAWL
+GGFLHSLVQLLLVLWLPFCGPNVINHFACDLYPLLEVACTNTYVIGLLVVANSGLICLLN
+FLMLAASYIVILYSLRSHSADGRCKALSTCGAHFIVVALFFVPCIFTYVHPFSTLPIDKN
+MALFYGILTPMLNPLIYTLRNEEVKNAMRKLF
+>Q8NGB2_PF13853_52 <unknown description>
+FTLIYFLTMVDNLIIVVTITTSPALDSPVYFFLSFFSFIDGCSSSTMAPKMIFDLLTEKK
+TISFSGCMTQLFVEHFFGGVEIILLVVMAYDCYVAICKPLYYLITMNRQVCGLLVAMAWV
+GGFLHALIQMLLIVWLPFCGPNVIDHFICDLFPLLKLSCTDTHVFGLFVAANSGLMCMLI
+FSILITSYVLILCSQRKALSTCAFHITVVVLFFVPCILVYLRPMITFPIDKAVSVFYTVV
+TPMLNPLIYTLRNTEVKNAMKQLWS
+>Q8NH72_PF13853_29 <unknown description>
+FLVMYVATVLENLLIVVTIITSQSLRSPMYFFLTFLSLLDVMFSSVVAPKVIVDTLSKST
+TISLKGCLTQLFVEHFFGGVGIILLTVMAYDRYVAICKPLHYTIIMSPRVCCLMVGGAWV
+GGFMHAMIQLLFMYQIPFCGPNIIDHFICDLFQLLTLACTDTHILGLLVTLNSGMMCVAI
+FLILIASYTVILCSLKSYSSKGRHKALSTCSSHLTVVVLFFVPCIFLYMRPVVTHPIDKA
+MAVSDSIITPMLNPLIYTLRNAEVKSAMKKLWM
+>Q6IEV9_PF13853_30 <unknown description>
+LIFYMGTVVGNMLIIVTIKSSRTLGSPMYFFLFYLSFADSCFSTSTAPRLIVDALSEKKI
+ITYNECMTQVFALHLFGCMEIFVLILMAVDRYVAICKPLRYPTIMSQQVCIILIVLAWIG
+SLIHSTAQIILALRLPFCGPYLIDHYCCDLQPLLKLACMDTYMINLLLVSNSGAICSSSF
+MILIISYIVILHSLRNHSAKGKKKALSACTSHIIVVILFFGPCIFIYTRPPTTFPMDKMV
+AVFYTIGTPFLNPLIYTLRNAEVKNAMRKLW
+>Q96R67_PF13853_29 <unknown description>
+FLVLYMITLSGNLLIVVTITTSQALSSPMYFFLTHLSLIDTVYSSSSAPKLIVDSFQEKK
+IISFNGCMAQAYAEHIFGATEIILLTVMACDCYVAICKPLNYTTIMSHSLCILLVAVAWV
+GGFLHATIQILFTVWLPFCGPNVIGHFMCDLYPLLKLVCIDTHTLGLFVAVNSGFICLLN
+FLILVVSYVIILRSLKNNSLEGRCKALSTCISHIIVVVLFFVPCIFVYLRSVTTLPIDKA
+VAVFYTMVVPMLNPVVYTLRNAEVKSAIRKLWRK
+>Q8NGP0_PF13853_29 <unknown description>
+FSVIYINAMIGNVLIVVTITASPSLRSPMYFFLAYLSFIDACYSSVNTPKLITDSLYENK
+TILFNGCMTQVFGEHFFRGVEVILLTVMAYDHYVAICKPLHYTTVMKQHVCSLLVGVSWV
+GGFLHATIQILFICQLPFCGPNVIDHFMCDLYTLINLACTNTHTLGLFIAANSGFICLLN
+CLLLLVSCVVILYSLKTHSLEARHEALSTCVSHITVVILSFIPCIFVYMRPPATLPIDKA
+VAVFYTMITSMLNPLIYTLRNAQMKNAIRKLCSR
+>Q8NGM1_PF13853_29 <unknown description>
+FLFVYIATVGGNMLIVVTILSSPALLVSPMYFFLGFLSFLDACFSSVITPKMIVDSLYVT
+KTISFEGCMMQLFAEHFFAGVEVIVLTAMAYDRYVAICKPLHYSSIMNRRLCGILMGVAW
+TGGLLHSMIQILFTFQLPFCGPNVINHFMCDLYPLLELACTDTHIFGLMVVINSGFICII
+NFSLLLVSYAVILLSLRTHSSEGRWKALSTCGSHIAVVILFFVPCIFVYTRPPSAFSLDK
+MAAIFYIILNPLLNPLIYTFRNKEVKQAMRRIW
+>Q8NGL9_PF13853_31 <unknown description>
+RLYLGTLLGNLLIIISVKTSQALKNPMFFFLFYLSLSDTCLSTSITPRMIVDALLKKTTI
+SFSECMIQVFSSHVFGCLEIFILILTAVDRYVDICKPLHYMTIISQWVCGVLMAVAWVGS
+CVHSLVQIFLALSLPFCGPNVINHCFCDLQPLLKQACSETYVVNLLLVSNSGAICAVSYV
+MLIFSYVIFLHSLRNHSAEVIKKALSTCVSHIIVVILFFGPCIFMYTCLATVFPMDKMIA
+VFYTVGTSFLNPVIYTLKNTEVKSAMRKLWSKK
+>Q15615_PF13853_31 <unknown description>
+FLLVYVTTIVGNLLIMVTVTFDCRLHTPMYFLLRNLALIDLCYSTVTSPKMLVDFLHETK
+TISYQGCMAQIFFFHLLGGGTVFFLSVMAYDRYIAISQPLRYVTIMNTQLCVGLVVAAWV
+GGFVHSIVQLALILPLPFCGPNILDNFYCDVPQVLRLACTDTSLLEFLMISNSGLLVIIW
+FLLLLISYTVILVMLRSHSGKARRKAASTCTTHIIVVSMIFIPCIYIYTWPFTPFLMDKA
+VSISYTVMTPMLNPMIYTLRNQDMKAAMRR
+>P58180_PF13853_31 <unknown description>
+FLFVYITTVMGNILIIITVTSDSQLHTPMYFLLRNLAVLDLCFSSVTAPKMLVDLLSEKK
+TISYQGCMGQIFFFHFLGGAMVFFLSVMAFDRLIAISRPLRYVTVMNTQLWVGLVVATWV
+GGFVHSIVQLALMLPLPFCGPNILDNFYCDVPQVLRLACTDTSLLEFLKISNSGLLDVVW
+FFLLLMSYLFILVMLRSHPGEARRKAASTCTTHIIVVSMIFVPSIYLYARPFTPFPMDKL
+VSIGHTVMTPMLNPMIYTLRNQDMQAAVRR
+>Q8NGN0_PF13853_31 <unknown description>
+FSAVYFMTVVGNLLIVVIVTSDPHLHTTMYFLLGNLSFLDFCYSSITAPRMLVDLLSGNP
+TISFGGCLTQLFFFHFIGGIKIFLLTVMAYDRYIAISQPLHYTLIMNQTVCALLMAASWV
+GGFIHSIVQIALTIQLPFCGPDKLDNFYCDVPQLIKLACTDTFVLELLMVSNNGLVTLMC
+FLVLLGSYTALLVMLRSHSREGRSKALSTCASHIAVVTLIFVPCIYVYTRPFRTFPMDKA
+VSVLYTIVTPMLNPAIYTLRNKEVIMAMKKLW
+>Q8NGJ1_PF13853_31 <unknown description>
+FFAVYVATVLGNALIVVTITCESRLHTPMYFLLRNKSVLDIVFSSITVPKFLVDLLSDRK
+TISYNDCMAQIFFFHFAGGADIFFLSVMAYDRYLAIAKPLHYVTMMRKEVWVALVVASWV
+SGGLHSIIQVILMLPFPFCGPNTLDAFYCYVLQVVKLACTDTFALELFMISNNGLVTLLW
+FLLLLGSYTVILVMLRSHSGEGRNKALSTCTSHMLVVTLHFVPCVYIYCRPFMTLPMDTT
+ISINNTVITPMLNPIIYSLRNQEMKSAMQRL
+>Q8NGE8_PF13853_32 <unknown description>
+FLVYMTTLMGNFLIMVTVTCESHLHTPMYFLLRNLSILDICFSSITAPKVLIDLLSETKT
+ISFSGCVTQMFFFHLLGGADVFSLSVMAFDRYIAISKPLHYMTIMSRGRCTGLIVASWVG
+GFVHSIAQISLLLPLPFCGPNVLDTFYCDVPQVLKLACTDTFTLELLMISNNGLVSWFVF
+FFLLISYTVILMMLRSHTGEGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPTDTAI
+SVTFTVISPLLNPIIYTLRNQEMKLAMRK
+>Q8NGI6_PF13853_33 <unknown description>
+LVYVTTLLGNLLIMVTVTCESRLHTPMYFLLHNLSIADICFSSITVPKVLVDLLSERKTI
+SFNHCFTQMFLFHLIGGVDVFSLSVMALDRYVAISKPLHYATIMSRDHCIGLTVAAWLGG
+FVHSIVQISLLLPLPFCGPNVLDTFYCDVHRVLKLAHTDIFILELLMISNNGLLTTLWFF
+LLLVSYIVILSLPKSQAGEGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPMDKAIS
+VTFTVISPLLNPLIYTLRNHEMKSAMRR
+>Q8NGI4_PF13853_31 <unknown description>
+LCLVYMTTLLGNLLIMVTVTCESRLHTPMYFLLRNLAILDICFSSTTAPKVLLDLLSKKK
+TISYTSCMTQIFLFHLLGGADIFSLSVMAFDCYMAISKPLHYVTIMSRGQCTALISASWM
+GGFVHSIVQISLLLPLPFCGPNVLDTFYCDVPQVLKLTCTDTFALEFLMISNNGLVTTLW
+FIFLLVSYTVILMTLRSQAGGGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPTEKA
+ISVTFTVISPLLNPLIYTLRNQEMKSAMRR
+>P0C645_PF13853_36 <unknown description>
+LIFYVLTLIGNVLIVITIIYDHRLHTPMYFFLSNLSFIDVCHSTVTVPKMLRDVWSEEKL
+ISFDACVTQMFFLHLFACTEIFLLTVMAYDRYVAICKPLQYMIVMNWKVCVLLAVALWTG
+GTIHSIALTSLTIKLPYCGPDEIDNFFCDVPQVIKLACIDTHVIEILIVSNSGLISVVCF
+VVLVVSYAVILVSLRQQISKGKRKALSTCAAHLTVVTLFLGHCIFIYSRPSTSLPEDKVV
+SVFFTAVTPLLNPIIYTLRNEEMKSALNKLVG
+>Q6IEY1_PF13853_32 <unknown description>
+SVLYVASITGNILIVFSVTTDPHLHSPMYFLLASLSFIDLGACSVTSPKMIYDLFRKRKV
+ISFGGCIAQIFFIHVVGGVEMVLLIAMAFDRYVALCKPLHYLTIMSPRMCLSFLAVAWTL
+GVSHSLFQLAFLVNLAFCGPNVLDSFYCDLPRLLRLACTDTYRLQFMVTVNSGFICVGTF
+FILLISYVFILFTVWKHSSGGSSKALSTLSAHSTVVLLFFGPPMFVYTRPHPNSQMDKFL
+AIFDAVLTPFLNPVVYTFRNKEMKAAIKRVC
+>Q8NGB9_PF13853_31 <unknown description>
+FSVFYVSSLMGNLLIVLTVTSDPRLQSPMYFLLANLSIINLVFCSSTAPKMIYDLFRKHK
+TISFGGCVVQIFFIHAVGGTEMVLLIAMAFDRYVAICKPLHYLTIMNPQRCILFLVISWI
+IGIIHSVIQLAFVVDLLFCGPNELDSFFCDLPRFIKLACIETYTLGFMVTANSGFISLAS
+FLILIISYIFILVTVQKKSSGGIFKAFSMLSAHVIVVVLVFGPLIFFYIFPFPTSHLDKF
+LAIFDAVITPVLNPVIYTFRNKEMMVAMRR
+>Q8NGD4_PF13853_31 <unknown description>
+FSIVYVTSVLGNVLIIVIISFDSHLNSPMYFLLSNLSFIDICQSNFATPKMLVDFFIERK
+TISFEGCMAQIFVLHSFVGSEMMLLVAMAYDRFIAICKPLHYSTIMNRRLCVIFVSISWA
+VGVLHSVSHLAFTVDLPFCGPNEVDSFFCDLPLVIELACMDTYEMEIMTLTNSGLISLSC
+FLALIISYTIILIGVRCRSSSGSSKALSTLTAHITVVILFFGPCIYFYIWPFSRLPVDKF
+LSVFYTVCTPLLNPIIYSLRNEDVKAAMWK
+>Q8NGD2_PF13853_31 <unknown description>
+FSLLYVATMVGNSLIVITVIVDPHLHSPMYFLLTNLSIIDMSLASFATPKMITDYLTGHK
+TISFDGCLTQIFFLHLFTGTEIILLMAMSFDRYIAICKPLHYASVISPQVCVALVVASWI
+MGVMHSMSQVIFALTLPFCGPYEVDSFFCDLPVVFQLACVDTYVLGLFMISTSGIIALSC
+FIVLFNSYVIVLVTVKHHSSRGSSKALSTCTAHFIVVFLFFGPCIFIYMWPLSSFLTDKI
+LSVFYTIFTPTLNPIIYTLRNQEVKIAMRK
+>Q8NGD3_PF13853_31 <unknown description>
+FSVLYTVIVLGNLLIILTVTSDTSLHSPMYFLLGNLSFVDICQASFATPKMIADFLSAHE
+TISFSGCIAQIFFIHLFTGGEMVLLVSMAYDRYVAICKPLYYVVIMSRRTCTVLVMISWA
+VSLVHTLSQLSFTVNLPFCGPNVVDSFFCDLPRVTKLACLDSYIIEILIVVNSGILSLST
+FSLLVSSYIIILVTVWLKSSAAMAKAFSTLASHIAVVILFFGPCIFIYVWPFTISPLDKF
+LAIFYTVFTPVLNPIIYTLRNRDMKAAVRKIV
+>Q8NH42_PF13853_31 <unknown description>
+FSVVFVGIVLGNLLILVTVTFDSLLHTPMYFLLSNLSCIDMILASFATPKMIVDFLRERK
+TISWWGCYSQMFFMHLLGGSEMMLLVAMAIDRYVAICKPLHYMTIMSPRVLTGLLLSSYA
+VGFVHSSSQMAFMLTLPFCGPNVIDSFFCDLPLVIKLACKDTYILQLLVIADSGLLSLVC
+FLLLLVSYGVIIFSVRYRAASRSSKAFSTLSAHITVVTLFFAPCVFIYVWPFSRYSVDKI
+LSVFYTIFTPLLNPIIYTLRNQEVKAAIKKRLC
+>Q8NGD5_PF13853_34 <unknown description>
+VYVAIMLGNLLILVTVISDPCLHSSPMYFLLGNLAFLDMWLASFATPKMIRDFLSDQKLI
+SFGGCMAQIFFLHFTGGAEMVLLVSMAYDRYVAICKPLHYMTLMSWQTCIRLVLASWVVG
+FVHSISQVAFTVNLPYCGPNEVDSFFCDLPLVIKLACMDTYVLGIIMISDSGLLSLSCFL
+LLLISYTVILLAIRQRAAGSTSKALSTCSAHIMVVTLFFGPCIFVYVRPFSRFSVDKLLS
+VFYTIFTPLLNPIIYTLRNEEMKAAMKKL
+>Q8NH41_PF13853_31 <unknown description>
+FSLLYLAILLGNFLIILTVTSDSRLHTPMYFLLANLSFIDVCVASFATPKMIADFLVERK
+TISFDACLAQIFFVHLFTGSEMVLLVSMAYDRYVAICKPLHYMTVMSRRVCVVLVLISWF
+VGFIHTTSQLAFTVNLPFCGPNKVDSFFCDLPLVTKLACIDTYVVSLLIVADSGFLSLSS
+FLLLVVSYTVILVTVRNRSSASMAKARSTLTAHITVVTLFFGPCIFIYVWPFSSYSVDKV
+LAVFYTIFTLILNPVIYTLRNKEVKAAMSKL
+>Q8NGC6_PF13853_31 <unknown description>
+FSVIYVVTVLGNLLIIVTVFNTPNLNTPMYFLLGNLSFVDMTLASFATPKVILNLLKKQK
+VISFAGCFTQIFLLHLLGGVEMVLLVSMAFDRYVAICKPLHYMTIMNKKVCVLLVVTSWL
+LGLLHSGFQIPFAVNLPFCGPNVVDSIFCDLPLVTKLACIDIYFVQVVIVANSGIISLSC
+FIILLISYSLILITIKNHSPTGQSKARSTLTAHITVVILFFGPCIFIYIWPFGNHSVDKF
+LAVFYTIITPILNPIIYTLRNKEMKISMKKL
+>Q8NH43_PF13853_31 <unknown description>
+FSLIYGATVMGNILIMVTVTCRSTLHSPLYFLLGNLSFLDMCLSTATTPKMIIDLLTDHK
+TISVWGCVTQMFFMHFFGGAEMTLLIIMAFDRYVAICKPLHYRTIMSHKLLKGFAILSWI
+IGFLHSISQIVLTMNLPFCGHNVINNIFCDLPLVIKLACIETYTLELFVIADSGLLSFTC
+FILLLVSYIVILVSVPKKSSHGLSKALSTLSAHIIVVTLFFGPCIFIYVWPFSSLASNKT
+LAVFYTVITPLLNPSIYTLRNKKMQEAIRKL
+>Q8NGD0_PF13853_33 <unknown description>
+SFYLFILPGNILIICTIRLDPHLTSPMYFLLANLALLDIWYSSITAPKMLIDFFVERKII
+SFGGCIAQLFFLHFVGASEMFLLTVMAYDRYAAICRPLHYATIMNRRLCCILVALSWMGG
+FIHSIIQVALIVRLPFCGPNELDSYFCDITQVVRIACANTFPEELVMICSSGLISVVCFI
+ALLMSYAFLLALLKKHSGSGENTNRAMSTCYSHITIVVLMFGPSIYIYARPFDSFSLDKV
+VSVFHTVIFPLLNPIIYTLRNKEVKAAMRKVVT
+>Q8NGB6_PF13853_33 <unknown description>
+SFYLFILPGNILIICTISLDPHLTSPMYFLLANLAFLDIWYSSITAPEMLIDFFVERKII
+SFDGCIAQLFFLHFAGASEMFLLTVMAFDLYTAICRPLHYATIMNQRLCCILVALSWRGG
+FIHSIIQVALIVRLPFCGPNELDSYFCDITQVVRIACANTFPEELVMICSSGLISVVCLI
+ALLMSYAFLLALFKKLSGSGENTNRAMSTCYSHITIVVLMFGPSIYIYARPFDSFSLDKV
+VSVFNTLIFPLRNPIIYTLRNKEVKAAMRKLVT
+>Q8NGD1_PF13853_33 <unknown description>
+IFYFIILPGNFLIIFTIKSDPGLTAPLYFFLGNLAFLDASYSFIVAPRMLVDFLSAKKII
+SYRGCITQLFFLHFLGGGEGLLLVVMAFDRYIAICRPLHYPTVMNPRTCYAMMLALWLGG
+FVHSIIQVVLILRLPFCGPNQLDNFFCDVPQVIKLACTDTFVVELLMVFNSGLMTLLCFL
+GLLASYAVILCRIRGSSSEAKNKAMSTCITHIIVIFFMFGPGIFIYTRPFRAFPADKVVS
+LFHTVIFPLLNPVIYTLRNQEVKASMKKVFN
+>Q8N0Y3_PF13853_33 <unknown description>
+IFYLIILPGNFLIIFTIRSDPGLTAPLYLFLGNLAFLDASYSFIVAPRMLVDFLSEKKVI
+SYRGCITQLFFLHFLGGGEGLLLVVMAFDRYIAICRPLHCSTVMNPRACYAMMLALWLGG
+FVHSIIQVVLILRLPFCGPNQLDNFFCDVRQVIKLACTDMFVVELLMVFNSGLMTLLCFL
+GLLASYAVILCHVRRAASEGKNKAMSTCTTRVIIILLMFGPAIFIYMCPFRALPADKMVS
+LFHTVIFPLMNPMIYTLRNQEVKTSMKRLLS
+>Q8IXE1_PF13853_33 <unknown description>
+IFYLIILPGNFLIIFTIKSDPGLTAPLYFFLGNLALLDASYSFIVVPRMLVDFLSEKKVI
+SYRSCITQLFFLHFLGAGEMFLLVVMAFDRYIAICRPLHYSTIMNPRACYALSLVLWLGG
+FIHSIVQVALILHLPFCGPNQLDNFFCDVPQVIKLACTNTFVVELLMVSNSGLLSLLCFL
+GLLASYAVILCRIREHSSEGKSKAISTCTTHIIIIFLMFGPAIFIYTCPFQAFPADKVVS
+LFHTVIFPLMNPVIYTLRNQEVKASMRKLLSQ
+>Q8NGB4_PF13853_30 <unknown description>
+FLFHVLTVLGNLLVIITINARKTLKSPMYFFLSQLSFADICYPSTTIPKMIADTFVEHKI
+ISFNGCMTQLFSAHFFGGTEIFLLTAMAYDRYVAICRPLHYTAIMDCRKCGLLAGASWLA
+GFLHSILQTLLTVQLPFCGPNEIDNFFCDVHPLLKLACADTYMVGLIVVANSGMISLASF
+FILIISYVIILLNLRSQSSEDRRKAVSTCGSHVITVLLVLMPPMFMYIRPSTTLAADKLI
+ILFNIVMPPLLNPLIYTLRNNDVKNAMRKLFR
+>Q8NH73_PF13853_29 <unknown description>
+FSFFYIIILLGNLLIMLTVCLSNLFKSPMYFFLSFLSFVDICYSSVTAPKMIVDLLAKDK
+TISYVGCMLQLFGVHFFGCTEIFILTVMAYDRYVAICKPLHYMTIMNRETCNKMLLGTWV
+GGFLHSIIQVALVVQLPFCGPNEIDHYFCDVHPVLKLACTETYIVGVVVTANSGTIALGS
+FVILLISYSIILVSLRKQSAEGRRKALSTCGSHIAMVVIFFGPCTFMYMRPDTTFSEDKM
+VAVFYTIITPMLNPLIYTLRNAEVKNAMKKL
+>Q8NH49_PF13853_29 <unknown description>
+FLLMYTAVVLGNGLIVVTILASKVLTSPMYFFLSYLSFVEICYCSVMAPKLIFDSFIKRK
+VISLKGCLTQMFSLHFFGGTEAFLLMVMAYDRYVAICKPLHYMAIMNQRMCGLLVRIAWG
+GGLLHSVGQTFLIFQLPFCGPNIMDHYFCDVHPVLELACADTFFISLLIITNGGSISVVS
+FFVLMASYLIILHFLRSHNLEGQHKALSTCASHVTVVDLFFIPCSLVYIRPCVTLPADKI
+VAVFYTVVTPLLNPVIYSFRNAEVKNAMRRFI
+>Q8NGF9_PF13853_23 <unknown description>
+FLFLYTAIVLGNFLIVLTVMTSRSLGSPMYFFLSYLSFMEICYSSATAPKLISDLLAERK
+VISWWGCMAQLFFLHFFGGTEIFLLTVMAYDHYVAICKPLSYTTIMNWQVCTVLVGIAWV
+GGFMHSFAQILLIFHLLFCGPNVINHYFCDLVPLLKLACSDTFLIGLLIVANGGTLSVIS
+FGVLLASYMVILLHLRTWSSEGWCKALSTCGSHFAVVILFFGPCVFNSLRPSTTLPIDKM
+VAVFYTVITAILNPVIYSLRNAEMRKAMKRLW
+>Q8NGJ0_PF13853_37 <unknown description>
+IYLTTLAWNLALIFLIRGDTHLHTPMYFFLSNLSFIDICYSSAVAPNMLTDFFWEQKTIS
+FVGCAAQFFFFVGMGLSECLLLTAMAYDRYAAISSPLLYPTIMTQGLCTRMVVGAYVGGF
+LSSLIQASSIFRLHFCGPNIINHFFCDLPPVLALSCSDTFLSQVVNFLVVVTVGGTSFLQ
+LLISYGYIVSAVLKIPSAEGRWKACNTCASHLMVVTLLFGTALFVYLRPSSSYLLGRDKV
+VSVFYSLVIPMLNPLIYSLRNKEIKDALWKVLER
+>Q8NGI9_PF13853_35 <unknown description>
+LYLLTLAWNLSLIALIKMDSHLHMPMYFFLSNLSFLDICYVSSTAPKMLSDIITEQKTIS
+FVGCATQYFVFCGMGLTECFLLAAMAYDRYAAICNPLLYTVLISHTLCLKMVVGAYVGGF
+LSSFIETYSVYQHDFCGPYMINHFFCDLPPVLALSCSDTFTSEVVTFIVSVVVGIVSVLV
+VLISYGYIVAAVVKISSATGRTKAFSTCASHLTAVTLFYGSGFFMYMRPSSSYSLNRDKV
+VSIFYALVIPVVNPIIYSFRNKEIKNAMRKAM
+>Q96R09_PF13853_29 <unknown description>
+FTFIYLLTLCGNLGMMLLILMDSCLHTPMYFFLSNLSLVDFGYSSAVTPKVMAGFLRGDK
+VISYNACAVQMFFFVALATVENYLLASMAYDRYAAVCKPLHYTTTMTASVGACLALGSYV
+CGFLNASFHIGGIFSLSFCKSNLVHHFFCDVPAVMALSCSDKHTSEVILVFMSSFNIFFV
+LLVIFISYLFIFITILKMHSAKGHQKALSTCASHFTAVSVFYGTVIFIYLQPSSSHSMDT
+DKMASVFYAMIIPMLNPVVYSLRNREVQNAFKKVLR
+>Q8NH48_PF13853_29 <unknown description>
+FPFIYIITLVGNLGIIVLIFWDSCLHNPMYFFLSNLSLVDFCYSSAVTPIVMAGFLIEDK
+VISYNACAAQMYIFVAFATVENYLLASMAYDRYAAVCKPLHYTTTMTTTVCARLAIGSYL
+CGFLNASIHTGDTFSLSFCKSNEVHHFFCDIPAVMVLSCSDRHISELVLIYVVSFNIFIA
+LLVILISYTFIFITILKMHSASVYQKPLSTCASHFIAVGIFYGTIIFMYLQPSSSHSMDT
+DKMAPVFYTMVIPMLNPLVYSLRNKEVKSAFKKVV
+>Q96R08_PF13853_29 <unknown description>
+FLFIYLITLVGNLGMIELILLDSCLHTPMYFFLSNLSLVDFGYSSAVTPKVMVGFLTGDK
+FILYNACATQFFFFVAFITAESFLLASMAYDRYAALCKPLHYTTTMTTNVCACLAIGSYI
+CGFLNASIHTGNTFRLSFCRSNVVEHFFCDAPPLLTLSCSDNYISEMVIFFVVGFNDLFS
+ILVILISYLFIFITIMKMRSPEGRQKAFSTCASHLTAVSIFYGTGIFMYLRPNSSHFMGT
+DKMASVFYAIVIPMLNPLVYSLRNKEVKSAFKKT
+>Q8NGF7_PF13853_29 <unknown description>
+FTLIYLITLTGNLGMIILILLDSHLHTPMYFFLSNLSLAGIGYSSAVTPKVLTGLLIEDK
+AISYSACAAQMFFCAVFATVENYLLSSMAYDRYAAVCNPLHYTTTMTTRVCACLAIGCYV
+IGFLNASIQIGDTFRLSFCMSNVIHHFFCDKPAVITLTCSEKHISELILVLISSFNVFFA
+LLVTLISYLFILITILKRHTGKGYQKPLSTCGSHLIAIFLFYITVIIMYIRPSSSHSMDT
+DKIASVFYTMIIPMLSPIVYTLRNKDVKNAFMKVV
+>A6NL26_PF13853_29 <unknown description>
+FLFIYLITLLGNGGMMVIIHSDSHLHTPMYFFLSNLSLVDLGYSSAVAPKTVAALRSGDK
+AISYDGCAAQFFFFVGFATVECYLLASMAYDRHAAVCRPLHYTTTMTAGVCALLATGSYV
+SGFLNASIHAAGTFRLSFCGSNEINHFFCDIPPLLALSCSDTRISKLVVFVAGFNVFFTL
+LVILISYFFICITIQRMHSAEGQKKVFSTCASHLTALSIFYGTIIFMYLQPNSSQSVDTD
+KIASVFYTVVIPMLNPLIYSLRNKEVKSALWKILN
+>Q8NGR4_PF13853_38 <unknown description>
+VYLVSLLGNMGMALLIRMDARLHTPMYFFLANLSLLDACYSSAIGPKMLVDLLLPRATIP
+YTACALQMFVFAGLADTECCLLAAMAYDRYVAIRNPLLYTTAMSQRLCLALLGASGLGGA
+VSAFVHTTLTFRLSFCRSRKINSFFCDIPPLLAISCSDTSLNELLLFAICGFIQTATVLA
+ITVSYGFIAGAVIHMRSVEGSRRAASTGGSHLTAVAMMYGTLIFMYLRPSSSYALDTDKM
+ASVFYTLVIPSLNPLIYSLRNKEVKEALRQ
+>Q8NGL4_PF13853_33 <unknown description>
+FLFVYTVTVVGNLGMIIIIRLNSKLHTIMCFFLSHLSLTDFCFSTVVTPKLLENLVVEYR
+TISFSGCIMQFCFACIFGVTETFMLAAMAYDRFVAVCKPLLYTTIMSQKLCALLVAGSYT
+WGIVCSLILTYFLLDLSFCESTFINNFICDHSVIVSASYSDPYISQRLCFIIAIFNEVSS
+LIIILTSYMLIFTTIMKMRSASGRQKTFSTCASHLTAITIFHGTILFLYCVPNPKTSSLI
+VTVASVFYTVAIPMLNPLIYSLRNKDINNMFEKLVVT
+>Q8NGL3_PF13853_33 <unknown description>
+FLLMYVITVVGNLGMIIIIKINPKFHTPMYFFLSHLSFVDFCYSSIVTPKLLENLVMADK
+SIFYFSCMMQYFLSCTAVVTESFLLAVMAYDRFVAICNPLLYTVAMSQRLCALLVAGSYL
+WGMFGPLVLLCYALRLNFSGPNVINHFFCEYTALISVSGSDILIPHLLLFSFATFNEMCT
+LLIILTSYVFIFVTVLKIRSVSGRHKAFSTWASHLTSITIFHGTILFLYCVPNSKNSRQT
+VKVASVFYTVVNPMLNPLIYSLRNKDVKDAFWKLI
+>Q8NGK9_PF13853_34 <unknown description>
+LAVYGFSVVGNLGMIVIIKINPKLHTPMYFFLNHLSFVDFCYSSIIAPMMLVNLVVEDRT
+ISFSGCLVQFFFFCTFVVTELILFAVMAYDHFVAICNPLLYTVAISQKLCAMLVVVLYAW
+GVACSLTLACSALKLSFHGFNTINHFFCELSSLISLSYPDSYLSQLLLFTVATFNEISTL
+LIILTSYAFIIVTTLKMPSASGHRKVFSTCASHLTAITIFHGTILFLYCVPNSKNSRHTV
+KVASVFYTVVIPLLNPLIYSLRNKDVKDAIRKIINT
+>Q8NGL1_PF13853_32 <unknown description>
+FLAIYNVTVLGNIGLIVIIKINPKLHTPMYFFLSQLSFVDFCYSSIIAPKMLVNLVVKDR
+TISFLGCVVQFFFFCTFVVTESFLLAVMAYDRFVAICNPLLYTVNMSQKLCVLLVVGSYA
+WGVSCSLELTCSALKLCFHGFNTINHFFCEFSSLLSLSCSDTYINQWLLFFLATFNEIST
+LLIVLTSYAFIVVTILKMRSVSGRRKAFSTCASHLTAITIFHGTILFLYCVPNSKNSRHT
+VKVASVFYTVVIPMLNPLIYSLRNKDVKDTVTEILD
+>O95221_PF13853_31 <unknown description>
+FLVIYTLTVLGNLGMILLIRIDSQLHTPMYFFLANLSFVDVCNSTTITPKMLADLLSEKK
+TISFAGCFLQMYFFISLATTECILFGLMAYDRYAAICRPLLYSLIMSRTVYLKMAAGAFA
+AGLLNFMVNTSHVSSLSFCDSNVIHHFFCDSPPLFKLSCSDTILKESISSILAGVNIVGT
+LLVILSSYSYVLFSIFSMHSGEGRHRAFSTCASHLTAIILFYATCIYTYLRPSSSYSLNQ
+DKVASVFYTVVIPMLNPLIYSLRSKEVKKALANVISRK
+>A6NKK0_PF13853_31 <unknown description>
+FLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDAWISSTVTPKMLNNFLAKSK
+MISLSECKIQFFSFAISVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYV
+GGILHALIHEGFLFRLTFCNSNIVHHIYCDTIPLSKISCTDSSINFLMVFIFSGSIQVFS
+IVTILVSYTFVLFAILKKKSDKGVRKAFSTCGAHLFSVSLYYGPLLFIYVGPASPQADDQ
+DMVEPLFYTVIIPLLNPIIYSLRNKQVTVSFTKMLK
+>Q8NGV7_PF13853_31 <unknown description>
+FLVIYLITIVWNLGLIALIWNDPQLHIPMYFFLGSLAFVDAWISSTVTPKMLVNFLAKNR
+MISLSECMIQFFSFAFGGTTECFLLATMAYDRYVAICKPLLYPVIMNNSLCIRLLAFSFL
+GGFLHALIHEVLIFRLTFCNSNIIHHFYCDIIPLFMISCTDPSINFLMVFILSGSIQVFT
+IVTVLNSYTFALFTILKKKSVRGVRKAFSTCGAHLLSVSLYYGPLIFMYLRPASPQADDQ
+DMIDSVFYTIIIPLLNPIIYSLRNKQVIDSFTKMV
+>Q13606_PF13853_34 <unknown description>
+LTLYAIILIGNIGLMLLIRIDPHLQTPMYFFLSNLSFVDLCYFSDIVPKMLVNFLSENKS
+ISYYGCALQFYFFCTFADTESFILAAMAYDRYVAICNPLLYTVVMSRGICMRLIVLSYLG
+GNMSSLVHTSFAFILKYCDKNVINHFFCDLPPLLKLSCTDTTINEWLLSTYGSSVEIICF
+IIIIISYFFILLSVLKIRSFSGRKKTFSTCASHLTSVTIYQGTLLFIYSRPSYLYSPNTD
+KIISVFYTIFIPVLNPLIYSLRNKDVKDAAEKVLRS
+>Q8NH18_PF13853_31 <unknown description>
+FLVIYAITLLRNLGMILLIQITSKLHTPMYFLLSCLSFVDACYSSAIAPKMLVNLLVVKA
+TISFSACMVQHLCFGVFITTEGFLLSVMAYDRYVAIVSPLLYTVAMSDRKCVELVTGSWI
+GGIVNTLIHTISLRRLSFCRLNAVSHFFCDIPSLLKLSCSDTSMNELLLLTFSGVIAMAT
+FLTVIISYIFIAFASLRIHSASGRQQAFSTCASHLTAVTIFYGTLIFSYIQPSSQYFVEQ
+EKVVSMFYTLGIPMLNLLIHSLRNKDVKEAVKRAI
+>Q8NHB7_PF13853_31 <unknown description>
+FFAIYLITVVGNISLVALIFTHRRLHTPMYIFLGNLALVDSCCACAITPKMLENFFSENK
+RISLYECAVQFYFLCTVETADCFLLAAMAYDRYVAICNPLQYHIMMSKKLCIQMTTGAFI
+AGNLHSMIHVGLVFRLVFCGSNHINHFYCDILPLYRLSCVDPYINELVLFIFSGSVQVFT
+IGSVLISYLYILLTIFKMKSKEGRAKAFSTCASHFLSVSLFYGSLFFMYVRPNLLEEGDK
+DIPAAILFTIVVPLLNPFIYSLRNREVISVLRKILMKK
+>Q8NHB8_PF13853_31 <unknown description>
+FFAIYLITVVGNISLVALIFTHCRLHTPMYIFLGNLALVDSCCACAITPKMLENFFSEGK
+RISLYECAVQFYFLCTVETADCFLLAAVAYDRYVAICNPLQYHIMMSKKLCIQMTTGAFI
+AGNLHSMIHVGLVFRLVFCGLNHINHFYCDTLPLYRLSCVDPFINELVLFIFSGSVQVFT
+IGSVLISYLYILLTIFRMKSKEGRAKAFSTCASHFSSVSLFYGSIFFLYIRPNLLEEGGN
+DIPAAILFTIVVPLLNPFIYSLRNKEVISVLRKILL
+>A6NET4_PF13853_31 <unknown description>
+FFAIYLITMVGNIGLVALIYIEQRLHTPMYIFLGNLVLMDSCCSSAITPKMLENFFSEDK
+RITLYECMAQFYFLCLAETTDCFLLAAMAYDCYVAICNPLQYHTMMSKTLCIQMTAGAYL
+AGNLHPMIEVEFLLRLTFCGSHQINHFFCDVLPLYRLSCINPYINELVLFILAGSIQIFT
+IVLVSYFYILFTIFTMKSKEGRGKALSTCASHFLSVSIFCDSLLFMYARPGAVNEGDKDI
+PVAIFYTLVIPLLNPFIYSLRNKEVINIMKKIMK
+>A6NMS3_PF13853_31 <unknown description>
+FSAIYLVTMVGNLGLVALIYVERRLLTPMYIFLGNLALMDSCCSCAVTPKMLENFFSEDR
+IISLYECMAQFYFLCLAETTDCFLLATMAYDRYVAICHPLQYHTMMSKTLCIRMTTGAFK
+AGNLHSMIHVGLLLRLTFCRSNKIHHFFCDILPLYRLSCTDPSINELMIYIFSIPIQIFT
+IATVLISYLCILLTVFKMKSKEGRGKAFSTCASHFLSVSIFYICLLMYIGPSEEGDKDTP
+VAIFYAIVIPLLNPFIYSLRNKEVINVLKKIM
+>Q8NGL2_PF13853_31 <unknown description>
+FLLIYGVTLLANLGMIALIQVSSRLHTPMYFFLSHLSSVDFCYSSIIVPKMLANIFNKDK
+AISFLGCMVQFYLFCTCVVTEVFLLAVMAYDRFVAICNPLLYTVTMSWKVRVELASCCYF
+CGTVCSLIHLCLALRIPFYRSNVINHFFCDLPPVLSLACSDITVNETLLFLVATLNESVT
+IMIILTSYLLILTTILKMGSAEGRHKAFSTCASHLTAITVFHGTVLSIYCRPSSGNSGDA
+DKVATVFYTVVIPMLNSVIYSLRNKDVKEALRKVMG
+>Q8NGL0_PF13853_31 <unknown description>
+FLLIYGVTLLANLGMTALIQVSSRLHTPVYFFLSHLSFVDFCYSSIIVPKMLANIFNKDK
+AISFLGCMVQFYLFCTCGVTEVFLLAVMAYDRFVAICNPLLYMVTMSQKLRVELTSCCYF
+CGTVCSLIHSSLALRILFYRSNVINHFFCDLPPLLSLACSDVTVNETLLFLVATLNESVT
+IMIILTSYLLILTTILKIHSAESRHKAFSTCASHLTAITVSHGTILYIYCRPSSGNSGDV
+DKVATVFYTVVIPMLNPLIYSLRNKDVNKALRKVMG
+>Q8NGP8_PF13853_31 <unknown description>
+FLAIYLITLAGNLCMILLIRTNSHLQTPMYFFLGHLSFVDICYSSNVTPNMLHNFLSEQK
+TISYAGCFTQCLLFIALVITEFYILASMALDRYVAICSPLHYSSRMSKNICVCLVTIPYM
+YGFLSGFSQSLLTFHLSFCGSLEINHFYCADPPLIMLACSDTRVKKMAMFVVAGFNLSSS
+LFIILLSYLFIFAAIFRIRSAEGRHKAFSTCASHLTIVTLFYGTLFCMYVRPPSEKSVEE
+SKITAVFYTFLSPMLNPLIYSLRNTDVILAMQQMI
+>Q8NGP4_PF13853_29 <unknown description>
+FLVVYIITMVGNIGMMVLIKVSPQLNNPMYFFLSHLSFVDVWFSSNVTPKMLENLLSDKK
+TITYAGCLVQCFFFIALVHVEIFILAAMAFDRYMAIGNPLLYGSKMSRVVCIRLITFPYI
+YGFLTSLAATLWTYGLYFCGKIEINHFYCADPPLIKMACAGTFVKEYTMIILAGINFTYS
+LTVIIISYLFILIAILRMRSAEGRQKAFSTCGSHLTAVIIFYGTLIFMYLRRPTEESVEQ
+GKMVAVFYTTVIPMLNPMIYSLRNKDVKKAMMKVIS
+>Q8NGP6_PF13853_30 <unknown description>
+FLAIYMVTVAGNLGMIVLIQANAWLHMPMYFFLSHLSFVDLCFSSNVTPKMLEIFLSEKK
+SISYPACLVQCYLFIALVHVEIYILAVMAFDRYMAICNPLLYGSRMSKSVCSFLITVPYV
+YGALTGLMETMWTYNLAFCGPNEINHFYCADPPLIKLACSDTYNKELSMFIVAGWNLSFS
+LFIICISYLYIFPAILKIRSTEGRQKAFSTCGSHLTAVTIFYATLFFMYLRPPSKESVEQ
+GKMVAVFYTTVIPMLNLIIYSLRNKNVKEALIKELSM
+>Q8NGP3_PF13853_29 <unknown description>
+FLAVYMITLLGNIGMIILISISPQLQSPMYFFLSHLSFADVCFSSNVTPKMLENLLSETK
+TISYVGCLVQCYFFIAVVHVEVYILAVMAFDRYMAGCNPLLYGSKMSRTVCVRLISVPYV
+YGFSVSLICTLWTYGLYFCGNFEINHFYCADPPLIQIACGRVHIKEITMIVIAGINFTYS
+LSVVLISYTLIVVAVLRMRSADGRRKAFSTCGSHLTAVSMFYGTPIFMYLRRPTEESVEQ
+GKMVAVFYTTVIPMLNPMIYSLRNKDVKEAVNKAIT
+>Q6IEU7_PF13853_31 <unknown description>
+FLAIYLITLAGNLCMILLIRTNSQLQTPMYFFLGHLSFVDICYSSNVTPNMLHNFLSEQK
+TISYAGCFTQCLLFIALVITEFYFLASMALDRYVAICSPLHYSSRMSKNICISLVTVPYM
+YGFLNGLSQTLLTFHLSFCGSLEINHFYCADPPLIMLACSDTRVKKMAMFVVAGFTLSSS
+LFIILLSYLFIFAAIFRIRSAEGRHKAFSTCASHLTIVTLFYGTLFCMYVRPPSEKSVEE
+SKIIAVFYTFLSPMLNPLIYSLRNRDVILAIQQMIR
+>Q96RB7_PF13853_31 <unknown description>
+FLVVYLVTLLGNLGMIMLMRLDSRLHTPMYFFLTNLAFVDLCYTSNATPQMSTNIVSEKT
+ISFAGCFTQCYIFIALLLTEFYMLAAMAYDRYVAIYDPLRYSVKTSRRVCICLATFPYVY
+GFSDGLFQAILTFRLTFCRSSVINHFYCADPPLIKLSCSDTYVKEHAMFISAGFNLSSSL
+TIVLVSYAFILAAILRIKSAEGRHKAFSTCGSHMMAVTLFYGTLFCMYIRPPTDKTVEES
+KIIAVFYTFVSPVLNPLIYSLRNKDVKQALKNVL
+>Q8WZ92_PF13853_29 <unknown description>
+ILFMIILSGNLSIIILIRISSQLHHPMYFFLSHLAFADMAYSSSVTPNMLVNFLVERNTV
+SYLGCAIQLGSAAFFATVECVLLAAMAYDRFVAICSPLLYSTKMSTQVSVQLLLVVYIAG
+FLIAVSYTTSFYFLLFCGPNQVNHFFCDFAPLLELSCSDISVSTVVLSFSSGSIIVVTVC
+VIAVCYIYILITILKMRSTEGHHKAFSTCTSHLTVVTLFYGTITFIYVMPNFSYSTDQNK
+VVSVLYTVVIPMLNPLIYSLRNKEIKGALKRELVRK
+>Q8WZ94_PF13853_34 <unknown description>
+IYVVTLMGNISIIVLIRRSHHLHTPMYIFLCHLAFVDIGYSSSVTPVMLMSFLRKETSLP
+VAGCVAQLCSVVTFGTAECFLLAAMAYDRYVAICSPLLYSTCMSPGVCIILVGMSYLGGC
+VNAWTFIGCLLRLSFCGPNKVNHFFCDYSPLLKLACSHDFTFEIIPAISSGSIIVATVCV
+IAISYIYILITILKMHSTKGRHKAFSTCTSHLTAVTLFYGTITFIYVMPKSSYSTDQNKV
+VSVFYTVVIPMLNPLIYSLRNKEIKGALKREL
+>Q8NH85_PF13853_31 <unknown description>
+FLVIYLVTVLGNLGLITLIKIDTRLHTPMYYFLSHLAFVDLCYSSAITPKMMVNFVVERN
+TIPFHACATQLGCFLTFMITECFLLASMAYDCYVAICSPLHYSTLMSRRVCIQLVAVPYI
+YSFLVALFHTVITFRLTYCGPNLINHFYCDDLPFLALSCSDTHMKEILIFAFAGFDMISS
+SSIVLTSYIFIIAAILRIRSTQGQHKAISTCGSHMVTVTIFYGTLIFMYLQPKSNHSLDT
+DKMASVFYTVVIPMLNPLIYSLRNKEVKDASKKAL
+>Q8NG75_PF13853_43 <unknown description>
+FLAIYLFTLIGNLGLVVPIIGDFWLHSPMYYFLGVLSFLDVCYSTVVTPKMLVNFLAKNK
+SISFLGCATQMFLACTFGTTECFLLAAMAYDRYVAIYNPLLYSVSMSPRVYVPLITASYV
+ASILHATIHTVATFSLSFCGSNEIRHVFCNMPPLLAISCSDTHVIQLLFFYFVGSIEIVT
+ILIVLISYGFILLAILKMQSAEGRRKVFSTCGAHLTGVTIYHGTILFMYVRPSSSYTSDN
+DMIVSIFYTIVIPMLNPIIYSLRNKDVKEAIKRLLV
+>Q9UGF6_PF13853_32 <unknown description>
+FLTYFCTLGGNILIILTTVTDPHLHTPMYYFLGNLAFIDICYTTSNVPQMMVHLLSKKKS
+ISYVGCVVQLFAFVFFVGSECLLLAAMAYDRYIAICNPLRYSVILSKVLCNQLAASCWAA
+GFLNSVVHTVLTFCLPFCGNNQINYFFCDIPPLLILSCGNTSVNELALLSTGVFIGWTPF
+LCIVLSYICIISTILRIQSSEGRRKAFSTCASHLAIVFLFYGSAIFTYVRPISTYSLKKD
+RLVSVLYSVVTPMLNPIIYTLRNKDIKEAVKTI
+>Q8NH69_PF13853_31 <unknown description>
+FLAVYIINFSANLGMIVLIRMDYQLHTPMYFFLSHLSFCDLCYSTATGPKMLVDLLAKNK
+SIPFYGCALQFLVFCIFADSECLLLSVMAFDRYKAIINPLLYTVNMSSRVCYLLLTGVYL
+VGIADALIHMTLAFRLCFCGSNEINHFFCDIPPLLLLSRSDTQVNELVLFTVFGFIELST
+ISGVFISYCYIILSVLEIHSAEGRFKALSTCTSHLSAVAIFQGTLLFMYFRPSSSYSLDQ
+DKMTSLFYTLVVPMLNPLIYSLRNKDVKEALKKL
+>O95222_PF13853_34 <unknown description>
+LAYVLVLTENTLIIMAIRNHSTLHKPMYFFLANMSFLEIWYVTVTIPKMLAGFVGSKQDH
+GQLISFEGCMTQLYFFLGLGCTECVLLAVMAYDRYMAICYPLHYPVIVSGRLCVQMAAGS
+WAGGFGISMVKVFLISGLSYCGPNIINHFFCDVSPLLNLSCTDMSTAELTDFILAIFILL
+GPLSVTGASYVAITGAVMHIPSAAGRYKAFSTCASHLTVVIIFYAASIFIYARPKALSAF
+DTNKLVSVLYAVIVPLLNPIIYCLRNQEVKRALC
+>O95007_PF13853_32 <unknown description>
+LVAYILTVAENVIIILLVLQNRPLHKPMYFFLANLSFLETWYISVTVPKLLFSFWSVNNS
+ISFTLCMIQLYFFIALMCTECVLLAAMAYDRYVAICRPLHYPTIMSHGLCFRLALGSWAI
+GFGISLAKIYFISCLSFCGPNVINHFFCDISPVLNLSCTDMSITELVDFILALVIFLFPL
+FITVLSYGCILATILCMPTGKQKAFSTCASHLVVVTIFYSAIIFMYARPRVIHAFNMNKI
+ISIFYAIVTPSLNPFIYCLRNREVKEALKKL
+>Q6IFH4_PF13853_31 <unknown description>
+FLLTYLFVLVENLAIILIVWSSTSLHRPMYYFLSSMSFLEIWYVSDITPKMLEGFLLQQK
+RISFVGCMTQLYFFSSLVCTECVLLASMAYDRYVAICHPLRYHVLVTPGLCLQLVGFSFV
+SGFTISMIKVCFISSVTFCGSNVLNHFFCDISPILKLACTDFSTAELVDFILAFIILVFP
+LLATILSYWHITLAVLRIPSATGCWRAFSTCASHLTVVTVFYTALLFMYVRPQAIDSQSS
+NKLISAVYTVVTPIINPLIYCLRNKEFKDALKKAL
+>Q96RD1_PF13853_31 <unknown description>
+ITYMLSITGNLTLITITLLDSHLQTPMYFFLRNFSILEISFTTVSIPKFLGNIISGDKTI
+SFNNCIVQLFFFILLGVTEFYLLAAMSYDRYVAICKPLHCLSIMNRRVCTLLVFTSWLVS
+FLIIFPALMLLLKLHYCRSNIIDHFTCDYFPLLQLACSDTKFLEVMGFSCAAFTLMFTLA
+LIFLSYIYIIRTILRIPSTSQRTKAFSTCSSHMVVVSISYGSCIFMYIKPSAKDRVSLSK
+GVAILNTSVAPMMNPFIYSLRNQQVKQAFINM
+>Q9NZP2_PF13853_31 <unknown description>
+LTYMLSVTGNLTIITLTLVDHHLKTPMYFFLRNFSFLEVSFTTVCIPRFLYNISMGDNTI
+TYNACASQIFFVILFGATEFFLLAAMSYDRYVAICKPLHYVVIMNNRVCTLLVLCCWVAG
+LMIIVPPLSLGLQLEFCDSNAIDHFSCDAGPLLKISCSDTWVIEQMVILMAVFALIITLV
+CVILSYLYIVRTILKFPSVQQRKKAFSTCSSHMIVVSIAYGSCIFIYIKPSAKDEVAINK
+GVSVLTTSVAPLLNPFIYTLRNKQVKQAF
+>Q9NZP0_PF13853_30 <unknown description>
+ITYILSVTGNLTIITLTFVDSHLQTPMYFFLRNFSFLEISFTTVCIPRFLGAIITRNKTI
+SYNNCAAQLFFFIFMGVTEFYILTAMSYDRYVAICKPLHYTSIMNRKLCTLLVLCAWLSG
+FLTIFPPLMLLLQLDYCASNVIDHFACDYFPLLQLSCSDTWLLEVIGFYFALVTLLFTLA
+LVILSYMYIIRTILRIPSASQRKKAFSTCSSHMIVISISYGSCIFMYANPSAKEKASLTK
+GIAILNTSVAPMLNPFIYTLRNQQVKQAFKNVV
+>Q8NGE1_PF13853_31 <unknown description>
+LTYMLSILGNLTIITLTLLDPHLQTPMYFFLRNFSFLEISFTSIFIPRFLTSMTTGNKVI
+SFAGCLTQYFFAIFLGATEFYLLASMSYDRYVAICKPLHYLTIMSSRVCIQLVFCSWLGG
+FLAILPPIILMTQVDFCVSNILNHYYCDYGPLVELACSDTSLLELMVILLAVVTLMVTLV
+LVTLSYTYIIRTILRIPSAQQRTKAFSTCSSHMIVISLSYGSCMFMYINPSAKEGGAFNK
+GIAVLITSVTPLLNPFIYTLRNQQVKQAFK
+>A6NF89_PF13853_31 <unknown description>
+LNYTLSLMGNLIIIILTLLDPRLKTPMYFFLRNFSFLEVIFTTVCIPRFLITIVTRDKTI
+SYNNCATQLFFILLPGVTEFYLLAAMSYDRYVAICKPLHYPIIMSSKVCYQLVLSSWVTG
+FLIIFPPLVMGLKLDFCASKTIDHFMCETSPILQISCTDTHVLELMSFTLAVVTLVVTLV
+LVILSYTCIIKTILKFSSAQQRNKAFSTCTSHMIVVSMTYGSCIFMYIKPSAKERVTVSK
+GVALLYTSIAPLLNPFIYTLRNQQVKEVFWDVL
+>Q8NGZ6_PF13853_31 <unknown description>
+FLVMYILTVSGNVAILMLVSTSHQLHTPMYFFLSNLSFLEIWYTTAAVPKALAILLGRSQ
+TISFTSCLLQMYFVFSLGCTEYFLLAAMAYDRCLAICYPLHYGAIMSSLLSAQLALGSWV
+CGFVAIAVPTALISGLSFCGPRAINHFFCDIAPWIALACTNTQAVELVAFVIAVVVILSS
+CLITFVSYVYIISTILRIPSASGRSKAFSTCSSHLTVVLIWYGSTVFLHVRTSIKDALDL
+IKAVHVLNTVVTPVLNPFIYTLRNKEVRETLLK
+>Q8NGC5_PF13853_34 <unknown description>
+FLLTLLGNLLIISTVLSCSRLHTPMYFFLCNLSILDILFTSVISPKVLANLGSRDKTISF
+AGCITQCYFYFFLGTVEFLLLTVMSYDRYATICCPLRYTTIMRPSVCIGTVVFSWVGGFL
+SVLFPTILISQLPFCGSNIINHFFCDSGPLLALACADTTAIELMDFMLSSMVILCCIVLV
+AYSYTYIILTIVRIPSASGRKKAFNTCASHLTIVIISSGITVFIYVTPSQKEYLEINKIP
+LVLSSVVTPFLNPFIYTLRNDTVQGVLR
+>Q8NGY2_PF13853_33 <unknown description>
+FIYAFIVVGNLVIITVVQLNTHLHTPMYTFISALSFLEIWYTTATIPKMLSSLLSERSIS
+FNGCLLQMYFFHSTGICEVCLLTVMAFDHYLAICSPLHYPSIMTPKLCTQLTLSCCVCGF
+ITPLPEIAWISTLPFCGSNHLEHIFCDFLPVLRLACTDTRAIVMIQVVDVIHAVEIITAV
+MLIFMSYDGIVAVILRIHSAGGRRTAFSTCVSHFIVFSLFFGSVTLMYLRFSATYSLFWD
+IAIALAFAVLSPFFNPIIYSLRNKEIKEAIKKHI
+>Q8NGM8_PF13853_31 <unknown description>
+VTYTLTATGNITIISLIWIDHRLQTPMYFFLSNLSFLDILYTTVITPKLLACLLGEEKTI
+SFAGCMIQTYFYFFLGTVEFILLAVMSFDRYMAICDPLHYTVIMNSRACLLLVLGCWVGA
+FLSVLFPTIVVTRLPYCRKEINHFFCDIAPLLQVACINTHLIEKINFLLSALVILSSLAF
+TTGSYVYIISTILRIPSTQGRQKAFSTCASHITVVSIAHGSNIFVYVRPNQNSSLDYDKV
+AAVLITVVTPLLNPFIYSLRNEKVQEVLRE
+>Q8NGY5_PF13853_32 <unknown description>
+LLIYLMTVLGNLLIFLVVCLDSRLHTPMYHFVSILSFSELGYTAATIPKMLANLLSEKKT
+ISFSGCLLQIYFFHSLGATECYLLTAMAYDRYLAICRPLHYPTLMTPTLCAEIAIGCWLG
+GLAGPVVEISLISRLPFCGPNRIQHVFCDFPPVLSLACTDTSINVLVDFVINSCKILATF
+LLILCSYVQIICTVLRIPSAAGKRKAISTCASHFTVVLIFYGSILSMYVQLKKSYSLDYD
+QALAVVYSVLTPFLNPFIYSLRNKEIKEAVRRQL
+>Q8NGY6_PF13853_33 <unknown description>
+LAYLFTICGNMLIFSVIRLDAALHTPMYHFVSVLSFLELWYTATTIPKMLSNILSEKKTI
+SFAGCLLQTYFFHSLGASECYLLTAMAYDRYLAICRPLHYPIIMTTTLCAKMAAACWTCG
+FLCPISEVILASQLPFCAYNEIQHIFCDFPPLLSLACKDTSANILVDFAINAFIILITFF
+FIMISYARIIGAVLKIKTASGRKKAFSTCASHLAVVLIFFGSIIFMYVRLKKSYSLTLDR
+TLAIVYSVLTPMVNPIIYSLRNKEIIKAIKRTIFQK
+>Q8NGX9_PF13853_31 <unknown description>
+FFAIYLLTLLENALIVFTIWLAPSLHRPMYFFLGHLSFLELWYINVTIPRLLAAFLTQDG
+RVSYVGCMTQLYFFIALACTECVLLAVMAYDRYLAICGPLLYPSLMPSSLATRLAAASWG
+SGFFSSMMKLLFISQLSYCGPNIINHFFCDISPLLNLTCSDKEQAELVDFLLALVMILLP
+LLAVVSSYTAIIAAILRIPTSRGRHKAFSTCAAHLAVVVIYYSSTLFTYARPRAMYTFNH
+NKIISVLYTIIVPFFNPAIYCLRNKEVKEAFRKTV
+>Q8NGQ2_PF13853_34 <unknown description>
+LTMYLFTLVENLAIILVVGLDHRLRRPMYFFLTHLSCLEIWYTSVTVPKMLAGFIGVDGG
+KNISYADCLSQLFIFTFLGATECFLLAAMAYDRYVAICMPLHYGAFVSWGTCIRLAAACW
+LVGFLTPILPIYLLSQLTFYGPNVIDHFSCDASPLLALSCSDVTWKETVDFLVSLAVLLA
+SSMVIAVSYGNIVWTLLHIRSAAERWKAFSTCAAHLTVVSLFYGTLFFMYVQTKVTSSIN
+FNKVVSVFYSVVTPMLNPLIYSLRNKEVKGALGRVFS
+>Q8NH40_PF13853_33 <unknown description>
+FLLVYLLNLTGNVLIVGVVRADTRLQTPMYFFLGNLSCLEILLTSVIIPKMLSNFLSRQH
+TISFAACITQFYFYFFLGASEFLLLAVMSADRYLAICHPLRYPLLMSGAVCFRVALACWV
+GGLVPVLGPTVAVALLPFCKQGAVVQHFFCDSGPLLRLACTNTKKLEETDFVLASLVIVS
+SLLITAVSYGLIVLAVLSIPSASGRQKAFSTCTSHLIVVTLFYGSAIFLYVRPSQSGSVD
+TNWAVTVITTFVTPLLNPFIYALRNEQVKEALKDMFR
+>Q8NGN1_PF13853_33 <unknown description>
+VTYIVTATGKLLIIVLSWIDQRLHIQMYFFLRNFSFLELLLVTVVVPKMLVVILTGDHTI
+SFVSCIIQSYLYFFLGTTDFFLLAVMSLDRYLAICRPLRYETLMNGHVCSQLVLASWLAG
+FLWVLCPTVLMASLPFCGPNGIDHFFRDSWPLLRLSCGDTHLLKLVAFMLSTLVLLGSLA
+LTSVSYACILATVLRAPTAAERRKAFSTCASHLTVVVIIYGSSIFLYIRMSEAQSKLLNK
+GASVLSCIITPLLNPFIFTLRNDKVQQALREA
+>Q8N148_PF13853_29 <unknown description>
+FLMLYLLAFMGNTIIIVMVIADTHLHTPMYFFLGNFSLLEILVTMTAVPRMLSDLLVPHK
+VITFTGCMVQFYFHFSLGSTSFLILTDMALDRFVAICHPLRYGTLMSRAMCVQLAGAAWA
+APFLAMVPTVLSRAHLDYCHGDVINHFFCDNEPLLQLSCSDTRLLEFWDFLMALTFVLSS
+FLVTLISYGYIVTTVLRIPSASSCQKAFSTCGSHLTLVFIGYSSTIFLYVRPGKAHSVQV
+RKVVALVTSVLTPFLNPFILTFCNQTVKT
+>Q8NH79_PF13853_31 <unknown description>
+LTYILTLAGNGLIIATVWAEPRLQIPMYFFLCNLSFLEIWYTTTVIPKLLGTFVVARTVI
+CMSCCLLQAFFHFFVGTTEFLILTIMSFDRYLTICNPLHHPTIMTSKLCLQLALSSWVVG
+FTIVFCQTMLLIQLPFCGNNVISHFYCDVGPSLKAACIDTSILELLGVIATILVIPGSLL
+FNMISYIYILSAILRIPSATGHQKTFSTCASHLTVVSLLYGAVLFMYLRPTAHSSFKINK
+VVSVLNTILTPLLNPFIYTIRNKEVKGALRKAM
+>Q8NGX8_PF13853_37 <unknown description>
+LATYLLTLLENLLIILAIHSDGQLHKPMYFFLSHLSFLEMWYVTVISPKMLVDFLSHDKS
+ISFNGCMTQLYFFVTFVCTEYILLAIMAFDRYVAICNPLRYPVIMTNQLCGTLAGGCWFC
+GLMTAMIKMVFIAQLHYCGMPQINHYFCDISPLLNVSCEDASQAEMVDFFLALMVIAIPL
+CVVVASYAAILATILRIPSAQGRQKAFSTCASHLTVVILFYSMTLFTYARPKLMYAYNSN
+KVVSVLYTVIVPLLNPIIYCLRNHEVKAALRKT
+>Q15622_PF13853_32 <unknown description>
+LSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFADICVTSTTIPKMLMNIQTQNKV
+ITYIACLMQMYFFILFAGFENFLLSVMAYDRFVAICHPLHYMVIMNPHLCGLLVLASWTM
+SALYSLLQILMVVRLSFCTALEIPHFFCELNQVIQLACSDSFLNHMVIYFTVALLGGGPL
+TGILYSYSKIISSIHAISSAQGKYKAFSTCASHLSVVSLFYGAILGVYLSSAATRNSHSS
+ATASVMYTVVTPMLNPFIYSLRNKDIKRAL
+>O76100_PF13853_32 <unknown description>
+LSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFVDICFVSTTVPKMLVNIQTHNKV
+ITYAGCITQMCFFLLFVGLDNFLLTVMAYDRFVAICHPLHYMVIMNPQLCGLLVLASWIM
+SVLNSMLQSLMVLPLPFCTHMEIPHFFCEINQVVHLACSDTFLNDIVMYFAVALLGGGPL
+TGILYSYSKIVSSIRAISSAQGKYKAFSTCASHLSVVSLFYGTCLGVYLSSAATHNSHTG
+AAASVMYTVVTPMLNPFIYSLRNKHIKGAMKTFF
+>O14581_PF13853_32 <unknown description>
+LSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFADICFISTTIPKMLINIQTQSRV
+ITYAGCITQMCFFVLFGGLDSLLLAVMAYDRFVAICHPLHYTVIMNPRLCGLLVLASWMI
+AALNSLSQSLMVLWLSFCTDLEIPHFFCELNQVIHLACSDTFLNDMGMYFAAGLLAGGPL
+VGILCSYSKIVSSIRAISSAQGKYKAFSTCASHLSVVSLFCCTGLGVYLTSAATHNSHTS
+ATASVMYTVATPMLNPFIYSLRNKDIKRALKMS
+>O76099_PF13853_32 <unknown description>
+LSMYLVTFTGNLLIILAICSDSHLHTPMYFFLSNLSFADLCFTSTTVPKMLLNILTQNKF
+ITYAGCLSQIFFFTSFGCLDNLLLTVMAYDRFVAVCHPLHYTVIMNPQLCGLLVLGSWCI
+SVMGSLLETLTVLRLSFCTEMEIPHFFCDLLEVLKLACSDTFINNVVIYFATGVLGVISF
+TGIFFSYYKIVFSILRISSAGRKHKAFSTCGSHLSVVTLFYGTGFGVYLSSAATPSSRTS
+LVASVMYTMVTPMLNPFIYSLRNTDMKRALGRLLS
+>O60412_PF13853_32 <unknown description>
+LSMYLVTIIGNLLIILTISSDSHLHTPMYFFLSNLSFADICFTSTTVPKMLVNIQTQSKM
+ITFAGCLTQIFFFIAFGCLDNLLLTMTAYDRFVAICYPLHYTVIMNPRLCGLLVLGSWCI
+SVMGSLLETLTILRLSFCTNMEIPHFFCDPSEVLKLACSDTFINNIVMYFVTIVLGVFPL
+CGILFSYSQIFSSVLRVSARGQHKAFSTCGSHLSVVSLFYGTGLGVYLSSAVTPPSRTSL
+AASVMYTMVTPMLNPFIYSLRNKDMKGSLGRLLL
+>Q96RA2_PF13853_32 <unknown description>
+LSMYLVTVLGNLLIILAISSDSHLHTPMYFFLSNLSWVDICFSTCIVPKMLVNIQTENKA
+ISYMDCLTQVYFSMFFPILDTLLLTVMAYDRFVAVCHPLHYMIIMNPHLCGLLVFVTWLI
+GVMTSLLHISLMMHLIFCKDFEIPHFFCELTYILQLACSDTFLNSTLIYFMTGVLGVFPL
+LGIIFSYSRIASSIRKMSSSGGKQKALSTCGSHLSVVSLFYGTGIGVHFTSAVTHSSQKI
+SVASVMYTVVTPMLNPFIYSLRNKDVKGALGSLLS
+>Q8NG98_PF13853_32 <unknown description>
+LSMYLVTVLGNLLIILAVSSDSHLHTPMYFFLSNLSFVDICFISTTVPKMLVSIQARSKD
+ISYMGCLTQVYFLMMFAGMDTFLLAVMAYDRFVAICHPLHYTVIMNPCLCGLLVLASWFI
+IFWFSLVHILLMKRLTFSTGTEIPHFFCEPAQVLKVACSNTLLNNIVLYVATALLGVFPV
+AGILFSYSQIVSSLMGMSSTKGKYKAFSTCGSHLCVVSLFYGTGLGVYLSSAVTHSSQSS
+STASVMYAMVTPMLNPFIYSLRNKDVKGALERLLS
+>Q8NGA0_PF13853_32 <unknown description>
+LSMYLVTILGNLLILLAVISDSHLHTPMYFLLFNLSFTDICLTTTTVPKILVNIQAQNQS
+ITYTGCLTQICLVLVFAGLESCFLAVMAYDRYVAICHPLRYTVLMNVHFWGLLILLSMFM
+STMDALVQSLMVLQLSFCKNVEIPLFFCEVVQVIKLACSDTLINNILIYFASSVFGAIPL
+SGIIFSYSQIVTSVLRMPSARGKYKAFSTCGCHLSVFSLFYGTAFGVYISSAVAESSRIT
+AVASVMYTVVPQMMNPFIYSLRNKEMKKALRKLI
+>Q8NG99_PF13853_32 <unknown description>
+LSMYLVTILGNLLILLAVISDSHLHTPMYFFLSNLSFLDICLSTTTIPKMLVNIQAQNRS
+ITYSGCLTQICFVLFFAGLENCLLAAMAYDRYVAICHPLRYTVIMNPRLCGLLILLSLLT
+SVVNALLLSLMVLRLSFCTDLEIPLFFCELAQVIQLTCSDTLINNILIYFAACIFGGVPL
+SGIILSYTQITSCVLRMPSASGKHKAVSTCGSHLSIVLLFYGAGLGVYISSVVTDSPRKT
+AVASVMYSVFPQMVNPFIYSLRNKDMKGTLRKF
+>Q8NG95_PF13853_32 <unknown description>
+LSMYLATMLGNLLIILAVNSDSHLHTPMYFLLSILSLVDICFTSTTMPKMLVNIQAQAQS
+INYTGCLTQICFVLVFVGLENGILVMMAYDRFVAICHPLRYNVIMNPKLCGLLLLLSFIV
+SVLDALLHTLMVLQLTFCIDLEIPHFFCELAHILKLACSDVLINNILVYLVTSLLGVVPL
+SGIIFSYTRIVSSVMKIPSAGGKYKAFSICGSHLIVVSLFYGTGFGVYLSSGATHSSRKG
+AIASVMYTVVTPMLNPLIYSLRNKDMLKALRKLIS
+>Q96RD0_PF13853_31 <unknown description>
+FLVIYIVTMVGNLGLITLFGLNSHLHTPMYYFLFNLSFIDLCYSSVFTPKMLMNFVSKKN
+IISNVGCMTRLFFFLFFVISECYMLTSMAYDRYVAICNPLLYKVTMSHQVCSMLTFAAYI
+MGLAGATAHTGCMLRLTFCSANIINHYLCDILPLLQLSCTSTYVNEVVVLIVVGTNITVP
+SCTILISYVFIVTSILHIKSTQGRSKAFSTCSSHVIALSLFFGSAAFMYIKYSSGSMEQG
+KVSSVFYTNVVPMLNPLIYSLRNKDVKVALRKALI
+>Q8NGG8_PF13853_31 <unknown description>
+FLVVYIVTMVGNLGLIILFGLNSHLHTPMYYFLFNLSFIDLCYSSVFTPKMLMNFVSKKN
+IISYVGCMTQLFFFLFFVISECYMLTSMAYDRYVAICNPLLYKVTMSHQVCSMLTFAAYI
+MGLAGATAHTGCMLRLTFCSANIINHYLCDILPLLQLSCTSTYVNEVVVLIVVGINIMVP
+SCTILISYVFIVTSILHIKSTQGRSKAFSTCSSHVIALSLFFGSAAFMYIKYSSGSMEQG
+KVSSVFYTNVVPMLNPLIYSLRNKDVKVALRKALI
+>Q96RC9_PF13853_34 <unknown description>
+IYVFTVVGNLGLITLIGINPSLHTPMYFFLFNLSFIDLCYSCVFTPKMLNDFVSESIISY
+VGCMTQLFFFCFFVNSECYVLVSMAYDRYVAICNPLLYMVTMSPRVCFLLMFGSYVVGFA
+GAMAHTGSMLRLTFCDSNVIDHYLCDVLPLLQLSCTSTHVSELVFFIVVGVITMLSSISI
+VISYALILSNILCIPSAEGRSKAFSTWGSHIIAVALFFGSGTFTYLTTSFPGSMNHGRFA
+SVFYTNVVPMLNPSIYSLRNKDDKLAL
+>Q15620_PF13853_34 <unknown description>
+FYVVTVVGNLGLITLIRLNSHLHTPMYFFLYNLSFIDFCYSSVITPKMLMSFVLKKNSIS
+YAGCMTQLFFFLFFVVSESFILSAMAYDRYVAICNPLLYMVTMSPQVCFLLLLGVYGMGF
+AGAMAHTACMMGVTFCANNLVNHYMCDILPLLECACTSTYVNELVVFVVVGIDIGVPTVT
+IFISYALILSSIFHIDSTEGRSKAFSTCSSHIIAVSLFFGSGAFMYLKPFSLLAMNQGKV
+SSLFYTTVVPMLNPLIYSLRNKDVKVALKKILN
+>Q8NGG6_PF13853_33 <unknown description>
+FYTVTVVGNLGLITLIGLNSHLHTPMYFFLFNLSLIDFCFSTTITPKMLMSFVSRKNIIS
+FTGCMTQLFFFCFFVVSESFILSAMAYDRYVAICNPLLYTVTMSCQVCLLLLLGAYGMGF
+AGAMAHTGSIMNLTFCADNLVNHFMCDILPLLELSCNSSYMNELVVFIVVAVDVGMPIVT
+VFISYALILSSILHNSSTEGRSKAFSTCSSHIIVVSLFFGSGAFMYLKPLSILPLEQGKV
+SSLFYTIIVPVLNPLIYSLRNKDVKVALRRTLGR
+>Q8WZ84_PF13853_34 <unknown description>
+IYVVTVVGNLGMILLIAVSPLLHTPMYYFLSSLSFVDFCYSSVITPKMLVNFLGKKNTIL
+YSECMVQLFFFVVFVVAEGYLLTAMAYDRYVAICSPLLYNAIMSSWVCSLLVLAAFFLGF
+LSALTHTSAMMKLSFCKSHIINHYFCDVLPLLNLSCSNTHLNELLLFIIAGFNTLVPTLA
+VAVSYAFILYSILHIRSSEGRSKAFGTCSSHLMAVVIFFGSITFMYFKPPSSNSLDQEKV
+SSVFYTTVIPMLNPLIYSLRNKDVKKALRKVLVG
+>Q9GZM6_PF13853_34 <unknown description>
+IYVVTVVGNLGMIFLIALSSQLYPPVYYFLSHLSFIDLCYSSVITPKMLVNFVPEENIIS
+FLECITQLYFFLIFVIAEGYLLTAMEYDRYVAICRPLLYNIVMSHRVCSIMMAVVYSLGF
+LWATVHTTRMSVLSFCRSHTVSHYFCDILPLLTLSCSSTHINEILLFIIGGVNTLATTLA
+VLISYAFIFSSILGIHSTEGQSKAFGTCSSHLLAVGIFFGSITFMYFKPPSSTTMEKEKV
+SSVFYITIIPMLNPLIYSLRNKDVKNALKKM
+>Q8NGM9_PF13853_34 <unknown description>
+IYTVTVVGNLSMISIIRLNRQLHTPMYYFLSSLSFLDFCYSSVITPKMLSGFLCRDRSIS
+YSGCMIQLFFFCVCVISECYMLAAMACDRYVAICSPLLYRVIMSPRVCSLLVAAVFSVGF
+TDAVIHGGCILRLSFCGSNIIKHYFCDIVPLIKLSCSSTYIDELLIFVIGGFNMVATSLT
+IIISYAFILTSILRIHSKKGRCKAFSTCSSHLTAVLMFYGSLMSMYLKPASSSSLTQEKV
+SSVFYTTVILMLNPLIYSLRNNEVRNALMKLLRRK
+>Q15617_PF13853_34 <unknown description>
+IYVVTVVGNLGMTTLIWLSSHLHTPMYYFLSSLSFIDFCHSTVITPKMLVNFVTEKNIIS
+YPECMTQLYFFLVFAIAECHMLAAMAYDRYMAICSPLLYSVIISNKACFSLILGVYIIGL
+VCASVHTGCMFRVQFCKFDLINHYFCDLLPLLKLSCSSIYVNKLLILCVGAFNILVPSLT
+ILCSYIFIIASILHIRSTEGRSKAFSTCSSHMLAVVIFFGSAAFMYLQPSSISSMDQGKV
+SSVFYTIIVPMLNPLIYSLRNKDVHVSLKKMLQ
+>Q8NGG4_PF13853_31 <unknown description>
+FLLIYLITMLGNVGMILIIRLDLQLHTPMYFFLTHLSFIDLSYSTVITPKTLANLLTSNY
+ISFMGCFAQMFFFVFLGAAECFLLSSMAYDRYVAICSPLRYPVIMSKRLCCALVTGPYVI
+SFINSFVNVVWMSRLHFCDSNVVRHFFCDTSPILALSCMDTYDIEIMIHILAGSTLMVSL
+ITISASYVSILSTILKINSTSGKQKALSTCASHLLGVTIFYGTMIFTYLKPRKSYSLGRD
+QVASVFYTIVIPMLNPLIYSLRNKEVKNALIRVMQ
+>Q8N162_PF13853_32 <unknown description>
+FLLIYLITMLGNVGMILIIRLDLQLHTPMYFFLTHLSFIDLSYSTVVTPKTLANLLTSNY
+ISFTGCFAQMFFFAFLGTAECYLLSSMAHDRYAAICSPLHYTVIMSKRLCLALITGPYVI
+GFIDSFVNVVSMSRLHFYDSNVIHHFFCDTSPILALSCTDTYNTEILIFIIVGSTLMVSL
+FTISASYVFILFTILKINSTSGKQKAFSTCVSHLLGVTIFYSTLIFTYLKPRKSYSLGRD
+QVASVFYTIVIPVLNPLIYSLRNKEVKNAVIRVMQ
+>Q8N146_PF13853_32 <unknown description>
+FLLIYLITMLGNVGMLLIIRLDLQLHTPMYFFLTHLSFIDLSYSTVVTPKTLANLLTSNY
+ISFTGCFAQMFCFVFLGTAECYLLSSMAYDRYAAICSPLHYTVIMPKRLCLALITGPYVI
+GFMDSFVNVVSMSRLHFCDSNIIHHFFCDTSPILALSCTDTDNTEMLIFIIAGSTLMVSL
+ITISASYVSILSTILKINSTSGKQKAFSTCVSHLLGVTIFYGTMIFTYLKPRKSYSLGRD
+QVAPVFYTIVIPMLNPLIYSLRNREVKNALIRVMQ
+>Q8N0Y5_PF13853_31 <unknown description>
+FLFIYLFTVLGNLGLITLIRMDSQLHTPMYFFLSNLAFIDIFYSSTVTPKALVNFQSNRR
+SISFVGCFVQMYFFVGLVCCECFLLGSMAYNRYIAICNPLLYSVVMSQKVSNWLGVMPYV
+IGFTSSLISVWVISSLAFCDSSINHFFCDTTALLALSCVDTFGTEMVSFVLAGFTLLSSL
+LIITVTYIIIISAILRIQSAAGRQKAFSTCASHLMAVTIFYGSLIFTYLQPDNTSSLTQA
+QVASVFYTIVIPMLNPLIYSLRNKDVKNALLRVIHR
+>Q8NGP2_PF13853_32 <unknown description>
+LVLYGLTMAGNLGIITLTSVDSRLQTPMYFFLQHLALINLGNSTVIAPKMLINFLVKKKT
+TSFYECATQLGGFLFFIVSEVIMLALMAYDRYVAICNPLLYMVVVSRRLCLLLVSLTYLY
+GFSTAIVVSSYVFSVSYCSSNIINHFYCDNVPLLALSCSDTYLPETVVFISAATNVVGSL
+IIVLVSYFNIVLSILKICSSEGRKKAFSTCASHMMAVTIFYGTLLFMYVQPRSNHSLDTD
+DKMASVFYTLVIPMLNPLIYSLRNKDVKTALQRFMT
+>Q8NGG0_PF13853_31 <unknown description>
+FLVLYVLTMAGNLGIITLTSVDSRLQNPMYFFLRHLAIINLGNSTVIAPKMLMNFLVKKK
+TTSFYECATQLGGFLFFIVSEVMMLAVMAYDRYVAICNPLLYMVVVSRRLCLLLVSLTYL
+YGFSTAIVVSPCIFSVSYCSSNIINHFYCDIAPLLALSCSDTYIPETIVFISAATNLVFS
+MITVLVSYFNIVLSILRIRSPEGRKKAFSTCASHMIAVTVFYGTMLFMYLQPQTNHSLDT
+DKMASVFYTLVIPMLNPLIYSLRNNDVNVALKKFM
+>Q8NGG5_PF13853_37 <unknown description>
+FLIIYLVTVIGNLGMVILTYLDSKLHTPMYFFLRHLSITDLGYSTVIAPKMLVNFIVHKN
+TISYNWYATQLAFFEIFIISELFILSAMAYDRYVAICKPLLYVIIMAEKVLWVLVIVPYL
+YSTFVSLFLTIKLFKLSFCGSNIISYFYCDCIPLMSILCSDTNELELIILIFSGCNLLFS
+LSIVLISYMFILVAILRMNSRKGRYKAFSTCSSHLTVVIMFYGTLLFIYLQPKSSHTLAI
+DKMASVFYTLLIPMLNPLIYSLRNKEVKDALKRTLTN
+>Q8NH51_PF13853_31 <unknown description>
+FLMIYVISVMGNLGMIVLTKLDSRLQTPMYFFLRHLAFMDLGYSTTVGPKMLVNFVVDKN
+IISYYFCATQLAFFLVFIGSELFILSAMSYDLYVAICNPLLYTVIMSRRVCQVLVAIPYL
+YCTFISLLVTIKIFTLSFCGYNVISHFYCDSLPLLPLLCSNTHEIELIILIFAAIDLISS
+LLIVLLSYLLILVAILRMNSAGRQKAFSTCGAHLTVVIVFYGTLLFMYVQPKSSHSFDTD
+KVASIFYTLVIPMLNPLIYSLRNKDVKYALRR
+>Q8NH50_PF13853_31 <unknown description>
+FLVIYLITVVGNLTMIILTKLDSHLHTPMYFSIRHLAFVDLGNSTVICPKVLANFVVDRN
+TISYYACAAQLAFFLMFIISEFFILSAMAYDRYVAICNPLLYYVIMSQRLCHVLVGIQYL
+YSTFQALMFTIKIFTLTFCGSNVISHFYCDDVPLLPMLCSNAQEIELLSILFSVFNLISS
+FLIVLVSYMLILLAICQMHSAEGRKKAFSTCGSHLTVVVVFYGSLLFMYMQPNSTHFFDT
+DKMASVFYTLVIPMLNPLIYSLRNEEVKNAFYKLF
+>Q8NH10_PF13853_32 <unknown description>
+LSIYLFTVVGNLGLILLIRADTSLNTPMYFFLSNLAFVDFCYSSVITPKMLGNFLYKQNV
+ISFDACATQLGCFLTFMISESLLLASMAYDRYVAICNPLLYMVVMTPGICIQLVAVPYSY
+SFLMALFHTILTFRLSYCHSNIVNHFYCDDMPLLRLTCSDTRFKQLWIFACAGIMFISSL
+LIVFVSYMFIISAILRMHSAEGRQKAFSTCGSHMLAVTIFYGTLIFMYLQPSSSHALDTD
+KMASVFYTVIIPMLNPLIYSLQNKEVKEALKKIIINK
+>Q8NGT5_PF13853_30 <unknown description>
+FFFFYLVTLMGNTVIIVIVCVDKRLQSPMYFFLSHLSTLEILVTTIIVPMMLWGLLFLGC
+RQYLSLHVSLNFSCGTMEFALLGVMAVDRYVAVCNPLRYNIIMNSSTCIWVVIVSWVFGF
+LSEIWPIYATFQFTFRKSNSLDHFYCDRGQLLKLSCDNTLLTEFILFLMAVFILIGSLIP
+TIVSYTYIISTILKIPSASGRRKAFSTFASHFTCVVIGYGSCLFLYVKPKQTQGVEYNKI
+VSLLVSVLTPFLNPFIFTLRNDKVKEAL
+>Q8NGU2_PF13853_30 <unknown description>
+FFFFYLVTLMGNTVIIMIVCVDKRLQSPMYFFLGHLSALEILVTTIIVPVMLWGLLLPGM
+QTIYLSACVVQLFLYLAVGTTEFALLGAMAVDRYVAVCNPLRYNIIMNRHTCNFVVLVSW
+VFGFLFQIWPVYVMFQLTYCKSNVVNNFFCDRGQLLKLSCNNTLFTEFILFLMAVFVLFG
+SLIPTIVSNAYIISTILKIPSSSGRRKSFSTCASHFTCVVIGYGSCLFLYVKPKQTQAAD
+YNWVVSLMVSVVTPFLNPFIFTLRNDKVIEA
+>Q8NH87_PF13853_33 <unknown description>
+VYSLTVVGNSTLIVLICNDSCLHTPMYFFTGNLSFLDLWYSSVYTPKILVTCISEDKSIS
+FAGCLCQFFFSAGLAYSECYLLAAVAYDRYVAISKPLLYAQAMSIKLCALLVAVSYCGGF
+INSSIITKKTFSFNFCRENIIDDFFCDLLPLVELACGEKGGYKIMMYFLLASNVICPAVL
+ILASYLFIITSVLRISSSKGYLKAFSTCSSHLTSVTLYYGSILYIYALPRSSYSFDMDKI
+VSTFYTVVFPMLNLMIYSLRNKDVKEALKKLL
+>Q8NGQ6_PF13853_33 <unknown description>
+SFYLVTLLGNVGMIMLIQVDVKLYTPMYFFLSHLSLLDACYTSVITPQILATLATGKTVI
+SYGHCAAQFFLFTICAGTECFLLAVMAYDRYAAIRNPLLYTVAMNPRLCWSLVVGAYVCG
+VSGAILRTTCTFTLSFCKDNQINFFFCDLPPLLKLACSDTANIEIVIIFFGNFVILANAS
+VILISYLLIIKTILKVKSSGGRAKTFSTCASHITAVALFFGALIFMYLQSGSGKSLEEDK
+VVSVFYTVVIPMLNPLIYSLRNKDVKDAFRKV
+>Q8NGQ5_PF13853_31 <unknown description>
+FLFMYLITVLGNLEMIILILMDHQLHAPMYFLLSHLAFMDVCYSSITVPQMLAVLLEHGA
+ALSYTRCAAQFFLFTFFGSIDCYLLALMAYDRYLAVCQPLLYVTILTQQARLSLVAGAYV
+AGLISALVRTVSAFTLSFCGTSEIDFIFCDLPPLLKLTCGESYTQEVLIIMFAIFVIPAS
+MVVILVSYLFIIVAIMGIPAGSQAKTFSTCTSHLTAVSLFFGTLIFMYLRGNSDQSSEKN
+RVVSVLYTEVIPMLNPLIYSLRNKEVKEALRKILN
+>Q8NGE9_PF13853_33 <unknown description>
+SFYLATMLGNTGMILLIRGDRRLHTPMYFFLSHLSLVDICYSSAIIPQMLAVLWEHGTTI
+SQARCAAQFFLFTFFASIDCYLLAIMAYDRYTAVCQPLLYVTIITEKARWGLVTGAYVAG
+FFSAFVRTVTAFTLSFCGNNEINFIFCDLPPLLKLSCGDSYTQEVVIIVFALFVMPACIL
+VILVSYLFIIVAILQIHSAGGRAKTFSTCASHLTAVALFFGTLIFMYLRDNTGQSSEGDR
+VVSVLYTVVTPMLNPLIYSLRNKEVKEATRKALS
+>Q96SN7_PF07856_46 <unknown description>
+QALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLETQYQYPRPLLIAFSACTTVLV
+AVHLFALLISTCILPNVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGILLFLAEV
+VLLCWIKFLPVDARRQPGPPPGPGSHTGWQAALVSTIIMVPVGLIFVVFTIHFYRSLVRH
+KTE
+>Q9BRQ5_PF07856_48 <unknown description>
+ALSWRRLYLSRAKLKASSRTSALLSGFAMVAMVEVQLESDHEYPPGLLVAFSACTTVLVA
+VHLFALMVSTCLLPHIEAVSNIHNLNSVHQSPHQRLHRYVELAWGFSTALGTFLFLAEVV
+LVGWVKFVPIGAPLDTPTPMVPTSRVPGTLAPVATSLSPASNLPRSSASAAPSQAEPACP
+PRQACGGGGAHGPGWQAAMASTAIMVPVGLVFVAFALHFYRSLVAHKT
+>Q13415_PF01426_46 <unknown description>
+HIQIGQFVLIEGDDDENPYVAKLLELFEDDSDPPPKKRARVQWFVRFCEVPACKRHLLGR
+KPGAQEIFWYDYPACDSNINAETIIGLVRVIPLAPKDVVPTNLKNEKTLFVKLSWNEKKF
+R
+>Q13415_PF00004_530 <unknown description>
+MYISGVPGTGKTATVHEVIRCLQQAAQANDVPPFQYIEVNGMKLTEPHQVYVQILQKLTG
+QKATANHAAELLAKQFCTRGSPQETTVLLVDELDLLWTHKQDIMYNLFDWPTHKEARLVV
+LAIANTMDLPERIMMNRVSSRLGL
+>Q13415_PF17872_698 <unknown description>
+KAFEDDAIQLVARKVAALSGDARRCLDICRRATEICE
+>Q13415_PF09079_778 <unknown description>
+LRAILAEFRRSGLEEATFQQIYSQHVALCRMEGLPYPTMSETMAVCSHLGSCRLLLVEPS
+RNDLLLRVRLNVSQDDVLYA
+>Q13416_PF04084_255 <unknown description>
+SDRTLQKLKRAKLDQQTLRNLLSKVSPSFSAELKQLNQQYEKLFHKWMLQLHLGFNIVLY
+GLGSKRDLLERFRTTMLQDSIHVVINGFFPGISVKSVLNSITEEVLDHMGTFRSILDQLD
+WIVNKFKEDSSLELFLLIHNLDSQMLRGEKSQQIIGQLSSLHNIYLIASIDHLNAPLMWD
+HAKQSLFNWLWYETTTYSPYTEETSYENSLLVKQSGSLPLSSLTHVLRSLTPNARGIFRL
+LIKYQLDNQDNPSYIGLSFQDFYQQCREAFLVNSDLTLRAQLTEFRDHKLIRTKKGTDGV
+EYLLIPVDN
+>Q9UBD5_PF07034_18 <unknown description>
+KKRKISLPIEDYFNKGKNEPEDSKLRFETYQLIWQQMKSENERLQEELNKNLFDNLIEFL
+QKSHSGFQKNSRDLGGQIKLREIPTAALVLGVNVTDHDLTFGSLTEALQNNVTPYVVSLQ
+AKDCPDMKHFLQKLISQLMDCCVDIKSKEEESVHVTQRKTHYSMDSLSSWYMTVTQKTDP
+KMLSKKRTTSSQWQSPPVVVILKDMESFATKVLQDFIIISSQHLHEFPLILIFGIATSPI
+IIHRLLPHAVSSLLCIELFQSLSCKEHLTTVLDKLLLTTQFPFKINEKVLQVLTNIFLYH
+DFSVQNFIKGLQLSLLEHFYSQPLSVL
+>Q9UBD5_PF19675_355 <unknown description>
+INFLSNNQCENIRRLPSFRRYVEKQASEKQVALLTNERYLKEETQLLLENLHVYHMNYFL
+VLRCLHKFTSSLPKYPLGRQIRELYCTCLEKNIWDSEEYASVLQLLRMLAKDELMTILEK
+CFKVFKSYCENHLGSTAKRIEEFLAQFQSLDETKEEEDASGSQPKGLQKTDLYHLQKSLL
+EMKELRRSKKQTKFEVLRENVVNFIDCLVREYLLPPETQPLHEVVYFSAAH
+>Q9UBD5_PF18137_596 <unknown description>
+RIALHTALNNPYYYLKNEALKSEEGCIPNIAPDICIAYKLHLECSRLINLVDWSEAFATV
+VTAAEKMDANSATSEEMNEIIHARFIRAVSELELLGFIKPTKQKTDHVARLTW
+>O43929_PF13191_37 <unknown description>
+LFGVQVQYKHLSELLKRTALHGESNSVLIIGPRGSGKTMLINHALKELMEIEEVSENVLQ
+VHLNGLLQINDKIALKEITRQLNLENVVGDKVFGSFAENLSFLLEALKKGDRTSSCPVIF
+ILDEFDLFAHHKNQTLLYNLFDISQSAQTPIAVI
+>O43929_PF14629_229 <unknown description>
+IFKEQLSLPAEFPDKVFAEKWNENVQYLSEDRSVQEVLQKHFNISKNLRSLHMLLMLALN
+RVTASHPFMTAVDLMEASQLCSMDSKANIVHGLSVLEICLIIAMKHLNDIYEEEPFNFQM
+VYNEFQKFVQRKAHSVYNFEKPVVMKAFEHLQQLELIKPMERTSGNSQREYQLMKLLLDN
+TQIMNA
+>O43913_PF13191_9 <unknown description>
+LCRESQVSILQSLFGERHHFSFPSIFIYGHTASGKTYVTQTLLKTLELPHVFVNCVECFT
+LRLLLEQILNKLNHLSSSEDGCSTEITCETFNDFVRLFKQVTTAENLKDQTVYIVLDKAE
+YLRDMEANLLPGFLRLQELADRNVTV
+>O43913_PF14630_178 <unknown description>
+YFPDYSIGNLQKILSHDHPPEYSADFYAAYINILLGVFYTVCRDLKELRHLAVLNFPKYC
+EPVVKGEASERDTRKLWRNIEPHLKKAMQTVYLREISSSQWEKLQKDDTDPGQLKGLSAH
+THVELPYYSKFILIAAYLASYNPARTDKRFFLKHHGKIKKTNFLKKHEKTSNHLLGPKPF
+PLDRLLAILYSIVDSRVAPTANIFSQITSLVTLQLLTLVGHDDQLDGPKYKCTVSLDFIR
+AIARTVNFDIIKYL
+>Q9Y5N6_PF05460_8 <unknown description>
+RLAPRLGLAEPDMLRKAEEYLRLSRVKCVGLSARTTETSSAVMCLDLAASWMKCPLDRAY
+LIKLSGLNKETYQSCLKSFECLLGLNS
+>O43612_PF02072_1 <unknown description>
+MNLPSTKVSWAAVTLLLLLLLLPPALLSSGAAAQPLPDCCRQKTCSCRLYELLHGAGNHA
+AGILTLGKRRSGPPGLQGRLQRLLQASGNHAAGILTMGRRAGAEPAPRPCLGRRCSAPAA
+ASVAPGGQSG
+>A0A0X1KG70_PF13853_33 <unknown description>
+SFYLFILPGNILIICTISLDPHLTSPMYFLLANLAFLDIWYSSITAPEMLIDFFVERKII
+SFDECIAQLFFLHFAGASEMFLLTVMAFDLYTAICRPLHYATIMNQRLCCILVALSWRGG
+FIHSIIQVALIVRLPFCGPNELDSYFCDITQVVRIACANTFPEELVMICSSGLISVVCLI
+ALLMSYAFLLALLKKLSGSGENTNRAVSTCYSHITIVVLMFGPSIYIYARPFDSFSLDKV
+VSVFNTLIFPLHNPIIYTLRNKEVKAAMRKLVT
+>Q9P0S3_PF04061_11 <unknown description>
+NPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFFSVPVAWTLTNIIHNLGMYVFLHAVKGT
+PFETPDQGKARLLTHWEQLDYGVQFTSSRKFFTISPIILYFLASFYTKYDPTHFILNTAS
+LLSVLIPKMPQLHGVR
+>Q53FV1_PF04061_11 <unknown description>
+NPNTRVMNSRGIWLAYIILVGLLHMVLLSIPFFSIPVVWTLTNVIHNLATYVFLHTVKGT
+PFETPDQGKARLLTHWEQMDYGLQFTSSRKFLSISPIVLYLLASFYTKYDAAHFLINTAS
+LLSVLLPKLPQFHGVR
+>Q8N138_PF04061_11 <unknown description>
+NPNTRVMNSRGIWLSYVLAIGLLHIVLLSIPFVSVPVVWTLTNLIHNMGMYIFLHTVKGT
+PFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYDQIHFVLNTVS
+LMSVLIPKLPQLHGVR
+>A0A096LPK9_PF13853_33 <unknown description>
+IFYLIILPGNFLIIFTIRSDPGLTAPLYLFLGNLAFLDASYSFIVAPRMLVDFLSEKKVI
+SYRGCITQLFFLHFLGGGEGLLLVVMAFDRYIAICRPLHCSTVMNPRACYAMMLALWLGG
+FVHSIIQVVLILRLPFCGPNQLDNFFCDVRQVIKLACTDMFVVELLMVFNSGLMTLLCFL
+GLLASYAVILCHVRRAASEGKNKAMSTCTTRVIIILLMFGPAIFIYICPFRALPADKMVS
+LFHTVIFPLMNPMIYTLRNQEVKTSMKRLLS
+>Q9Y619_PF00153_8 <unknown description>
+QAAIDLTAGAAGGTACVLTGQPFDTMKVKMQTFPDLYRGLTDCCLKTYSQVGFRGFYKGT
+SPALIANIAENSVLFMCYGFCQQVVRK
+>Q9Y619_PF00153_107 <unknown description>
+LQNAAAGSFASAFAALVLCPTELVKCRLQTMYEMETSGKIAKSQNTVWSVIKSILRKDGP
+LGFYHGLSSTLLREVPGYFFFFGGYELSRSFFA
+>Q9Y619_PF00153_207 <unknown description>
+LGPVPLMLSGGVGGICLWLAVYPVDCIKSRIQVLSMSGKQAGFIRTFINVVKNEGITALY
+SGLKPTMIRAFPANGALFLAYEYSRKLMMN
+>Q9BXI2_PF00153_9 <unknown description>
+AAIDLTAGAAGGTACVLTGQPFDTIKVKMQTFPDLYKGLTDCFLKTYAQVGLRGFYKGTG
+PALMAYVAENSVLFMCYGFCQQFVRK
+>Q9BXI2_PF00153_107 <unknown description>
+LQTAAAGSFASAFAALALCPTELVKCRLQTMYEMEMSGKIAKSHNTIWSVVKGILKKDGP
+LGFYHGLSSTLLQEVPGYFFFFGGYELSRSFFA
+>Q9BXI2_PF00153_207 <unknown description>
+LGPVHLMLSGGVAGICLWLVVFPVDCIKSRIQVLSMYGKQAGFIGTLLSVVRNEGIVALY
+SGLKATMIRAIPANGALFVAYEYSRKMMMKQ
+>Q9Y3B8_PF00929_44 <unknown description>
+VWVDLEMTGLDIEKDQIIEMACLITDSDLNILAEGPNLIIKQPDELLDSMSDWCKEHHGK
+SGLTKAVKESTITLQQAEYEFLSFVRQQTPPGLCPLAGNSVHEDKKFLDKYMPQFMKHLH
+YRIIDVSTVKELCRRWYPEEYEFAPKKAASHRALDDISESIKE
+>Q13438_PF07915_110 <unknown description>
+CLLKTKDWWTYEFCYGRHIQQYHMEDSEIKGEVLYLGYYQSAFDWDDETAKASKQHRLKR
+YHSQTYGNGSKC
+>Q9BXB5_PF15409_85 <unknown description>
+TNLLQGWQNRYFVLDFEAGILQYFVNEQSKHQKPRGVLSLSGAIVSLSDEAPHMLVVYSA
+NGEMFKLRAADAKEKQFWVTQLR
+>Q9BXB5_PF01237_402 <unknown description>
+HLISQLKLGMDLTKVVLPTFILEKRSLLEMYADFMAHPDLLLAITAGATPEERVICFVEY
+YLTAFHEGRKGALAKKPYNPIIGETFHCSWEVPKDRVKPKRTASRSPASCHEHPMADDPS
+KSYKLRFVAEQVSHHPPISCFYCECEEKRLCVNTHVWTKSKFMGMSVGVSMIGEGVLRLL
+EHGEEYVFTLPSAYARSILTIPWVELGGKVSINCAKTGYSATVIFHTKPFYGGKVHRVTA
+EVKHNPTNTIVCKAHGEWNGTLEFTYNNGETKVIDTTTLPVYPKKIRPLEKQGPMESRNL
+WREVTRYLRLGDIDAATEQKRHLEEKQRVEERKRENLRTPWKPKYFIQEGDGWVYFNPLW
+K
+>Q9BXB4_PF00169_63 <unknown description>
+GYLMKYTNLVTGWQYRFFVLNNEAGLLEYFVNEQSRNQKPRGTLQLAGAVISPSDEDSHT
+FTVNAASGEQYKLRATDAKERQHWVSRLQIC
+>Q9BXB4_PF01237_372 <unknown description>
+HLLSQLKLGMDLTRVVLPTFILEKRSLLEMYADFMSHPDLFIAITNGATAEDRMIRFVEY
+YLTSFHEGRKGAIAKKPYNPIIGETFHCSWKMPKSEVASSVFSSSSTQGVTNHAPLSGES
+LTQVGSDCYTVRFVAEQVSHHPPVSGFYAECTERKMCVNAHVWTKSKFLGMSIGVTMVGE
+GILSLLEHGEEYTFSLPCAYARSILTVPWVELGGKVSVNCAKTGYSASITFHTKPFYGGK
+LHRVTAEVKHNITNTVVCRVQGEWNSVLEFTYSNGETKYVDLTKLAVTKKRVRPLEKQDP
+FESRRLWKN
+>Q9BXB4_PF01237_685 <unknown description>
+LRESEIDKATEHKHTLEERQRTEERHRTETGTPWKTKYFIKEGDGWVYHKPLWK
+>Q9BXW6_PF12796_10 <unknown description>
+LHHARNGNAEEVRQLLETMARNEVIADINCKGRSKSNLGWTPLHLACYFGHRQVVQDLLK
+AGAEVNVLNDMGDTPLHRAAFTGRKELVMLLLEYNADTTI
+>Q9BXW6_PF13857_160 <unknown description>
+LLNRPNPPDVNCSDQLGNTPLHCAAYRAHKQCALKLLRSGADPNLKNKNDQKPLDLA
+>Q9BXW6_PF01237_548 <unknown description>
+SIWSILRKCIGMELSKITMPVIFNEPLSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVA
+AFAVSAVASQWERTGKPFNPLLGETYELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDF
+IFHGSIYPKLKFWGKSVEAEPKGTITLELLEHNEAYTWTNPTCCVHNIIVGKLWIEQYGN
+VEIINHKTGDKCVLNFKPCGLFGKELHKVEGYIQDKSKKKLCALYGKWTECLYSVDPATF
+DAYKKNDKKNTEEKKNSKQMSTSEELDEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMY
+NFTSFAMVLNEVDKDMESVIPKTDCRLRPDIRAMENGEIDQASEEKKRLEEKQRAARKNR
+SKSEEDWKTRWFHQGPNPYNGAQDWIYSGSYWDRN
+>Q9H1P3_PF01237_75 <unknown description>
+SVWTILKKCVGLELSKITMPIAFNEPLSFLQRITEYMEHVYLIHRASCQPQPLERMQSVA
+AFAVSAVASQWERTGKPFNPLLGETYELIREDLGFRFISEQVSHHPPISAFHSEGLNHDF
+LFHGSIYPKLKFWGKSVEAEPRGTITLELLKHNEAYTWTNPTCCVHNVIIGKLWIEQYGT
+VEILNHRTGHKCVLHFKPCGLFGKELHKVEGHIQDKNKKKLFMIYGKWTECLWGIDPVSY
+ESFKKQERRGDHLRKAKLDEDSGKADSDVADDVPVAQETVQVIPGSKLLWRINTRPPNSA
+QMYNFTSFTVSLNELETGMEKTLPPTDCRLRPDIRGMENGNMDLASQEKERLEEKQREAR
+RERAKEEAEWQTRWFYPGNNPYTGTPDWLYAGDYFER
+>Q9H4L5_PF15409_56 <unknown description>
+GFLLKKRKWPLKGWHKRFFYLDKGILKYAKSQTDIEREKLHGCIDVGLSVMSVKKSSKCI
+DLDTEEHIYHLKVKSEEVFDEWVSKLRH
+>Q9H4L5_PF01237_527 <unknown description>
+SLWNILRNNIGKDLSKVAMPVELNEPLNTLQRLCEELEYSELLDKAAQIPSPLERMVYVA
+AFAISAYASSYYRAGSKPFNPVLGETYECIREDKGFQFFSEQVSHHPPISACHAESRNFV
+FWQDVRWKNKFWGKSMEIVPIGTTHVTLPVFGDHFEWNKVTSCIHNILSGQRWIEHYGEI
+VIKNLHDDSCYCKVNFIKAKYWSTNAHEIEGTVFDRSGKAVHRLFGKWHESIYCGGGSSS
+ACVWRANPMPKGYEQYYSFTQFALELNEMDPSSKSLLPPTDTRFRPDQRFLEEGNLEEAE
+IQKQRIEQLQRERRRVLEENHVEHQPRFFRKSDDDSWVSNGTYLELR
+>Q9H0X9_PF00169_135 <unknown description>
+IRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGTVLLHCCELIERPSKKDGFCFKLFHPL
+DQSVWAVKGPKGESVGSITQPLPSSYLIFRAASESDGRCWLDALELAL
+>Q9H0X9_PF01237_374 <unknown description>
+LLKQLRPGMDLSRVVLPTFVLEPRSFLNKLSDYYYHADLLSRAAVEEDAYSRMKLVLRWY
+LSGFYKKPKGIKKPYNPILGETFRCCWFHPQTDSRTFYIAEQVSHHPPVSAFHVSNRKDG
+FCISGSITAKSRFYGNSLSALLDGKATLTFLNRAEDYTLTMPYAHCKGILYGTMTLELGG
+KVTIECAKNNFQAQLEFKLKPFFGGSTSINQISGKITSGEEVLASLSGHWDRDVFIKEEG
+SGSSALFWTPSGEVRRQRLRQHTVPLEEQTELESERLWQHVTRAISKGDQHRATQEKFAL
+EEAQRQRARERQESLMPWKPQLFHLDPITQEWHYRYE
+>Q9BZF3_PF15409_91 <unknown description>
+GFMLKKRKWPLKGWHKRFFVLDNGMLKYSKAPLDIQKGKVHGSIDVGLSVMSIKKKARRI
+DLDTEEHIYHLKVKSQDWFDAWVSKLRH
+>Q9BZF3_PF01237_570 <unknown description>
+NLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDDPYERMVLVA
+AFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKNFV
+FWQDIRWKNKFWGKSMEILPVGTLNVMLPKYGDYYVWNKVTTCIHNILSGRRWIEHYGEV
+TIRNTKSSVCICKLTFVKVNYWNSNMNEVQGVVIDQEGKAVYRLFGKWHEGLYCGVAPSA
+KCIWRPGSMPTNYELYYGFTRFAIELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEAAA
+SEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELR
+>Q9BZF2_PF15409_52 <unknown description>
+GHLLKKRKWPLKGWHKRYFVLEDGILHYATTRQDITKGKLHGSIDVRLSVMSINKKAQRI
+DLDTEDNIYHLKIKSQDLFQSWVAQLR
+>Q9BZF2_PF01237_478 <unknown description>
+SLWNILRNNIGKDLSKVSMPVQLNEPLNTLQRLCEELEYSSLLDQASRIADPCERMVYIA
+AFAVSAYSSTYHRAGCKPFNPVLGETYECERPDRGFRFISEQVSHHPPISACHAESENFA
+FWQDMKWKNKFWGKSLEIVPVGTVNVSLPRFGDHFEWNKVTSCIHNVLSGQRWIEHYGEV
+LIRNTQDSSCHCKITFCKAKYWSSNVHEVQGAVLSRSGRVLHRLFGKWHEGLYRGPTPGG
+QCIWKPNSMPPDHERNFGFTQFALELNELTAELKRSLPSTDTRLRPDQRYLEEGNIQAAE
+AQKRRIEQLQRDRRKVMEENNIVHQARFFRRQTDSSGKEWWVTNNTYWRLR
+>Q9BZF1_PF00169_150 <unknown description>
+VMADWLKIRGTLKSWTKLWCVLKPGVLLIYKTQKNGQWVGTVLLNACEIIERPSKKDGFC
+FKLFHPLEQSIWAVKGPKGEAVGSITQPLPSSYLIIRATSESDGRCWMDALELAL
+>Q9BZF1_PF01237_410 <unknown description>
+LLKQVRPGMDLSKVVLPTFILEPRSFLDKLSDYYYHADFLSEAALEENPYFRLKKVVKWY
+LSGFYKKPKGLKKPYNPILGETFRCLWIHPRTNSKTFYIAEQVSHHPPISAFYVSNRKDG
+FCLSGSILAKSKFYGNSLSAILEGEARLTFLNRGEDYVMTMPYAHCKGILYGTMTLELGG
+TVNITCQKTGYSAILEFKLKPFLGSSDCVNQISGKLKLGKEVLATLEGHWDSEVFITDKK
+TDNSEVFWNPTPDIKQWRLIRHTVKFEEQGDFESEKLWQR
+>Q96SU4_PF00169_4 <unknown description>
+IMEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGIDDE
+DDSTFTITVDQKTFHFQARDADEREKWIHALEETI
+>Q96SU4_PF01237_377 <unknown description>
+HLLSQVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSISDQKDPKDRMVQVVKW
+YLSAFHAGRKGSVAKKPYNPILGEIFQCHWTLPNDTEENTELVSEGPVPWVSKNSVTFVA
+EQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIGQGCVSCLDYDEHYILT
+FPNGYGRSILTVPWVELGGECNINCSKTGYSANIIFHTKPFYGGKKHRITAEIFSPNDKK
+SFCSIEGEWNGVMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKD
+>P22059_PF00169_91 <unknown description>
+REGWLFKWTNYIKGYQRRWFVLSNGLLSYYRSKAEMRHTCRGTINLATANITVEDSCNFI
+ISNGGAQTYHLKASSEVERQRWVTALELA
+>P22059_PF01237_418 <unknown description>
+NLWSIMKNCIGKELSKIPMPVNFNEPLSMLQRLTEDLEYHELLDRAAKCENSLEQLCYVA
+AFTVSSYSTTVFRTSKPFNPLLGETFELDRLEENGYRSLCEQVSHHPPAAAHHAESKNGW
+TLRQEIKITSKFRGKYLSIMPLGTIHCIFHATGHHYTWKKVTTTVHNIIVGKLWIDQSGE
+IDIVNHKTGDKCNLKFVPYSYFSRDVARKVTGEVTDPSGKVHFALLGTWDEKMECFKVQP
+VIGENGGDARQRGHEAEESRVMLWKRNPLPKNAENMYYFSELALTLNAWESGTAPTDSRL
+RPDQRLMENGRWDEANAEKQRLEEKQRLSRKKREAEAMKATEDGTPYDPYKALWFERKKD
+PVTKELTHIYRGEYWECKE
+>Q969R2_PF00169_185 <unknown description>
+FEGWLLKWTNYLKGYQRRWFVLGNGLLSYYRNQGEMAHTCRGTINLSTAHIDTEDSCGIL
+LTSGARSYHLKASSEVDRQQWITALELA
+>Q969R2_PF01237_522 <unknown description>
+NLWSIMKNCIGRELSRIPMPVNFNEPLSMLQRLTEDLEYHHLLDKAVHCTSSVEQMCLVA
+AFSVSSYSTTVHRIAKPFNPMLGETFELDRLDDMGLRSLCEQVSHHPPSAAHYVFSKHGW
+SLWQEITISSKFRGKYISIMPLGAIHLEFQASGNHYVWRKSTSTVHNIIVGKLWIDQSGD
+IEIVNHKTNDRCQLKFLPYSYFSKEAARKVTGVVSDSQGKAHYVLSGSWDEQMECSKVMH
+SSPSSPSSDGKQKTVYQTLSAKLLWKKYPLPENAENMYYFSELALTLNEHEEGVAPTDSR
+LRPDQRLMEKGRWDEANTEKQRLEEKQRLSRRRRLEACGPGSSCSSEEEKEADAYTPLWF
+EKRLDPLTGEMACVYKGGYWEAKE
+>Q8WVF1_PF10188_17 <unknown description>
+MLYILDQRLRAQNIPGDKARKVLNDIISTMFNRKFMEELFKPQELYSKKALRTVYERLAH
+ASIMKLNQASMDKLYDLMTMAFKYQVLLCPRPKDVLLVTFNHLDTIKGFIRDSPTILQQV
+DETLRQLTEIYGGLSAGEFQLIRQTLLIFFQDLHIRVSMFLKDKVQNNNGRFV
+>Q9NX31_PF05604_1 <unknown description>
+MKSEAKDGEEESLQTAFKKLRVDASGSVASLSVGEGTGVRAPVRTATDDTKPKTTCASKD
+SWHGSTRKSSRGAVRTQRRRRSKSPVLHPPKFIHCSTIASSSSSQLKHKSQTDSPDGSSG
+LGISSPKEFSAGESSTSLDANHTGAVVEPLRTSVPRLPSESKKEDSSDATQVPQASLKA
+>Q9NPF4_PF00814_29 <unknown description>
+RRTYVTPPGTGFLPGDTARHHRAVILDLLQEALTESGLTSQDIDCIAYTKGPGMGAPLVS
+VAVVARTVAQLWNKPLVGVNHCIGHIEMGRLITGATSPTVLYVSGGNTQVIAYSEHRYRI
+FGETIDIAVGNCLDRFARVLKISNDPSPGYNIEQMAKRGKKLVELPYTVKGMDVSFSGIL
+SFIEDVAHRMLATGECTPEDLCFSLQETVFAMLVEITERAMAHCGSQEALIVGGVGCNVR
+LQEMMATMCQERGARLFATDERFCIDNGAMIA
+>Q9H4B0_PF00814_60 <unknown description>
+VLGEAIHSQTEVHLKTGGIVPPAAQQLHRENIQRIVQEALSASGVSPSDLSAIATTIKPG
+LALSLGVGLSFSLQLVGQLKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLAL
+VQGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEHLAKQGNRFHFDI
+KPPLHHAKNCDFSFTGLQHVTDKIIMKKEKEEGIEKGQILSSAADIAATVQHTMACHLVK
+RTHRAILFCKQRDLLPQNNAVLVASGGVASNFYIRRALEILTNATQCTLLCPPPRLCTDN
+GIMIAW
+>Q99650_PF17971_30 <unknown description>
+LPLTPVSLKVSTNSTRQSLHLQWTVHNLPYHQELKMVFQIQISRIETSNVIWVGNYSTTV
+KWNQVLHWSWESELPLECATHFVRIKSLVDDAKFPEPNFWSNWSSWEE
+>Q99650_PF00041_539 <unknown description>
+TEGGFSLSWKPQPGDVIGYVVDWCDHTQDVLGDFQWKNVGPNTTSTVISTDAFRPGVRYD
+FRIYGLSTK
+>Q8TAX0_PF00096_175 <unknown description>
+FVCKFCGRHFTKSYNLLIHERTH
+>Q8TAX0_PF00096_203 <unknown description>
+YTCDICHKAFRRQDHLRDHRYIH
+>Q8TAX0_PF00096_231 <unknown description>
+FKCQECGKGFCQSRTLAVHKTLH
+>Q8N2R0_PF00096_172 <unknown description>
+FICKFCGRHFTKSYNLLIHERTH
+>Q8N2R0_PF00096_200 <unknown description>
+YTCDICHKAFRRQDHLRDHRYIH
+>Q8N2R0_PF00096_228 <unknown description>
+FKCQECGKGFCQSRTLAVHKTLH
+>Q8N2R0_PF00096_256 <unknown description>
+HKCPTCGRTFNQRSNLKTHLLTH
+>Q8N2R0_PF00096_284 <unknown description>
+YSCEQCGKVFRRNCDLRRHSLTH
+>P0C6T2_PF10215_1 <unknown description>
+MITDVQLAIFANMLGVSLFLLVVLYHYVAVNNP
+>Q86UW1_PF03619_55 <unknown description>
+SILTLLALGSIAIFLEDAVYLYKNTLCPIKRRTLLWKSSAPTVVSVLCCFGLWIPRSLVL
+VEMTITSFYAVCFYLLMLVMVEGFGGKEAVLRTLRDTPMMVHTGPCCCCCPCCPRLLLTR
+KKLQLLMLGPFQYAFLKITLTLVGLFLVPDGIYDPADISEGSTALWINTFLGVSTLLALW
+TLGIISRQARLHLGEQNMGAKFALFQVLLILTALQPSIFSVLANGGQIACSPPYSSKTRS
+QVMNCHLLILETFLMTVLTRMYYRRKD
+>Q86UW2_PF15048_3 <unknown description>
+HSEGAPGDPAGTVVPQELLEEMLWFFRVEDASPWNHSILALAAVVVIISMVLLGRSIQAS
+RKEKMQPPEKETPEVLHLDEAKDHNSLNNLRETLLSEKPNLAQVELELKERDVLSVFLPD
+>Q9NRP0_PF04756_18 <unknown description>
+KLKKPPWLHMPSAMTVYALVVVSYFLITGGIIYDVIVEPPSVGSMTDEHGHQRPVAFLAY
+RVNGQYIMEGLASSFLFTMGGLGFIILDRSNAPNIPKLNRFLLLFIGFVCVLLSFFMARV
+FMRMKLPGY
+>Q92882_PF00018_18 <unknown description>
+RALYTFEPRTPDELYFEEGDIIYITDMSDTNWWKGTSKGRTGLIPS
+>Q92882_PF12796_77 <unknown description>
+LHEAAKRGNLSWLRECLDNRVGVNGLDKAGSTALYWACHGGHKDIVEMLFTQPNIELNQQ
+NKLGDTALHAAAWKGYADIVQLLLAKGARTDLR
+>Q86WC4_PF09777_84 <unknown description>
+CRELLLDFANSSAELTGCLVRSARPVRLCQTCYPLFQQVVSKMDNISRAAGNTSESQSCA
+RSLLMADRMQIVVILSEFFNTTWQEANCANCLTNNSEELSNSTVYFLNLFNHTLTCFEHN
+LQGNAHSLLQTKNYSEVCKNCREAYKTLSSLYSEMQKMNELENKAEPGTHLCIDVEDAMN
+ITRKLWSRTFNCSVPCSDTVPVIAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSS
+TSFAN
+>P61366_PF11037_1 <unknown description>
+MLDWRLASAHFILAVTLTLWSSGKVLSVDVTTTEAFDSGVIDVQSTPTVREEKSATDLTA
+KLLLLDELVSLENDVIETKKKRSFSGFGSPLDRLSAGSVDHKGKQRKVVDHPKRRFGIPM
+DRIGRNRLSNSRG
+>P10451_PF00865_21 <unknown description>
+QADSGSSEEKQLYNKYPDAVATWLNPDPSQKQNLLAPQNAVSSEETNDFKQETLPSKSNE
+SHDHMDDMDDEDDDDHVDSQDSIDSNDSDDVDDTDDSHQSDESHHSDESDELVTDFPTDL
+PATEVFTPVVPTVDTYDGRGDSVVYGLRSKSKKFRRPDIQYPDATDEDITSHMESEELNG
+AYKAIPVAQDLNAPSDWDSRGKDSYETSQLDDQSAETHSHKQSRLYKRKANDESNEHSDV
+IDSQELSKVSREFHSHEFHSHEDMLVVDPKSKEEDKHLKFRISHELDSASSEVN
+>P00480_PF02729_40 <unknown description>
+RDLLTLKNFTGEEIKYMLWLSADLKFRIKQKGEYLPLLQGKSLGMIFEKRSTRTRLSTET
+GFALLGGHPCFLTTQDIHLGVNESLTDTARVLSSMADAVLARVYKQSDLDTLAKEASIPI
+INGLSDLYHPIQILADYLTLQE
+>P00480_PF00185_187 <unknown description>
+KGLTLSWIGDGNNILHSIMMSAAKFGMHLQAATPKGYEPDASVTKLAEQYAKENGTKLLL
+TNDPLEAAHGGNVLITDTWISMGQEEEKKKRLQAFQGYQVTMKTAKVAASDWTFLHCLPR
+KPEEVDDEVFYSPRSLVFPEAENRKWTIMAVMVS
+>Q9HC10_PF00168_17 <unknown description>
+GDRIAKVTFRGQSFYSRVLENCEDVADFDETFRWPVASSIDRNEMLEIQVFNYSKVFSNK
+LIGTFRMVLQKVVEESH
+>Q9HC10_PF00168_255 <unknown description>
+QVSITVIEARQLVGLNMDPVVCVEVGDDKKYTSMKESTNCPYYNEYFVFDFHVSPDVMFD
+KIIKISVIHSKNLLRSGTLVGSFKMDVGTVYSQPE
+>Q9HC10_PF08151_360 <unknown description>
+SDPDDISSGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLLPEGV
+>Q9HC10_PF00168_419 <unknown description>
+FYVKIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGKTSVQKSSYEPLW
+NEQVVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISND
+>Q9HC10_PF08150_842 <unknown description>
+PQHSIPDIFIWMMSNNKRVAYARVPSKDLLFSIVEEETGKDCAKVKTLFLKLPGKRGFGS
+AGWTVQAKVELYLWLG
+>Q9HC10_PF00168_961 <unknown description>
+QLRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPTWDQMLVFDNLELYG
+EAHELRDDPPIIVIEIYDQDSMGKADFMGRT
+>Q9HC10_PF00168_1494 <unknown description>
+VRVYVVRATDLHPADINGKADPYIAIRLGKTDIRDKENYISKQLNPVFGKSFDIEASFPM
+ESMLTVAVYDWDLVGTDDLIGETKIDLENRF
+>Q9HC10_PF00168_1815 <unknown description>
+FSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKT
+>Q9HC10_PF16165_1901 <unknown description>
+FELTGKVEAELHLLTAEEAEKNPVGLARNEPDPLEKPNRPDTSFIWFLNPLKSARYFLWH
+TYRWLLLKLLLLLLLLLLLALFLYSVPGYLVKKI
+>Q3ZCN5_PF00094_123 <unknown description>
+CKTWGQYHFETFDGIYYYFPGNCSYIFAKDCGDLEPRYTVWVHNSPKCLGSVYSCYRSIS
+LFFSNQEEIRIYGHEIKKNGISLTLPQTIGQIFIEKLADYILVKTTFGFSLAWDGISGIY
+LKLSEDHKGKSCGLCGNYNDIQSDDFI
+>Q3ZCN5_PF08742_320 <unknown description>
+KCQILLQFPFLSCHEYIDPYLYIASCVNDLCKTDDDETYCRAATEYARACSHAGYPIQDW
+RDD
+>Q3ZCN5_PF00094_483 <unknown description>
+CSVVGDSHFTTFDGRHYSFIGMCQYILVKGTGKDKFTITLQKAPCEQNLGLVCLQSITLI
+LEDDFNKQVTLGRGGQILTSPNQGFNLNGIVEIQTLSSLFILLKTTFGLKILFAIDGERI
+YIQLTSAWKRRTLGLCGTFNGNIRDDFLSPSGM
+>Q3ZCN5_PF08742_677 <unknown description>
+HCDVIHQELFAPCHIYISPGLYYQLCRHDACKCGSSCLCNALAHYAYLCGQHGVPIDFRT
+QISFC
+>Q3ZCN5_PF01826_745 <unknown description>
+CQKGMLYHHCSSFCLHSCISLSSPEQCSDDCAEGCNCPEGKFYEDTLNFCVPIFHC
+>Q3ZCN5_PF00094_948 <unknown description>
+CTIYGDRHYYSFDGLEYDYISDCQVFLIKSADDSDISVIAQNKKCFDNDIVCSKSVLISV
+GDTEIYLNDTPYKQKQSGFFLENKSTYQLWKAGYYIVVYFPEKDITILWDRKTTIHIKVG
+PQWKNKLSGLCGNFDKCTSNDMTTSNN
+>Q3ZCN5_PF08742_1135 <unknown description>
+ECSILYSDIFASCRNVIDVTSFAKNCHEDTCNCNLGGDCECLCTSIAAYAYKCCQEGISI
+HWRSSTVC
+>Q3ZCN5_PF05270_1254 <unknown description>
+YFMITPGLFKEKVSSLALVSLESAERPNYFLYVHDNDTLSLELWEANSAFHRRATFFHHQ
+GLWIPGYSAFELYSKKGFFIIFTDSSVKASKYDDSEEFKHSSSFS
+>Q3ZCN5_PF00094_1536 <unknown description>
+CSMLSELSIITFDGNNAALYSMASYILVRIPGEIIVAHIEKCSMNQNGNSLKKLAPSGRI
+SGLCFKKLNVTTPIHKIIVNRLARKVEVDSIVVPLPFSSQELSIEDSGSMYVITTPAGLI
+IKWSHLTGIIDIHFGFRFNLSSYTEGLCGICNEDPDDDLRMQNGT
+>Q3ZCN5_PF08742_1740 <unknown description>
+CIDLLNRRIFIPCHDKVSPEDFCEKMWINYTYFWNYECDALSAYVALCNKFDICIQWRTP
+DYC
+>A6NHN0_PF01391_109 <unknown description>
+PGQKGEPGETGQPGPKGEAGNLGIPGPPGVVGPQGPRGYKGEKGLKGERGDQG
+>A6NHN0_PF01391_140 <unknown description>
+GPQGPRGYKGEKGLKGERGDQGVPGYPGKPGAQGEPGPKGDKGNIGLGGVKGQKGSKGD
+>A6NHN0_PF01391_245 <unknown description>
+GDSGERGGKGQKGEGGMKGEKGSKGDSGMEGKSGRNGLPGAKGDPGIKGEKGELGPPG
+>A6NHN0_PF01391_278 <unknown description>
+GRNGLPGAKGDPGIKGEKGELGPPGLLGPTGPKGDIGNKGVRGPTGKKGSRGFKGSKGE
+>A6NHN0_PF00386_344 <unknown description>
+AFSAGLSKPFPPPNIPIKFEKILYNDQGNYSPVTGKFNCSIPGTYVFSYHITVRGRPARI
+SLVAQNKKQFKSRETLYGQEIDQASLLVILKLSAGDQVWLEVSKDWNGVYVSAEDDSIFT
+GFLL
+>Q7RTM1_PF03189_136 <unknown description>
+SITLFAVITVILGCLKIGYFIGFSECLSATEGVFPVTHSVHTLLQVYFLWGHAKDIIQSF
+KTLERFGVIHSVFTNLLLWANGVLNESKHQLNEHKE
+>Q7RTM1_PF03189_257 <unknown description>
+PTLCTAISHGIYYLYPFNIEYQILASTMLYVLWKNIGRKVDSHQHQKMQFKSDGVMVGAV
+LGLTVLAATIAVVVVYLIHIGRSKTKSESALIMFYLYAITLLMLMGAAGLAGIRIYRIDE
+KSLDESKNPARKLDSDLLVGTASGSWLISWGSILAILCAEGHPRYTWYNLPYSILAIVEK
+YIQNLFIFESIHRE
+>Q7RTM1_PF03189_535 <unknown description>
+QGNAKRKVLRNIAAFLFLCNISLWIPPAFGCRPEYDNGLEEIVFGFEPWIIVVNLAMPFS
+IFYR
+>Q7RTS6_PF03189_102 <unknown description>
+WLRGGLVLFGICTLIMDVFKTGYYSSFFECQSAIKILHPLIQAVFVIIQTYFLWVSAKDC
+VHVHLDLTWCGLMFTLTTNLAIWMAAVVDESVHQSHSYSSSHSNASHARLISDQHADNPV
+GGDSCLCSTAVCQIFQQGYFYLYPFNIEYSLFASTMLYVMWKNVGR
+>Q7RTS6_PF03189_293 <unknown description>
+PVLGLLLFVVGLAVFIIYEVQVSGDGSRTRQALVIYYSFNIVCLGLTTLVSLSGSIIYRF
+DRRAMDHHKNPTRTLDVALLMGAALGQYAISYYSIVAVVAGTPQDLLAGLNLTHALLMIA
+QHTFQNMFIIESLHRG
+>Q7RTS6_PF03189_483 <unknown description>
+TPRSQWRRQCLKDISLFLLLCNVILWIMPAFGARPHFSNTVEVDFYGYSLWAVIVNICLP
+FGIFYR
+>Q9NRC9_PF07653_47 <unknown description>
+QEDYNAPDCRFINVKKGQQIYVYSKLVKENGAGEFWAGSVYGDGQDEMGVVGYFPRNLVK
+EQ
+>Q8NHW6_PF15182_21 <unknown description>
+AKPVQEEGDPYAELPAMPYWPFSTSDFWNYVQHFQALGAYPQIEDMARTFFAHFPLGSTL
+GFHVPYQED
+>Q5XKR4_PF00046_105 <unknown description>
+KRHRTRFTPAQLNELERSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKK
+>Q5XKR4_PF03826_303 <unknown description>
+WRGTSIASLRRKALEHT
+>Q5VVQ6_PF02338_156 <unknown description>
+ADNSCLFTSVYYVVEGGVLNPACAPEMRRLIAQIVASDPDFYSEAILGKTNQEYCDWIKR
+DDTWGGAIEISILSKFYQCEICVVDTQTV
+>Q7L8S5_PF02338_147 <unknown description>
+PADGHCMYRAIQDQLVFSVSVEMLRCRTASYMKKHVDEFLPFFSNPETSDSFGYDDFMIY
+CDNIVRTTAWGGQLELRALSHVLKTPIEVIQADSPTLIIGEEYVKKPIILVYLRYAYSLG
+EHY
+>Q8N6M0_PF02338_153 <unknown description>
+PSDGHCMYKAIEDQLKEKDCALTVVALRSQTAEYMQSHVEDFLPFLTNPNTGDMYTPEEF
+QKYCEDIVNTAAWGGQLELRALSHILQTPIEIIQADSPPIIVGEEYSKKPLILVYMRHAY
+GLGEHY
+>Q8TE49_PF14555_30 <unknown description>
+VLSDFVRSTGAEPGLARDLLEGKNWDLTAALSDY
+>Q8TE49_PF02338_205 <unknown description>
+TGDGNCLLHAASLGMWGFHDRDLVLRKALYTMMRTGAEREALKRRWRWQQTQQNKESGLV
+YTEEEWEREWTELLKLASSEPRTHFSKNGGTGGGVDNSEDPVYESLEEFHVFVLAHILRR
+PIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPPNRCHCSPLVLAYDQAHF
+>Q8TE49_PF01754_896 <unknown description>
+RCQRENCAFYGRAETEHYCSYCYR
+>Q6GQQ9_PF14555_8 <unknown description>
+VLSDFVRSTGAEPGLARDLLEGKNWDVNAALSDF
+>Q6GQQ9_PF02338_189 <unknown description>
+TGDGNCLLHAASLGMWGFHDRDLMLRKALYALMEKGVEKEALKRRWRWQQTQQNKESGLV
+YTEDEWQKEWNELIKLASSEPRMHLGTNGANCGGVESSEEPVYESLEEFHVFVLAHVLRR
+PIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPASQCHRSPLVLAYDQAHF
+>Q6GQQ9_PF01754_801 <unknown description>
+KCKQPNCSFYGHPETNNFCSCCYR
+>Q96FW1_PF10275_43 <unknown description>
+VQNPLVSERLELSVLYKEYAEDDNIYQQKIKDLHKKYSYIRKTRPDGNCFYRAFGFSHLE
+ALLDDSKELQRFKAVSAKSKEDLVSQGFTEFTIEDFHNTFMDLIEQVEKQTSVADLLASF
+NDQSTSDYLVVYLRLLTSGYLQRESKFFEHFIEGGRTVKEFCQQEVEPMCKESDHIHIIA
+LAQALSVSIQVEYMDRGEGGTTNPHIFPEGSEPKVYLLYRPGHYDILYK
+>Q96DC9_PF10275_3 <unknown description>
+ETSFNLISEKCDILSILRDHPENRIYRRKIEELSKRFTAIRKTKGDGNCFYRALGYSYLE
+SLLGKSREIFKFKERVLQTPNDLLAAGFEEHKFRNFFNAFYSVVELVEKDGSVSSLLKVF
+NDQSASDHIVQFLRLLTSAFIRNRADFFRHFIDEEMDIKDFCTHEVEPMATECDHIQITA
+LSQALSIALQVEYVDEMDTALNHHVFPEAATPSVYLLYKTSHYNILY
+>Q5VV17_PF02338_316 <unknown description>
+PDGNCLYRAVSKTVYGDQSLHRELREQTVHYIADHLDHFSPLIEGDVGEFIIAAAQDGAW
+AGYPELLAMGQMLNVNIHLTTGGRLESPTVSTMIHYLGPEDSLRPSIWLSWLSNGHY
+>Q5T2D3_PF02338_71 <unknown description>
+PGDGNCLFRALGDQLEGHSRNHLKHRQETVDYMIKQREDFEPFVEDDIPFEKHVASLAKP
+GTFAGNDAIVAFARNHQLNVVIHQLNAPLWQIRGT
+>Q01804_PF02338_42 <unknown description>
+DGSCLFRAVAEQVLHSQSRHVEVRMACIHYLRENREKFEAFIEGSFEEYLKRLENPQEWV
+GQVEISALSLMYRKDFIIYRE
+>Q96G74_PF02338_220 <unknown description>
+EDGACLFRAVADQVYGDQDMHEVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCH
+GNHIEMQAMAEMYNRPVEVYQYSTEPINTFHGIHQNEDEPIRVSYHRNIHYN
+>Q9NUU6_PF16218_88 <unknown description>
+LSVEAEVDLLSYCAREWKGETPRNKLMRKAYEELFWRHHIKCVRQVRRDNYDALRSVLFQ
+IFSQGISFPSWMKEKDIVKLPEKLLFSQGCNWIQQYSFGPEKYTGSNVFGKLRKYVELLK
+TQWTEFNGIRDYHKRGSMCNTLFSDAILEYKLYEALKFIMLYQVTEVYEQMKTKKVIPSL
+FRLLFSRETSSDPLSFMMNHLNSVGDTCGLEQIDMFILGYSLEVKIKVFRLFKFNSRDFE
+VCYPEEPLRDWPEISLLTENDRHYHIPV
+>Q96BN8_PF16218_80 <unknown description>
+LSVAPEMDIMDYCKKEWRGNTQKATCMKMGYEEVSQKFTSIRRVRGDNYCALRATLFQAM
+SQAVGLPPWLQDPELMLLPEKLISKYNWIKQWKLGLKFDGKNEDLVDKIKESLTLLRKKW
+AGLAEMRTAEARQIACDELFTNEAEEYSLYEAVKFLMLNRAIELYNDKEKGKEVPFFSVL
+LFARDTSNDPGQLLRNHLNQVGHTGGLEQVEMFLLAYAVRHTIQVYRLSKYNTEEFITVY
+PTDPPKDWPVVTLIAEDDRHYNIPV
+>P32242_PF00046_39 <unknown description>
+RRERTTFTRSQLDVLEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQ
+>P32242_PF03529_178 <unknown description>
+SPASISPGSAPASVSVPEPLAAPSNTSCMQRSVAAGAATAAASYPMSYGQGGSYGQGYPT
+PSSSYFGGVDCSSYLAPMHSHHHPHQLSPMAPSSMAGHHHHH
+>P32243_PF00046_47 <unknown description>
+RRERTTFTRAQLDVLEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQ
+>P32243_PF03529_161 <unknown description>
+SPASISPLSDPLSTSSSCMQRSYPMTYTQASGYSQGYAGSTSYFGGMDCGSYLTPMHHQL
+PGPGATLSPMGTNAVTSHLNQS
+>Q8WZ82_PF03959_5 <unknown description>
+RPLRVLCLAGFRQSERGFREKTGALRKALRGRAELVCLSGPHPVPDPPGPEGARSDFGSC
+PPEEQPRGWWFSEQEADVFSALEEPAVCRGLEESLGMVAQALNRLGPFDGLLGFSQGAAL
+AALVCALGQAGDPRFPLPRFILLVSGFCPRGIGFKESILQRPLSLPSLHVFGDTDKVIPS
+QESVQLASQFPGAITLTHSGGHFIPAAAPQRQA
+>Q12889_PF00704_23 <unknown description>
+KLVCYFTNWAHSRPGPASILPHDLDPFLCTHLIFAFASMNNNQIVAKDLQDEKILYPEFN
+KLKERNRELKTLLSIGGWNFGTSRFTTMLSTFANREKFIASVISLLRTHDFDGLDLFFLY
+PGLRGSPMHDRWTFLFLIEELLFAFRKEALLTMRPRLLLSAAVSGVPHIVQTSYDVRFLG
+RLLDFINVLSYDLHGSWERFTGHNSPLFSLPEDPKSSAYAMNYWRKLGAPSEKLIMGIPT
+YGRTFRLLKASKNGLQARAIGPASPGKYTKQEGFLAYFEICSFVWGAKKHWIDYQYVPYA
+NKGKEWVGYDNAISFSYKAWFIRREHFGGAMVWTLDMD
+>O14753_PF00096_118 <unknown description>
+FTCRVCQKAFTYQRMLNRHMK
+>O14753_PF13465_160 <unknown description>
+DLKRHVRTHTGVRPYKCSLCDKAFTQ
+>Q9BRP0_PF13894_148 <unknown description>
+LCTFCGKGFNDTFDLKRHVRTH
+>Q9BRP0_PF00096_175 <unknown description>
+YKCNVCNKAFTQRCSLESHLKKIH
+>Q9BRP0_PF00096_214 <unknown description>
+YVCEDCGYTGPTQEDLYLHVNSAH
+>O43613_PF00001_63 <unknown description>
+GNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGHALCKVI
+PYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAIMVPQAAV
+MECSSVLPELANRTRLFSVCDERWADDLYPKIYHSCFFIVTYLAPLGLMAMAYFQIFRKL
+WGRQIPGTTSALVRNWKRPSDQLGDLEQGLSGEPQPRARAFLAEVKQMRARRKTAKMLMV
+VLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIY
+>P83859_PF11109_5 <unknown description>
+YPLIYFLFLPLGACFPLLDRREPTDAMGGLGAGERWADLAMGPRPHSVWGSSRWLRASQP
+QALLVIARGLQTSGREHAGCRFRFGRQDEGSEATGFLPAAGEKTSGPLGNLAEELNGYSR
+KKGGFSFRFGR
+>P41217_PF00047_46 <unknown description>
+PASLKCSLQNAQEALIVTWQKKKAVSPENMVTFSENHGVVIQPAYKDKINITQLGLQNST
+ITFWNITLEDEGCYMCLFNTFGFGKISGTA
+>P41217_PF00047_147 <unknown description>
+LHYKFSEDHLNITCSATARPAPMVFWKVPRSGIENSTVTLSHPNGTTSVTSILHIKDPKN
+QVGKEVICQVLHLGTV
+>O43614_PF00001_71 <unknown description>
+GNVLVCVAVWKNHHMRTVTNYFIVNLSLADVLVTITCLPATLVVDITETWFFGQSLCKVI
+PYLQTVSVSVSVLTLSCIALDRWYAICHPLMFKSTAKRARNSIVIIWIVSCIIMIPQAIV
+MECSTVFPGLANKTTLFTVCDERWGGEIYPKMYHICFFLVTYMAPLCLMVLAYLQIFRKL
+WCRQIPGTSSVVQRKWKPLQPVSQPRGPGQPTKSRMSAVAAEIKQIRARRKTARMLMIVL
+LVFAICYLPISILNVLKRVFGMFAHTEDRETVYAWFTFSHWLVYANSAANPIIY
+>O43614_PF03827_387 <unknown description>
+HHRQEDRLTRGRTSTESRKSLTTQISNFDNISKLSEQVVLTSISTLPAANGAGPLQNW
+>P14920_PF01266_2 <unknown description>
+RVVVIGAGVIGLSTALCIHERYHSVLQPLDIKVYADRFTPLTTTDVAAGLWQPYLSDPNN
+PQEADWSQQTFDYLLSHVHSPNAENLGLFLISGYNLFHEAIPDPSWKDTVLGFRKLTPRE
+LDMFPDYGYGWFHTSLILEGKNYLQWLTERLTERGVKFFQRKVESFEEVAREGADVIVNC
+TGVWAGALQRDPLLQPGRGQIMKVDAPWMKHFILTHDPERGIYNSPYIIPGTQTVTLGGI
+FQLGNWSELNNIQDHNTIWEGCCRLEPTLKNARIIGERTGFRPVRPQIRLEREQLRTGPS
+NTEVIHNYGHGGYGLTIHWGCALEAAK
+>Q99489_PF01266_6 <unknown description>
+IAVVGAGVVGLSTAVCISKLVPRCSVTIISDKFTPDTTSDVAAGMLIPHTYPDTPIHTQK
+QWFRETFNHLFAIANSAEAGDAGVHLVSGWQIFQSTPTEEVPFWADVVLGFRKMTEAELK
+KFPQYVFGQAFTTLKCECPAYLPWLEKRIKGSGGWTLTRRIEDLWELHPSFDIVVNCSGL
+GSRQLAGDSKIFPVRGQVLQVQAPWVEHFIRDGSGLTYIYPGTSHVTLGGTRQKGDWNLS
+PDAENSREILSRCCALEPSLHGACNIREKVGLRPYRPGVRLQTELLARDGQRLPVVHHYG
+HGSGGISVHWGTALEAAR
+>Q8TDS5_PF00001_70 <unknown description>
+GNSLALFIFCIHTRPWTSNTVFLVSLVAADFLLISNLPLRVDYYLLHETWRFGAAACKVN
+LFMLSTNRTASVVFLTAIALNRYLKVVQPHHVLSRASVGAAARVAGGLWVGILLLNGHLL
+LSTFSGPSCLSYRVGTKPSASLRWHQALYLLEFFLPLALILFAIVSIGLTIRNRGLGGQA
+GPQRAMRVLAMVVAVYTICFLPSIIFGMASMVAFWLSACRSLDLCTQLFHGSLAFTYLNS
+VLDPVLY
+>Q96P68_PF00001_50 <unknown description>
+GNAVVISTYIFKMRPWKSSTIIMLNLACTDLLYLTSLPFLIHYYASGENWIFGDFMCKFI
+RFSFHFNLYSSILFLTCFSIFRYCVIIHPMSCFSIHKTRCAVVACAVVWIISLVAVIPMT
+FLITSTNRTNRSACLDLTSSDELNTIKWYNLILTATTFCLPLVIVTLCYTTIIHTLTHGL
+QTDSCLKQKARRLTILLLLAFYVCFLPFHILRVIRIESRLLSISCSIENQIHEAYIVSRP
+LAALNTFGNL
+>Q96RQ9_PF01593_69 <unknown description>
+VAGLVAAKVLSDAGHKVTILEADNRIGGRIFTYRDQNTGWIGELGAMRMPSSHRILHKLC
+QGLGLNLTKFTQYDKNTWTEVHEVKLRNYVVEKVPEKLGYALRPQEKGHSPEDIYQMALN
+QALKDLKALGCRKAMKKFERHTLLEYLLGEGNLSRPAVQLLGDVMSEDGFFYLSFAEALR
+AHSCLSDRLQYSRIVGGWDLLPRALLSSLSGLVLLNAPVVAMTQGPHDVHVQIETSPPAR
+NLKVLKADVVLLTASGPAVKRITFSPPLPRHMQEALRRLHYVPATKVFLSFRRPFWREEH
+IEGGHSNTDRPSRMIFYPPPREGALLLASYTWSDAAAAFAGLSREEALRLALDDVAALHG
+PVVRQLWDGTGVVKRWAEDQHSQGGFVVQPPALWQTEKDDWTVPYGRIYFAGEHTAYPHG
+WVETAVKSALRAAI
+>Q5BKU9_PF09791_80 <unknown description>
+LPPELQPPTNCCMSGCPNCVWVEYADRL
+>Q96HP4_PF00175_174 <unknown description>
+LIAGGVGINPLLSILRHAADLLREQANKRNGYEIGTIKLFYSAKNTSELLFKKNILDLVN
+EFPEKIACSLHVTKQTTQINAELKPYITEGRITEKEIRDHISKETLFYICGPPPMTDFFS
+>Q8N573_PF01476_100 <unknown description>
+YTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVP
+>Q8N573_PF07534_737 <unknown description>
+LVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPLKVSDGFYGTGETFV
+FTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYHGRSHSCKTFGNRTL
+SKKEDFFIQDIEIWAF
+>Q9NWU1_PF00109_42 <unknown description>
+RRVVITGIGLVTPLGVGTHLVWDRLIGGESGIVSLVGEEYKSIPCSVAAYVPRGSDEGQF
+NEQNFVSKSDIKSMSSPTIMAIGAAELAMKDSGWHPQSEADQVATGVAIGMGMIPLEVVS
+ETALNFQTKGYNKVSPFFVPKILVNMAAGQVSIRYKLKGPNHAVSTACTTGAHAVGDSFR
+FIAHGDADVMVAGGTDSCISPLSLAGFSRARALSTNSDPKLACRPFHPKRDGFVMGEGAA
+VLVLEEYEHA
+>Q9NWU1_PF02801_299 <unknown description>
+YAEVLGYGLSGDAGHITAPDPEGEGALRCMAAALKDAGVQPEEISYINAHATSTPLGDAA
+ENKAIKHLFKDHAYALAVSSTKGATGHLLGAAGAVEAAFTTLACYYQKLPPTLNL
+>O95747_PF00069_17 <unknown description>
+YELQEVIGSGATAVVQAAYCAPKKEKVAIKRINLEKCQTSMDELLKEIQAMSQCHHPNIV
+SYYTSFVVKDELWLVMKLLSGGSVLDIIKHIVAKGEHKSGVLDESTIATILREVLEGLEY
+LHKNGQIHRDVKAGNILLGEDGSVQIADFGVSAFLATGGDITRNKVRKTFVGTPCWMAPE
+VMEQVRGYDFKADIWSFGITAIELATGAAPYHKYPPMKVLMLTLQNDPPSLETGVQDKEM
+LKKYGKSFRKMISLCLQKDPEKRPTAAELLRHKFF
+>O95747_PF12202_434 <unknown description>
+ISLVLRLRNSKKELNDIRFEFTPGRDTAEGVSQELISAGLVDGRDLVIVAANLQKIVE
+>P30559_PF00001_56 <unknown description>
+GNACVLLALRTTRQKHSRLFFFMKHLSIADLVVAVFQVLPQLLWDITFRFYGPDLLCRLV
+KYLQVVGMFASTYLLLLMSLDRCLAICQPLRSLRRRTDRLAVLATWLGCLVASAPQVHIF
+SLREVADGVFDCWAVFIQPWGPKAYITWITLAVYIVPVIVLAACYGLISFKIWQNLRLKT
+AAAAAAEAPEGAAAGDGGRVALARVSSVKLISKAKIRTVKMTFIIVLAFIVCWTPFFFVQ
+MWSVWDANAPKEASAFIIVMLLASLNSCCNPWIY
+>Q15072_PF00096_16 <unknown description>
+FACKVCGKVFSHKSNLTEHEHFH
+>Q15072_PF00096_44 <unknown description>
+FECNECGKAFSQKQYVIKHQNTH
+>Q15072_PF00096_72 <unknown description>
+FECNECGKSFSQKENLLTHQKIH
+>Q15072_PF00096_100 <unknown description>
+FECKDCGKAFIQKSNLIRHQRTH
+>Q15072_PF00096_128 <unknown description>
+FVCKECGKTFSGKSNLTEHEKIH
+>Q15072_PF00096_156 <unknown description>
+FKCSECGTAFGQKKYLIKHQNIH
+>Q15072_PF00096_184 <unknown description>
+YECNECGKAFSQRTSLIVHVRIH
+>Q15072_PF00096_212 <unknown description>
+YECNVCGKAFSQSSSLTVHVRSH
+>Q15072_PF00096_242 <unknown description>
+CNECGKAFSQFSTLALHLRIH
+>Q15072_PF00096_268 <unknown description>
+YQCSECGKAFSQKSHHIRHQKIH
+>Q96HA1_PF15229_320 <unknown description>
+EKKRTVEEEDQIFLDGQENKRRRHDSSGSGHSAFEPLVANGVPASFVPKPGSLKRGLNSQ
+SSDDHLNKRSRSSSMSSLTGAYASGIPSSSRNAITSSYSSTRGISQLWKRNGPSSSPFSS
+PASSRSQTPERPAKKIREEELCHHSSSSTPLAADRESQGEKAADTTPRKKQNSNSQSTPG
+SSGQRKRKVQLLPSRRGEQLTLPPPPQLGYSITAEDLDLEKKASLQWFNQALE
+>A8CG34_PF15229_54 <unknown description>
+KKKKRTVEEEDQIFLDGQENKRRRHDSSGSGHSAFEPLVASGVPASFVPKPGSLKRGLNS
+QSSDDHLNKRSRSSSMSSLTGAYTSGIPSSSRNAITSSYSSTRGISQLWKRNGPSSSPFS
+SPASSRSQTPERPAKKIREEELCHHSSSSTPLAADKESQGEKAADTTPRKKQNSNSQSTP
+GSSGQRKRKVQLLPSRRGEQLTLPPPPQLGYSITAEDLDLEKKASLQWFNQALE
+>Q96KW2_PF15229_164 <unknown description>
+RECRKGKGRLEEPLFPESLDSKRRSPETRPSAFKPLMKNGTLTSFVPRPGPLKRSLHSWG
+SDHSLTKRPNCSSMSSLASIYRGGTLSSKRNAIGSSYSSCRNFSDPWKRSVPSVSFETPE
+WPIKKEKSCHRPSSPVPLVSDFESLGGSESSGQQNQKIPQLPSSPENLVSEIPPPQLGYA
+VSDENLTLGKKAELQVSNN
+>Q8N7R1_PF15229_210 <unknown description>
+LQPRPSAFKPLSKNGAVASFVPRPGPLKPSL
+>Q6GTS8_PF01546_121 <unknown description>
+LLMAHFDVVPAPEEGWEVPPFSGLERDGIIYGRGTLDDKNSVMALLQALELLLIRKYIPR
+RSFFISLGHDEESSGTGAQRISALLQSRGVQLAFIVDEGGFILDDFIPNFKKPIALIAVS
+EKGSMNLMLQVNMTSGHSSAPPKETSIGILAAAVSRLEQTPMPIIFGSGTVVTVLQQLAN
+EFPFPVNIILSNPWLFEPLISRFMERNPLTNAIIRTTTALTIFKAGVKFNVIPPVAQATV
+NFRIHPGQTVQEVLELTKNIVADNRVQFHVLSAFDPLPVSPSDDKALGYQLLRQTVQSVF
+PEVNITAPVTSIGNTDSRFFTNLTTGIYRFYPIYIQPEDFKRIHGVNEKISVQAYETQVK
+FIFELIQN
+>Q6GTS8_PF07687_240 <unknown description>
+SEKGSMNLMLQVNMTSGHSSAPPKETSIGILAAAVSRLEQTPMPIIFGSGTVVTVLQQLA
+NEFPFPVNIILSNPWLFEPLISRFMERNPLTNAIIRTTTALTIFKAGVKFNVIPPVAQAT
+VNFRIHPGQTVQEVLELTKNIVADN
+>Q8IYS1_PF01546_119 <unknown description>
+GIGHACGHNLIAEVGAAAALGVRGALEGLPRPPPPVKVVVLGTPAEEDGGGKIDLIEAGA
+FTNLDVVFMAHPSQENAAYLPDMAEHDVTVKYYGKASHSASYPWEGLNALDAAVLAYNNL
+SVFRQQMKPTWRVHGIIKNGGVKPNIIPSYSELIYYFRAPSMKELQVLTKKAEDCFRAAA
+LASGCTVEIKGGAHDYYNVLPNKSLWKAYMENGRKLGIEFISEDTMLNGPSGSTDFGNVS
+FVVPGIHPYFHIGSNALNHTEQYTEAAGSQE
+>Q8IYS1_PF07687_206 <unknown description>
+VTVKYYGKASHSASYPWEGLNALDAAVLAYNNLSVFRQQMKPTWRVHGIIKNGGVKPNII
+PSYSELIYYFRAPSMKELQVLTKKAEDCFRAAA
+>A8MW92_PF02820_18 <unknown description>
+RLEALDYLQKWYPSRIEKIDYEEGKMLVHFERWSHRYDEWIYWDSNRLRPL
+>A8MW92_PF18104_90 <unknown description>
+GEEVLARWTDCRYYPAKIEAINKEGTFTVQFYDG
+>A8MW92_PF12618_198 <unknown description>
+NKDKDKDERKWFKVPSKKEETSTCIATPDVEKKEDLPTSSETFGLHVENVPKMVFPQPES
+TLSNKRKNNQGNSFQAKRARLNKITGLLASKAVGVDGAEKKEDYNETA
+>A8MW92_PF16660_318 <unknown description>
+SQKKNEADISSSANTQKPALLSSTLSSGKARSKKCKHESGDSSGCIKPPKSPLSPELIQV
+EDLTLVSQLSSSVINKTSPPQPVNPPRPFKHSERRRR
+>E9PI22_PF10630_26 <unknown description>
+SLATTQMNPPKRRQVEQGPSTGAKKPSISGAPHLNSYQSLELPQNQQDSGTEELMIVLEQ
+GTEVRLSLEEVILILAPETVLQLTLENTVLVIVPEHVLRSEDGLQSPVQIQYIIPSVDDF
+SLEFHAQDGDISDMRRENVPFSPAEEGKAAPLYQQPLMIPQANHMAGISPSFLVTPLCIP
+RCRAAFPQCYPLPPTPSPVGRPRPADSSFSLHGMELLCTSSLRPMPPSPSPGPQVYHRVH
+HRPPSRARRCL
+>P0DMB1_PF10630_26 <unknown description>
+SLATTQMNPPKRRQVEQGPSTGAKKPSISGAPHLNSYQSLELPQNQQDSGTEELMIVLEQ
+GTEVRLSLEEVILILAPETVLQLTLENTVLVIVPEHVLRSEDGLQSPVQIQYIIPSVDDF
+SLEFHAQDGDISDMRRENVPFSPAEEGKAAPLYQQPLMIPQANHMAGISPSFLVTPLCIP
+RCRAAFPQCYPLPPTPSPVGRPRPADSSFSLHGMELLCTSSLRPMPPSPSPGPQVYHRVH
+HRPPSRARRCL
+>Q06190_PF17958_796 <unknown description>
+DDASKFICLLAKPNCSSLEQEDFIPLLQDVVDTHPGLTFLKDAPEFHSRYITTVIQRIFY
+TVNRSWSGKITSTEIRKSNFLQTLALLEEE
+>Q06190_PF13499_900 <unknown description>
+FYVIYCKFWELDTDHDLYISQADLSRYNDQASSSRIIERIFSGAVTRGKTIQKEGRMSYA
+DFVWFLISEEDKRNPTSIEYWFRCMDVDGDGVLSMYELEYFYE
+>Q9Y5P8_PF17958_212 <unknown description>
+DDAAKFVHLLMSPGCNYLVQEDFVPFLQDVVNTHPGLSFLKEASEFHSRYITTVIQRIFY
+AVNRSWSGRITCAELRRSSFLQNVALLEEE
+>Q9Y5P8_PF13499_316 <unknown description>
+FYVIYCKFWELDTDHDLLIDADDLARHNDHALSTKMIDRIFSGAVTRGRKVQKEGKISYA
+DFVWFLISEEDKKTPTSIEYWFRCMDLDGDGALSMFELEYFYE
+>Q969Q6_PF17958_183 <unknown description>
+AGQGYLRESDLENYILELIPTLPQLDGLEKSFYSFYVCTAVRKFFFFLDPLRTGKIKIQD
+ILACSFLDDLLELRDE
+>P51575_PF00864_14 <unknown description>
+FEYDTPRMVLVRNKKVGVIFRLIQLVVLVYVIGWVFLYEKGYQTSSGLISSVSVKLKGLA
+VTQLPGLGPQVWDVADYVFPAQGDNSFVVMTNFIVTPKQTQGYCAEHPEGGICKEDSGCT
+PGKAKRKAQGIRTGKCVAFNDTVKTCEIFGWCPVEVDDDIPRPALLREAENFTLFIKNSI
+SFPRFKVNRRNLVEEVNAAHMKTCLFHKTLHPLCPVFQLGYVVQESGQNFSTLAEKGGVV
+GITIDWHCDLDWHVRHCRPIYEFHGLYEEKNLSPGFNFRFARHFVENGTNYRHLFKVFGI
+RFDILVDGKAGKFDIIPTMTTIGSGIGIFGVATVLCDLLLLHILPKRHYYKQKKFK
+>Q9UBL9_PF00864_26 <unknown description>
+WDYETPKVIVVRNRRLGVLYRAVQLLILLYFVWYVFIVQKSYQESETGPESSIITKVKGI
+TTSEHKVWDVEEYVKPPEGGSVFSIITRVEATHSQTQGTCPESIRVHNATCLSDADCVAG
+ELDMLGNGLRTGRCVPYYQGPSKTCEVFGWCPVEDGASVSQFLGTMAPNFTILIKNSIHY
+PKFHFSKGNIADRTDGYLKRCTFHEASDLYCPIFKLGFIVEKAGESFTELAHKGGVIGVI
+INWDCDLDLPASECNPKYSFRRLDPKHVPASSGYNFRFAKYYKINGTTTRTLIKAYGIRI
+DVIVHGQAGKFSLIPTIINLATALTSVGVGSFLCDWILLTFMNKNKVYSHKKFD
+>P56373_PF00864_8 <unknown description>
+FTYETTKSVVVKSWTIGIINRVVQLLIISYFVGWVFLHEKAYQVRDTAIESSVVTKVKGS
+GLYANRVMDVSDYVTPPQGTSVFVIITKMIVTENQMQGFCPESEEKYRCVSDSQCGPERL
+PGGGILTGRCVNYSSVLRTCEIQGWCPTEVDTVETPIMMEAENFTIFIKNSIRFPLFNFE
+KGNLLPNLTARDMKTCRFHPDKDPFCPILRVGDVVKFAGQDFAKLARTGGVLGIKIGWVC
+DLDKAWDQCIPKYSFTRLDSVSEKSSVSPGYNFRFAKYYKMENGSEYRTLLKAFGIRFDV
+LVYGNAGKFNIIPTIISSVAAFTSVGVGTVLCDIILLNFLKGADQYKAKKFEE
+>Q99571_PF00864_13 <unknown description>
+FEYDTPRIVLIRSRKVGLMNRAVQLLILAYVIGWVFVWEKGYQETDSVVSSVTTKVKGVA
+VTNTSKLGFRIWDVADYVIPAQEENSLFVMTNVILTMNQTQGLCPEIPDATTVCKSDASC
+TAGSAGTHSNGVSTGRCVAFNGSVKTCEVAAWCPVEDDTHVPQPAFLKAAENFTLLVKNN
+IWYPKFNFSKRNILPNITTTYLKSCIYDAKTDPFCPIFRLGKIVENAGHSFQDMAVEGGI
+MGIQVNWDCNLDRAASLCLPRYSFRRLDTRDVEHNVSPGYNFRFAKYYRDLAGNEQRTLI
+KAYGIRFDIIVFGKAGKFDIIPTMINIGSGLALLGMATVLCDIIVLYCMKKRLYYREKKY
+K
+>Q93086_PF00864_14 <unknown description>
+FDYKTEKYVIAKNKKVGLLYRLLQASILAYLVVWVFLIKKGYQDVDTSLQSAVITKVKGV
+AFTNTSDLGQRIWDVADYVIPAQGENVFFVVTNLIVTPNQRQNVCAENEGIPDGACSKDS
+DCHAGEAVTAGNGVKTGRCLRRENLARGTCEIFAWCPLETSSRPEEPFLKEAEDFTIFIK
+NHIRFPKFNFSKSNVMDVKDRSFLKSCHFGPKNHYCPIFRLGSVIRWAGSDFQDIALEGG
+VIGINIEWNCDLDKAASECHPHYSFSRLDNKLSKSVSSGYNFRFARYYRDAAGVEFRTLM
+KAYGIRFDVMVNGKGA
+>O15547_PF00864_23 <unknown description>
+LDYKTEKYVMTRNWRVGALQRLLQFGIVVYVVGWALLAKKGYQERDLEPQFSIITKLKGV
+SVTQIKELGNRLWDVADFVKPPQGENVFFLVTNFLVTPAQVQGRCPEHPSVPLANCWVDE
+DCPEGEGGTHSHGVKTGQCVVFNGTHRTCEIWSWCPVESGVVPSRPLLAQAQNFTLFIKN
+TVTFSKFNFSKSNALETWDPTYFKHCRYEPQFSPYCPVFRIGDLVAKAGGTFEDLALLGG
+SVGIRVHWDCDLDTGDSGCWPHYSFQLQEKSYNFRTATHWWEQPGVEARTLLKLYGIRFD
+ILVTGQAGKFGLIPTAVTLGTGAAWLGVVTFFCDLLLLYVDREAHFYWRTKYEE
+>Q99572_PF00864_11 <unknown description>
+FQYETNKVTRIQSMNYGTIKWFFHVIIFSYVCFALVSDKLYQRKEPVISSVHTKVKGIAE
+VKEEIVENGVKKLVHSVFDTADYTFPLQGNSFFVMTNFLKTEGQEQRLCPEYPTRRTLCS
+SDRGCKKGWMDPQSKGIQTGRCVVYEGNQKTCEVSAWCPIEAVEEAPRPALLNSAENFTV
+LIKNNIDFPGHNYTTRNILPGLNITCTFHKTQNPQCPIFRLGDIFRETGDNFSDVAIQGG
+IMGIEIYWDCNLDRWFHHCRPKYSFRRLDDKTTNVSLYPGYNFRYAKYYKENNVEKRTLI
+KVFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLAAVFIDFLIDTYSSNCCRSHIYPW
+CKCCQPCVVNEYYYRKKCE
+>P47900_PF00001_68 <unknown description>
+GNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFGDAMCKLQ
+RFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAICISVLVWLIVVVAISPIL
+FYSGTGVRKNKTITCYDTTSDEYLRSYFIYSMCTTVAMFCVPLVLILGCYGLIVRALIYK
+DLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPAMCAFNDRVYATYQ
+VTRGLASLNSCVDPILY
+>P41231_PF00001_51 <unknown description>
+NAVALYIFLCRLKTWNASTTYMFHLAVSDALYAASLPLLVYYYARGDHWPFSTVLCKLVR
+FLFYTNLYCSILFLTCISVHRCLGVLRPLRSLRWGRARYARRVAGAVWVLVLACQAPVLY
+FVTTSARGGRVTCHDTSAPELFSRFVAYSSVMLGLLFAVPFAVILVCYVLMARRLLKPAY
+GTSGGLPRAKRKSVRTIAVVLAVFALCFLPFHVTRTLYYSFRSLDLSCHTLNAINMAYKV
+TRPLASANSCLDPVLY
+>P51582_PF00001_57 <unknown description>
+LWLFIFRLRPWDATATYMFHLALSDTLYVLSLPTLIYYYAAHNHWPFGTEICKFVRFLFY
+WNLYCSVLFLTCISVHRYLGICHPLRALRWGRPRLAGLLCLAVWLVVAGCLVPNLFFVTT
+SNKGTTVLCHDTTRPEEFDHYVHFSSAVMGLLFGVPCLVTLVCYGLMARRLYQPLPGSAQ
+SSSRLRSLRTIAVVLTVFAVCFVPFHITRTIYYLARLLEADCRVLNIVNVVYKVTRPLAS
+ANSCLDPVLY
+>Q15077_PF00001_44 <unknown description>
+NICVITQICTSRRALTRTAVYTLNLALADLLYACSLPLLIYNYAQGDHWPFGDFACRLVR
+FLFYANLHGSILFLTCISFQRYLGICHPLAPWHKRGGRRAAWLVCVAVWLAVTTQCLPTA
+IFAATGIQRNRTVCYDLSPPALATHYMPYGMALTVIGFLLPFAALLACYCLLACRLCRQD
+GPAEPVAQERRGKAARMAVVVAAAFAISFLPFHITKTAYLAVRSTPGVPCTVLEAFAAAY
+KGTRPFASANSVLDPIL
+>Q86VZ1_PF00001_40 <unknown description>
+GNLFSLWVLCRRMGPRSPSVIFMINLSVTDLMLASVLPFQIYYHCNRHHWVFGVLLCNVV
+TVAFYANMYSSILTMTCISVERFLGVLYPLSSKRWRRRRYAVAACAGTWLLLLTALSPLA
+RTDLTYPVHALGIITCFDVLKWTMLPSVAMWAVFLFTIFILLFLIPFVITVACYTATILK
+LLRTEEAHGREQRRRAVGLAAVVLLAFVTCFAPNNFVLLAHIVSRLFYGKSYYHVYKLTL
+CLSCLNNCLDPFVY
+>O00398_PF00001_52 <unknown description>
+NSAALWVLCRFISKKNKAIIFMINLSVADLAHVLSLPLRIYYYISHHWPFQRALCLLCFY
+LKYLNMYASICFLTCISLQRCFFLLKPFRARDWKRRYDVGISAAIWIVVGTACLPFPILR
+STDLNNNKSCFADLGYKQMNAVALVGMITVAELAGFVIPVIIIAWCTWKTTISLRQPPMA
+FQGISERQKALRMVFMCAAVFFICFTPYHINFIFYTMVKETIISSCPVVRIALYFHPFCL
+CLASLCCLLDPILY
+>Q96G91_PF00001_46 <unknown description>
+NGLALYRFSIRKQRPWHPAVVFSVQLAVSDLLCALTLPPLAAYLYPPKHWRYGEAACRLE
+RFLFTCNLLGSVIFITCISLNRYLGIVHPFFARSHLRPKHAWAVSAAGWVLAALLAMPTL
+SFSHLKRPQQGAGNCSVARPEACIKCLGTADHGLAAYRAYSLVLAGLGCGLPLLLTLAAY
+GALGRAVLRSPGMTVAEKLRVAALVASGVALYASSYVPYHIMRVLNVD
+>Q9H244_PF00001_53 <unknown description>
+IRSKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGTGPLRTFVCQVTSVIFYFTMYISI
+SFLGLITIDRYQKTTRPFKTSNPKNLLGAKILSVVIWAFMFLLSLPNMILTNRQPRDKNV
+KKCSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYTLITKELYRSYVRTRGVGKVPRK
+KVNVKVFIIIAVFFICFVPFHFARIPYTLSQTRDVFDCTAENTLFYVKESTLWLTSLNAC
+LDPFIY
+>Q9BPV8_PF00001_62 <unknown description>
+NTLALWVFVHIPSSSTFIIYLKNTLVADLIMTLMLPFKILSDSHLAPWQLRAFVCRFSSV
+IFYETMYVGIVLLGLIAFDRFLKIIRPLRNIFLKKPVFAKTVSIFIWFFLFFISLPNTIL
+SNKEATPSSVKKCASLKGPLGLKWHQMVNNICQFIFWTVFILMLVFYVVIAKKVYDSYRK
+SKSKDRKNNKKLEGKVFVVVAVFFVCFAPFHFARVPYTHSQTNNKTDCRLQNQLFIAKET
+TLFLAATNICMDPLIY
+>Q15391_PF00001_55 <unknown description>
+SFIIYLKNIVIADFVMSLTFPFKILGDSGLGPWQLNVFVCRVSAVLFYVNMYVSIVFFGL
+ISFDRYYKIVKPLWTSFIQSVSYSKLLSVIVWMLMLLLAVPNIILTNQSVREVTQIKCIE
+LKSELGRKWHKASNYIFVAIFWIVFLLLIVFYTAITKKIFKSHLKSSRNSTSVKKKSSRN
+IFSIVFVFFVCFVPYHIARIPYTKSQTEAHYSCQSKEILRYMKEFTLLLSAANVCLDPII
+Y
+>Q96A73_PF15302_16 <unknown description>
+PLGKMSLPIGIYRRAVSYDDTLEDPAPMTPPPSDMGSVPWKPVIPERKYQHLAKVEEGEA
+SLPSPAMTLSSAIDSVDKVPVVKAKATHVIMNSLITKQTQESIQHFERQAGLRDAGYTPH
+KGLTTEETKYLRVAEALHKLKLQSGEVTKEERQPASAQSTPSTTPHSSPKQRPRGWFTSG
+SSTALPGPNPSTMDSGSGDKDRNLSDKWSLFGPRSLQKYDSGSFATQAYRGAQKPSPLEL
+IRAQANRMAEDPAALKPPKMDIPVMEGKKQPPRAHNLKPRDLNVLTPTGF
+>O00443_PF00794_413 <unknown description>
+AQRNICGENASVKVSIDIEGFQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYVL
+KVCGQEEVLQNNHCLGSHEHIQNCRKWDTEIRLQLLTFS
+>O00443_PF00792_703 <unknown description>
+NYEKYYLICSLSHNGKDLFKPIQSKKVGTYKNFFYLIKWDELIIFPIQISQLPLESVLHL
+TLFGILNQSSGSSPDSNKQRKGPEALGKVSLPLFDFKRFLTCGTKLLYLWTSSHTNSVPG
+TVTKKGYVMERIVLQVDFP
+>O00443_PF00613_869 <unknown description>
+NDIKGKLLDILHKDSSLGLSKEDKAFLWEKRYYCFKHPNCLPKILASAPNWKWVNLAKTY
+SLLHQWPALYPLIALELLDSKFADQEVRSLAVTWIEAISDDELTDLLPQFVQALKYEIYL
+NSSLVQFLLSRALGNIQIAHNLYWLLKDALHDVQFSTRYEHVLGALLSVGG
+>O00443_PF00454_1133 <unknown description>
+INVMFKVGEDLRQDMLALQMIKIMDKIWLKEGLDLRMVIFKCLSTGRDRGMVELVPASDT
+LRKIQVEYGVTGSFKDKPLAEWLRKYNPSEEEYEKASENFIYSCAGCCVATYVLGICDRH
+NDNIMLRSTGHMFHIDFGKFLGHAQMFGSFKRDRAPFVLTSDMAYVINGGEKPTIRFQLF
+VDLCCQAYNLIRKQTNLFLNLLSLMIPSGLPELT
+>O00443_PF00787_1456 <unknown description>
+PSFVFRTFDEFQELHNKLSIIFPLWKLPGFPNRMVLGRTHIKDVAAKRKIELNSYLQSLM
+NASTDVAECDLVCTFFHP
+>O00443_PF00168_1572 <unknown description>
+GTLFIMVMHIKDLVTEDGADPNPYVKTYLLPDNHKTSKRKTKISRKTRNPTFNEMLVYSG
+YSKETLRQRELQLSVLSAESLRENFFLGGVTLPLKDFNLSKETVKWYQL
+>O00750_PF00794_365 <unknown description>
+VTPSPEHLGDEVNLKVTVLCDRLQEALTFTCNCSSTVDLLIYQTLCYTHDDLRNVDVGDF
+VLKPCGLEEFLQNKHALGSHEYIQYCRKFDIDIRLQLMEQK
+>O00750_PF00792_649 <unknown description>
+YEDFYLSCSLSHGGKELCSPLQTRRAHFSKYLFHLIVWDQQICFPVQVNRLPRETLLCAT
+LYALPIPPPGSSSEANKQRRVPEALGWVTTPLFNFRQVLTCGRKLLGLWPATQENPSARW
+SAPNFHQPDSVILQIDFPT
+>O00750_PF00613_812 <unknown description>
+REEDQRKLKDIMQKESLYWLTDADKKRLWEKRYYCHSEVSSLPLVLASAPSWEWACLPDI
+YVLLKQWTHMNHQDALGLLHATFPDQEVRRMAVQWIGSLSDAELLDYLPQLVQALKYECY
+LDSPLVRFLLKRAVSDLRVTHYFFWLLKDGLKDSQFSIRYQYLLAALLCCCGKGLRE
+>O00750_PF00454_1078 <unknown description>
+IRVIFKCGDDLRQDMLTLQMIRIMSKIWVQEGLDMRMVIFRCFSTGRGRGMVEMIPNAET
+LRKIQVEHGVTGSFKDRPLADWLQKHNPGEDEYEKAVENFIYSCAGCCVATYVLGICDRH
+NDNIMLKTTGHMFHIDFGRFLGHAQMFGNIKRDRAPFVFTSDMAYVINGGDKPSSRFHDF
+VDLCCQAYNLIRKHTHLFLNLLGLMLSCGIPEL
+>O00750_PF00787_1397 <unknown description>
+HEATYIQRTFEEFQELHNKLRLLFPSSHLPSFPSRFVIGRSRGEAVAERRREELNGYIWH
+LIHAPPEVAECDLVYTFFHP
+>O00750_PF00168_1518 <unknown description>
+KLFIMVMHIRGLQLLQDGNDPDPYVKIYLLPDPQKTTKRKTKVARKTCNPTYNEMLVYDG
+IPKGDLQQRELQLSVLSEQGFWENVLLGEVNIRLRELDLAQEKTGWFAL
+>Q32P28_PF13640_585 <unknown description>
+PVHVDNCILNAETLVCVKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQ
+CGRAVGFSSGTENPHGVKAVTRGQRCAIALWF
+>Q8IVL5_PF13640_580 <unknown description>
+HADNCLLDPEANECWKEPPAYTFRDYSALLYMNDDFEGGEFIFTEMDAKTVTASIKPKCG
+RMISFSSGGENPHGVKAVTKGKRCAVALWF
+>Q8IVL6_PF13640_584 <unknown description>
+HADNCVLDPDTGECWREPPAYTYRDYSGLLYLNDDFQGGDLFFTEPNALTVTARVRPRCG
+RLVAFSSGVENPHGVWAVTRGRRCALALW
+>Q96FE7_PF00051_25 <unknown description>
+CFWDNGHLYREDQTSPAPGLRCLNWLDAQSGLASAPVSGAGNHSYCRNPDEDPRGPWCYV
+SGEA
+>P09131_PF01758_198 <unknown description>
+PLIFVNKCSFGCKVELEVLKGLMQSPQPMLLGLLGQFLVMPLYAFLMAKVFMLPKALALG
+LIITCSSPGGGGSYLFSLLLGGDVTLAISMTFLSTVAATGFLPLSSAIYSRLLSIHETLH
+VPISKILGTLLFIAIPIAVGVLIKSKLPKFSQLLLQVVKPFSFVLLLGGLFLAYRMGVFI
+L
+>P13674_PF08336_24 <unknown description>
+SIGQMTDLIHTEKDLVTSLKDYIKAEEDKLEQIKKWAEKLDRLTSTATKDPEGFVGHPVN
+AFKLMKRLNTEWSELENLVLKDMSDGFISNLTIQRQYFPNDEDQVGAAKALLRLQDTYNL
+DTDTISKGNLPG
+>P13674_PF13640_415 <unknown description>
+LQVANYGVGGQYEPHFDFARKDEPDAFKELGTGNRIATWLFYMSDVSAGGATVFPEVGAS
+VWPKKGTAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLH
+>O15460_PF08336_26 <unknown description>
+SIGHMTDLIYAEKELVQSLKEYILVEEAKLSKIKSWANKMEALTSKSAADAEGYLAHPVN
+AYKLVKRLNTDWPALEDLVLQDSAAGFIANLSVQRQFFPTDEDEIGAAKALMRLQDTYRL
+DPGTISRGELP
+>O15460_PF13640_416 <unknown description>
+LQVANYGVGGQYEPHFDFSRRPFDSGLKTEGNRLATFLNYMSDVEAGGATVFPDLGAAIW
+PKKGTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFH
+>Q7Z4N8_PF08336_35 <unknown description>
+TSVARALAPERRLLGLLRRYLRGEEARLRDLTRFYDKVLSLHEDSTTPVANPLLAFTLIK
+RLQSDWRNVVHSLEASENIRALKDGYEKVEQDLPAFEDLEGAARALMRLQDVYMLNVKGL
+ARGVFQ
+>Q7Z4N8_PF13640_426 <unknown description>
+LQVVNYGIGGHYEPHFDHATSPSSPLYRMKSGNRVATFMIYLSSVEAGGATAFIYANLSV
+PVVRNAALFWWNLHRSGEGDSDTLHAGCPVLVGDKWVANKWIH
+>Q9NXG6_PF13499_193 <unknown description>
+LFRLLDQNRDGHLQLREVLAQTRLGNGWWMTPESIQEMYAAIKADPDGDGVLSLQEFSN
+>Q9NXG6_PF13640_314 <unknown description>
+LQVVRYGEGGHYHAHVDSGPVYPETICSHTKLVANESVPFETSCRYMTVLFYLNNVTGGG
+ETVFPVADNRTYDEMSLIQDDVDLRDTRRHCDKGNLRVKPQQGTAVFWYNYLPDGQGWVG
+DVDDYSLHGGCLVTRGTKWIANNWI
+>Q9BTU6_PF00454_133 <unknown description>
+GSSGSYFVKDPQGRIIAVFKPKNEEPYGHLNPKWTKWLQKLCCPCCFGRDCLVLNQGYLS
+EAGASLVDQKLELNIVPRTKVVYLASETFNYSAIDRVKSRGKRLALEKVPKVGQRFNRIG
+LPPKVGSFQLFVEGYKDADYWLRRFEAEPLPENTNRQLLLQFERLVVLDYIIRNTDRGND
+NWLIKYDCPMDSSSSRDTDWVVVKEPVIKVAAIDNGLAFPLKHPDSWRAYPFYWAWLPQA
+KVPFSQEIKDLILPKISDPNFVKDLEEDLYELFKKDPGFDRGQFHKQIAVMRGQILN
+>Q8TCG2_PF00454_129 <unknown description>
+GSSGSYFVKDPKRKIIGVFKPKSEEPYGQLNPKWTKYVHKVCCPCCFGRGCLIPNQGYLS
+EAGAYLVDNKLHLSIVPKTKVVWLVSETFNYNAIDRAKSRGKKYALEKVPKVGRKFHRIG
+LPPKIGSFQLFVEGYKEAEYWLRKFEADPLPENIRKQFQSQFERLVILDYIIRNTDRGND
+NWLVRYEKQKCEKEIDHKESKWIDDEEFLIKIAAIDNGLAFPFKHPDEWRAYPFHWAWLP
+QAKVPFSEEIRNLILPYISDMNFVQDLCEDLYELFKTDKGFDKATFESQMSVMRGQILN
+>Q6IN85_PF04802_166 <unknown description>
+NEGYIKKLLELFHVCEDLENIEGLHHLYEIIKGIFLLNRTALFEVMFSEECIMDVIGCLE
+YDPALSQPRKHREFLTKTAKFKEVIPISDPELKQKIHQTYRVQYIQDMVLPTPSVFEENM
+LSTLHSFIFFNKVEIVGMLQEDEKFLTDLFAQLTDEATDEEKRQELVNFLKEFCAFSQTL
+QPQNRDAFFKTL
+>Q5MIZ7_PF04802_168 <unknown description>
+NEGYIKKLLQLFQACENLENTEGLHHLYEIIRGILFLNKATLFEVMFSDECIMDVVGCLE
+YDPALAQPKRHREFLTKTAKFKEVIPITDSELRQKIHQTYRVQYIQDIILPTPSVFEENF
+LSTLTSFIFFNKVEIVSMLQEDEKFLSEVFAQLTDEATDDDKRRELVNFFKEFCAFSQTL
+QPQNRDAFFKTL
+>Q6ZMV5_PF04802_168 <unknown description>
+NEAYIPKLLQLFHTCENLENTEGLHHLYEIIKGILFLNEACLFEIMFSDECIMDVVGCLE
+YDPALDQPKRHRDFLTNDAKFKEVIPITNSELRQKIHQTYRLQYIYDILLPVPSIFEDNF
+LSTLTTFIFSNKAEIVSMLQKDHKFLYEVFAQLKDETTHDDRRCELLFFFKELCSFSQAL
+QPQSKDALFETL
+>O43422_PF05485_5 <unknown description>
+CAAPNCTRKSTQSDLAFFRFPRDPARCQKWVENCRRADLEDKTPDQLNKHYRLCAKHFET
+SMICRTSPYRTVLRDNAIPTIF
+>O43422_PF14291_156 <unknown description>
+TLEEKENKEYLKSLFEILILMGKQNIPLDGHEADEIPEGLFTPDNFQALLECRINSGEEV
+LRKRFETTAVNTLFCSKTQQRQMLEICESCIREETLREVRDSHFFSIITDDVVDIAGEEH
+LPVLVRFVDESHNLREEFIGFLPYEADAEILAVKFHTMITEKWGLNMEYCRGQAYIVSSG
+>O43422_PF05699_636 <unknown description>
+DTLSAELHCWRIKWKHRGKDIELPSTIYEALHLPDIKFFPNVYALLKVLCILPVMKVENE
+RYENGRKRLKAYLRNTLTDQRSSNLALLNINFD
+>P04637_PF08563_6 <unknown description>
+SDPSVEPPLSQETFSDLWKLLPEN
+>P04637_PF18521_35 <unknown description>
+LPSQAMDDLMLSPDDIEQWFTEDPG
+>P04637_PF00870_100 <unknown description>
+QKTYQGSYGFRLGFLHSGTAKSVTCTYSPALNKMFCQLAKTCPVQLWVDSTPPPGTRVRA
+MAIYKQSQHMTEVVRRCPHHERCSDSDGLAPPQHLIRVEGNLRVEYLDDRNTFRHSVVVP
+YEPPEVGSDCTTIHYNYMCNSSCMGGMNRRPILTIITLEDSSGNLLGRNSFEVRVCACPG
+RDRRTEEEN
+>P04637_PF07710_319 <unknown description>
+KKKPLDGEYFTLQIRGRERFEMFRELNEALELKDAQAGK
+>Q92569_PF00017_65 <unknown description>
+WYWGDISREEVNDKLRDMPDGTFLVRDASTKMQGDYTLTLRKGGNNKLIKIYHRDGKYGF
+SDPLTFNSVVELINHY
+>Q92569_PF16454_163 <unknown description>
+YQQDQLVKEDNIDAVGKKLQEYHSQYQEKSKEYDRLYEEYTRTSQEIQMKRTAIEAFNET
+IKIFEEQCHTQEQHSKEYIERFRREGNEKEIERIMMNYDKLKSRLGEIHDSKMRLEQDLK
+NQALDNREIDKKMNSIKPDLIQLRKIRDQHLVWLNHKGVRQKRLNVWLG
+>Q92569_PF00017_358 <unknown description>
+WFVEDINRVQAEDLLYGKPDGAFLIRESSKKGCYACSVVADGEVKHCVIYSTARGYGFAE
+PYNLYSSLKELVLHY
+>P32322_PF03807_3 <unknown description>
+VGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDLATVSALRKMGVKLTPHNKETVQH
+SDVLFLAVKPHIIPFILDEIGADIEDRHIVVSCAAG
+>P32322_PF14748_164 <unknown description>
+EDLIDAVTGLSGSGPAYAFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLHSEQH
+PGQLKDNVSSPGGATIHALHVLESGGFRSLLINAVEASCIRTREL
+>Q96C36_PF03807_3 <unknown description>
+VGFIGAGQLAYALARGFTAAGILSAHKIIASSPEMNLPTVSALRKMGVNLTRSNKETVKH
+SDVLFLAVKPHIIPFILDEIGADVQARHIVVSCAAG
+>Q96C36_PF14748_164 <unknown description>
+EDLIDAVTGLSGSGPAYAFMALDALADGGVKMGLPRRLAIQLGAQALLGAAKMLLDSEQH
+PCQLKDNVCSPGGATIHALHFLESGGFRSLLINAVEASCIRTREL
+>Q53H96_PF03807_10 <unknown description>
+RVGFVGAGRMAGAIAQGLIRAGKVEAQHILASAPTDRNLCHFQALGCRTTHSNQEVLQSC
+LLVIFATKPHVLPAVLAEVAPVVTTEHILVSVAAG
+>Q53H96_PF14748_167 <unknown description>
+EAYVDIHTGLSGSGVAFVCAFSEALAEGAVKMGMPSSLAHRIAAQTLLGTAKMLLHEGQH
+PAQLRSDVCTPGGTTIYGLHALEQGGLRAATMSAVEAATCRAKEL
+>P54886_PF00696_71 <unknown description>
+KRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAVAFGKQRLRHE
+ILLSQSVRQALHSGQNQLKEMAIPVLEARACAAAGQSGLMALYEAMFTQYSICAAQILVT
+NLDFHDEQKRRNLNGTLHELLRMNIVPIVNTNDAVVPPAEPNSDLQGVNVISVKDNDSLA
+ARLAVEMKTDLLIVLSDVEGLFDSPPGSDDAKLIDIFYPGDQQSVTFGTKSRVGMGGMEA
+KVKAALWALQGGTSVVIAN
+>P54886_PF00171_363 <unknown description>
+VEQQGEMARSGGRMLATLEPEQRAEIIHHLADLLTDQRDEILLANKKDLEEAEGRLAAPL
+LKRLSLSTSKLNSLAIGLRQIAASSQDSVGRVLRRTRIAKNLELEQVTVPIGVLLVIFES
+RPDCLPQVAALAIASGNGLLLKGGKEAAHSNRILHLLTQEALSIHGVKEAVQLVNTREEV
+EDLCRLDKMIDLIIPRGSSQLVRDIQKAAKGIPVMGHSEGICHMYVDSEASVDKVTRLVR
+DSKCEYPAACNALETLLIHRDLL
+>Q06416_PF00157_143 <unknown description>
+QKELEQFAKLLKQKRITLGYTQADVGLILGVLFGKVFSQKTICRFEALQLSFKNMCKLRP
+LLQKWVEEAD
+>Q06416_PF00046_231 <unknown description>
+KRKRTSIENRVRGNLENLFLQCPKPTLQISHIAQQLGLEKDVVRVWFCNRRQKGKR
+>O14683_PF14936_10 <unknown description>
+MKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFTIREPLGLRVWQFVS
+AVLFSGIAIMALAFPDQLYDAVFDGAQVTSKTPIRLYGGALLSISLIMWNALYTAEKVII
+RWTLLTEACYFGVQFLVVTATLAETGLMSLGILLLLVSRLLFVVISIYYYYQVGRRPKK
+>Q9H3D4_PF00870_168 <unknown description>
+NTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRA
+MPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVL
+VPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICAC
+PGRDRKADED
+>Q9H3D4_PF07710_391 <unknown description>
+KKRRSPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQH
+>Q9H3D4_PF07647_541 <unknown description>
+PPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEHYSMDDLASLKIPEQFRHAIWKG
+ILDH
+>Q86YP4_PF16563_137 <unknown description>
+SPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKP
+>Q86YP4_PF00320_418 <unknown description>
+CAQCKTDFTCRWREEKSGAIMCENCMTTNQKKAL
+>Q8WXI9_PF16563_159 <unknown description>
+IEERQQLIKQLRDELRLEEARLVLLKKLRQSQLQKENVVQKT
+>Q8WXI9_PF00320_420 <unknown description>
+CAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKAL
+>O15350_PF00870_118 <unknown description>
+NTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPPPPGTAIRA
+MPVYKKAEHVTDVVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLSQYVDDPVTGRQSVV
+VPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILIIITLEMRDGQVLGRRSFEGRICAC
+PGRDRKADEDH
+>O15350_PF07710_345 <unknown description>
+KKRRHGDEDTYYLQVRGRENFEILMKLKESLELMELVPQ
+>O15350_PF07647_485 <unknown description>
+PPYHADPSLVSFLTGLGCPNCIEYFTSQGLQSIYHLQNLTIEDLGALKIPEQYRMTIWRG
+LQDLK
+>P27986_PF00620_129 <unknown description>
+PPLLIKLVEAIEKKGLECSTLYRTQSSSNLAELRQLLDCDTPSVDLEMIDVHVLADAFKR
+YLLDLPNPVIPAAVYSEMISLAPEVQSSEEYIQLLKKLIRSPSIPHQYWLTLQYLLKHFF
+KLSQTSSKNLLNARVLSEIFSPMLFRFS
+>P27986_PF00017_333 <unknown description>
+WYWGDISREEVNEKLRDTADGTFLVRDASTKMHGDYTLTLRKGGNNKLIKIFHRDGKYGF
+SDPLTFSSVVELINHY
+>P27986_PF16454_431 <unknown description>
+YQQDQVVKEDNIEAVGKKLHEYNTQFQEKSREYDRLYEEYTRTSQEIQMKRTAIEAFNET
+IKIFEEQCQTQERYSKEYIEKFKREGNEKEIQRIMHNYDKLKSRISEIIDSRRRLEEDLK
+KQAAEYREIDKRMNSIKPDLIQLRKTRDQYLMWLTQKGVRQKKLNEWLG
+>P27986_PF00017_624 <unknown description>
+WNVGSSNRNKAENLLRGKRDGTFLVRESSKQGCYACSVVVDGEVKHCVINKTATGYGFAE
+PYNLYSSLKELVLHY
+>O00459_PF00620_125 <unknown description>
+PPLLVKLVEAIERTGLDSESHYRPELPAPRTDWSLSDVDQWDTAALADGIKSFLLALPAP
+LVTPEASAEARRALREAAGPVGPALEPPTLPLHRALTLRFLLQHLGRVASRAPALGPAVR
+ALGATFGPLLLRAP
+>O00459_PF00017_330 <unknown description>
+WYWGDISREEVNEKLRDTPDGTFLVRDASSKIQGEYTLTLRKGGNNKLIKVFHRDGHYGF
+SEPLTFCSVVDLINHY
+>O00459_PF16454_428 <unknown description>
+YQQDQIVKEDSVEAVGAQLKVYHQQYQDKSREYDQLYEEYTRTSQELQMKRTAIEAFNET
+IKIFEEQGQTQEKCSKEYLERFRREGNEKEMQRILLNSERLKSRIAEIHESRTKLEQQLR
+AQASDNREIDKRMNSLKPDLMQLRKIRDQYLVWLTQKGARQKKINEWLG
+>O00459_PF00017_622 <unknown description>
+WYVGKINRTQAEEMLSGKRDGTFLIRESSQRGCYACSVVVDGDTKHCVIYRTATGFGFAE
+PYNLYGSLKELVLHY
+>P68402_PF13472_44 <unknown description>
+FVGDSMVQLMQQYEIWRELFSPLHALNFGIGGDTTRHVLWRLKNGELENIKPKVIVVWVG
+TNNHENTAEEVAGGIEAIVQLINTRQPQAKIIVLGLLPRGEKPNPLRQKNAKVNQLLKVS
+LPKLANVQLLDTDGGFVHSDGAISCHDMFDFLHLTGGGYA
+>Q15102_PF13472_43 <unknown description>
+FIGDSLVQLMHQCEIWRELFSPLHALNFGIGGDGTQHVLWRLENGELEHIRPKIVVVWVG
+TNNHGHTAEQVTGGIKAIVQLVNERQPQARVVVLGLLPRGQHPNPLREKNRQVNELVRAA
+LAGHPRAHFLDADPGFVHSDGTISHHDMYDYLHLSRLGY
+>P04054_PF00068_24 <unknown description>
+VWQFRKMIKCVIPGSDPFLEYNNYGCYCGLGGSGTPVDELDKCCQTHDNCYDQAKKLDSC
+KFLLDNPYTHTYSYSCSGSAITCSSKNKECEAFICNCDRNAAICFSKAPYNKAHK
+>P47712_PF00168_19 <unknown description>
+KFTVVVLRATKVTKGAFGDMLDTPDPYVELFISTTPDSRKRTRHFNNDINPVWNETFEFI
+LDPNQENVLEITLMDANYVMDETLGTATFTVSSMKVGEKKE
+>P47712_PF01735_190 <unknown description>
+VAILGSGGGFRAMVGFSGVMKALYESGILDCATYVAGLSGSTWYMSTLYSHPDFPEKGPE
+EINEELMKNVSHNPLLLLTPQKVKRYVESLWKKKSSGQPVTFTDIFGMLIGETLIHNRMN
+TTLSSLKEKVNTAQCPLPLFTCLHVKPDVSELMFADWVEFSPYEIGMAKYGTFMAPDLFG
+SKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQSRGSTMEEELENITTKHI
+VSNDSSDSDDESHEPKGTENEDAGSDYQSDNQASWIHRMIMALVSDSALFNTREGRAGKV
+HNFMLGLNLNTSYPLSPLSDFATQDSFDDDELDAAVADPDEFERIYEPLDVKSKKIHVVD
+SGLTFNLPYPLILRPQRGVDLIISFDFSARPSDSSPPFKELLLAEKWAKMNKLPFPKIDP
+YVFDREGLKECYVFKPKNPDMEKDCPTIIHFVLANINFRKYRAPGVPRETEEEKEIADFD
+IFDDP
+>P0C869_PF00168_11 <unknown description>
+LLTVRVLQAHRLPSKDLVTPSDCYVTLWLPTACSHRLQTRTVKNSSSPVWNQSFHFRIHR
+QLKNVMELKVFDQDLVTGDDPVLSVLFDAGTLRAGEFRRESF
+>P0C869_PF18695_151 <unknown description>
+CLHVQLEETGDQKSSEHRVQLVVPGSCEGPQEASVGTGTFRFHCPACWEQELSIRLQDAP
+EEQLKAPLSALPSGQVVRLVFPTSQEPLMRV
+>P0C869_PF01735_297 <unknown description>
+VAIMATGGGIRAMTSLYGQLAGLKELGLLDCVSYITGASGSTWALANLYEDPEWSQKDLA
+GPTELLKTQVTKNKLGVLAPSQLQRYRQELAERARLGYPSCFTNLWALINEALLHDEPHD
+HKLSDQREALSHGQNPLPIYCALNTKGQSLTTFEFGEWCEFSPYEVGFPKYGAFIPSELF
+GSEFFMGQLMKRLPESRICFLEGIWSN
+>Q9UP65_PF01735_44 <unknown description>
+VAVLGSGGGLRAHIACLGVLSEMKEQGLLDAVTYLAGVSGSTWAISSLYTNDGDMEALEA
+DLKHRFTRQEWDLAKSLQKTIQAARSENYSLTDFWAYMVISKQTRELPESHLSNMKKPVE
+EGTLPYPIFAAIDNDLQPSWQEARAPETWFEFTPHHAGFSALGAFVSITHFGSKFKKGRL
+VRTHPERDLTFLRGLWGSA
+>Q86XP0_PF00168_22 <unknown description>
+WQLTVRVLEARNLRWADLLSEADPYVILQLSTAPGMKFKTKTLTDTSHPVWNEAFRFLIQ
+SQVKNVLELSIYDEDSVTEDDICFKVLYDISEVLPGKLLRKTF
+>Q86XP0_PF18695_163 <unknown description>
+CLDVHLDSTGSTAVVADQDKLELELVLKGSYEDTQTSFLGTASAFRFHYMAALETELSGR
+LRSSRSNGWNGDNSAGYLTVPLRPLTIGKEVTMDVPAPNAPGVRL
+>Q86XP0_PF01735_323 <unknown description>
+VGIMATGGGARAMTSLYGHLLALQKLGLLDCVTYFSGISGSTWTMAHLYGDPEWSQRDLE
+GPIRYAREHLAKSKLEVFSPERLASYRRELELRAEQGHPTTFVDLWALVLESMLHGQVMD
+QKLSGQRAALERGQNPLPLYLSLNVKENNLETLDFKEWVEFSPYEVGFLKYGAFVPPELF
+GSEFFMGRLMRRI
+>Q68DD2_PF00168_45 <unknown description>
+DLQVKVLRATNIRGTDLLSKADCYVQLWLPTASPSPAQTRIVANCSDPEWNETFHYQIHG
+AVKNVLELTLYDKDILGSDQLSLLLFDLRSLKCGQPHKHTFPL
+>Q68DD2_PF18695_182 <unknown description>
+CLRIQGTLRGDGTAPREEYGSRQLQLAVPGAYEKPQLLPLQPPTEPGLPPTFTFHVNPVL
+SSRLHVELMELLAAVQSGPSAELEAQTSKLGEGGILLSSLPLGQEEQCSVALGEGQEVAL
+SMK
+>Q68DD2_PF01735_357 <unknown description>
+VAVLGSGGGTRAMSSLYGSLAGLQELGLLDTVTYLSGVSGSTWCISTLYRDPAWSQVALQ
+GPIERAQVHVCSSKMGALSTERLQYYTQELGVRERSGHSVSLIDLWGLLVEYLLYQEENP
+AKLSDQQEAVRQGQNPYPIYTSVNVRTNLSGEDFAEWCEFTPYEVGFPKYGAYVPTELFG
+SELFMGRLLQLQPEPRICYLQGMWGSAFATSLDEIFLKT
+>Q9NZ20_PF05826_153 <unknown description>
+MPGTLWCGVGDSAGNSSELGVFQGPDLCCREHDRCPQNISPLQYNYGIRNYRFHTISHCD
+CDTRFQQCLQNQHDSISDIVGVAFFNVLEIPCFVL
+>Q9UQ80_PF00557_21 <unknown description>
+YKMGGDIANRVLRSLVEASSSGVSVLSLCEKGDAMIMEETGKIFKKEKEMKKGIAFPTSI
+SVNNCVCHFSPLKSDQDYILKEGDLVKIDLGVHVDGFIANVAHTFVVDVAQGTQVTGRKA
+DVIKAAHLCAEAALRLVKPGNQNTQVTEAWNKVAHSFNCTPIEGMLSHQLKQHVIDGEKT
+IIQNPTDQQKKDHEKAEFEVHEVYAVDV
+>P39877_PF00068_22 <unknown description>
+LLDLKSMIEKVTGKNALTNYGFYGCYCGWGGRGTPKDGTDWCCWAHDHCYGRLEEKGCNI
+RTQSYKYRFAWGVVTCEPGPFCHVNLCACDRKLVYCLKRNLRSYNPQY
+>P14555_PF00068_22 <unknown description>
+LVNFHRMIKLTTGKEAALSYGFYGCHCGVGGRGSPKDATDRCCVTHDCCYKRLEKRGCGT
+KFLSYKFSNSGSRITCAKQDSCRSQLCECDKAAATCFARNKTTYNKKYQ
+>Q5R387_PF00068_20 <unknown description>
+FWQFQRRVKHITGRSAFFSYYGYGCYCGLGDKGIPVDDTDRHSPSSPSPYEKLKEFSCQP
+VLNSYQFHIVNGAVVCGCTLGPGASCHCRLKACECDKQSVHCFKESLPTYEKNFK
+>Q9UNK4_PF00068_23 <unknown description>
+LNLNKMVKQVTGKMPILSYWPYGCHCGLGGRGQPKDATDWCCQTHDCCYDHLKTQGCSIY
+KDYYRYNFSQGNIHCSDKGSWCEQQLCACDKEVAFCLKRNLDTYQKR
+>Q9NZK7_PF00068_21 <unknown description>
+LVQFGVMIEKMTGKSALQYNDYGCYCGIGGSHWPVDQTDWCCHAHDCCYGRLEKLGCEPK
+LEKYLFSVSERGIFCAGRTTCQRLTCECDKRAALCFRRNLGTYNRKY
+>Q9BZM2_PF00068_65 <unknown description>
+LLNLKAMVEAVTGRSAILSFVGYGCYCGLGGRGQPKDEVDWCCHAHDCCYQELFDQGCHP
+YVDHYDHTIENNTEIVCSDLNKTECDKQTCMCDKNMVLCLMNQTYREEY
+>O15496_PF00068_46 <unknown description>
+ELAGTVGCVGPRTPIAYMKYGCFCGLGGHGQPRDAIDWCCHGHDCCYTRAEEAGCSPKTE
+RYSWQCVNQSVLCGPAENKCQELLCKCDQEIANCLAQTEYNLKY
+>Q9BRP4_PF00400_90 <unknown description>
+IHTKSITCLDISSRGGLGVSSSTDGTMKIW
+>Q9BRP4_PF00400_125 <unknown description>
+ELRRVLEGHVFDVNCCRFFPSGLVVLSGGMDAQLKIWS
+>Q9BRP4_PF00400_167 <unknown description>
+SCVVTFKGHKGGILDTAIVDRGRNVVSASRDGTARLWD
+>Q9H8K7_PF14958_59 <unknown description>
+VILKRNHNNKDENPCFLYLRCGPDGGEEIASIGILSSARNMEVYLGEEYCGTSRGKNVCT
+VLDDSEHEKIILYKKNLKLESSTHACKIKLLSFGERQCVFISKVVVHMRSVFANSSTSSP
+ALGSRIDLDKVQTIMESMGS
+>P0CB38_PF00076_12 <unknown description>
+LYVGDLHADVTEDLLFRKFSTVGPVLSIRICRDQVTRRSLGYAYVNFLQLADAQKALDTM
+NFDIIKGKSIR
+>P0CB38_PF00076_100 <unknown description>
+VFIKNLDKSIDNKTLYEHFSAFGKILSSKVMSDDQGSKGYAFVHFQNQSAADRAIEEMNG
+KLLKGCK
+>P0CB38_PF00076_192 <unknown description>
+VYIKNFGGDMDDERLKDVFSKYGKTLSVKVMTDSSGKSKGFGFVSFDSHEAAKKAVEEMN
+GRDINGQL
+>P0CB38_PF00076_295 <unknown description>
+LYIKNLDDTIDDEKLRNEFSSFGSISRVKVMQEEGQSKGFGLICFSSPEDATKAMTEMNG
+RILGSKPL
+>P11940_PF00076_13 <unknown description>
+LYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDMITRRSLGYAYVNFQQPADAERALDTM
+NFDVIKGKPV
+>P11940_PF00076_101 <unknown description>
+IFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYGFVHFETQEAAERAIEKMNG
+MLLNDRKV
+>P11940_PF00076_193 <unknown description>
+VYIKNFGEDMDDERLKDLFGKFGPALSVKVMTDESGKSKGFGFVSFERHEDAQKAVDEMN
+GKELNGKQI
+>P11940_PF00076_296 <unknown description>
+LYVKNLDDGIDDERLRKEFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNG
+RIVATKPL
+>P11940_PF00658_549 <unknown description>
+MLASAPPQEQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLHMLESPESLRSKVD
+EAVAVL
+>Q86U42_PF00076_174 <unknown description>
+IYVGNVDYGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALD
+ESLFRGRQIK
+>Q9H361_PF00076_13 <unknown description>
+LYVGDLHPDVTEAMLYEKFSPAGPILSIRICRDLITSGSSNYAYVNFQHTKDAEHALDTM
+NFDVIKGKPV
+>Q9H361_PF00076_101 <unknown description>
+IFVKNLDKSINNKALYDTVSAFGNILSCNVVCDENGSKGYGFVHFETHEAAERAIKKMNG
+MLLNGRKV
+>Q9H361_PF00076_193 <unknown description>
+VYIKNFGEDMDDERLKDLFGKFGPALSVKVMTDESGKSKGFGFVSFERHEDAQKAVDEMN
+GKELNGKQI
+>Q9H361_PF00076_296 <unknown description>
+LYVKNLDDGIDDERLRKAFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNG
+RIVATKPL
+>Q9H361_PF00658_545 <unknown description>
+LASAPPQKQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLYMLESPESLRSKVDE
+AVAVL
+>Q13310_PF00076_13 <unknown description>
+LYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQQPADAERALDTM
+NFDVIKGKPIR
+>Q13310_PF00076_101 <unknown description>
+VFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNG
+MLLNDRKV
+>Q13310_PF00076_193 <unknown description>
+VYIKNFGEEVDDESLKELFSQFGKTLSVKVMRDPNGKSKGFGFVSYEKHEDANKAVEEMN
+GKEISGK
+>Q13310_PF00076_296 <unknown description>
+LYIKNLDDTIDDEKLRKEFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNG
+RIVGSKPL
+>Q13310_PF00658_574 <unknown description>
+MLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLRSKVD
+EAVAVL
+>Q96DU9_PF00076_20 <unknown description>
+LYVGDLDPDVTEDMLYKKFRPAGPLRFTRICRDPVTRSPLGYGYVNFRFPADAEWALNTM
+NFDLINGKP
+>Q96DU9_PF00076_108 <unknown description>
+IFIKNLDKSIDNRALFYLFSAFGNILSCKVVCDDNGSKGYAYVHFDSLAAANRAIWHMNG
+VRLNNRQV
+>Q96DU9_PF00076_201 <unknown description>
+VFVKNIGDDIDDEKLKELFCEYGPTESVKVIRDASGKSKGFGFVRYETHEAAQKAVLDLH
+GKSIDGKVL
+>Q96DU9_PF00076_304 <unknown description>
+IYIKNLDETINDEKLKEEFSSFGSISRAKVMMEVGQGKGFGVVCFSSFEEATKAVDEMNG
+RIVGSKPLH
+>P18509_PF00123_84 <unknown description>
+AHGILNEAYRKVLDQLSAGKHLQSLVA
+>P18509_PF00123_132 <unknown description>
+HSDGIFTDSYSRYRKQMAVKKYLAA
+>Q9H813_PF15122_55 <unknown description>
+IRFSKACLKNVFSVLLIFIYLLLMAVAVFLVYRTITDFREKLKHPVMSVSYKEVDRYDAP
+GIALYPGQAQLLSCKHHYEVIPPLTSPGQPGDMNCTTQRINYTDPFSNQTVKSALIVQGP
+REVKKRELVFLQFRLNKSSEDFSAIDYLLFSSFQEFLQSPNRVGFMQACESAYSSWKFSG
+GFRTWVKMSLVKTKEEDGREAVEFRQETSVVNYIDQRPAAKKSAQLFFVVFEWKDPFIQK
+VQDIVTANPWNTIALLCGAFLALFKAAEFAKLSIKWMIKIRKRYLKRRGQATSHI
+>Q9H714_PF13901_450 <unknown description>
+RYCEYLGKYFCDCCHSYAESCIPARILMMWDFKKYYVSNFSKQLLDSIWHQPIFNLLSIG
+QSLYAKAKELDRVKEIQEQLFHIKKLLKTCRFANSALKEFEQVPGHLTDELHLFSLEDLV
+RIKKGLLAPLLKDILKASLAHVAGCELCQGKGFICEFCQNTTVIFPFQTATCRRCSACRA
+CFHKQCFQSSECPRCARIT
+>Q9BY11_PF00611_25 <unknown description>
+YKRTVKRIDDGHRLCNDLMNCVQERAKIEKAYGQQLTDWAKRWRQLIEKGPQYGSLERAW
+GAIMTEADKVSELHQ
+>Q9BY11_PF14604_392 <unknown description>
+ALYDYDGQEQDELSFKAGDELTKLGEEDEQGWCRGRLDSGQLGLYPANYVE
+>Q9UNF0_PF00611_24 <unknown description>
+YKRTVKRIDDGHRLCSDLMNCLHERARIEKAYAQQLTEWARRWRQLVEKGPQYGTVEKAW
+MAFMSEAERVSELHL
+>Q9UNF0_PF00018_432 <unknown description>
+RALYDYEGQEHDELSFKAGDELTKMEDEDEQGWCKGRLDNGQVGLYPA
+>Q9UKS6_PF00611_22 <unknown description>
+YRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADWARKWRGTVEKGPQYGTLEKAW
+HAFFTAAERLSALHL
+>Q9UKS6_PF14604_370 <unknown description>
+ALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVE
+>Q96M98_PF10274_70 <unknown description>
+TAFRKFYERGDFPIALEHDSKGNKIAWKVEIEKLDYHHYLPLFFDGLCEMTFPYEFFARQ
+GIHDMLEHGGNKILPVLPQLIIPIKNALNLRNRQVICVTLKVLQHLVVSAEMVGKALVPY
+YRQILPVLNIFKNMNVNSGDGIDYSQQKRENIGDLIQETLEAFERYGGENAFINIKYVVP
+TYES
+>Q8N7B6_PF10274_79 <unknown description>
+AFAAIYSKGGIPCRLVHGSVKHRLQWECPPESLSFDPLLITLAEGLRETKHPYTFVSKEG
+FRELLLVKGAPEKAIPLLPRLIPVLKAALVHSDDEVFERGLNALVQLSVVVGPSLNDHLK
+HLLTSLSKRLMDKKFKEPITSALQKLEQHGGSGSLSIIKSKIPTYCS
+>P41586_PF02793_52 <unknown description>
+PGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQVWETETIGESDFGDSNSLDLSDM
+GVVSRNCTEDGWSEPFPHYFDACGFD
+>P41586_PF00002_151 <unknown description>
+LSVKALYTVGYSTSLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWIL
+YAEQDSNHCFISTVECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYT
+IIGWGTPTVCVTVWATLRLYFDDTGCWDMNDSTALWWVIKGPVVGSIMVNFVLFIGIIVI
+LVQKLQSPDMGGNESSIYLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGS
+FQGFVV
+>Q6VY07_PF10254_548 <unknown description>
+VYDQLNQILVSDAALPENVILVNTTDWQGQYVAELLQDQRKPVVCTCSTVEVQAVLSALL
+TRIQRYCNCNSSMPRPVKVAAVGGQSYLSSILRFFVKSLANKTSDWLGYMRFLIIPLGSH
+PVAKYLGSVDSKYSSSFLDSGWRDLFSRSEPPVSEQLDVAGRVMQYVNGAATTHQLPVAE
+AMLTCRHKFPDEDSYQKFIPFIGVVKVGLVEDSPSTAGDGDDSPVVSLTVPSTSPPSSSG
+LSRDATATPPSSPSMSSALAIVGSPNSPYGDVIGLQVDYWLGHPGERRREGDKRDASSKN
+TLKSVFRSVQVSRLPHSGEAQLSGTMAMTVVTKEKNKKVPTIFLSKKPREKEVDSKSQVI
+EGISRLICSAKQQQTMLRVSIDGVEWSDIKFFQLAAQWPTHVKHFPVGLFS
+>Q86VP3_PF10254_477 <unknown description>
+VYDQLNHILISDDQLPENIILVNTSDWQGQFLSDVLQRHTLPVVCTCSPADVQAAFSTIV
+SRIQRYCNCNSQPPTPVKIAVAGAQHYLSAILRLFVEQLSHKTPDWLGYMRFLVIPLGSH
+PVARYLGSVDYRYNNFFQDLAWRDLFNKLEAQSAVQDTPDIVSRITQYIAGANCAHQLPI
+AEAMLTYKQKRKKHFHFDFTLSPDEESSQKFIPFVGVVKVGIVEPSSATSGDSDDAAPSG
+SGTLSSTPPSASPAAKEASPTPPSSPSVSGGLSSPSQGVGAELMGLQVDYWTAAQPADRK
+RDAEKKDLPVTKNTLKCTFRSLQVSRLPSSGEAAATPTMSMTVVTKEKNKKVMFLPKKAK
+DKDVESKSQCIEGISRLICTARQQQNMLRVLIDGVECSDVKFFQLAAQWSSHVKHFPICI
+FGHS
+>Q9BSG0_PF02225_65 <unknown description>
+LVPAEPPEACGELSNGFFIQDQIALVERGGCSFLSKTRVVQEHGGRAVIISDNAVDNDSF
+YVEMIQDSTQRTADIPALFLLGRD
+>Q9ULC6_PF08526_1 <unknown description>
+MAPKRVVQLSLKMPTHAVCVVGVEAHVDIHSDVPKGANSFRVSGSSGVEVFMVYNRTRVK
+EPIGKARWPLDTDADMVVSVGTASKELKDFKVRVSYFGEQEDQALGRSVLYLT
+>Q9ULC6_PF08527_115 <unknown description>
+VDISLEVDTGRTGKVKRSQGDKKTWRWGPEGYGAILLVNCDRDNHRSAEPDLTHSWLMSL
+ADLQDMSPMLLSCNGPDKLFDSHKLVLNVPFSDSKRVRVFCARGGNSLSDYKQVLGPQCL
+SYEVERQPGEQEIKFYVEGLTFPDADFLGLVSLSVSLVD
+>Q9ULC6_PF03068_282 <unknown description>
+LFTDTVGFRMAPWIMTPNTQPPEELYVCRVMDTHGSNEKFLEDMSYLTLKANCKLTICPQ
+VENRNDRWIQDEMEFGYIEAPHKSFPVVFDSPRNRGLKDFPYKRILGPDFGYVTREIPLP
+GPSSLDSFGNLDVSPPVTVGGTEYPLGRILIGSSFPKSGGRQMARAVRNFLKAQQVQAPV
+ELYSDWLSVGHVDEFLTFVPTSDQKGFRLLLASPSACLKLFQEKKEEGYGEAAQFDGLKH
+QAKRSINEMLADRHLQRDNLHAQKCIDWNRNVLKRELGLAESDIVDIPQLFFLKNFYAEA
+FFPDMVNMVVLGKYLGIPKPYGPIINGRCCLEEKVQSLLEPLGLHCIFIDDYLSYHELQG
+EIHCGTNVRRKPFPFKWWN
+>Q9Y2J8_PF08526_1 <unknown description>
+MLRERTVRLQYGSRVEAVYVLGTYLWTDVYSAAPAGAQTFSLKHSEHVWVEVVRDGEAEE
+VATNGKQRWLLSPSTTLRVTMSQASTEASSDKVTVNYYDEEGSIPIDQAGLFLT
+>Q9Y2J8_PF08527_116 <unknown description>
+IEISLDVDADRDGVVEKNNPKKASWTWGPEGQGAILLVNCDRETPWLPKEDCRDEKVYSK
+EDLKDMSQMILRTKGPDRLPAGYEIVLYISMSDSDKVGVFYVENPFFGQRYIHILGRRKL
+YHVVKYTGGSAELLFFVEGLCFPDEGFSGLVSIHVSLLE
+>Q9Y2J8_PF03068_285 <unknown description>
+IFTDTVIFRIAPWIMTPNILPPVSVFVCCMKDNYLFLKEVKNLVEKTNCELKVCFQYLNR
+GDRWIQDEIEFGYIEAPHKGFPVVLDSPRDGNLKDFPVKELLGPDFGYVTREPLFESVTS
+LDSFGNLEVSPPVTVNGKTYPLGRILIGSSFPLSGGRRMTKVVRDFLKAQQVQAPVELYS
+DWLTVGHVDEFMSFVPIPGTKKFLLLMASTSACYKLFREKQKDGHGEAIMFKGLGGMSSK
+RITINKILSNESLVQENLYFQRCLDWNRDILKKELGLTEQDIIDLPALFKMDEDHRARAF
+FPNMVNMIVLDKDLGIPKPFGPQVEEECCLEMHVRGLLEPLGLECTFIDDISAYHKFLGE
+VHCGTNVRRKPFTFKWWH
+>Q9ULW8_PF08526_1 <unknown description>
+MSLQRIVRVSLEHPTSAVCVAGVETLVDIYGSVPEGTEMFEVYGTPGVDIYISPNMERGR
+ERADTRRWRFDATLEIIVVMNSPSNDLNDSHVQISYHSSHEPLPLAYAVLYLT
+>Q9ULW8_PF08527_115 <unknown description>
+VDISLDCDLNCEGRQDRNFVDKRQWVWGPSGYGGILLVNCDRDDPSCDVQDNCDQHVHCL
+QDLEDMSVMVLRTQGPAALFDDHKLVLHTSSYDAKRAQVFHICGPEDVCEAYRHVLGQDK
+VSYEVPRLHGDEERFFVEGLSFPDAGFTGLISFHVTLLD
+>Q9ULW8_PF03068_284 <unknown description>
+IFTDTVVFRVAPWIMTPSTLPPLEVYVCRVRNNTCFVDAVAELARKAGCKLTICPQAENR
+NDRWIQDEMELGYVQAPHKTLPVVFDSPRNGELQDFPYKRILGPDFGYVTREPRDRSVSG
+LDSFGNLEVSPPVVANGKEYPLGRILIGGNLPGSSGRRVTQVVRDFLHAQKVQPPVELFV
+DWLAVGHVDEFLSFVPAPDGKGFRMLLASPGACFKLFQEKQKCGHGRALLFQGVVDDEQV
+KTISINQVLSNKDLINYNKFVQSCIDWNREVLKRELGLAECDIIDIPQLFKTERKKATAF
+FPDLVNMLVLGKHLGIPKPFGPIINGCCCLEEKVRSLLEPLGLHCTFIDDFTPYHMLHGE
+VHCGTNVCRKPFSFKWWN
+>Q9UM07_PF08526_1 <unknown description>
+MAQGTLIRVTPEQPTHAVCVLGTLTQLDICSSAPEDCTSFSINASPGVVVDIAHGPPAKK
+KSTGSSTWPLDPGVEVTLTMKVASGSTGDQKVQISYYGPKTPPVKALLYLT
+>Q9UM07_PF08527_113 <unknown description>
+VEISLCADITRTGKVKPTRAVKDQRTWTWGPCGQGAILLVNCDRDNLESSAMDCEDDEVL
+DSEDLQDMSLMTLSTKTPKDFFTNHTLVLHVARSEMDKVRVFQATRGKLSSKCSVVLGPK
+WPSHYLMVPGGKHNMDFYVEALAFPDTDFPGLITLTISLLD
+>Q9UM07_PF03068_284 <unknown description>
+VFQDSVVFRVAPWIMTPNTQPPQEVYACSIFENEDFLKSVTTLAMKAKCKLTICPEEENM
+DDQWMQDEMEIGYIQAPHKTLPVVFDSPRNRGLKEFPIKRVMGPDFGYVTRGPQTGGISG
+LDSFGNLEVSPPVTVRGKEYPLGRILFGDSCYPSNDSRQMHQALQDFLSAQQVQAPVKLY
+SDWLSVGHVDEFLSFVPAPDRKGFRLLLASPRSCYKLFQEQQNEGHGEALLFEGIKKKKQ
+QKIKNILSNKTLREHNSFVERCIDWNRELLKRELGLAESDIIDIPQLFKLKEFSKAEAFF
+PNMVNMLVLGKHLGIPKPFGPVINGRCCLEEKVCSLLEPLGLQCTFINDFFTYHIRHGEV
+HCGTNVRRKPFSFKWWN
+>Q6TGC4_PF08526_9 <unknown description>
+MSFQSIIHLSLDSPVHAVCVLGTEICLDLSGCAPQKCQCFTIHGSGRVLIDVANTVISEK
+EDATIWWPLSDPTYATVKMTSPSPSVDADKVSVTYYGPNEDAPVGTAVLYLT
+>Q6TGC4_PF08527_122 <unknown description>
+IEVSLEVDIYRNGQVEMSSDKQAKKKWIWGPSGWGAILLVNCNPADVGQQLEDKKTKKVI
+FSEEITNLSQMTLNVQGPSCILKKYRLVLHTSKEESKKARVYWPQKDNSSTFELVLGPDQ
+HAYTLALLGNHLKETFYVEAIAFPSAEFSGLISYSVSLVE
+>Q6TGC4_PF03068_293 <unknown description>
+LYKDTVVFRVAPCVFIPCTQVPLEVYLCRELQLQGFVDTVTKLSEKSNSQVASVYEDPNR
+LGRWLQDEMAFCYTQAPHKTTSLILDTPQAADLDEFPMKYSLSPGIGYMIQDTEDHKVAS
+MDSIGNLMVSPPVKVQGKEYPLGRVLIGSSFYPSAEGRAMSKTLRDFLYAQQVQAPVELY
+SDWLMTGHVDEFMCFIPTDDKNEGKKGFLLLLASPSACYKLFREKQKEGYGDALLFDELR
+ADQLLSNGREAKTIDQLLADESLKKQNEYVEKCIHLNRDILKTELGLVEQDIIEIPQLFC
+LEKLTNIPSDQQPKRSFARPYFPDLLRMIVMGKNLGIPKPFGPQIKGTCCLEEKICCLLE
+PLGFKCTFINDFDCYLTEVGDICACANIRRVPFAFKWWK
+>P09466_PF00061_34 <unknown description>
+AGTWHSMAMATNNISLMATLKAPLRVHITSLLPTPEDNLEIVLHRWENNSCVEKKVLGEK
+TENPKKFKINYTVANEATLLDTDYDNFLFLCLQDTTTPIQSMMCQYLARVLVEDDEIMQG
+FIRAFRPLPRHLWYLLDLKQM
+>Q15004_PF15715_1 <unknown description>
+MVRTKADSVPGTYRKVVAARAPRKVLGSSTSATNSTSVSSRKAENKYAGGNPVCVRPTPK
+WQKGIGEFFRLSPKDSEKENQIPEEAGSSGLGKAKRKACPLQPDHTNDEK
+>Q8N7H5_PF03985_30 <unknown description>
+VCRVKYCNSLPDIPFDPKFITYPFDQNRFVQYKATSLEKQHKHDLLTEPDLGVTIDLINP
+DTYRIDPNVLLDPADEKLLEEEIQAPTSSKRSQQHAKVVPWMRKTEYISTEFNRYGISNE
+KPEVKIGVSVKQQFTEEEIYKDRDSQITAIEKTFEDAQKSISQHYSKPRVTPVEVMPVFP
+DFKMWINPCAQVIFDSDPAPKDTSGAAALEMMSQAMIRGMMDEEGNQFVAYFLPVEETLK
+KRKRDQEEEMDYAPDDVYDYKIAREYNWNVKNKASKGYEENYFFIFREGDGVYYNELETR
+VRLSKRRAKAGVQSGTNALLVVKHRDMNEKELEAQEARKAQLENHEPEEEEEEEMETEEK
+EAGGSDEEQEKGSSSEKEGSEDEHSGSESEREEGDRDEA
+>Q99487_PF03403_1 <unknown description>
+MGVNQSVGFPPVTGPHLVGCGDVMEGQNLQGSFFRLFYPCQKAEETMEQPLWIPRYEYCT
+GLAEYLQFNKRCGGLLFNLAVGSCRLPVSWNGPFKTKDSGYPLIIFSHGLGAFRTLYSAF
+CMELASRGFVVAVPEHRDRSAATTYFCKQAPEENQPTNESLQEEWIPFRRVEEGEKEFHV
+RNPQVHQRVSECLRVLKILQEVTAGQTVFNILPGGLDLMTLKGNIDMSRVAVMGHSFGGA
+TAILALAKETQFRCAVALDAWMFPLERDFYPKARGPVFFINTEKFQTMESVNLMKKICAQ
+HEQSRIITVLGSVHRSQTDFAFVTGNLIGKFFSTETRGSLDPYEGQEVMVRAMLAFLQKH
+LDLKEDYNQWNNLIEGIGPSL
+>Q13093_PF03403_49 <unknown description>
+ASFGQTKIPRGNGPYSVGCTDLMFDHTNKGTFLRLYYPSQDNDRLDTLWIPNKEYFWGLS
+KFLGTHWLMGNILRLLFGSMTTPANWNSPLRPGEKYPLVVFSHGLGAFRTLYSAIGIDLA
+SHGFIVAAVEHRDRSASATYYFKDQSAAEIGDKSWLYLRTLKQEEETHIRNEQVRQRAKE
+CSQALSLILDIDHGKPVKNALDLKFDMEQLKDSIDREKIAVIGHSFGGATVIQTLSEDQR
+FRCGIALDAWMFPLGDEVYSRIPQPLFFINSEYFQYPANIIKMKKCYSPDKERKMITIRG
+SVHQNFADFTFATGKIIGHMLKLKGDIDSNVAIDLSNKASLAFLQKHLGLHKDFDQWDCL
+IEGDDENL
+>Q8NCC3_PF02450_72 <unknown description>
+YFTIWLNLELLLPVIIDCWIDNIRLVYNKTSRATQFPDGVDVRVPGFGKTFSLEFLDPSK
+SSVGSYFHTMVESLVGWGYTRGEDVRGAPYDWRRAPNENGPYFLALREMIEEMYQLYGGP
+VVLVAHSMGNMYTLYFLQRQPQAWKDKYIRAFVSLGAPWGGVAKTLRVLASGDNNRIPVI
+GPLKIREQQRSAVSTSWLLPYNYTWSPEKVFVQTPTINYTLRDYRKFFQDIGF
+>Q8NCC3_PF02450_318 <unknown description>
+VEATMPPGVQLHCLYGTGVPTPDSFYYESFPDRDPKICFGDGDGTVNLKSALQCQAWQSR
+QEHQVLLQELPGSEHIEMLA
+>O75459_PF05831_1 <unknown description>
+MGFLRRLIYRRRPMIYVESSEESSDEQPDEVESPTQSQDSTPAEEREDEGASAAQGQEPE
+ADSQELVQPKTGCELGDGPDTKRVCLRNEEQMKLPAEG
+>O75459_PF05831_96 <unknown description>
+AEGPEPEADSQEQVHPKTGCERGDGPDVQELGLPNPEEVKTPEE
+>Q7Z2X7_PF05831_1 <unknown description>
+MSELLRARSQSSERGNDQESSQPVGSVIVQEPTEEKRQEEEPPTDNQGIAPSGEIENQAV
+PAFQGPDMEAFQQELALLKIEDEPGDGPDVREGIMPTFDLTKVLEAG
+>Q5JUK9_PF05831_1 <unknown description>
+MSGHQRTRSRSRERRDDQDSNHPVGAVVAQELPSNDQLQQEEPPIESQDYTPGQERDEGA
+LDFQVLGLAAYLWELTRSKTGGERGDGPNVKGEFLPNLEPVKIPEAG
+>O60829_PF05831_1 <unknown description>
+MSARVRSRSRGRGDGQEAPDVVAFVAPGESQQEEPPTDNQDIEPGQEREGTPPIEERKVE
+GDCQEMDLEKTRSERGDGSDVKEKTPPNPKHAKTKEAG
+>Q96GU1_PF05831_1 <unknown description>
+MSEHVTRSQSSERGNDQESSQPVGPVIVQQPTEEKRQEEEPPTDNQGIAPSGEIKNEGAP
+AVQGTDVEAFQQELALLKIEDAPGDGPDVREGTLPTFDPTKVLEAG
+>Q9BTK6_PF15364_86 <unknown description>
+DWCVPCSDEEVELPADGQPWMPPPSEIQRLYELLAAHGTLELQAEILPRRPPTPEAQSEE
+ERSDEEPEAKEEEEEKPHMPTEFDFDDEPVTPKDSLIDRRRTPGSSARSQKREARLDKVL
+SDMKRHKKLEEQI
+>P01298_PF00159_31 <unknown description>
+PLEPVYPGDNATPEQMAQYAADLRRYINMLTRPRYG
+>O14832_PF05721_61 <unknown description>
+YEENGFLVIKNLVPDADIQRFRNEFEKICRKEVKPLGLTVMRDVTISKSEYAPSEKMITK
+VQDFQEDKELFRYCTLPEILKYVECFTGPNIMAMHTMLINKPPDSGKKTSRHPLHQDLHY
+FPFRPSDLIVCAWTAMEHISRNNGCLVVLPGTHKGSLKPHDYPKWEGGVNKMFHGIQDYE
+ENKARVHLVMEKGDTVFFHPLLIHGSGQNKTQGFRKA
+>P05121_PF00079_36 <unknown description>
+SDFGVRVFQQVAQASKDRNVVFSPYGVASVLAMLQLTTGGETQQQIQAAMGFKIDDKGMA
+PALRHLYKELMGPWNKDEISTTDAIFVQRDLKLVQGFMPHFFRLFRSTVKQVDFSEVERA
+RFIINDWVKTHTKGMISNLLGKGAVDQLTRLVLVNALYFNGQWKTPFPDSSTHRRLFHKS
+DGSTVSVPMMAQTNKFNYTEFTTPDGHYYDILELPYHGDTLSMFIAAPYEKEVPLSALTN
+ILSAQLISHWKGNMTRLPRLLVLPKFSLETEVDLRKPLENLGMTDMFRQFQADFTSLSDQ
+EPLHVAQALQKVKIEVNESGTVASSSTAVIVSARMAPEEIIMDRPFLFVVRHNPTGTVLF
+MGQVMEP
+>Q9ULR5_PF07145_106 <unknown description>
+SKSNLNPDAKEFIPG
+>P05120_PF00079_7 <unknown description>
+ANTLFALNLFKHLAKASPTQNLFLSPWSISSTMAMVYMGSRGSTEDQMAKVLQFNEVGAN
+AVTPMTPENFTSCGFMQQIQKGSYPDAILQAQAADKIHSSFRSLSSAINASTGNYLLESV
+NKLFGEKSASFREEYIRLCQKYYSSEPQAVDFLECAEEARKKINSWVKTQTKGKIPNLLP
+EGSVDGDTRMVLVNAVYFKGKWKTPFEKKLNGLYPFRVNSAQRTPVQMMYLREKLNIGYI
+EDLKAQILELPYAGDVSMFLLLPDEIADVSTGLELLESEITYDKLNKWTSKDKMAEDEVE
+VYIPQFKLEEHYELRSILRSMGMEDAFNKGRANFSGMSERNDLFLSEVFHQAMVDVNEEG
+TEAAAGTGGVMTGRTGHGGPQFVADHPFLFLIMHKITNCILFFGRFSSP
+>Q9H074_PF07145_124 <unknown description>
+LMSKLSVNAPEFYPSG
+>Q9H074_PF02854_160 <unknown description>
+EYVQDFLNHLTEQPGSFETEIEQFAETLNGCVTTDDALQELVELIYQQATSIPNFSYMGA
+RLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEVKDQAAKGDEVTRKRFHAFVLFLGELYL
+NLEIKGTNGQVTRADILQVGLRELLNALFSNPMDDNLICAVKLLKLTGSVLEDAWKEKGK
+MDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSN
+>Q9BPZ3_PF07145_108 <unknown description>
+KSNLNPNAKEFVPGVK
+>Q8NC51_PF16174_5 <unknown description>
+LQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQTNSN
+AAGKQLRKESQKDRKNPLPPSVGVVDKKEETQPPVALKKEGIRRVGRRPDQQLQGEGKII
+DRRPERRPPRERRFEKPLEEKGEGGEF
+>Q8NC51_PF04774_189 <unknown description>
+GKREFDRHSGSDRSSFSHYSGLKHEDKRGGSGSHNWGTVKDELTDLDQSNVTEETPEGEE
+HHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFNIRKPNE
+>Q13153_PF00786_74 <unknown description>
+EISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKNPQAVLDVLEFYN
+>Q13153_PF00069_270 <unknown description>
+YTRFEKIGQGASGTVYTAMDVATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVN
+YLDSYLVGDELWVVMEYLAGGSLTDVVTETCMDEGQIAAVCRECLQALEFLHSNQVIHRD
+IKSDNILLGMDGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWS
+LGIMAIEMIEGEPPYLNENPLRALYLIATNGTPELQNPEKLSAIFRDFLNRCLEMDVEKR
+GSAKELLQHQFL
+>Q13177_PF00786_73 <unknown description>
+EISPPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKLEQKKNPQAVLDVLKFY
+>Q13177_PF00069_249 <unknown description>
+YTRYEKIGQGASGTVFTATDVALGQEVAIKQINLQKQPKKELIINEILVMKELKNPNIVN
+FLDSYLVGDELFVVMEYLAGGSLTDVVTETCMDEAQIAAVCRECLQALEFLHANQVIHRD
+IKSDNVLLGMEGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWS
+LGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPEKLSPIFRDFLNRCLEMDVEKR
+GSAKELLQHPFL
+>O75914_PF00786_69 <unknown description>
+EISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVLDVLKFY
+>O75914_PF00069_268 <unknown description>
+YTRFEKIGQGASGTVYTALDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVN
+YLDSYLVGDELWVVMEYLAGGSLTDVVTETCMDEGQIAAVCRECLQALDFLHSNQVIHRD
+IKSDNILLGMDGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWS
+LGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPERLSAVFRDFLNRCLEMDVDRR
+GSAKELLQHPFL
+>O96013_PF00786_10 <unknown description>
+EISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLVDPACITSIQ
+>O96013_PF00069_326 <unknown description>
+KIGEGSTGIVCIATVRSSGKLVAVKKMDLRKQQRRELLFNEVVIMRDYQHENVVEMYNSY
+LVGDELWVVMEFLEGGALTDIVTHTRMNEEQIAAVCLAVLQALSVLHAQGVIHRDIKSDS
+ILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGTPYWMAPELISRLPYGPEVDIWSLGIMV
+IEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNLHKVSPSLKGFLDRLLVRDPAQRATAAE
+LLKHPFL
+>Q9P286_PF00786_10 <unknown description>
+EISGPSNFEHRVHTGFDPQEQKFTGLPQQWHSLLADTANRPKPMVDPSCITPI
+>Q9P286_PF00069_454 <unknown description>
+KIGEGSTGIVCIATEKHTGKQVAVKKMDLRKQQRRELLFNEVVIMRDYHHDNVVDMYSSY
+LVGDELWVVMEFLEGGALTDIVTHTRMNEEQIATVCLSVLRALSYLHNQGVIHRDIKSDS
+ILLTSDGRIKLSDFGFCAQVSKEVPKRKSLVGTPYWMAPEVISRLPYGTEVDIWSLGIMV
+IEMIDGEPPYFNEPPLQAMRRIRDSLPPRVKDLHKVSSVLRGFLDLMLVREPSQRATAQE
+LLGHPFL
+>Q9NQU5_PF00786_11 <unknown description>
+EISAPQNFQHRVHTSFDPKEGKFVGLPPQWQNILDTLRRPKPVVDPSRITRVQ
+>Q9NQU5_PF00069_411 <unknown description>
+VKIGEGSTGIVCLAREKHSGRQVAVKMMDLRKQQRRELLFNEVVIMRDYQHFNVVEMYKS
+YLVGEELWVLMEFLQGGALTDIVSQVRLNEEQIATVCEAVLQALAYLHAQGVIHRDIKSD
+SILLTLDGRVKLSDFGFCAQISKDVPKRKSLVGTPYWMAPEVISRSLYATEVDIWSLGIM
+VIEMVDGEPPYFSDSPVQAMKRLRDSPPPKLKNSHKVSPVLRDFLERMLVRDPQERATAQ
+ELLDHPFL
+>Q9Y536_PF00160_8 <unknown description>
+FDITVDGKPLGRISIKLFADKILKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDF
+TRHNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHV
+AFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>A0A0B4J2A2_PF00160_8 <unknown description>
+FDITVDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDF
+TRPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICTAKTEWLDGKHV
+AFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>F5H284_PF00160_11 <unknown description>
+TRDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRP
+NGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFG
+KVKERVNIVEATEHFGYRNSKTSKKITIADCG
+>A0A075B759_PF00160_11 <unknown description>
+TRDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRP
+NGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFG
+KVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>P0DN26_PF00160_11 <unknown description>
+TRDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRP
+NGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFG
+KVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>P0DN37_PF00160_8 <unknown description>
+FDITVDGKPLGRISIKQFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDF
+THPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICTAKTEWLDGKHV
+AFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>A0A075B767_PF00160_8 <unknown description>
+FDITVDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDF
+TRPNGTDDKSIYGEKFDDENLIRKHTGSGILSMVNAGPNTNGSQLFICTAKTEWLDGKHV
+AFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCG
+>Q86YC2_PF16756_840 <unknown description>
+ETAELPASDSINPGNLQLVSELKNPSGSCSVDVSAMFWERAGCKEPCIITACEDVVSLWK
+ALDAWQWEKLYTWHFAEVPVLQIVPVPDVYNLVCVALGNLEIREIRALFCSSDDESEKQV
+LLKSGNIKAVLGLTKRRLVSSSGTLSDQQVEVMTFAEDGGGKENQFLMPPEETILTFAEV
+QGMQEALLGTTIMNNIVIWNLKTGQLLKKMHIDDSYQASVCHKAYSEMGLLFIVLSHPCA
+KESESLRSPVFQLIVINPKTTLSVGVMLYCLPPGQAGRFLEGDVKDHCAAAILTSGTIAI
+WDLLLGQCTALLPPVSDQHWSFVKWSGTDSHLLAGQKDGNIFVYH
+>Q9ULE6_PF14566_161 <unknown description>
+FCVREEPVLFLRADEDFVSYTPRDKQNLHENLQGLGPGVRVESLELAIRKEIHDFAQLSE
+NTYHVYHNTEDLWGEPHAVAIHGEDDLHVTEEVYKRPLFLQPTYRYHRLPLPEQGSPLEA
+QLDAFVSVLRETPSLLQLRDAHGPPPALVFSCQMGVGRTNLGMVLGTLIL
+>Q9ULE6_PF14566_545 <unknown description>
+VSLREEAVLECDGHTYSLRWPGPPVAPDQLETLEAQLKAHLSEPPPGKEGPLTYRFQTCL
+TMQEVFSQHRRACPGLTYHRIPMPDFCAPREEDFDQLLEALRAALSKDPGTGFVFSCLSG
+QGRTTTAMVVAVL
+>Q8WX93_PF07679_271 <unknown description>
+PRFIQKLRSQEVAEGSRVYLECRVTGNPTPRVRWFCEGKELHNTPDIQIHCEGGDLHTLI
+IAEAFEDDTGRYTCLATNPSGSDTTSAEVFI
+>Q8WX93_PF07679_441 <unknown description>
+PVFTKELQNTAVAEGQVVVLECRVRGAPPLQVQWFRQGSEIQDSPDFRILQKKPRSTAEP
+EEICTLVIAETFPEDAGIFTCSARNDYGSATSTAQLVV
+>Q8WX93_PF07679_794 <unknown description>
+PFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQISPKSDHYTIQRDLDGTCSL
+HTTASTLDDDGNYTIMAANPQGRISCTGRLMV
+>Q8WX93_PF07679_928 <unknown description>
+PHFLQAPGDLTVQEGKLCRMDCKVSGLPTPDLSWQLDGKPVRPDSAHKMLVRENGVHSLI
+IEPVTSRDAGIYTCIATNRAGQNSFSLELVV
+>Q8WX93_PF07679_1027 <unknown description>
+PVFIEKLQNTGVADGYPVRLECRVLGVPPPQIFWKKENESLTHSTDRVSMHQDNHGYICL
+LIQGATKEDAGWYTVSAKNEAGIVSCTARLDV
+>Q9NP74_PF03285_16 <unknown description>
+DKRKIQEEISQKRLKIEEDKLKHQHLKKKALREKWLLDGISSGKEQEEMKKQNQQDQHQI
+QVLEQSILRLEKEIQDLEKAELQISTKEEAILKKLKSIERTTEDIIRSVKVEREERAEES
+IEDIYANIPDLPKSYIPSRLRKEINEEKEDDEQNRKALYAMEIKVEKDLKTGESTVLSSI
+PLPSDDFKGTGIKVYDDGQKSVYAVSSNHSAAYNGTDGLAPVEVEELLRQASERNSKSPT
+EYHEPVYANPFYRPTT
+>Q9NP74_PF03285_333 <unknown description>
+IQQAEEKLHTPQKRLMTPWEESNVMQDKDAPSPKPRLSPRETIFGKSEHQNSSPTCQEDE
+EDVRYNIVHSLPPDINDTEPVTMIFMGYQQAEDSEEDKKFLTGYDGIIHAELVVIDDEEE
+EDEGEAEKPSYHPIA
+>O75781_PF03285_19 <unknown description>
+AEKRKRQAEIENKRRQLEDERRQLQHLKSKALRERWLLEGTPSSASEGDEDLRRQMQDDE
+QKTRLLEDSVSRLEKEIEVLERGDSAPATAKENAAAPSPVRAPAPSPAKEERKTEVVMNS
+QQTPVGTPKDKRVSNTPLRTVDGSPMMKAAMYSVEITVEKDKVTGETRVLSSTTLLPRQP
+LPLGIKVYEDETKVVHAVDGTAENGIHPLSSSEVDELIHKADEVTLSEAGSTAGAAETRG
+AVEGAARTTPSRREITGVQAQPGEATSGPPGIQPGQEPPVTMIFMGYQNVEDEAETKKVL
+GLQDTITAELVVIEDAAEPKEPAPPNGSAAE
+>Q8N3R9_PF09060_123 <unknown description>
+EIEDLFSSLKHIQHTLVDSQSQEDISLLLQLVQNKDFQNAFKIHNAIT
+>Q8N3R9_PF02828_186 <unknown description>
+AQDLAQEVQTVLKPVHHKEGQELTALLNTPHIQALLLAHDKVAEQE
+>Q8N3R9_PF00595_258 <unknown description>
+RIEKARDIPLGATVRNEMDSVIISRIVKGGAAEKSGLLHEGDEVLEINGIEIRGKDVNEV
+FDLLSDMHGTLTFV
+>Q8N3R9_PF07653_349 <unknown description>
+HVKAHFDYDPSDDPYVPCRELGLSFQKGDILHVISQEDPNWWQAYREGDEDNQPLAGLVP
+GKSF
+>Q8N3R9_PF00625_479 <unknown description>
+KRPIILIGPQNCGQNELRQRLMNKEKDRFASAVPHTTRSRRDQEVAGRDYHFVSRQAFEA
+DIAAGKFIEHGEFEKNLYGTSIDSVRQVINSGKICLLSLRTQSLKTLRNSDLKPYIIFIA
+PPSQERLRALLAKEGKNPKPEELREIIEKTREMEQNNGHYFDTAIVNSDLDKAYQELLRL
+INK
+>Q9NZW5_PF02828_1 <unknown description>
+MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVS
+>Q9NZW5_PF02828_58 <unknown description>
+ELVNEILEDITPLINVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPP
+>Q9NZW5_PF00595_135 <unknown description>
+KRAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGHEVGNNPKELQELL
+KNISGSVTLKIL
+>Q9NZW5_PF07653_219 <unknown description>
+FVKCHFDYNPYNDNLIPCKEAGLKFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQF
+LEE
+>Q9NZW5_PF00625_337 <unknown description>
+QRKTLVLIGAQGVGRRSLKNRFIVLNPTRFGTTVPFTSRKPREDEKDGQAYKFVSRSEME
+ADIKAGKYLEHGEYEGNLYGTKIDSILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFI
+AAPELETLRAMHKAVVDAGITTKLLTDSDLKKTVDESARIQRAYNHYFDLIIINDNLDKA
+FEKLQTAIEK
+>Q6UXH9_PF00431_128 <unknown description>
+CGQVLRAPKGQILLESYPLNAHCEWTIHAKPGFVIQLRFVMLSLEFDYMCQYDYVEVRDG
+DNRDGQIIKRVCGNERPAPIQSIGSSLHVLFHSDGSKNFDGFHAIY
+>Q6UXH9_PF00008_239 <unknown description>
+CSSSPCFHDGTCVLDKAGSYKCACLAGYTGQR
+>Q6UXH9_PF00084_280 <unknown description>
+CSDPGGPVNGYQKITGGPGLINGRHAKIGTVVSFFCNNSYVLSGNEKRTCQQNGEWSGKQ
+PIC
+>Q6UXH9_PF00084_402 <unknown description>
+GYQHLHTQLQYECISPFYRRLGSSRRTCLRTGKWSGRAPSC
+>Q6UXH9_PF00089_457 <unknown description>
+TQGLRWPWQAAIYRRTSGVHDGSLHKGAWFLVCSGALVNERTVVVAAHCVTDLGKVTMIK
+TADLKVVLGKFYRDDDRDEKTIQSLQISAIILHPNYDPILLDADIAILKLLDKARISTRV
+QPICLAASRDLSTSFQESHITVAGWNVLADVRSPGFKNDTLRSGVVSVVDSLLCEEQHED
+HGIPVSVTDNMFCASWEPTAPSDICTAETGGIAAVSFPGRASPEPRWHLMGLVSWSYDKT
+CSHRLSTAFTKVLPFKDWI
+>Q504Q3_PF13423_517 <unknown description>
+AGLEPHIPNAYCNCMIQVLYFLEPVRCLIQNHLCQKEFCLACELGFLFHMLDLSRGDPCQ
+GNNFLRAFRTIPEASALGLILADSDEASGKGNLARLIQRWNRFILTQLHQDMQELEIPQA
+YRGAGGSSFCSSGDSVIGQLFSCEMENCSLCRCGSETVRASSTLLFTLSYPDDKTGKNYD
+FAQVLKRSICLDQNTQAWCDTCEKYQPTIQTRNIRHLPDILVINCEVNSSKEADFWRMQA
+EVAFKMAVKKHGGEISKNKEFALADWKELGSPEGVLVCPSIEELKNVWLPFSIRMKMTKN
+KGLDVCNWTDGDEMQWGPARAEEEHGVYVYDLMATVVHILDSRTGGSLVAHIKVGETYHQ
+RKEGVTHQQWYLFNDFLI
+>Q504Q3_PF00929_971 <unknown description>
+VGLDAEFVTLNEEEAELRSDGTKSTIKPSQMSVARITCVRGQGPNEGIPFIDDYISTQEQ
+VVDYLTQYSGIKPGDLDAKISSKHLTTLKSTYLKLRFLIDIGVKFVGHGLQKDFRVINLM
+VPKDQVLDTVYLFHMPRKRMISLRFLAWYFLDLKIQGETHDSIEDARTALQLY
+>Q58A45_PF18101_742 <unknown description>
+VNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEFQKDPT
+WSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLISRDEKSVL
+VVTYSDLKRCFENTFQEL
+>Q9BZ23_PF03630_103 <unknown description>
+WFGLDIGGTLVKLVYFEPKDITAEEEEEEVESLKSIRKYLTSNVAYGSTGIRDVHLELKD
+LTLCGRKGNLHFIRFPTHDMPAFIQMGRDKNFSSLHTVFCATGGGAYKFEQDFLTIGDLQ
+LCKLDELDCLIKGILYIDSVGFNGRSQCYYFENPADSEKCQKLPFDLKNPYPLLLVNIGS
+GVSILAVYSKDNYKRVTGTSLGGGTFFGLCCLLTGCTTFEEALEMASRGDSTKVDKLVRD
+IYGGDYERFGLPGWAVASSFGNMMSKEKREAVSKEDLARATLITITNNIGSIARMCALNE
+NINQVVFVGNFLRINTIAMRLLAYALDYWSKGQLKALFSEHEGYFGAVGALL
+>Q9H999_PF03630_13 <unknown description>
+WFGMDIGGTLVKLSYFEPIDITAEEEQEEVESLKSIRKYLTSNVAYGSTGIRDVHLELKD
+LTLFGRRGNLHFIRFPTQDLPTFIQMGRDKNFSTLQTVLCATGGGAYKFEKDFRTIGNLH
+LHKLDELDCLVKGLLYIDSVSFNGQAECYYFANASEPERCQKMPFNLDDPYPLLVVNIGS
+GVSILAVHSKDNYKRVTGTSLGGGTFLGLCSLLTGCESFEEALEMASKGDSTQADKLVRD
+IYGGDYERFGLPGWAVASSFGNMIYKEKRESVSKEDLARATLVTITNNIGSVARMCAVNE
+KINRVVFVGNFLRVNTLSMKLLAYALDYWSKGQLKALFLEHEGYFGAVGALL
+>Q9NVE7_PF03630_37 <unknown description>
+FAIDIGGSLTKLAYYSTVQHKVAKVRSFDHSGKDTEREHEPPYEISVQEEITARLHFIKF
+ENTYIEACLDFIKDHLVNTETKVIQATGGGAYKFKDLIEEKLRLKVDKEDVMTCLIKGCN
+FVLKNIPHEAFVYQKDSDPEFRFQTNHPHIFPYLLVNIGSGVSIVKVETEDRFEWVGGSS
+IGGGTFWGLGALLTKTKKFDELLHLASRGQHSNVDMLVRDVYGGAHQTLGLSGNLIASSF
+GKSATADQEFSKEDMAKSLLHMISNDIGQLACLHARLHSLDRVYFGGFFIRGHPVTMRTI
+TYSINFFSKGEVQALFLRHEGYLGAIGAFLK
+>Q9NVE7_PF01937_451 <unknown description>
+CFEEALDGVVKRAVASQPDSVDAAERAEKFRQKYWNKLQTLRQQPFAYGTLTVRSLLDTR
+EHCLNEFNFPDPYSKVKQRENGVALRCFPGVVRSLDALGWEERQLALVKGLLAGNVFDWG
+AKAVSAVLESDPYFGFEEAKRKLQERPWLVDSYSEWLQRLKGPPHKCALIFADNSGIDII
+LGVFPFVRELLLRGTEVILACNSGPALNDVTHSESLIVAERIAGMDPVVHSALQEERLLL
+VQTGSSSPCLDLSRLDKGLAALVRERGADLVVIEGMGRAVHTNYHAALRCESLKLAVIKN
+AWLAERLG
+>Q7Z3H0_PF12796_99 <unknown description>
+LYWACVHNDPTQLQAILDGGVSPEEATQVDSNGRTGLMVACYHGFQSVVALLSHCPFLDV
+NQQDKGGDTALMLAAQAGHVPLVSLLLNYYVGLDLERRD
+>Q96RD7_PF00876_47 <unknown description>
+LLLISLAFAQEISIGTQISCFSPSSFSWRQAAFVDSYCWAAVQQKNSLQSESGNLPLWLH
+KFFPYILLLFAILLYLPPLFWRFAAAPHICSDLKFIMEELDKVYNRAIKAAKSARDLDMR
+DGACSVPGVTENLGQSLWEVSESHFKYPIVEQYLKTKKNSNNLIIKYISCRLLTLIIILL
+ACIYLGYYFSL
+>Q96RD6_PF00876_51 <unknown description>
+DRVVTIGTVLVPILLVTLVFTKNFAEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGV
+DASLWPSLFEHKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAE
+GRAPKIEKQIQSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLAR
+HVLILLLSAVPISYLCTYY
+>Q96QZ0_PF00876_48 <unknown description>
+LLMSLAFAQEFSSGSPISCFSPSNFSIRQAAYVDSSCWDSLLHHKQDGPGQDKMKSLWPH
+KALPYSLLALALLMYLPVLLWQYAAVPALSSDLLFIISELDKSYNRSIRLVQHMLKIRQK
+SSDPYVFWNELEKARKERYFEFPLLERYLACKQRSHSLVATYLLRNSLLLIFTSATYLYL
+GHFHLDVFF
+>Q6QHF9_PF01593_23 <unknown description>
+IAGLGAAQRLCGHSAFPHLRVLEATARAGGRIRSERCFGGVVEVGAHWIHGPSRGNPVFQ
+LAAEYGLLGEKELSQENQLVETGGHVGLPSVSYASSGASVSLQLVAEMATLFYGLIDQTR
+EFLHAAETPVPSVGEYLKKEIGQHVAGWTEDEETRKLKLAVLNSFFNLECCVSGTHSMDL
+VALAPFGEYTVLPGLDCTFSKGYQGLTNCMMAALPEDTVVFEKPVKTIHWNGSFQEAAFP
+GETFPVSVECEDGDRFPAHHVIVTVPLGFLREHLDTFFDPPLPAEKAEAIRKIGFGTNNK
+IFLEFEEPFWEPDCQLIQLVWEDTSPLEDAAPELQDAWFRKLIGFVVLPAFASVHVLCGF
+IAGLESEFMETLSDEEVLLCLTQVLRRVTGNPRLPAPKSVLRSRWHSAPYTRGSYSYVAV
+GSTGGDLDLLAQPLPADGAGAQLQILFAGEATHRTFYSTTHGALLSGWREADRLL
+>Q5JQF8_PF00076_4 <unknown description>
+LYVGDLHPEVTEAMLYEKFSPAGPILSIRICRDKITRRSLGYAYVNYQQPVDAKRALETL
+NFDVIKGRPV
+>Q5JQF8_PF00076_92 <unknown description>
+VFIKNLGKTIDNKALYNIFSAFGNILSCKVACDEKGPKGYGFVHFQKQESAERAIDVMNG
+MFLNYRKI
+>Q9NVV4_PF17797_63 <unknown description>
+FSEMQNERREQAQRTVLIHCPEKISENKFLKYLSQFGPINNHFFYESFGLYAVVEFCQKE
+SIGSLQNGTH
+>Q9NVV4_PF19088_302 <unknown description>
+VQKILNARCPLVRFSHQASGFQCDLTTNNRIALTSSELLYIYGALDSRVRALVFSVRCWA
+RAHSLTSSIPGAWITNFSLTMMVIFFLQRRSPPILPT
+>Q9NVV4_PF03828_440 <unknown description>
+LLKEFFEYFGNFAFDKNSINIRQGREQNKPDSSPLYIQNPFETS
+>P51003_PF04928_21 <unknown description>
+GITSPISLAAPKETDCVLTQKLIETLKPFGVFEEEEELQRRILILGKLNNLVKEWIREIS
+ESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVDRSDFFTSFYDKLKLQ
+EEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPEDLDLRDDSLLKNLDIRCIRS
+LNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNILGFLGGVSWAMLVARTCQLY
+PNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVWDPRVNPSDRYHLMPIITPAY
+PQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSKLFE
+>P51003_PF01909_88 <unknown description>
+SVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVDRSDFFTSFYDKLKLQEEVKDLR
+AVEEAFVPVIKLCFDGIEIDILFARLA
+>P51003_PF04926_366 <unknown description>
+NFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPK
+ENPDKEEF
+>Q9NRJ5_PF04928_22 <unknown description>
+GVSSPISLAVPKETDCLLTQRLIETLRPFGVFEEEEELQRRILVLEKLNNLVKEWIREIS
+ESKSLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPSHVDRSDFFTSFYAKLKLQ
+EEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPEDLDLRDDSLLKNLDIRCIRS
+LNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKCHNIYSNILGFLGGVSWAMLVARTCQLY
+PNAVASTLVRKFFLVFSEWEWPNPVLLKEPEERNLNLPVWDPRVNPSDRYHLMPIITPAY
+PQQNSTYNVSISTRMVMIEEFKQGLAITHEILLSKAEWSKLFE
+>Q9NRJ5_PF01909_90 <unknown description>
+VIENVGGKIFTFGSYRLGVHTKGADIDALCVAPSHVDRSDFFTSFYAKLKLQEEVKDLRA
+VEEAFVPVIKLCFDGIEIDILFARLA
+>Q9NRJ5_PF04926_367 <unknown description>
+SFFQKYKHYIVLLASASTEKQHLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPK
+ENPDMEE
+>Q9BWT3_PF04928_20 <unknown description>
+GITSPISLASPKEIDHIYTQKLIDAMKPFGVFEDEEELNHRLVVLGKLNNLVKEWISDVS
+ESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVERSDFFQSFFEKLKHQ
+DGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISDNLDLRDDSRLRSLDIRCIRS
+LNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNMLGFLGGVSWAMLVARTCQLY
+PNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWDPRVNPSDRYHLMPIITPAY
+PQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKLLE
+>Q9BWT3_PF01909_93 <unknown description>
+GGKIFTFGSYRLGVHTKGADIDALCVAPRHVERSDFFQSFFEKLKHQDGIRNLRAVEDAF
+VPVIKFEFDGIEIDLVFARLA
+>Q9BWT3_PF04926_365 <unknown description>
+NFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSFPGNK
+EHHKDN
+>Q9BWT3_PF04926_424 <unknown description>
+KEHHKDNNYVSMWFLGIIFRRVENAESVNIDLTYDIQSFTDTVYRQANNINMLKEGMKIE
+ATHVKKKQLHHYLPAEILQKKK
+>Q13219_PF13385_103 <unknown description>
+GEQLRLRADLELPRDAFTLQVWLRAEGGQRSPAVITGLYDKCSYISRDRGWVVGIHTISD
+QDNKDPRYFFSLKTDRARQVTTINAHRSYLPGQWVYLAATYDGQFMKLYVNGAQVATSGE
+QVGGIFSPLTQKCKVLMLGGSALNHNYRGYIEHFSLWKVARTQREI
+>Q13219_PF05572_523 <unknown description>
+EELAGVATWPWDKEALMHLGGIVLNPSFYGMPGHTHTMIHEIGHSLGLYHVFRGISEIQS
+CSDPCMETEPSFETGDLCNDTNPAPKHKSCGDPGPGNDTCGFHSFFNTPYNNFMSYADDD
+CTDSFTPNQVARMHCYL
+>Q13219_PF00084_1285 <unknown description>
+CSIPDHHQVYAASFSCPEGTTFGSQCSFQCRHPAQLKGNNSLLTCMEDGLWSFPE
+>Q13219_PF00084_1346 <unknown description>
+CLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSW
+QEG
+>Q13219_PF00084_1415 <unknown description>
+CDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGLGSNVIHCRKDGTWNGSFHVC
+>Q9BXP8_PF13385_281 <unknown description>
+EIPREAFTVEAWVKPEGGQNNPAIIAGVFDNCSHTVSDKGWALGIRSGKDKGKRDARFFF
+SLCTDRVKKATILISHSRYQPGTWTHVAATYDGRHMALYVDGTQVASSLDQSGPLNSPFM
+ASCRSLLLGGDSSEDGHYFRGHLGTLVFWSTALPQ
+>Q9BXP8_PF00066_588 <unknown description>
+PSKIGNDHCDPECEHPLTGYDGGDCR
+>Q9BXP8_PF05572_679 <unknown description>
+NSTHFLNIYFASSVREDLAGAATWPWDKDAVTHLGGIVLSPAYYGMPGHTDTMIHEVGHV
+LGLYHVFKGVSERESCNDPCKETVPSMETGDLCADTAPTPKSELCREPEPTSDTCGFTRF
+PGAPFTNYMSYTDDNCTDNFTPNQVARMHCYL
+>Q9BXP8_PF00084_1467 <unknown description>
+PDPSLVNYANFSCSEGTKFLKRCSISCVPPAKLQGLSPWLTCLEDGLWSLPE
+>Q9BXP8_PF00084_1525 <unknown description>
+CDAPPIILNANLLLPHCLQDNHDVGTICKYECKPGYYVAESAEGKVRNKLLKIQCLEGGI
+WEQG
+>O43252_PF01583_51 <unknown description>
+RGCTVWLTGLSGAGKTTVSMALEEYLVCHGIPCYTLDGDNIRQGLNKNLGFSPEDREENV
+RRIAEVAKLFADAGLVCITSFISPYTQDRNNARQIHEGASLPFFEVFVDAPLHVCEQRDV
+KGLYKKARAGEIKGFTGIDSEYEKPEAPELVLKTDSCD
+>O43252_PF14306_233 <unknown description>
+EVKELYVPENKLHLAKTDAETLPALKINKVDMQWVQVLAEGWATPLNGFMREREYLQCLH
+FDCLLDGGVINLSVPIVLTATHEDKERLDGCTAFALMYEGRRVAILRNPEFFEHRKEERC
+ARQWGTTCKNHPYIKMVMEQGDWLIGGDLQVLD
+>O43252_PF01747_394 <unknown description>
+DQYRLTPTELKQKFKDMNADAVFAFQLRNPVHNGHALLMQDTHKQLLERGYRRPVLLLHP
+LGGWTKDDDVPLMWRMKQHAAVLEEGVLNPETTVVAIFPSPMMYAGPTEVQWHCRARMVA
+GANFYIVGRDPAGMPHPETGKDLYEPSHGAKVLTMAPGLITLEIVPFRVAAYNKKKKRMD
+YYDSEHHEDFEFISGTRMRKLAREGQKPPEGFMAPKAWTVLTE
+>O95340_PF01583_41 <unknown description>
+RGCTVWLTGLSGAGKTTISFALEEYLVSHAIPCYSLDGDNVRHGLNRNLGFSPGDREENI
+RRIAEVAKLFADAGLVCITSFISPFAKDRENARKIHESAGLPFFEIFVDAPLNICESRDV
+KGLYKRARAGEIKGFTGIDSDYEKPETPERVLKTNL
+>O95340_PF14306_223 <unknown description>
+DIHELFVPENKLDHVRAEAETLPSLSITKLDLQWVQVLSEGWATPLKGFMREKEYLQVMH
+FDTLLDGMALPDGVINMSIPIVLPVSAEDKTRLEGCSKFVLAHGGRRVAILRDAEFYEHR
+KEERCSRVWGTTCTKHPHIKMVMESGDWLVGGDLQVLE
+>O95340_PF01747_389 <unknown description>
+DQYRLTPLELKQKCKEMNADAVFAFQLRNPVHNGHALLMQDTRRRLLERGYKHPVLLLHP
+LGGWTKDDDVPLDWRMKQHAAVLEEGVLDPKSTIVAIFPSPMLYAGPTEVQWHCRSRMIA
+GANFYIVGRDPAGMPHPETKKDLYEPTHGGKVLSMAPGLTSVEIIPFRVAAYNKAKKAMD
+FYDPARHNEFDFISGTRMRKLAREGENPPDGFMAPKAWKVLTD
+>Q96A54_PF03006_129 <unknown description>
+FRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGAVLCLSFSW
+LFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLSIVCVLGIS
+AIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQMGWFFLMAV
+MYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHF
+>Q86V24_PF03006_140 <unknown description>
+FRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQEKVVFGLFFLGAILCLSFSW
+LFHTVYCHSEGVSRLFSKLDYSGIALLIMGSFVPWLYYSFYCNPQPCFIYLIVICVLGIA
+AIIVSQWDMFATPQYRGVRAGVFLGLGLSGIIPTLHYVISEGFLKAATIGQIGWLMLMAS
+LYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAFVHF
+>Q6TCH7_PF03006_65 <unknown description>
+ILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCMLCSVG
+YHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLAMILA
+VFFAQIHPNYLTQQWQRLRSIIFCSVSGYGVIPTLHWVWLNGGIGAPIVQDFAPRVIVMY
+MIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHILAVV
+>Q8N4S7_PF03006_43 <unknown description>
+FYLHNELGNIYTHGLALLGFLVLVPMTMPWGQLGKDGWLGGTHCVACLAPPAGSVLYHLF
+MCHQGGSAVYARLLALDMCGVCLVNTLGALPIIHCTLACRPWLRPAALVGYTVLSGVAGW
+RALTAPSTSARLRAFGWQAAARLLVFGARGVGLGSGAPGSLPCYLRMDALALLGGLVNVA
+RLPERWGPGRFDYWGNSHQIMHLLSVGS
+>Q9NXK6_PF03006_43 <unknown description>
+FQMTNETLNIWTHLLPFWFFAWRFVTALYMTDIKNDSYSWPMLVYMCTSCVYPLVSSCAH
+TFSSMSKNARHICYFLDYGAVNLFSLGSAIAYSAYTFPDALMCTTFHDYYVALAVLNTIL
+STGLSCYSRFLEIQKPRLCKVIRVLAFAYPYTWDSLPIFYRLFLFPGESAQNEATSYHQK
+HMIMTLLASFLYSAHLPERLAPGRFDYIGHSHQLFHVCVILATHMQM
+>Q6TCH4_PF03006_43 <unknown description>
+FQMTNETVNIWTHFLPTWYFLWRLLALAGGPGFRAEPYHWPLLVFLLPACLYPFASCCAH
+TFSSMSPRMRHICYFLDYGALSLYSLGCAFPYAAYSMPASWLHGHLHQFFVPAAALNSFL
+CTGLSCYSRFLELESPGLSKVLRTGAFAYPFLFDNLPLFYRLGLCWGRGHGCGQEALSTS
+HGYHLFCALLTGFLFASHLPERLAPGRFDYIGHSHQLFHICAVLGTHFQ
+>Q86WK9_PF03006_66 <unknown description>
+FQQHNEAVNVWTHLLAALVLLLRLALFVETVDFWGDPHALPLFIIVLASFTYLSFSALAH
+LLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPAWHAQVQAVFLPMAAFLAWLS
+CIGSCYNKYIQKPGLLGRTCQEVPSVLAYALDISPVVHRIFVSSDPTTDDPALLYHKCQV
+VFFLLAAAFFSTFMPERWFPGSCHVFGQGHQLFHIFLVLCTLAQ
+>Q8TEZ7_PF03006_70 <unknown description>
+FQKHNEVVNVWTHLLAALAVLLRFWAFAEAEALPWASTHSLPLLLFILSSITYLTCSLLA
+HLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYDRFWLFFLPAAAFCGWL
+SCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFILDISPVAHRVALCHLAGCQEQAAWYHT
+LQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSICTLSQ
+>Q6ZVX9_PF03006_81 <unknown description>
+TNETLNFWTHFIPLLLFLSKFCRLFFLSGGDVPFHHPWLLPLWCYASGVLLTFAMSCTAH
+VFSCLSLRLRAAFFYLDYASISYYGFGSTVAYYYYLLPGLSLLDARVMTPYLQQRLGWHV
+DCTRLIAAYRALVLPVAFVLAVACTVACCKSRTDWCTYPFALRTFVFVMPLSMACPIMLE
+SWLFDLRGENPTLFVHFYRRYFWLVVAAFFNVSKIPERIQPGLFDIIGHSHQLFHIFTFL
+SI
+>Q8IY49_PF03006_37 <unknown description>
+ANCATHAFWIIPSILGSSNLYFLSDDDWETISAWIYGLGLCGLFVVSTVFHTISWKKSHL
+RMVEHCLHMFDRMVIYFFIAASYAPWLNLRELGPWASHMRWLVWIMASVGTIYVFFFHER
+YKLVELLCYVVMGFFPALVILSMPNTEGIWELVTGGVFYCLGMVFFKSDGRIPFAHAIWH
+LFVAFGAGTHY
+>Q15546_PF03006_30 <unknown description>
+ANCYTHAFLIVPAIVGSALLHRLSDDCWEKITAWIYGMGLCALFIVSTVFHIVSWKKSHL
+RTVEHCFHMCDRMVIYFFIAASYAPWLNLRELGPLASHMRWFIWLMAAGGTIYVFLYHEK
+YKVVELFFYLTMGFSPALVVTSMNNTDGLQELACGGLIYCLGVVFFKSDGIIPFAHAIWH
+LFVATAAAVHY
+>Q53GL7_PF00644_829 <unknown description>
+EFQEVVRAFYDTLDAARSSIRVVRVERVSHPLLQQQYELYRERLLQRCERRPVEQVLYHG
+TTAPAVPDICAHGFNRSFCGRNATVYGKGVYFARRASLSVQDRYSPPNADGHKAVFVARV
+LTGDYGQGRRGLRAPPLRGPGHVLLRYDSAVDCICQPSIFVIFHDTQALPTHLITC
+>Q9NR21_PF02825_34 <unknown description>
+WGWFYLAECGKWHMFQPDTNSQCSVSSEDIEKSFKTNPCGSISFTTSKFSYKIDFAEMKQ
+MNLTTGKQRLIKR
+>Q9NR21_PF00644_147 <unknown description>
+THEYNEVANLFGKTMDRNRIKRIQRIQNLDLWEFFCRKKAQLKKKRGVPQINEQMLFHGT
+SSEFVEAICIHNFDWRINGIHGAVFGKGTYFARDAAYSSRFCKDDIKHGNTFQIHGVSLQ
+QRHLFRTYKSMFLARVLIGDYINGDSKYMRPPSKDGSYVNLYDSCVDDTWNPKIFVVFDA
+NQIYPEYLIDF
+>Q9H0J9_PF00642_180 <unknown description>
+ICQYFLQGECKFGTSCKRSHD
+>Q9H0J9_PF02825_377 <unknown description>
+WIWYWSDEFGSWQEYGRQGTVHPVTTVSSSDVEKAYLAYCTPGSDGQAATLKFQAGKHNY
+ELDFKAFVQKNLVYGTTKKVCR
+>Q9H0J9_PF00644_507 <unknown description>
+SEEYQKVWNLFNRTLPFYFVQKIERVQNLALWEVYQWQKGQMQKQNGGKAVDERQLFHGT
+SAIFVDAICQQNFDWRVCGVHGTSYGKGSYFARDAAYSHHYSKSDTQTHTMFLARVLVGE
+FVRGNASFVRPPAKEGWSNAFYDSCVNSVSDPSIFVIFEKHQVYPEYVIQYT
+>Q460N5_PF01661_820 <unknown description>
+VNASNEDLKHYGGLAAALSKAAGPELQADCDQIVKREGRLLPGNATISKAGKLPYHHVIH
+AVGPRWSGYEAPRCVYLLRRAVQLSLCLAEKYKYRSIAIPAISSGVFGFPLGRCVET
+>Q460N5_PF01661_1044 <unknown description>
+GPLSKSLLEKAGPELQEELDTVGQGVAVSMGTVLKTSSWNLDCRYVLHVVAPEWRNGSTS
+SLKIMEDIIRECMEITESLSLKSIAFPAIGTGNLGFPKNIFAE
+>Q460N5_PF01661_1245 <unknown description>
+VNSTSNSFNLKAGVSKAILECAGQNVERECSQQAQQRKNDYIITGGGFLRCKNIIHVIGG
+NDVKSSVSSVLQECEKKNYSSICLPAIGTGNAKQHPDKVAEA
+>Q460N5_PF00644_1628 <unknown description>
+EYNTVASKFNQTCSHFRIEKIERIQNPDLWNSYQAKKKTMDAKNGQTMNEKQLFHGTDAG
+SVPHVNRNGFNRSYAGKNAVAYGKGTYFAVNANYSANDTYSRPDANGRKHVYYVRVLTGI
+YTHGNHSLIVPPSKNPQNPTDLYDTVTDNVHHPSLFVAFYDYQAYPEYLITFR
+>Q460N3_PF01661_107 <unknown description>
+VNSVPMNLQLGGGPLSRAFLQKAGPMLQKELDDRRRETEEKVGNIFMTSGCNLDCKAVLH
+AVAPYWNNGAETSWQIMANIIKKCLTTVEVLSFSSITFPMIGTGSLQFPKAVFA
+>Q460N3_PF01661_322 <unknown description>
+VNSTARTFNRKSGVSRAILEGAGQAVESECAVLAAQPHRDFIITPGGCLKCKIIIHVPGG
+KDVRKTVTSVLEECEQRKYTSVSLPAIGTGNAGKN
+>Q460N3_PF00644_504 <unknown description>
+SEYNTIKDKFTRTCSSYAIEKIERIQNAFLWQSYQVKKRQMDIKNDHKNNERLLFHGTDA
+DSVPYVNQHGFNRSCAGKNAVSYGKGTYFAVDASYSAKDTYSKPDSNGRKHMYVVRVLTG
+VFTKGRAGLVTPPPKNPHNPTDLFDSVTNNTRSPKLFVVFFDNQAYPEYLITF
+>Q8N5Y8_PF18084_14 <unknown description>
+GRDMLAADLRCSLFASALQSYKRDSVLRPFPASYARGDCKDFEALLADASKLPNLKELLQ
+SSGDNHKRAWDLVSWIL
+>Q8N5Y8_PF00644_103 <unknown description>
+AEFEKIQKLTGAPHTPVPAPDFLFEIEYFDPANAKFYETKGERDLIYAFHGSRLENFHSI
+IHNGLHCHLNKTSLFGEGTYLTSDLSLALIYSPHGHGWQHSLLGPILSCVAVCEVIDHPD
+VKCQTKKKDSKEIDRRRARIKHSEGGDIPPKYFVVTNNQLLRVKYLLVYS
+>P25116_PF00001_120 <unknown description>
+NIMAIVVFILKMKVKKPAVVYMLHLATADVLFVSVLPFKISYYFSGSDWQFGSELCRFVT
+AAFYCNMYASILLMTVISIDRFLAVVYPMQSLSWRTLGRASFTCLAIWALAIAGVVPLLL
+KEQTIQVPGLNITTCHDVLNETLLEGYYAYYFSAFSAVFFFVPLIISTVCYVSIIRCLSS
+SAVANRSKKSRALFLSAAVFCIFIICFGPTNVLLIAHYSFLSHTSTTEAAYFAYLLCVCV
+SSISCCIDPLIY
+>P55085_PF00001_93 <unknown description>
+NGMALWVFLFRTKKKHPAVIYMANLALADLLSVIWFPLKIAYHIHGNNWIYGEALCNVLI
+GFFYGNMYCSILFMTCLSVQRYWVIVNPMGHSRKKANIAIGISLAIWLLILLVTIPLYVV
+KQTIFIPALNITTCHDVLPEQLLVGDMFNYFLSLAIGVFLFPAFLTASAYVLMIRMLRSS
+AMDENSEKKRKRAIKLIVTVLAMYLICFTPSNLLLVVHYFLIKSQGQSHVYALYIVALCL
+STLNSCIDPFVY
+>Q8TEW8_PF12053_1 <unknown description>
+MKVTVCFGRTGIVVPCKEGQLRVGELTQQALQRYLKTREKGPGYWVKIHHLEYTDGGILD
+PDDVLADVVEDKDKLIAVFEEQE
+>Q8TEW8_PF00595_384 <unknown description>
+IDLKKGPEGLGFTVVTRDSSIHGPGPIFVKNILPKGAAIKDGRLQSGDRILEVNGRDVTG
+RTQEELVAMLRSTKQGETASLVI
+>Q8TEW8_PF00595_503 <unknown description>
+DSGSAGLGVSLKGNKSRETGTDLGIFIKSIIHGGAAFKDGRLRMNDQLIAVNGESLLGKS
+NHEAMETLRRSMS
+>O00254_PF00001_116 <unknown description>
+LWMLFFRTRSICTTVFYTNLAIADFLFCVTLPFKIAYHLNGNNWVFGEVLCRATTVIFYG
+NMYCSILLLACISINRYLAIVHPFTYRGLPKHTYALVTCGLVWATVFLYMLPFFILKQEY
+YLVQPDITTCHDVHNTCESSSPFQLYYFISLAFFGFLIPFVLIIYCYAAIIRTLNAYDHR
+WLWYVKASLLILVIFTICFAPSNIILIIHHANYYYNNTDGLYFIYLIALCLGSLNSCLDP
+FLY
+>Q96RI0_PF00001_95 <unknown description>
+NGLALWVLATQAPRLPSTMLLMNLAAADLLLALALPPRIAYHLRGQRWPFGEAACRLATA
+ALYGHMYGSVLLLAAVSLDRYLALVHPLRARALRGRRLALGLCMAAWLMAAALALPLTLQ
+RQTFRLARSDRVLCHDALPLDAQASHWQPAFTCLALLGCFLPLLAMLLCYGATLHTLAAS
+GRRYGHALRLTAVVLASAVAFFVPSNLLLLLHYSDPSPSAWGNLYGAYVPSLALSTLNSC
+VDPFIY
+>Q9NPB6_PF00564_16 <unknown description>
+VEVKSKFDAEFRRFALPRASVSGFQEFSRLLRAVHQIPGLDVLLGYTDAHGDLLPLTNDD
+SLHRALASGPPPLRLLVQK
+>Q9NPB6_PF00595_158 <unknown description>
+RLHKHGSDRPLGFYIRDGMSVRVAPQGLERVPGIFISRLVRGGLAESTGLLAVSDEILEV
+NGIEVAGKTLDQVTDMMVANSHNLIVT
+>Q9BYG5_PF00564_16 <unknown description>
+TMEVKSKFGAEFRRFSLERSKPGKFEEFYGLLQHVHKIPNVDVLVGYADIHGDLLPINND
+DNYHKAVSTANPLLRIFIQK
+>Q9BYG5_PF00595_158 <unknown description>
+VRLYKYGTEKPLGFYIRDGSSVRVTPHGLEKVPGIFISRLVPGGLAQSTGLLAVNDEVLE
+VNGIEVSGKSLDQVTDMMI
+>Q9BYG4_PF00564_19 <unknown description>
+VEVKSKFGAEFRRFSLDRHKPGKFEDFYKLVVHTHHISNSDVTIGYADVHGDLLPINNDD
+NFCKAVSSANPLLRVFIQK
+>Q9BYG4_PF00595_166 <unknown description>
+CEKPLGFYIRDGASVRVTPHGLEKVPGIFISRMVPGGLAESTGLLAVNDEVLEVNGIEVA
+GKTLDQVTDMMIA
+>Q8TEW0_PF12053_1 <unknown description>
+MKVTVCFGRTRVVVPCGDGHMKVFSLIQQAVTRYRKAIAKDPNYWIQVHRLEHGDGGILD
+LDDILCDVADDKDRLVAVFDEQD
+>Q8TEW0_PF00595_462 <unknown description>
+IQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLVG
+KSQEEVVSLLRSTKMEGTVSLLV
+>Q8TEW0_PF00595_595 <unknown description>
+DSGSAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKT
+NQDAMETLRRS
+>Q86W56_PF05028_582 <unknown description>
+EEAEAQHLYQSILPDMVKIALCLPNICTQPIPLLKQKMNHSITMSQEQIASLLANAFFCT
+FPRRNAKMKSEYSSYPDINFNRLFEGRSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQS
+LEDFPEWERCEKPLTRLHVTYEGTIEENGQGMLQVDFANRFVGGGVTSAGLVQEEIRFLI
+NPELIISRLFTEVLDHNECLIITGTEQYSEYTGYAETYRWSRSHEDGSERDDWQRRCTEI
+VAIDALHFRRYLDQFVPEKMRRELNKAYCGFLRPGVSSENLSAVATGNWGCGAFGGDARL
+KALIQILAAAAAERDVVYFTFGDSELM
+>Q99497_PF01965_4 <unknown description>
+KRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVVICPDASLEDAKK
+EGPYDVVVLPGGNLGAQNLSESAAVKEILKEQENRKGLIAAICAGPTALLAHEIGFGSKV
+TTHPLAKDKMMNGGHYTYSENRVEKDGLILTSRGPGTSFEFALAIVE
+>Q9H300_PF01694_209 <unknown description>
+MLLSTFSHFSLFHMAANMYVLWSFSSSIVNILGQEQFMAVYLSAGVISNFVSYVGKVATG
+RYGPSLGASGAIMTVLAAVCTKIPEGRLAIIFLPMFTFTAGNALKAIIAMDTAGMILGWK
+FFDHAAHLGGALFGIWYVTYGH
+>Q6UWI2_PF17061_15 <unknown description>
+GWRVQSLPTSAPLSVSLPTNIVPPTTIWTSSPQNTDADTASPSNGTHNNSVLPVTASAPT
+SLLPKNISIESREEEITSPGSNWEGTNTDPSPSGFSSTSGGVHLTTTLEEHSSGTPEAGV
+AATLSQSAAEPPTLISPQAPASSPSSLSTSPPEVFSASVTTNHSSTVTSTQPTGAPTAPE
+SPTEESSSDHTPTSHATAEPVPQEKTPPTTVSGKVMCELIDMETTTTFPRVIMQEVEHAL
+SSGSIAAITVTVIAVVLLVFGVAAYLKIRHSSYGRLLDDHDYGSWGNYNNPLYDDS
+>O95453_PF04857_4 <unknown description>
+IRSNFKSNLHKVYQAIEEADFFAIDGEFSGISDGPSVSALTNGFDTPEERYQKLKKHSMD
+FLLFQFGLCTFKYDYTDSKYITKSFNFYVFPKPFNRSSPDVKFVCQSSSIDFLASQGFDF
+NKVFRNGIPYLNQEEERQLREQYDEKRSQANGAGALSYVSPNTSKCPVTIPEDQKKFIDQ
+VVEKIEDLLQSEENKNLDLEPCTGFQRKLIYQTLSWKYPKGIHVETLETEKKERYIVISK
+VDEEERKRREQQKHAKEQEELNDAVGFSRVIHAIANSGKLVIGHNMLLDVMHTVHQFYCP
+LPADLSEFKEMTTCVFPRLLDTKLMASTQPFKDIINNTSLAELEKRLKETPFNPPKVESA
+EGFPSYDTASEQLHEAGYDAYITGLCF
+>O95453_PF08675_437 <unknown description>
+GPDLQPKRDHVLHVTFPKEWKTSDLYQLFSAFGNIQISWIDDTSAFVSLSQPEQVKIAVN
+TSKYAESYRIQTYAEYMG
+>P09874_PF00645_12 <unknown description>
+EYAKSGRASCKKCSESIPKDSLRMAIMVQSPMFDGKVPHWYHFSCFWKVGHSIRHPDVEV
+DGFSELRWDDQQKVKK
+>P09874_PF00645_116 <unknown description>
+EYAKSNRSTCKGCMEKIEKGQVRLSKKMVDPEKPQLGMIDRWYHPGCFVKNREELGFRPE
+YSASQLKGFSLLATEDKEALKKQ
+>P09874_PF08063_280 <unknown description>
+LDRVADGMVFGALLPCEECSGQLVFKSDAYYCTGDVTAWTKCMVKTQTPNRK
+>P09874_PF00533_387 <unknown description>
+DKPLSNMKILTLGKLSRNKDEVKAMIEKLGGKLTGTANKASLCISTKKEVEKMNKKMEEV
+KEANIRVVSEDFLQD
+>P09874_PF05406_554 <unknown description>
+SATLGLVDIVKGTNSYYKLQLLEDDKENRYWIFRSWGRVGTVIGSNKLEQMPSKEDAIEH
+FMKLYEEKTGNAWHSKN
+>P09874_PF02877_663 <unknown description>
+SKLPKPVQDLIKMIFDVESMKKAMVEYEIDLQKMPLGKLSKRQIQAAYSILSEVQQAVSQ
+GSSDSQILDLSNRFYTLIPHDFGMKKPPLLNNADSVQAKVEMLDNLLDIEVAYSLLRGGS
+DDSSKDPIDVNY
+>P09874_PF00644_808 <unknown description>
+SEEAEIIRKYVKNTHATTHNAYDLEVIDIFKIEREGECQRYKPFKQLHNRRLLWHGSRTT
+NFAGILSQGLRIAPPEAPVTGYMFGKGIYFADMVSKSANYCHTSQGDPIGLILLGEVALG
+NMYELKHASHISKLPKGKHSVKGLGKTTPDPSANISLDGVDVPLGTGISSGVNDTSLLYN
+EYIVYDIAQVNLKYLLKLKF
+>Q9UGN5_PF05406_102 <unknown description>
+YDVMLNQTNLQFNNNKYYLIQLLEDDAQRNFSVWMRWGRVGKMGQHSLVACSGNLNKAKE
+IFQKKFLDKTKNNWEDREKF
+>Q9UGN5_PF02877_219 <unknown description>
+SQLDLRVQELIKLICNVQAMEEMMMEMKYNTKKAPLGKLTVAQIKAGYQSLKKIEDCIRA
+GQHGRALMEACNEFYTRIPHDFGLRTPPLIRTQKELSEKIQLLEALGDIEIAIKLVKTEL
+QSPEHPLDQHY
+>Q9UGN5_PF00644_363 <unknown description>
+SYEFKVISQYLQSTHAPTHSDYTMTLLDLFEVEKDGEKEAFREDLHNRMLLWHGSRMSNW
+VGILSHGLRIAPPEAPITGYMFGKGIYFADMSSKSANYCFASRLKNTGLLLLSEVALGQC
+NELLEANPKAEGLLQGKHSTKGLGKMAPSSAHFVTLNGSTVPLGPASDTGILNPDGYTLN
+YNEYIVYNPNQVRMRYLLKVQF
+>Q9Y6F1_PF05406_66 <unknown description>
+YNCTLNQTNIENNNNKFYIIQLLQDSNRFFTCWNRWGRVGEVGQSKINHFTRLEDAKKDF
+EKKFREKTKNNWAERDHF
+>Q9Y6F1_PF02877_183 <unknown description>
+CSLDPATQKLITNIFSKEMFKNTMALMDLDVKKMPLGKLSKQQIARGFEALEALEEALKG
+PTDGGQSLEELSSHFYTVIPHNFGHSQPPPINSPELLQAKKDMLLVLADIELAQALQAVS
+EQEKTVEEVPHPLDRDY
+>Q9Y6F1_PF00644_335 <unknown description>
+EYKVIQTYLEQTGSNHRCPTLQHIWKVNQEGEEDRFQAHSKLGNRKLLWHGTNMAVVAAI
+LTSGLRIMPHSGGRVGKGIYFASENSKSAGYVIGMKCGAHHVGYMFLGEVALGREHHINT
+DNPSLKSPPPGFDSVIARGHTEPDPTQDTELELDGQQVVVPQGQPVPCPEFSSSTFSQSE
+YLIYQESQCRLRYLLEV
+>Q9UKK3_PF00533_4 <unknown description>
+GIFANCIFCLKVKYLPQQQKKKLQTDIKENGGKFSFSLNPQCTHIILDNADVLSQYQLNS
+IQKNHVHIANPDFIWKSI
+>Q9UKK3_PF00644_389 <unknown description>
+TEEFLRVRKEVLQNHHSKSPVDVLQIFRVGRVNETTEFLSKLGNVRPLLHGSPVQNIVGI
+LCRGLLLPKVVEDRGVQRTDVGNLGSGIYFSDSLSTSIKYSHPGETDGTRLLLICDVALG
+KCMDLHEKDFSLTEAPPGYDSVHGVSQTASVTTDFEDDEFVVYKTNQVKMKYIIKFS
+>Q9UKK3_PF08487_623 <unknown description>
+LEDVHIKGRIIDTVAQVIVFQTYTNKSHVPIEAKYIFPLDDKAAVCGFEAFINGKHIVGE
+IKEKEEAQQEYLEAVTQGHGAYLMSQDAPDVFTVSVGNLPPKAKVLIKITY
+>Q9UKK3_PF00092_877 <unknown description>
+VIICLDCSSSMEGVTFLQAKQIALHALSLVGEKQKVNIIQFGTGYKELFSYPKHITSNTM
+AAEFIMSATPTMGNTDFWKTLRYLSLLYPARGSRNILLVSDGHLQDESLTLQLVKRSRPH
+TRLFACGIGSTANRHVLRILSQCGAGVFEYFNAK
+>Q2NL67_PF00644_456 <unknown description>
+EARFRTAKKLYGSTFAFHGSHIENWHSILRNGLVNASYTKLQLHGAAYGKGIYLSPISSI
+SFGYSGMGKGQHRMPSKDELVQRYNRMNTIPQTRSIQSRFLQSRNLNCIALCEVITS
+>Q8N3A8_PF00644_680 <unknown description>
+ESNFRAAKKLFGSTFAFHGSHIENWHSILRNGLVVASNTRLQLHGAMYGSGIYLSPMSSI
+SFGYSGMNKKQKVSAKDEPASSSKSSNTSQSQKKGQQSQFLQSRNLKCIALCEVIT
+>Q8IXQ6_PF01661_101 <unknown description>
+VNAANEDLLHGGGLALALVKAGGFEIQEESKQFVARYGKVSAGEIAVTGAGRLPCKQIIH
+AVGPRWMEWDKQGCTGKLQRAIVSILNYVIYKNTHIKTVAIPALSSGIFQFPLNLCTK
+>Q8IXQ6_PF01661_300 <unknown description>
+VNSVNPHDITVGPVAKSILQQAGVEMKSEFLATKAKQFQRSQLVLVTKGFNLFCKYIYHV
+LWHSEFPKPQILKHAMKECLEKCIEQNITSISFPALGTGNMEIKKETAAEIL
+>Q7Z3E1_PF00644_473 <unknown description>
+SYRIIYNLFHKTVPEFKYRILQILRVQNQFLWEKYKRKKEYMNRKMFGRDRIINERHLFH
+GTSQDVVDGICKHNFDPRVCGKHATMFGQGSYFAKKASYSHNFSKKSSKGVHFMFLAKVL
+TGRYTMGSHGMRRPPPVNPGSVTSDLYDSCVDNFFEPQIFVIFNDDQSYPYFVIQYE
+>Q9NVD7_PF00307_97 <unknown description>
+LMKVLIDWINDVLVGERIIVKDLAEDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQK
+LQTVLEKINETLKLPPRSIKWNVDSVHAKSLVAILHLLVALSQYFR
+>Q9NVD7_PF00307_263 <unknown description>
+VVKKTLITFVNKHLNKLNLEVTELETQFADGVYLVLLMGLLEGYFVPLHSFFLTPDSFEQ
+KVLNVSFAFELMQDGGLEKPKPRPEDIVNCDLKSTLRVLYNLFTKYR
+>Q9HBI1_PF00307_89 <unknown description>
+LVKVLLDWINDVLVEERIIVKQLEEDLYDGQVLQKLLEKLAGCKLNVAEVTQSEIGQKQK
+LQTVLEAVHDLLRPRGWALRWSVDSIHGKNLVAILHLLVSLAMHFR
+>Q9HBI1_PF00307_256 <unknown description>
+VKKSLITFVNKHLNKLNLEVTELETQFADGVYLVLLMGLLEDYFVPLHHFYLTPESFDQK
+VHNVSFAFELMLDGGLKKPKARPEDVVNLDLKSTLRVLYNLFTKYK
+>Q9HBI0_PF00307_45 <unknown description>
+ELQKVLMEWINATLLPEHIVVRSLEEDMFDGLILHHLFQRLAALKLEAEDIALTATSQKH
+KLTVVLEAVNRSLQLEEWQAKWSVESIFNKDLLSTLHLLVALAKRFQ
+>Q9HBI0_PF00307_211 <unknown description>
+AVKEAIVNFVNQKLDRLGLSVQNLDTQFADGVILLLLIGQLEGFFLHLKEFYLTPNSPAE
+MLHNVTLALELLKDEGLLSCPVSPEDIVNKDAKSTLRVLYGLFCK
+>Q96RG2_PF13426_134 <unknown description>
+IFTVDAKTTEILVANDKACGLLGYSSQDLIGQKLTQFFLRSDSDVVEALSEEHMEADGHA
+AVVFGTVVDIISRSGEKIPVSVWMKRMRQE
+>Q96RG2_PF13426_349 <unknown description>
+ITLLPDGTIHGINHSFALTLFGYGKTELLGKNITFLI
+>Q96RG2_PF00069_999 <unknown description>
+YSTMSPLGSGAFGFVWTAVDKEKNKEVVVKFIKKEKVLEDCWIEDPKLGKVTLEIAILSR
+VEHANIIKVLDIFENQGFFQLVMEKHGSGLDLFAFIDRHPRLDEPLASYIFRQLVSAVGY
+LRLKDIIHRDIKDENIVIAEDFTIKLIDFGSAAYLERGKLFYTFCGTIEYCAPEVLMGNP
+YRGPELEMWSLGVTLYTLVFEENPFCELEETVEAAIHPPYLVSKELMSLVSGLLQPVPER
+RTTLEKLVTDPWV
+>Q86TB9_PF09770_474 <unknown description>
+KPVQFEGSLGKLTVSSVNNPRKMIDAVVTSRSEDDETKEKQVRDKRRKTLVIIEKTYSLL
+LDVEDYERRYLLSLEEERPALMDDRKHKICSMYDNLRGKLPGQERPSDDHFVQIMCIRKG
+KRMVARILPFLSTEQAADILMTTARNLPFLIKKDAQDEVLPCLLSPFSLLLYHLPSVSIT
+SLLRQLMNLPQSAATPALSNPHLTAVLQNKFGLSLLLILLSRGEDLQSSDPATESTQNNQ
+WTEV
+>C9JE40_PF09770_262 <unknown description>
+RIEGSLGQVAVSTCFSPRRAIDAVPHGTQEQDIEAASSQRLRVLYRIEKMFLQLLEIEEG
+WKYRPPPPCFSEQQSNQVEKLFQTLKTQEQNNLEEAADGFLQVLSVRKGKALVARLLPFL
+PQDQAVTILLAITHHLPLL
+>Q9HBE1_PF00651_30 <unknown description>
+LNQQRKNGGRFCDVLLRVGDESFPAHRAVLAACSEYFESVFSAQLGDGGAADGGPADVGG
+ATAAPGGGAGGSRELEMHTISSKVFGDILDFAYTSRIVVRLESFPELMTAAKFLLMRSVI
+EICQEVIKQS
+>Q9HBE1_PF00096_357 <unknown description>
+CEICGKIFRDVYHLNRHKLSH
+>Q9HBE1_PF00096_383 <unknown description>
+YSCPVCGLRFKRKDRMSYHVRSH
+>Q9HBE1_PF00096_413 <unknown description>
+YICQSCGKGFSRPDHLNGHIKQVH
+>Q9HBE1_PF13912_442 <unknown description>
+HKCQTCNASFATRDRLRSHLACHED
+>Q9HBE1_PF13894_497 <unknown description>
+CSICNRGFSSASYLKVHVKTHH
+>Q9HBE1_PF16637_535 <unknown description>
+GGAAFHCARTYGNKEGQKCSHQDPIESSDSYGDLSDASDLKTPEKQSANGSFSCDMAVPK
+NKMESDGEKK
+>Q9HBE1_PF00096_605 <unknown description>
+YPCPECGSFFRSKSYLNKHIQKVH
+>Q02962_PF00292_16 <unknown description>
+GHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVSHGCVSKILGRYYET
+GSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPTMFAWEIRDRLLAEGICDNDTVPSVSSI
+NRIIR
+>Q02962_PF12403_285 <unknown description>
+SASTNPELGSNVSGTQTYPVVTGRDMASTTLPGYPPHVPPTGQGSYPTSTLAGMVPGSEF
+SGNPYSHPQYTAYNEAWRFSNPALLSSPYYYSAAPRGSAPAAAAAAYDR
+>P23760_PF00292_34 <unknown description>
+GQGRVNQLGGVFINGRPLPNHIRHKIVEMAHHGIRPCVISRQLRVSHGCVSKILCRYQET
+GSIRPGAIGGSKPKQVTTPDVEKKIEEYKRENPGMFSWEIRDKLLKDAVCDRNTVPSVSS
+ISRILR
+>P23760_PF00046_220 <unknown description>
+RRSRTTFTAEQLEELERAFERTHYPDIYTREELAQRAKLTEARVQVWFSNRRARWRK
+>P23760_PF12360_347 <unknown description>
+NPDSSSAYCLPSTRHGFSSYTDSFVPPSGPSNPMNPTIGNGLSPQ
+>Q02548_PF00292_16 <unknown description>
+GHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVSHGCVSKILGRYYET
+GSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLAERVCDNDTVPSVSSI
+NRIIR
+>Q02548_PF12403_283 <unknown description>
+SPTPADIGSSVPGPQSYPIVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFS
+GSPYSHPQYSSYNDSWRFPNPGLLGSPYYYSAAARGAAPPAAATAYDR
+>P26367_PF00292_4 <unknown description>
+SHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNQNVS
+NGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSE
+GVCTNDNIPSVSSINRVLR
+>P26367_PF00046_226 <unknown description>
+RNRTSFTQEQIEALEKEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRR
+>P23759_PF00292_34 <unknown description>
+GQGRVNQLGGVFINGRPLPNHIRHKIVEMAHHGIRPCVISRQLRVSHGCVSKILCRYQET
+GSIRPGAIGGSKPRQVATPDVEKKIEEYKRENPGMFSWEIRDRLLKDGHCDRSTVPSGLV
+SSISRVLR
+>P23759_PF00046_218 <unknown description>
+RRSRTTFTAEQLEELEKAFERTHYPDIYTREELAQRTKLTEARVQVWFSNRRARWRK
+>P23759_PF12360_346 <unknown description>
+ADTSSAYGARHSFSSYSDSFMNPAAPSNHMNPVSNGLSPQ
+>Q06710_PF00292_9 <unknown description>
+GHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSKILGRYYET
+GSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDNDTVPSVSSI
+NRIIR
+>Q06710_PF12403_339 <unknown description>
+VPPFNAFPHAASVYGQFTGQALLSGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVAGS
+EYSGNAYGHTPYSSYSEAWRFPNSSLLSSPYYYSSTSRPSAPPTTATAFDH
+>P55771_PF00292_6 <unknown description>
+GEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARYNETGS
+ILPGAIGGSKPRVTTPTVVKHIRTYKQRDPGIFAWEIRDRLLADGVCDKYNVPSVSSISR
+ILR
+>Q9Y5B6_PF07842_595 <unknown description>
+IDCIKSQFEAWRSKYYTSYKDAYIGLCLPKLFNPLIRLQLLTWTPLEAKCRDFENMLWFE
+SLLFYGCEEREQEKDDVDVALLPTIVEKVILPKLTVIAENMWDPFSTTQTSRMVGITLKL
+INGYPSVVNAENKNTQVYLKALLLRMRRTLDDDVFMPLYPKNVLENKNSGPYLFFQRQFW
+SSVKLLGNFLQWYGIFSNKTLQELSIDGLLNRY
+>Q6ZW49_PF12738_103 <unknown description>
+TACLSQVSSEDRSALWALVTFYGGDCQLTLNKKCTHLIVPEPKGEKYECALKRASIKIVT
+PDW
+>Q6ZW49_PF00533_603 <unknown description>
+EGFLLGCVFAIADYPEQMSDKQLLATWKRIIQAHGGTVDPTFTSRCTHLLCESQVSSAYA
+QAIRERKRCVTAHWLN
+>Q6ZW49_PF12738_710 <unknown description>
+IISVTGFVDSDRDDLKLMAYLAGAKYTGYLCRSNTVLICKEPTGLKYEKAKEWRIPCVNA
+QW
+>Q6ZW49_PF16770_858 <unknown description>
+TKKLTPELTPFVLFTGFEPVQVQQYIKKLYILGGEVAESAQKCTHLIASKVTRTVKFLTA
+ISVVKHIVTPEWLEECFRCQKFIDEQNYIL
+>Q9BUH6_PF15384_8 <unknown description>
+LCTLPPGPEPPRFVCYCEGEESGEGDRGGFNLYVTDAAELWSTCFTPDSLAALKARFGLS
+AAEDITPRFRAACEQQAVALTLQEDRASLTLSGGPSALAFDLSKVPGPEAAPRLRALTLG
+LAKRVWSLERRLAAAEETAVSPRKSPRPAGPQLFLPDPDPQRGGPGPGVRRRCPGESLIN
+PGFKSKKPAGGVDFDET
+>Q9BVG4_PF04669_35 <unknown description>
+IEMAWAMRAMQHAEVYYKLISSVDPQFLKLTKVDDQIYSEFRKNFETLRIDVLDPEELKS
+ESAKEKWRPFCLKFNGIVEDFNYGTLLRLDCSQGYTEENTIFAPRIQFFAIEIARNREGY
+>P30039_PF02567_9 <unknown description>
+DAFTARAFRGNPAAVCLLENELDEDMHQKIAREMNLSETAFIRKLHPTDNFAQSSCFGLR
+WFTPASEVPLCGHATLASAAVLFHKIKNMNSTLTFVTLSGELRARRAEDGIVLDLPLYPA
+HPQDFHEVEDLIKTAIGNTLVQDICYSPDTQKLLVRLSDVYNRSFLENLKVNTENLLQVE
+NTGKVKGLILTLKGEPGGQTQAFDFYSRYFAPWVGVAEDPVTGSAHAVLSSYWSQHLGKK
+EMHAFQCSHRGGELGISLRPDGRVDIRGGAAVVLEG
+>P40424_PF03792_42 <unknown description>
+DIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPT
+DPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIY
+HTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCE
+AVMILRSRFLD
+>P40424_PF00046_234 <unknown description>
+RRKRRNFNKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKK
+>P40425_PF03792_52 <unknown description>
+DIGDILQQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPV
+DPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHI
+YHSELEKYEQACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTC
+EAVMILRSRFLD
+>P40425_PF00046_245 <unknown description>
+RRKRRNFSKQATEVLNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKK
+>P40426_PF03792_45 <unknown description>
+DIGDILHQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPP
+DPQLMRLDNMLLAEGVSGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYH
+TELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEA
+VMILRSRFLD
+>P40426_PF00046_236 <unknown description>
+RRKRRNFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKK
+>Q9BYU1_PF03792_18 <unknown description>
+DTSDVLQQIMAITDQSLDEAQARKHALNCHRMKPALFSVLCEIKEKTVVSIRGIQDEDPP
+DAQLLRLDNMLLAEGVCRPEKRGRGGAVARAGTATPGGCPNDNSIEHSDYRAKLSQIRQI
+YHSELEKYEQACREFTTHVTNLLQEQSRMRPVSPKEIERMVGAIHGKFSAIQMQLKQSTC
+EAVMTLRSRLLD
+>Q9BYU1_PF00046_211 <unknown description>
+RRKRRNFSKQATEVLNEYFYSHLNNPYPSEEAKEELARKGGLTISQVSNWFGNKRIRYKK
+>Q9BZA7_PF08266_27 <unknown description>
+NYTIREEMPENVLIGDLLKDLNLSLIPNKSLTTAMQFKLVYKTGDVPLIRIEEDTGEIFT
+TGARIDREKLCAGIPRDEHCFYEVEV
+>Q9BZA7_PF00028_145 <unknown description>
+NISIPENSAINSKYTLPAAVDPDVGINGVQNYELIKSQNIFGLDVIETPEGDKMPQLIVQ
+KELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVT
+>Q9BZA7_PF00028_255 <unknown description>
+EVSIPENAPVGTSVTQLHATDADIGENAKIHFSFSNLVSNIARRLFHLNATTGLITIKEP
+LDREETPNHKLLVLASDGGLMPARAMVLVNVT
+>Q9BZA7_PF00028_368 <unknown description>
+VVLSENIPLNTKIALITVTDKDADHNGRVTCFTDHEIPFRLRPVFSNQFLLETAAYLDYE
+STKEYAIKLLAADAGKPPLNQSAMLFIKV
+>Q9BZA7_PF00028_472 <unknown description>
+TVSIPENNSPGIQLTKVSAMDADSGPNAKINYLLGPDAPPEFSLDCRTGMLTVVKKLDRE
+KEDKYLFTILAKDNGVPPLTSNVTVFVSI
+>Q9BZA7_PF00028_575 <unknown description>
+YNFYVPENLPRHGTVGLITVTDPDYGDNSAVTLSILDENDDFTIDSQTGVIRPNISFDRE
+KQESYTFYVKAEDGGRVSRSSSAKVTINV
+>Q9BZA7_PF00028_685 <unknown description>
+VLPSTNPGTVVFQVIAVDNDTGMNAEVRYSIVGGNTRDLFAIDQETGNITLMEKCDVTDL
+GLHRVLVKANDLGQPDSLFSVVIVNL
+>Q9BZA7_PF08374_775 <unknown description>
+SVTNATLINELVRKSTEAPVTPNTEIADVSSPTSDYVKILVAAVAGTITVVVVIFITAVV
+RCRQAPHLKAAQKNKQNSEWATPNPENRQMIMMKKKKKKKKHSPKNLLLNFVTIEETKAD
+DVDSDGNRVTLDLPIDLEEQTMGKYNWVTTPTTFKPDSPDLARHYKSASPQPAFQIQPET
+PLNSKHHIIQELPLDNTFVACDSISKCSSSSSDPYSVSDCGY
+>A6NGG8_PF15449_1 <unknown description>
+MGCTPSHSDLVNSVAKSGIQFLKKPKAIRPGCQGGSERGSIPLLVKNSTCYDAGEGLAEE
+QPSPRRNQTTAKGLCQLMGDPASGKRKDMEGLIPGTKTSSSQLNKSQSHMAKDIPFKTQG
+SHGSQGADFSGDESEESSTQDTSKWKRTAKCHTSSTQSHCYQTIHPAHEPEGKVDFPEPL
+VKAHQQAYTYLHSSLSKYEAILCIIHQATQTRELLQPMVSFLLLCFEEISQLLGEISKDG
+EVLLQEVREDLAWPLKKREPQEQPNLLQQLLQYTVSKLQVLNGTVASLTGSFLEGSSSYL
+HSTATHLENKLSTKRNVDERLLRALRQLESLASGCGDPGVQGLPLCSEDSGIGADNESVQ
+SVDKLGKQTSWDLAPEPEEWKSVTSPHTEARQSGHTWQQSPFCLGSGRPQDCLLSGAPMA
+KVQPRAQDEARSPCLSSTSPENITSPPLKLGTSTPCDSFGIGVSVEPHLSKTSRPMDASS
+LSDSEDSSPEEEEEDKMSSMSLCAWQEKTPHSRPQSSPADRESPFQARTRRLRSLQAQEM
+ILKMKESISERIKFVPVPCGHQDWSEEEEGRTVVPPRPSTVSGSRRAPERQTRSQSESCL
+QSHVEDPTFQELRRVQRDLSQKLEAFYALGAKGQGQSQEQILQPRAAAVWPNGTCRVSPS
+NTTSRLKASLTKNFSILPSQDKSILQKCNPHPEDEQGKAGKLPNAIPSGEVSEAAKATDW
+NVRGCPTRTSVKKLIETFSPTESLRMLGDSKDAGASPCLRNCIMPPRFPKYTGLAPLYPK
+PQISPASGRESLKMGIGWKPLAPIFPPLPKAEAAKSEELSCEMEGNLEHLPPPPMEVLMD
+KSFASLESPESSKSTENSPKETQEPGPGEAGPTRRTWASPKLRASVSPLDLLPSKSTASL
+TKPHSTGPGSGRSSCQPRKPALDLSSPPATSQSPEVKGGTWSQAEKATSLYRQPRKAIAW
+HHSGPPSGQNRTSESSLARPRQSRERSPPVGRKASPTRTHWVPQADKRRRSLPSSYRPAQ
+PSPSAVQTPPSPPVSPRVLSPPTTKRRTSPPHQPKLPNPPPESAPAQCKVPSPPTQHPEA
+SPPFSIPSPSPPMSPSQEHKETRDSEDSQAVIAKVSGNTHSIFCPATSSLFEAKPPLSTA
+HPLTPPSLPPEAGGPLGNPAECWKNSSGPWLRADSQRRAALCALNPLPFLRRTASDRQPG
+GRPQPPTLDPTSTSYESQLGQNSSSEESPKKDTEPGSSPCSPELQGGTRRASPPEFCVLG
+HGLQ
+>Q8NF37_PF01553_114 <unknown description>
+RVAVKGRQALPTEAAILTLAPHSSYFDAIPVTMTMSSIVMKAESRDIPIWGTLIQYIRPV
+FVSRSDQDSRRKTVEEIKRRAQSNGKWPQIMIFPEGTCTNRTCLITFKPGAFI
+>Q8NF37_PF13833_432 <unknown description>
+EDGSVGEGDLSCILKTALGVAELTVTDLFRAIDQEEKGKITFADFHRFAEMY
+>Q7L5N7_PF01553_128 <unknown description>
+VKGKIASPLEAPVFVAAPHSTFFDGIACVVAGLPSMVSRNENAQVPLIGRLLRAVQPVLV
+SRVDPDSRKNTINEIIKRTTSGGEWPQILVFPEGTCTNRSCLITFKPGAFI
+>Q7L5N7_PF13202_396 <unknown description>
+LRQLFALFDRNHDGSIDFRE
+>Q7L5N7_PF00036_433 <unknown description>
+IQVAFKLFDVDEDGYITEEEFSTILQ
+>Q15365_PF00013_15 <unknown description>
+TIRLLMHGKEVGSIIGKKGESVKRIREESGARINISEGNCPERIITLTGPTNAIFKAFAM
+II
+>Q15365_PF00013_99 <unknown description>
+TLRLVVPATQCGSLIGKGGCKIKEIRESTGAQVQVAGDMLPNSTERAITIAGVPQSVTEC
+VKQI
+>Q15365_PF00013_281 <unknown description>
+THELTIPNNLIGCIIGRQGANINEIRQMSGAQIKIANPVEGSSGRQVTITGSAASISLAQ
+YLIN
+>Q15366_PF00013_15 <unknown description>
+TIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIITLAGPTNAIFKAFAM
+II
+>Q15366_PF00013_99 <unknown description>
+TLRLVVPASQCGSLIGKGGCKIKEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIEC
+VKQI
+>Q15366_PF00013_287 <unknown description>
+HELTIPNDLIGCIIGRQGAKINEIRQMSGAQIKIANPVEGSTDRQVTITGSAASISLAQY
+LIN
+>P57721_PF00013_47 <unknown description>
+TIRLLMHGKEVGSIIGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAM
+IA
+>P57721_PF00013_131 <unknown description>
+TLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTISGTPDAIIQC
+VKQI
+>P57721_PF00013_295 <unknown description>
+THELTIPNDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQ
+YLIN
+>P57723_PF00013_19 <unknown description>
+TLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPERITTITGSTAAVFHAVSM
+I
+>P57723_PF00013_103 <unknown description>
+TLRLVIPASQCGSLIGKAGTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILC
+VRQIC
+>P57723_PF00013_244 <unknown description>
+QEFLVPNDLIGCVIGRQGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIALAQY
+LIT
+>P05165_PF00289_63 <unknown description>
+FDKILVANRGEIACRVIRTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKSYL
+NMDAIMEAIKKTRAQAVHPGYGFLSENKEFARCLAAEDVVFIGPDTHAI
+>P05165_PF02786_176 <unknown description>
+DKIESKLLAKKAEVNTIPGFDGVVKDAEEAVRIAREIGYPVMIKASAGGGGKGMRIAWDD
+EETRDGFRLSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRR
+NQKVVEEAPSIFLDAETRRAMGEQAVALARAVKYSSAGTVEFLVDSKKNFYFLEMNTRLQ
+VEHPVTECITGLDLVQEMIRVAKGYPLRH
+>P05165_PF02785_397 <unknown description>
+ECRVYAEDPYKSFGLPSIGRLSQYQEPLHLPGVRVDSGIQPGSDISIYYDPMISKLITYG
+SDRTEALKRMADALDNYVIRGVTHNIALLREVIINSRFVKGDISTKFLS
+>P05165_PF18140_525 <unknown description>
+LLAIASSLFVAFQLRAQHFQENSRMPVIKPDIANWELSVKLHDKVHTVVASNNGSVFSVE
+VDGSKLNVTSTWNLASPLLSVSVDGTQRTVQCLSREAGGNMSIQFLGTVYKVNILTRLAA
+ELNKFMLEK
+>P05165_PF00364_662 <unknown description>
+LRSPMPGVVVAVSVKPGDAVAEGQEICVIEAMKMQNSMTAGKTGTVKSVHCQAGDTVGEG
+DLLVEL
+>P05166_PF01039_58 <unknown description>
+QHKRGKLTARERISLLLDPGSFVESDMFVEHRCADFGMAADKNKFPGDSVVTGRGRINGR
+LVYVFSQDFTVFGGSLSGAHAQKICKIMDQAITVGAPVIGLNDSGGARIQEGVESLAGYA
+DIFLRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVKDTSYLFITGPDVVKSVTNE
+DVTQEELGGAKTHTTMSGVAHRAFENDVDALCNLRDFFNYLPLSSQDPAPVRECHDPSDR
+LVPELDTIVPLESTKAYNMVDIIHSVVDEREFFEIMPNYAKNIIVGFARMNGRTVGIVGN
+QPKVASGCLDINSSVKGARFVRFCDAFNIPLITFVDVPGFLPGTAQEYGGIIRHGAKLLY
+AFAEATVPKVTVITRKAYGGAYDVMSSKHLCGDTNYAWPTAEIAVMGAKGAVEIIFKGHE
+NVEAAQAEYIEKFANPFPAAVRGFVDDIIQPSSTRARICCDLDVLASKKVQRPWRKHANI
+>Q9P2E7_PF08266_19 <unknown description>
+QLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARGFQTVPNSRTPYLDLNLETGVLYVNEK
+IDREQICKQSPSCVLHLEVFLEN
+>Q9P2E7_PF00028_128 <unknown description>
+TVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRFAELVLEK
+PLDREQQAVHRYVLTAVDGGGGGGVG
+>Q9P2E7_PF00028_255 <unknown description>
+YTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRARELFGLSPRTGRLEVSG
+ELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRV
+>Q9P2E7_PF00028_367 <unknown description>
+VSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAPLDREAG
+DSYTLTVVARDRGEPALSTSKSIQVQV
+>Q9P2E7_PF00028_468 <unknown description>
+YDVYVTENNVPGAYIYAVSATDRDEGANAQLAYSILECQIQGMSVFTYVSINSENGYLYA
+LRSFDYEQLKDFSFQVEARDAGSPQALAGNATVNILI
+>Q9P2E7_PF00028_594 <unknown description>
+AEPGYLLTRVAAVDADDGENARLTYSIVRGNEMNLFRMDWRTGELRTARRVPAKRDPQRP
+YELVIEVRDHGQPPLSSTATLVVQL
+>Q9P2E7_PF16492_713 <unknown description>
+DLTLILIIALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGGGGSTCCG
+RQARARKKKLSKSDIMLVQSSNVPSNPAQVPIEESGGFGSHHHNQNYCYQVCLTPESAKT
+DLMFLK
+>Q9NPG4_PF08266_32 <unknown description>
+VKYQVSEEVPSGTVIGKLSQELGREERRRQAGAAFQVLQLPQALPIQVDSEEGLLSTGRR
+LDREQLCRQWDPCLVSFDVLA
+>Q9NPG4_PF00028_142 <unknown description>
+LEISESASLRTRIPLDRALDPDTGPNTLHTYTLSPSEHFALDVIVGPDETKHAELIVVKE
+LDREIHSFFDLVLTAYDNGNPPKSGTSLVKVNVL
+>Q9NPG4_PF00028_252 <unknown description>
+EIQEDAAPGTLLIKLTATDPDQGPNGEVEFFLSKHMPPEVLDTFSIDAKTGQVILRRPLD
+YEKNPAYEVDVQARDLGPNPIPAHCKVLIKVL
+>Q9NPG4_PF00028_363 <unknown description>
+VSEALPKDSFIALVMADDLDSGHNGLVHCWLSQELGHFRLKRTNGNTYMLLTNATLDREQ
+WPKYTLTLLAQDQGLQPLSAKKQLSIQI
+>Q9NPG4_PF00028_465 <unknown description>
+YEVSTRENNLPSLHLITIKAHDADLGINGKVSYRIQDSPVAHLVAIDSNTGEVTAQRSLN
+YEEMAGFEFQVIAEDSGQPMLASSVSVWVSL
+>Q9NPG4_PF00028_618 <unknown description>
+LTTIVARDADSGANGEPLYSIRSGNEAHLFILNPHTGQLFVNVTNASSLIGSEWELEIVV
+EDQGSPPLQTRALLRV
+>Q96QU1_PF18432_31 <unknown description>
+DCKLARGGPPATIVAIDEESRNGTILVDNMLIKGTAGGPDPTIELSLKDNVDYWVLMDPV
+KQMLFLNSTGRVLDRDPPMNIHSIVVQVQCINKKVGTIIYHEVRIVVRDR
+>Q96QU1_PF00028_152 <unknown description>
+YYATVNELTPVGTTIFTGFSGDNGATDIDDGPNGQIEYVIQYNPDDPTSNDTFEIPLMLT
+GNIVLRKRLNYEDKTRYFVIIQANDRAQNLNERRTTTTTLTVDVL
+>Q96QU1_PF00028_521 <unknown description>
+DMRPGDSVIQLTAVDADEGSNGEITYEILVGAQGDFIINKTTGLITIAPGVEMIVGRTYA
+LTVQAADNAPPAERRNSICTVYIEV
+>Q96QU1_PF00028_621 <unknown description>
+YSLEISEAMRVGAVLLNLQATDREGDSITYAIENGDPQRVFNLSETTGILTLGKALDRES
+TDRYILIITASDGRPDGTSTATVNIVVT
+>Q96QU1_PF00028_726 <unknown description>
+SVVEEEANAFVGQVKATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREVRDYY
+ELVVVATDGAVHPRHSTLTLAIKVL
+>Q96QU1_PF00028_824 <unknown description>
+YTVLVEENLPAGTTILQIEAKDVDLGANVSYRIRSPEVKHFFALHPFTGELSLLRSLDYE
+AFPDQEASITFLVEAFDIYGTMPPGIATVTVIV
+>Q96QU1_PF00028_938 <unknown description>
+DAVKGTPITTVYAEDADPPGLPASRVRYRVDDVQFPYPASIFEVEEDSGRVITRVNLNEE
+PTTIFKLVVVAFDDGEPVMSSSATVKILVL
+>Q96QU1_PF00028_1046 <unknown description>
+SELATKGTMVGVISAAAINQSIVYSIVSGNEEDTFGINNITGVIYVNGPLDYETRTSYVL
+RVQADSLEVVLANLRVPSKSNTAKVYIEIQ
+>Q96QU1_PF00028_1153 <unknown description>
+VSEDARMFTSVLRVKATDKDTGNYSVMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHN
+MRRSYFKFQVIATDDYGKGLSGKADVLVSV
+>Q96JQ0_PF00028_151 <unknown description>
+QVPEHTAFGTRYPLEPARDADAGRLGTQGYALSGDGAGETFRLETRPGPDGTPVPELVVT
+GELDRENRSHYMLQLEAYDGGSPPRRAQALLDVTL
+>Q96JQ0_PF00028_260 <unknown description>
+YHAVVSESLAPGSPVLQVFASDADAGVNGAVTYEINRRQSEGDGPFSIDAHTGLLQLERP
+LDFEQRRVHELVVQARDGGAHPELGSAFVTVHV
+>Q96JQ0_PF00028_374 <unknown description>
+QVSEAAPPGQLVARISVSDPDDGDFAHVNVSLEGGEGHFALSTQDSVIYLVCVARRLDRE
+ERDAYNLRVTATDSGSPPLRAEAAFVLHV
+>Q96JQ0_PF00028_482 <unknown description>
+LPEVALPGSFVVRVTARDPDQGTNGQVTYSLAPGAHTHWFSIDPTSGIITTAASLDYELE
+PQPQLIVVATDGGLPPLASSATVSVAL
+>Q96JQ0_PF00028_583 <unknown description>
+YNASLPEGTQPGTCFLQVTATDADSGPFGLLSYSLGAGLGSSGSPPFRIDAHSGDVCTTR
+TLDRDQGPSSFDFTVTAVDGGGLKSMVY
+>Q96JQ0_PF00028_690 <unknown description>
+YAASISAQSPPGTAVLRLRAHDPDQGSHGRLSYHILAGNSPPLFTLDEQSGLLTVAWPLA
+RRANSVVQLEIGAEDGGGLQAEPSARVDISI
+>Q96JQ0_PF00028_795 <unknown description>
+YVFSVPEDVAPGTSVGIVQAHNPPGRLAPVTLSLSGGDPRGLFSLDAVSGLLQTLRPLDR
+ELLGPVLELEVRAGSGVPPAFAVARVRVL
+>Q96JQ0_PF00028_906 <unknown description>
+NTAPGTPIYTLRALDPDSGVNSRVTFTLLAGGGGAFTVDPTTGHVRLMRPLGPSGGPAHE
+LELEARDGGSPPRTSHFRLRVVVQ
+>Q96JQ0_PF00028_1005 <unknown description>
+YRVDLPSGTTAGTQVLQVQAQAPDGGPITYHLAAEGASSPFGLEPQSGWLWVRAALDREA
+QELYILKVMAVSGSKAELGQQTGTATVRVSI
+>Q96JQ0_PF00028_1113 <unknown description>
+AVAENQPPGTSVGRVFATDRDSGPNGRLTYSLQQLSEDSKAFRIHPQTGEVTTLQTLDRE
+QQSSYQLLVQVQDGGSPPRSTTGTVHVAVL
+>Q96JQ0_PF00028_1224 <unknown description>
+IQVPDRVPPGTLVTTLQAKDPDEGENGTILYTLTGPGSELFSLHPHSGELLTAAPLIRAE
+RPHYVLTLSAHDQGSPPRSASLQLLVQVL
+>Q96JQ0_PF00028_1444 <unknown description>
+ALPENPEPGAALYTFRASDADGPGPNSDVRYRLLRQEPPVPALRLDARTGALSAPRGLDR
+ETTPALLLLVEATDRPANASRRRAARVSARVF
+>Q96JQ0_PF00028_1552 <unknown description>
+VRLPEDQPPGPAALHVVARDPDLGEAARVSYRLASGGDGHFRLHSSTGALSVVRPLDREQ
+RAEHVLTVVASDHGSPPRSATQVLTVSV
+>Q96JQ0_PF00028_1654 <unknown description>
+YSVLLRENNPPGTSLLTLRATDPDVGANGQVTYGGVSSESFSLDPDTGVLTTLRALDREE
+QEEINLTVYAQDRGSPPQLTHVTVRVAVE
+>Q96JQ0_PF00028_1758 <unknown description>
+LEVPEGQDPQTLTMLRASDPDVGANGQLQYRILDGDPSGAFVLDLASGEFGTMRPLDREV
+EPAFQLRIEARDGGQPALSATLLLTVTVL
+>Q96JQ0_PF00028_1860 <unknown description>
+YSVEVPEDVPAGTLLLQLQAHDPDAGANGHVTYYLGAGTAGAFLLEPSSGELRTAAALDR
+EQCPSYTFSVSAVDGAAAGPLSTTVSVTITV
+>Q96JQ0_PF00028_2074 <unknown description>
+EATIRENAPPGTPIVSPRAVHAGGTNGPITYSILSGNEKGTFSIQPSTGAITVRSAEGLD
+FEVSPRLRLVLQAESG
+>Q96JQ0_PF00028_2176 <unknown description>
+YVAFLPESRPLEGPLLQVEADDLDQGSGGQISYSLAASQPARGLFHVDPTTGTITTTAIL
+DREIWAETRLVLMATDRGSPALVGSATLTVMV
+>Q96JQ0_PF00028_2284 <unknown description>
+RVSEDALLGSEIAQVTGNDVDSGPVLWYVLSPSGPQDPFSVGRYGGRVSLTGPLDFEQCD
+RYQLQLLAHDGPHEGRANLTVLV
+>Q96JQ0_PF00028_2381 <unknown description>
+YQVMLLEHTPPGSAILSVSATDRDSGANGHISYHLASPADGFSVDPNNGTLFTIVGTVAL
+GHDGSGAVDVVLEARDHGAPGRAARATVHVQL
+>Q96JQ0_PF00028_2487 <unknown description>
+YRVAVTEDLPPGSTLLTLEATDADGSRSHAAVDYSIISGNWGRVFQLEPRLAEAGESAGP
+GPRALGCLVLLEPLDFESLTQYNLTVAAADRGQPPQSSVVPVTVTVL
+>Q96JQ0_PF00028_2607 <unknown description>
+YRVTVPEDTPVGAELLHVEASDADPGPHGLVRFTVSSGDPSGLFELDESSGTLRLAHALD
+CETQARHQLVVQAADPAGAHFALAPVTIEV
+>Q96JQ0_PF00028_2712 <unknown description>
+STSVAENQPPGTLVTTLHAIDGDAGAFGRLRYSLLEAGPGPEGREAFALNSSTGELRARV
+PFDYEHTESFRLLVGAADAGNLSASVTVSVLV
+>O14917_PF08266_21 <unknown description>
+LNYSVPEEQGAGTVIGNIGRDARLQPGLPPAERGGGGRSKSGSYRVLENSAPHLLDVDAD
+SGLLYTKQRIDRESLCRHNAKCQLSLEVFAN
+>O14917_PF00028_138 <unknown description>
+EMDISENAAPGTRFPLTSAHDPDAGENGLRTYLLTRDDHGLFGLDVKSRGDGTKFPELVI
+QKALDREQQNHHTLVLTALDGGEPPRSATVQINVKV
+>O14917_PF00028_248 <unknown description>
+YLVELPENAPLGTVVIDLNATDADEGPNGEVLYSFSSYVPDRVRELFSIDPKTGLIRVKG
+NLDYEENGMLEIDVQARDLGPNPIPAHCKVTVKL
+>O14917_PF00028_359 <unknown description>
+GALSEAAPPGTVIALVRVTDRDSGKNGQLQCRVLGGGGTGGGGGLGGPGGSVPFKLEENY
+DNFYTVVTDRPLDRETQDEYNVTIVARDGGSPPLNSTKSFAIKIL
+>O14917_PF00028_477 <unknown description>
+YVLQVHENNIPGEYLGSVLAQDPDLGQNGTVSYSILPSHIGDVSIYTYVSVNPTNGAIYA
+LRSFNFEQTKAFEFKVLAKDSGAPAHLESNATVRVTVL
+>O14917_PF00028_596 <unknown description>
+QVPRNAGLGYLVSTVRALDSDFGESGRLTYEIVDGNDDHLFEIDPSSGEIRTLHPFWEDV
+TPVVELVVKVTDHGKPTLSAVAKLII
+>Q9HCL0_PF08266_30 <unknown description>
+LKYRIYEEQRVGSVIARLSEDVADVLLKLPNPSTVRFRAMQRGNSPLLVVNEDNGEISIG
+ATIDREQLCQKNLNCSIEFDVI
+>Q9HCL0_PF00028_144 <unknown description>
+IEISESAAVGTRIPLDSAFDPDVGENSLHTYSLSANDFFNIEVRTRTDGAKYAELIVVRE
+LDRELKSSYELQLTASDMGVPQRSGSSILKISI
+>Q9HCL0_PF00028_252 <unknown description>
+IIQLLENSPVGTLLLDLNATDPDEGANGKIVYSFSSHVSPKIMETFKIDSERGHLTLFKQ
+VDYEITKSYEIDVQAQDLGPNSIPAHCKIIIKV
+>Q9HCL0_PF00028_371 <unknown description>
+EGDPIDTFVALVRVQDKDSGLNGEIVCKLHGHGHFKLQKTYENNYLILTNATLDREKRSE
+YSLTVIAEDRGTPSLSTVKHFTVQI
+>Q9HCL0_PF00028_470 <unknown description>
+YEFVISENNSPGAYITTVTATDPDLGENGQVTYTILESFILGSSITTYVTIDPSNGAIYA
+LRIFDHEEVSQITFVVEARDGGSPKQLVSNTTVVLTI
+>Q9HCL0_PF00028_588 <unknown description>
+ITIPKGAESGFHVTRIRAIDRDSGVNAELSCAIVAGNEENIFIIDPRSCDIHTNVSMDSV
+PYTEWELSVIIQDKGNPQLHTKVLLK
+>Q8TAB3_PF08266_25 <unknown description>
+LKYSVEEEQRAGTVIANVAKDAREAGFALDPRQASAFRVVSNSAPHLVDINPSSGLLVTK
+QKIDRDLLCRQSPKCIISLEVM
+>Q8TAB3_PF00028_136 <unknown description>
+LEISEAASPGTRIPLDSAYDPDSGSFGVQTYELTPNELFGLEIKTRGDGSRFAELVVEKS
+LDRETQSHYSFRITALDGGDPPRLGTVGLSIKVT
+>Q8TAB3_PF00028_243 <unknown description>
+YAVSVPENSPPNTPVIRLNASDPDEGTNGQVVYSFYGYVNDRTRELFQIDPHSGLVTVTG
+ALDYEEGHVYELDVQAKDLGPNSIPAHCKVTVSVL
+>Q8TAB3_PF00028_356 <unknown description>
+VEVSESAPPGYVIALVRVSDRDSGLNGRVQCRLLGNVPFRLQEYESFSTILVDGRLDREQ
+HDQYNLTIQARDGGVPMLQSAKSFTVLI
+>Q8TAB3_PF00028_458 <unknown description>
+YQVIVQENNTPGAYLLSVSARDPDLGLNGSVSYQIVPSQVRDMPVFTYVSINPNSGDIYA
+LRSFNHEQTKAFEFKVLAKDGGLPSLQSNATVRVII
+>Q8TAB3_PF00028_576 <unknown description>
+YIPRNSGIGYLVTVVKAEDYDEGENGRVTYDMTEGDRGFFEIDQVNGEVRTTRTFGESSK
+SSYELIVVAHDHGKTSLSASALVLIY
+>Q8N6Y1_PF00028_216 <unknown description>
+VWVPENAPVNTRLAIEHPAVDPDVGINGVQTYRLLDYHGMFTLDVEENENGERTPYLIVM
+GALDRETQDQYVSIIIAEDGGSPPLLGSATLTIGI
+>Q8N6Y1_PF00028_326 <unknown description>
+NVTVYGNATVGTPIAAVQAVDKDLGTNAQITYSYSQKVPQASKDLFHLDENTGVIKLFSK
+IGGSVLESHKLTILAN
+>Q8N6Y1_PF00028_542 <unknown description>
+LTIEENNSPNAFLTKLYATDADSEERGQVSYFLGPDAPSYFSLDSVTGILTVSTQLDREE
+KEKYRYTVRAVDCGKPPRESVATVALTVL
+>Q8N6Y1_PF00028_644 <unknown description>
+FSFFVPENFPGYGEIGVISVTDADAGRNGWVALSVVNQSDIFVIDTGKGMLRAKVSLDRE
+QQSSYTLWVEAVDGGEPALSSTAKITILL
+>Q8N6Y1_PF00028_756 <unknown description>
+PSTLPGSPVTEVYAVDKDTGMNAVIAYSIIGRRGPRPESFRIDPKTGNITLEEALLQTDY
+GLHRLLVKVSDHGYPEPLHSTVMVNLFV
+>Q6V1P9_PF00028_301 <unknown description>
+YRAAVREDAQPGAEVCRVRATDRDLGPNGFVRYSVRARQVPGAGSGGGALGDAAYFAVEE
+LSGVVRVWRPLDREAQAWHQLVVEARDGGAEPEVATVRVSIAVL
+>Q6V1P9_PF00028_424 <unknown description>
+ARVSEGARPGDYVARVSVSDADGDWEKEDEATGELGVGLGDGSISLSLEGGEGDFALLPG
+GPPGVFFLCVEGPLDRESRDLYELLLVATDAGSPPLSTEETLLLRV
+>Q6V1P9_PF00028_544 <unknown description>
+YKASVSEAAAPGTVVMWVSASDADEAGSDHAWLRYTVVQLSAPCNLGSLQSKMVHTAECG
+PSFAIDSESGAISTIRTLDREVQEAVELKVVAQDLGEPPLSATCLVSITV
+>Q6V1P9_PF00028_668 <unknown description>
+YNATIAEHAPVGHCFLQVTASDADSGLYGFIEYSLYDGFLSYEAPQAFRIDPHDGQICVS
+QDIDRERDPATYDLLVEAKDGGGLSAQAFVRVD
+>Q6V1P9_PF00028_776 <unknown description>
+YVTSISDETQPGTEIINVLATDQDSGIYGTVAYELIPGNVSSLFTIDSTTGIIYLTLPLS
+HLESTTLSLMVSAQDGGGLTAVINADVTI
+>Q6V1P9_PF00028_881 <unknown description>
+YTFLVYEDVPEDSPIGTVKAREPLNSSEPIFYRISSGDLGGKFSIHPRLGTIRTRKPLDH
+ETQPVVVLTVQAQLGSAPACSSTEVNITV
+>Q6V1P9_PF00028_985 <unknown description>
+EIRISQTTPPGTALYLARAEDRDSGRNGLIRYSIASPQPGVFAIDRALGVLFLNGSLGAG
+EQRELTLTLRAEDQGVHPQAALLVLTVVI
+>Q6V1P9_PF00028_1089 <unknown description>
+YQVEVSESLSPMTQMLQTQAHPLGPQRAASPLRYSLEPSVDSAMFGIRPYTGWIYLRRQF
+DYESTQTYNFRVFAW
+>Q6V1P9_PF00028_1199 <unknown description>
+KVEESPVPQGVIGKITAIDMDSGKNGQLLYFLLSDGKFFKMNPNTGELINWVALDREHRG
+HHEMTVLVTDRGSPPRNATMAVYVSVT
+>Q6V1P9_PF00028_1304 <unknown description>
+HVKVLEGQPVNMLVTTVFAKDPDEGNNAEVTYSVSSEDSSDHFKIDANNGEIRTTTILSY
+DYRPSYRMSVIATDQGVPPLQGQAVVNIQV
+>Q6V1P9_PF00028_1411 <unknown description>
+IIPENLKPTKIMSLIKSSDHLQQHYNGKLHFSIVADDKDGHFEIDSSTGDLFLSKELDYE
+TTSHYLFRVITTDHSKNLSLSSTVFLSIDV
+>Q6V1P9_PF00028_1516 <unknown description>
+VISVEENVPIGTLVYVFNAKDDDGSFLNSRIQYYIESHNPGTNPFLIHPSFGTLVTVSRL
+DRESIPTVILTVTASDQAVN
+>Q6V1P9_PF00028_1625 <unknown description>
+NAHVKEDVTVGSLVHHITAHDPDEGRNGKVTYSILSGNENMTFMLDESSGLLTTTCPLDY
+EMKTQHILTVLALDDGTPALSSSQTLTVTVL
+>Q6V1P9_PF00028_1729 <unknown description>
+YEASVKENQNPGEFVTRVEALDRDSGVNSKLQFEIMPGASFELFEINSDTGEVVTTTILD
+REIQEVFTLRVLVRDGGFPSLSSTTTILCTVE
+>Q6V1P9_PF00028_1834 <unknown description>
+YDIEVLENQEPEVVYTVLASDMDAGNNRAVEYHIIDGNTDECFTINEMSGELSTTRALDR
+EQISNFTLVILCSDLGDPPRSSVIHLQVRVL
+>Q6V1P9_PF00028_1938 <unknown description>
+YQSSVREDAEVGTVVLVLSAVDKDEGLNGQTEYFLTDEASGAFTIDPMSGTLKTSNTLDR
+EARSQHTFSAVARDCSIQGSRSTTVIIKVYV
+>Q6V1P9_PF00028_2049 <unknown description>
+PESPTNQTTVIVRADDLDLGPNGTVVFSFAETQSMFSIDKYTGEIQFQQNPSSEYFPIWL
+QLKVTDQGIPARTTTGLLVIH
+>Q6V1P9_PF00028_2146 <unknown description>
+GLVTENCEAGTSIVTVKAFAPDSIQDSMKYSIFSGNEDGVLSLCSKSGQLTVKEPKFLDF
+EVRNEVQLIVLAESSGHRAYCKV
+>Q6V1P9_PF00028_2247 <unknown description>
+YQASVSESQLYNAHVIQVFATDLDSGLNGLIEYSILSGNQEEAFQIDALSGVITTKAILD
+YELTSSYSLIVQATDKGMPRLSNTTVIKVQVT
+>Q6V1P9_PF00028_2353 <unknown description>
+VEITEDSLPGVIVTHVSVHDVDLNSAFIFSFAKESNPGTKFAIDQNTGVVVLVKTLDFEE
+MTEYELLIQISDSVHYTEGALVV
+>Q6V1P9_PF00028_2452 <unknown description>
+YQVTVPESIPVGYSVLTLSATDLESNENISYRILSSSKEFSIDPKNGTIFTISPVLLLDT
+ISTTQFLVEASDGGNPDLRALTLVEIGI
+>Q6V1P9_PF00028_2554 <unknown description>
+YNLSLSEDALVGSTLVTFSNIDHDWTRENTYVEYSIISGNSQNNFHVETKFFHSEYPYKQ
+VGYLVLLHSLDREASASHELVILASDSGCPPLSSTAVISIQVL
+>Q6V1P9_PF00028_2670 <unknown description>
+YHTHVKESTPLGSHITVVSANDRDTGSHAEIIYNIISGNEKGHFYLEENTGVLYLIKPLD
+YEKMTKFTLTVQASDAEKKHFSFAVVFVSV
+>Q6V1P9_PF00028_2775 <unknown description>
+SCIVPENLPISSTICSINALDFDAGPYGELTYSIVSPCFLTHGMSYDHDLFLIDPLTGDI
+HAKQILDYENGNKYCLTVQAKDKGDATASLVVW
+>Q9Y5I3_PF08266_30 <unknown description>
+QLHYSIPEEAKHGTFVGRVAQDLGLELAELVPRLFRVASKTHRDLLEVNLQNGILFVNSR
+IDREELCQWSAECSIHLELIAD
+>Q9Y5I3_PF00028_142 <unknown description>
+IPESRLLNSRFPIEGAADADIGANALLTYTLSPSDYFSLDVEASDELSKSLWLELRKYLD
+REETPELHLLLTATDGGKPELQGTVELLITVL
+>Q9Y5I3_PF00028_247 <unknown description>
+YRVHLLETTANGTLVTTLNASDADEGVNGEVVFSFDSGISRDIQEKFKVDSSSGEIRLID
+KLDYEETKSYEIQVKAVDKGSPPMSNHCKVLVKVL
+>Q9Y5I3_PF00028_359 <unknown description>
+IREDAPLSTVIALITVSDRDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRESL
+SVYELVVTARDGGSPSLWATARVSVEV
+>Q9Y5I3_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSNYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5I3_PF00028_588 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQPAAGGARIPFRVGLYTGEISTTRVLDEADLSRYRL
+LVLVKDHGEPALTATATVLVSL
+>Q9Y5I3_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H9_PF08266_30 <unknown description>
+QLRYSVPEEAKHGTFVGRIAQDLGLELEELVPRLFRVASKRHGDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHVEVIVD
+>Q9Y5H9_PF00028_139 <unknown description>
+TIRFPESRLLDSRFPLEGASDADIGVNALLSYKLSSSEFFFLDIQANDELSESLSLVLGK
+SLDREETAEVNLLLVATDGGKPELTGTVQILIKVL
+>Q9Y5H9_PF00028_247 <unknown description>
+YKVKLLENTANGTLVVKLNASDADEGPNSEIVYSLGSDVSSTIQTKFTIDPISGEIRTKG
+KLDYEEAKSYEIQVTATDKGTPSMSGHCKISLK
+>Q9Y5H9_PF00028_358 <unknown description>
+PISENASLGTVIALITVSDRDSGTNGHVTCSLTPHVPFKLVSTFKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATTSVSIEV
+>Q9Y5H9_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYA
+LQPLDHEEVELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5H9_PF00028_588 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQLGTGSARIPFRVGLYTGEISTTRALDEADSPRHRL
+LVLVKDHGEPALTATATVLVSL
+>Q9Y5H9_PF15974_798 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H8_PF08266_30 <unknown description>
+QLHYSVSEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVD
+>Q9Y5H8_PF00028_141 <unknown description>
+FISESRQPGSRFSLEGASDADIGTNSLLTYSLDSTEYFTLDVKRNDEEIKSLGLVLKKNL
+NREDTPKHYLLITAIDGGKPELTGTTQLKITVL
+>Q9Y5H8_PF00028_247 <unknown description>
+YKVRLLENAPNGTLVVTVNATDLDEGVNKDIAYSFNTDMSADILSKFHLDPVNGQISVKG
+NIDFEESKSYEIQVEATDKGNPPMSDHCTVLLEI
+>Q9Y5H8_PF00028_359 <unknown description>
+VLEDSPLSTVIALISVSDRDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSPLDRESV
+SAYELVVTARDGGSPSLWATASVSVEV
+>Q9Y5H8_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5H8_PF00028_587 <unknown description>
+AGHVVAKVRAVDADSGYNAWLSYELQPGTGGARIPFRVGLYTGEISTTRALDEVDAPRHR
+LLVLVKDHGEPSLTATATVLVSL
+>Q9Y5H8_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9UN74_PF08266_30 <unknown description>
+QLHYSVSEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKGRGGLLEVNLQNGILFVNSR
+IDREELCRRSAECSIHLEVIVD
+>Q9UN74_PF00028_140 <unknown description>
+LSIAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFSLEKPPDDELVKGLGLILRKS
+LDREEAPEIFLVLTATDGGKPELTGTVQLLITVL
+>Q9UN74_PF00028_247 <unknown description>
+YKVRLLENVPNGTLVIKLNASDLDEGLNGDIVYSFSNDISPNVKSKFHIDPITGQIIVKG
+YIDFEESKSYEIIVEGIDKGQLPLSGHCRVIVEVE
+>Q9UN74_PF00028_358 <unknown description>
+PIREDAPLGTVIALISVSDKDMGVNGLVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATASVSVEV
+>Q9UN74_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVTARDAGVPPLGSNVTLQVFVL
+>Q9UN74_PF00028_587 <unknown description>
+VGHVVAKVRAVDADSGYNAWLSYELQPGTGGARIPFRVGLYTGEISTTRALDETDAPRHR
+LLVLVKDHGEPALTATATVLVSL
+>Q9UN74_PF15974_797 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H7_PF08266_29 <unknown description>
+QLHYSIPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKGRGDLLEVNLQNGILFVNSR
+IDREELCRRRAECSIHLEVIVD
+>Q9Y5H7_PF00028_155 <unknown description>
+GASDLDIGANAQLRYRLNPNEYFDLDVKTNEEETNFLELVLRKSLDREETQEHRLLVIAT
+DGGKPELTGTVQLLINVL
+>Q9Y5H7_PF00028_246 <unknown description>
+YNVRLLENAPSGTLVIKLNASDADEGINKEIVYFFSNLVLDDVKSKFIINSNTGEIKVNG
+ELDYEDYNSYEINIDAMDKSTFPLSGHCKVVVKL
+>Q9Y5H7_PF00028_358 <unknown description>
+VKEDAPLSTVIALISVSDRDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRESV
+SVYELVVTARDGGSPSLWATASVSVEV
+>Q9Y5H7_PF00028_459 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERPLSSYVSVHAESGKVYA
+LQPLDHEEVELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5H7_PF00028_586 <unknown description>
+AGHVVAKVRAVDPDSGYNAWLSYELQPAPGSARIPFRVGLYTGEISTTRSLDETEAPRHR
+LLVLVKDHGEPPLTATATVLVSL
+>Q9Y5H7_PF15974_786 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9UN73_PF08266_30 <unknown description>
+QLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRMASKDREDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVD
+>Q9UN73_PF00028_156 <unknown description>
+GASDADVGSNSILTYKLSSSEYFGLDVKINSDDNKQIGLLLKKSLDREEAPAHNLFLTAT
+DGGKPELTGTVQLLVTVL
+>Q9UN73_PF00028_247 <unknown description>
+YEVRIFENADNGTTVIRLNASDRDEGANGAISYSFNSLVAAMVIDHFSIDRNTGEIVIRG
+NLDFEQENLYKILIDATDKGHPPMAGHCTVLVRIL
+>Q9UN73_PF00028_358 <unknown description>
+PVREDAQFGTVIALISVNDLDSGANGQVNCSLTPHVPFKLVSTFKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATASLSVEV
+>Q9UN73_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSSYISVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9UN73_PF00028_587 <unknown description>
+AGQVVAKVRAVDADSGYNAWLSYELQPPASSARFPFRVGLYTGEISTTRVLDEADSPRHR
+LLVLVKDHGEPALTATATVLVSL
+>Q9UN73_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9UN72_PF08266_30 <unknown description>
+QLHYSVPEEAKHGNFVGRIAQDLGLELAELVPRLFRAVCKFRGDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVE
+>Q9UN72_PF00028_142 <unknown description>
+IAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFFLDVPTSNQQVKPLGLVLRKLLD
+REETPELHLLLTATDGGKPELTGTVQLLITVL
+>Q9UN72_PF00028_247 <unknown description>
+YTVKLPENVSIGTLVIHPNASDLDEGLNGDIIYSFSSDVSPDIKSKFHMDPLSGAITVIG
+HMDFEESRAHKIPVEAVDKGFPPLAGHCTVLVEV
+>Q9UN72_PF00028_358 <unknown description>
+PIPEDAQPGTVITLISVFDRDFGVNGQVTCSLTPRVPFKLVSTFKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATASVSVEV
+>Q9UN72_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSAGDADAQKNALVSYSLVELRVGERALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9UN72_PF00028_588 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQPVAAGASIPFRVGLYTGEISTTRALDETDAPRHRL
+LVLVKDHGEPSLTATATVLVSL
+>Q9UN72_PF15974_787 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H6_PF08266_30 <unknown description>
+QLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHRDLLEVSLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVD
+>Q9Y5H6_PF00028_143 <unknown description>
+SESRMPDSRFPLEGASDADVGANSVLTYRLSSHDYFMLDVNSKNDENKLVELVLRKSLDR
+EDAPAHHLFLTATDGGKPELTGTVQLLVTVL
+>Q9Y5H6_PF00028_247 <unknown description>
+YEVRIFENADNGTTVIKLNASDPDEGANGAISYSFNSLVETMVIDHFSIDRNTGEIVIRG
+NLDFEQENLYKILIDATDKGHPPMAGHCTVLVRIL
+>Q9Y5H6_PF00028_358 <unknown description>
+PVREDAQFGTVIALISVNDLDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRER
+VSAYELVVTARDGGSPSLWATASLSVEV
+>Q9Y5H6_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERSLSSYISVHTESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5H6_PF00028_588 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQPAASSPRIPFRVGLYTGEISTTRVLDEADSPRHRL
+LVLVKDHGEPALTATATVLVSL
+>Q9Y5H6_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H5_PF08266_30 <unknown description>
+QLHYSVPEEAEHGTFVGRIAQDLGLELAELVPRLFQLDSKGRGDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVD
+>Q9Y5H5_PF00028_142 <unknown description>
+IAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFFLDVPTSNQQVKPLGLVLRKLLD
+REETPELHLLLTATDGGKPELTGTVQLLITVL
+>Q9Y5H5_PF00028_247 <unknown description>
+YTVKLPENVSIGTLVIHPNASDLDEGLNGDIIYSFSSDVSPDIKSKFHMDPLSGAITVIG
+HMDFEESRAHKIPVEAVDKGFPPLAGHCTLLVEV
+>Q9Y5H5_PF00028_357 <unknown description>
+VPVKEDAQLGTVIALISVIDLDADANGQVTCSLTPHVPFKLVSTYKNYYSLVLDRALDRE
+SVSAYELVVTARDGGSPSLWATARVSVEV
+>Q9Y5H5_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRLGERSLSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9Y5H5_PF00028_588 <unknown description>
+GVVVGKVRAVDADSGYNAWLSYELQPETASASIPFRVGLYTGEISTTRALDETDAPRQRL
+LVLVKDHGEPALTATATVLVSL
+>Q9Y5H5_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5I2_PF08266_29 <unknown description>
+QLHYSVYEEARHGTFVGRIAQDLGLELAELVQRLFRVASKRHGDLLEVNLQNGILFVNSR
+IDREELCGRSVECSIHLEVIVD
+>Q9Y5I2_PF00028_246 <unknown description>
+YEVKMYENQVNQTLVIRLNASDSDEGINKEMMYSFSSLVPPTIRRKFWINERTGEIKVND
+AIDFEDSNTYEIHVDVTDKGNPPMVGHCTVLVEL
+>Q9Y5I2_PF00028_357 <unknown description>
+PVKEDAQVGTVIALISVSDHDSGANGQVTCSLTPHVPFKLVSTYKNYYSLVLDSALDRER
+VSAYELVVTARDGGSPPLWATASVSVEV
+>Q9Y5I2_PF00028_459 <unknown description>
+YTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRLGERSLSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDGGVPPLGSNLTLQVFVL
+>Q9Y5I2_PF00028_586 <unknown description>
+AGHVVAKVRAVDADSGYNAWLSYELQSAAVGARIPFRVGLYTGEISTTRALDETDSPRQR
+LLVLVKDHGEPSLTATATVLVSL
+>Q9Y5I2_PF15974_798 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5I1_PF08266_30 <unknown description>
+QLHYSVSEEAKHGTFVGRIAQDLGLELAELVQRLFRVASKTHGDLLEVNLQNGILFVNSR
+IDREELCGQSAECSIHLEVIVD
+>Q9Y5I1_PF00028_142 <unknown description>
+IAESKQSDSRFPLEGASDADIEENALLTYRLSKNEYFSLDSPTNGKQIKRLSLILKKSLD
+REKTPELNLLLTATDGGKPELTGTVRLLVQVL
+>Q9Y5I1_PF00028_247 <unknown description>
+YKVSLMENAAKETLVLKLNATDRDEGVNGEVTYSLMSIKPNGRHLFTLDQNNGEVRVNGT
+LDYEENKFYKIEVQATDKGTPPMAGHCTVWVEIL
+>Q9Y5I1_PF00028_357 <unknown description>
+PVREDAQPSTVIALISVSDRDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSALDREN
+VWAYELVVTARDGGSPSLWATARVSVEV
+>Q9Y5I1_PF00028_459 <unknown description>
+YTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRLGDRALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLSSNVTLQVFVL
+>Q9Y5I1_PF00028_587 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQPAAGGSRIPFRVGLYTGEISTTRALDEADSPRHRL
+LVLVKDHGEPALTATATVLVSL
+>Q9Y5I1_PF15974_799 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9UN75_PF08266_30 <unknown description>
+QLHYSVYEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSR
+IDREKLCGRSAECSIHLEVIVD
+>Q9UN75_PF00028_140 <unknown description>
+VPVSESAPLDSHFPLEGASDADIGVNSLLTYALSLNENFELKIKTKKDKSILPELVLRKL
+LDREQTPKLNLLLMVIDGGKPELTGSVQIQITVL
+>Q9UN75_PF00028_247 <unknown description>
+YKVVLSENVQNDTRVIQLNASDPDEGLNGEISYGIKMILPVSEKCMFSINPDTGEIRIYG
+ELDFEENNAYEIQVNAIDKGIPSMAGHSMVLVEVL
+>Q9UN75_PF00028_358 <unknown description>
+PVQEDAQVGTVIALISVSDRDSGANGQVICSLTPHVPFKLVSTYKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATARVSVEV
+>Q9UN75_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSAWDADAQKNALVSYSLVERRVGEHALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVL
+>Q9UN75_PF00028_587 <unknown description>
+AGHVVAKVRAVDADSGYNAWLSYELQPAAVGAHIPFHVGLYTGEISTTRILDEADAPRHR
+LLVLVKDHGEPALTSTATVLVSL
+>Q9UN75_PF15974_791 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5I0_PF08266_30 <unknown description>
+QLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSR
+IDREELCGRSAECSIHLEVIVD
+>Q9Y5I0_PF00028_141 <unknown description>
+IIAESRPPETRFPLDGASDADIGVNSALTYRLDPNDYFTLDAQNSLEQMSSLSLVLRKTL
+DREEIQEHSLLLTASDGGKPELTGTVQLLITIL
+>Q9Y5I0_PF00028_247 <unknown description>
+YKVTVLENAFNGTLVIKLNATDPDDGTNGDIVYSFRRPVWPAVVYAFTINPNNGEIRTKG
+KLDFEEKKLYEISVEAVDKGNIPMAGHCTLLVEVL
+>Q9Y5I0_PF00028_358 <unknown description>
+PIREDTQPSAIIALISVSDRDSGSNGQVTCTLTPHVPFKLVSTYKNYYSLVLDSALDRES
+VSAYELVVTARDGGSPSLWATASVSVGV
+>Q9Y5I0_PF00028_460 <unknown description>
+YTVFVKENNPPGCHIFTVSAQDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYA
+LQPLDHEELELLQFQVSARDSGVPPLGSNVTLQVFVL
+>Q9Y5I0_PF00028_588 <unknown description>
+GHVVAKVRAVDADSGYNAWLSYELQLAAVGARIPFRVGLYTGEISTTRPLDEVDAPHHRL
+LVLVKDHGEPALTATATVLL
+>Q9Y5I0_PF15974_800 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5F3_PF08266_31 <unknown description>
+IRYSVAEEMESGSFVANVAKDLGLEVGKLAARGARLVSEGNKMHFRLHRKTGDLFVKEKL
+DRESLCGKADPCVLHFEVVL
+>Q9Y5F3_PF00028_141 <unknown description>
+KIPESTPLGSRFPLQSAQDLDVGLNGLQNYTLSANGYFHLHTRFCSHGPKYAELVLNKPL
+DREEQPEVNLTITAVDGGSPPKSGTAHIHVVVL
+>Q9Y5F3_PF00028_247 <unknown description>
+YRAQVSENSPNGSLVATVTAVDLDEGTNKAITYSLAQNPEAILKTFQIDPQNGEVRLRGP
+LDFEAIETYDIDIQATDGGGLSAHSKVLVEV
+>Q9Y5F3_PF00028_356 <unknown description>
+LPEDSPPQTVVALFTIRDRDIRVGGKVTCFLREDLPFVIKPTFGNSYSLVTDRSLDREEV
+SGYNITIVAMDTGPPSLSAETMIEVLI
+>Q9Y5F3_PF00028_459 <unknown description>
+LTVRENNSPAVFIGKVHAEDLDLGENAQITYSLLPPKNGDLSVFAYISINSGNGKLYALR
+TMDYEAIQDFQFVVKATDGGFLSLSSQVTVRVVVL
+>Q9Y5F3_PF00028_581 <unknown description>
+AEAGYLVTKVVAVDGDSGQNSWLSYHLLKATDLGLFSVQRQNGEIHTLRQISERDPMMQK
+LIILVQDHGQPALSTTVSLNIL
+>Q9Y5F3_PF16492_688 <unknown description>
+TKYLVISLVILSFLFLLSVIVIFIIHVYQKIKYREKFTIQEHFYDDCNFSNNLVQGQGNG
+SLSRPCPYEMCSATGTGNSEFRFLK
+>Q9Y5E7_PF08266_33 <unknown description>
+RHYSVAEETESGSFVANLLKDLGLEIGELAVRGARVVSKGKKMHLQFDRQTGDLLLNEKL
+DREELCGPTEPCVLPFQVLLEN
+>Q9Y5E7_PF00028_143 <unknown description>
+KIPESITPGTTFLIERAQDLDVGTNSLQNYTISPNFHFHLNLQDSLDGIILPQLVLNRAL
+DREEQPEIRLTLTALDGGSPPRSGTALVRIEV
+>Q9Y5E7_PF00028_249 <unknown description>
+YEVQIPEDSPVGSQVAIVSARDLDIGTNGEISYAFSQASEDIRKTFRLSAKSGELLLRQK
+LDFESIQTYTVNIQATDGGGLSGTCVVFVQV
+>Q9Y5E7_PF00028_357 <unknown description>
+QIPENLQDTLIAVFSVSDPDSGDNGRMVCSIQDDLPFFLKPSVENFYTLVISTALDRETR
+SEYNITITVTDFGTPRLKTEHNITVLV
+>Q9Y5E7_PF00028_458 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFA
+LQSLDYEALQAFEFRVGAADRGSPALSSEALVRVLVL
+>Q9Y5E7_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLRERDAAKQR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E7_PF16492_688 <unknown description>
+LTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGQMVDVSGTGTL
+SQSYQYEVCLTGGSGTNEFKFLK
+>Q9Y5E6_PF08266_31 <unknown description>
+RRYSVAEEKEKGFLIANLAKDLGLRVEELAARGAQVVSKGNKQHFQLSHQTGDLLLNEKL
+DREELCGPTEPCILHFQILLQN
+>Q9Y5E6_PF00028_140 <unknown description>
+LKILESTLPGTVIPLGNAEDLDVGRNSLQNYTITPNSHFHVLTRSRRDGRKYPELVLDKA
+LDPEEQPELSLTLTALDGGSPPRSGTAQINIQVL
+>Q9Y5E6_PF00028_247 <unknown description>
+YEVAVLENTPVNSVIVTVSASDLDTGSFGTISYAFFHASEEIRKTFQLNPITGDMQLVKY
+LNFEAINSYEVDIEAKDGGGLSGKSTVIVQV
+>Q9Y5E6_PF00028_356 <unknown description>
+IPENSGETVLAVFSVSDLDSGDNGRVMCSIENNLPFFLKPSVENFYTLVSEGALDRETRS
+EYNITITITDLGTPRLKTKYNITVLV
+>Q9Y5E6_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLSSLVSINADNGHLFA
+LRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVL
+>Q9Y5E6_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E6_PF16492_686 <unknown description>
+LTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGQMVDVSGTGTL
+SQSYQYEVCLTGGSGTNEFKFLK
+>Q9Y5E5_PF08266_30 <unknown description>
+IRYSVLEETESGSFVAHLAKDLGLGIGELASRSARVLSDDDKQRLQLDRQTGDLLLREKL
+DREELCGPIEPCVLHFQVFLE
+>Q9Y5E5_PF00028_141 <unknown description>
+ILENSQPGTLFPLLIAEDLDVGSNGLQKYTISPNSHFHILTRNHSEGKKYPDLVQDKPLD
+REEQPEFSLTLVALDGGSPPRSGTVMVRILI
+>Q9Y5E5_PF00028_247 <unknown description>
+GVQVLENSPLDSPIVRVLARDIDAGNFGSVSYGLFQASDEIKQTFSINEVTGEILLKKKL
+DFEKIKSYHVEIEATDGGGLSGKGTVVIEV
+>Q9Y5E5_PF00028_353 <unknown description>
+SSIPENAPETVVSIFRIRDRDSGENGKMICSIPDNLPFILKPTLKNFYTLVTERPLDRET
+SAEYNITIAVTDLGTPRLKTQQNITVQV
+>Q9Y5E5_PF00028_455 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFA
+LRSLDYEALQAFEFRVGASDRGSPALSSEALVRVLVL
+>Q9Y5E5_PF00028_579 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E5_PF16492_684 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGT
+LSQSYQYEVCLTGDSGTGEFKFLK
+>Q9Y5E4_PF08266_31 <unknown description>
+VRYSIPEETESGYSVANLAKDLGLGVGELATRGARMHYKGNKELLQLDIKTGNLLLYEKL
+DREVMCGATEPCILHFQLLLEN
+>Q9Y5E4_PF00028_141 <unknown description>
+KIPESTQPGTVFPLKIAQDFDIGSNTVQNYTISPNSHFHVATHNRGDGRKYPELVLDKAL
+DREERPELSLTLTALDGGAPPRSGTTTIRIVVL
+>Q9Y5E4_PF00028_247 <unknown description>
+YEVQVPENSPLNSLVVVVSARDLDAGAYGSVAYALFQGDEVTQPFVIDEKTAEIRLKRAL
+DFEATPYYNVEIVATDGGGLSGKCTVAIEV
+>Q9Y5E4_PF00028_356 <unknown description>
+PENAPETVVAVFSVSDPDSGDNGRMICSIQNDLPFLLKPTLKNFYTLVTQRTLDRESQAE
+YNITITVTDMGTPRLKTEHNITVLV
+>Q9Y5E4_PF00028_455 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQNPHLRLASLVSINADNGHLFA
+LRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVL
+>Q9Y5E4_PF00028_579 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFSMWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E4_PF16492_684 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAAPVGRCSVPEGPFPGHLVDVSGTGT
+LSQSYHYEVCLTGDSGAGEFKFLK
+>Q9Y5E3_PF08266_30 <unknown description>
+IQYSVLEETESGTFVANLTKDLGLRVGELASRGARVVFKGNRQHLQFDPQTHDLLLNEKL
+DREELCGSTEPCVLPFQVLLEN
+>Q9Y5E3_PF00028_151 <unknown description>
+FPLKMAHDLDTGSNGLQRYTISSNPHFHVLTRNRSEGRKFPELVLDKPLDREEQPQLRLT
+LIALDGGSPPRSGTSEIQIQVL
+>Q9Y5E3_PF00028_246 <unknown description>
+YEAQVPENNPLGSLVITVSARDLDAGSFGKVSYALFQVDDVNQPFEINAITGEIRLRKAL
+DFEEIQSYDVDVEATDGGGLSGKCSLVVRVL
+>Q9Y5E3_PF00028_354 <unknown description>
+IPENLPEITVAVFSVSDADSGHNQQVICSIENNLPFLLRPSVENFYTLVTEGALDRESRA
+EYNITITVTDLGTPRLKTQQSITVQV
+>Q9Y5E3_PF00028_454 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGINAQVTYSLLPPQDPHLPLSSLVSINADNGHLFA
+LRSLDYEALQSFEFRVGATDRGSPALSSEALVRLLV
+>Q9Y5E3_PF00028_578 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATELGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E3_PF16492_683 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRYSVPEGPFPGHLVDVSGTGT
+LSQSYQYKVCLTGGSETNEFKFLK
+>Q9Y5E2_PF08266_31 <unknown description>
+LRYFVAEETERGTFLTNLAKDLGLGVGELRARGTRIVSDQNMQILLLSSLTGDLLLNEKL
+DREELCGPREPCVLPFQLLLEK
+>Q9Y5E2_PF00028_141 <unknown description>
+KILESTTPGAAFLLESAQDSDVGTNSLSNYTISPNAYFHINVHDSGEGNIYPELVLNQVL
+DREEIPEFSLTLTALDGGSPPRSGTALVRILVL
+>Q9Y5E2_PF00028_247 <unknown description>
+YKVQVPENSPVGSMVVSVSARDLDTGSNGEIAYAFSYATERILKTFQINPTSGSLHLKAQ
+LDYEAIQTYTLTIQAKDGGGLSGKCTVVVDVT
+>Q9Y5E2_PF00028_356 <unknown description>
+IAENSPETVVAVFRIRDRDSGNNGKTVCSIQDDVPFILKPSVENFYTLVTEKPLDRERNT
+EYNITITVTDLGTPRLKTEHNITVLV
+>Q9Y5E2_PF00028_456 <unknown description>
+YTLFVRENNSPALPIGSVSATDRDSGTNAQVIYSLLPSQDPHLPLASLVSINADNGHLFA
+LRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVL
+>Q9Y5E2_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E2_PF16492_683 <unknown description>
+SLTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAAPVGRCSVPEGPFPRHLVDLSGTGT
+LSQSYQYEVCLTGGSGTNEFKFLK
+>Q9UN66_PF08266_32 <unknown description>
+RSYSVVEETEGSSFVTNLAKDLGLEQREFSRRGVRVVSRGNKLHLQLNQETADLLLNEKL
+DREDLCGHTEPCVLRFQVLLE
+>Q9UN66_PF00028_141 <unknown description>
+VKVSESSPPGTAFPLKNAEDLDIGQNNIENYIISPNSYFRVLTRKRSDGRKYPELVLDKA
+LDREEEAELRLTLTALDGGSPPRSGTAQVYIEV
+>Q9UN66_PF00028_248 <unknown description>
+YRVQISEDSPISFLVVKVSATDVDTGVNGEISYSLFQASDEISKTFKVDFLTGEIRLKKQ
+LDFEKFQSYEVNIEARDAGGFSGKCTVLIQV
+>Q9UN66_PF00028_356 <unknown description>
+PIPENAPETVVALFSVSDLDSGENGKISCSIQEDLPFLLKSSVGNFYTLLTETPLDRESR
+AEYNVTITVTDLGTPRLTTHLNMTVLV
+>Q9UN66_PF00028_457 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINTDNGHLFA
+LRSLDYEALQAFEFRVGASDRGSPALSSEALVRVLVL
+>Q9UN66_PF00028_581 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQR
+LVVLVKDNGEPPCSATATLHVL
+>Q9UN66_PF16492_686 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVLLCRRSRAASVGRCSVPEGPFPGHLVDVRGTGS
+LSQNYQYEVCLAGGSGTNEFQLLK
+>Q9Y5E1_PF08266_32 <unknown description>
+RYSVTEETEKGSFVVNLAKDLGLAEGELAARGTRVVSDDNKQYLLLDSHTGNLLTNEKLD
+REKLCGPKEPCMLYFQILMDD
+>Q9Y5E1_PF00028_141 <unknown description>
+KISENTAEGTAFRLERAQDPDEGHNSIQNYTISSNSFFHIKISGSDEGMIYPELVLDKAL
+DREEQEELSLTLTALDGGSPSRSGTSTIRIVVL
+>Q9Y5E1_PF00028_247 <unknown description>
+YETQAPENSPVGSLIVKVSAGDADSGVNAEVSYSFFDASEDILTTFQINPFSGEIFLREL
+LDYELVNSYKINIQAMDGGGLSARCTVLIKVL
+>Q9Y5E1_PF00028_355 <unknown description>
+SVAENSPGIVLAVFKIKDRDSGENGKTICYVQDNLPFFLKPSVDNFYILMTEGALDRESK
+AEYNITITVTDLGTPRLKTEHSITLQV
+>Q9Y5E1_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFA
+LRSLDYEALQAFDFRVGASDRGSPALSSEALVRVLVL
+>Q9Y5E1_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E1_PF16492_686 <unknown description>
+LTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTL
+FQSYQYEVCLTGGSETGEFKFLK
+>Q9UN67_PF08266_32 <unknown description>
+RYSVTEETEKGSFVVNLAKDLGLAEGELAARGTRVVSDDNKQYLLLDSHTGNLLTNEKLD
+REKLCGPKEPCMLYFQILMDD
+>Q9UN67_PF00028_141 <unknown description>
+KISENTAEGTAFRLERAQDPDGGLNGIQNYTISPNSFFHINISGGDEGMIYPELVLDKAL
+DREEQGELSLTLTALDGGSPSRSGTSTVRIVVL
+>Q9UN67_PF00028_247 <unknown description>
+YETQAPENSPIGFLIVKVWAEDVDSGVNAEVSYSFFDASENIRTTFQINPFSGEIFLREL
+LDYELVNSYKINIQAMDGGGLSARCRVLVEV
+>Q9UN67_PF00028_354 <unknown description>
+NSVAENSPETPLAVFKINDRDSGENGKMVCYIQENLPFLLKPSVENFYILITEGALDREI
+RAEYNITITVTDLGTPRLKTEHNITVLV
+>Q9UN67_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFA
+LRSLDYEALQAFEFRVGATDRGSPALSREALVRVLVL
+>Q9UN67_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLH
+>Q9UN67_PF16492_688 <unknown description>
+LTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVRGAETL
+SQSYQYEVCLTGGPGTSEFKFLK
+>Q9Y5F2_PF08266_31 <unknown description>
+WSFSVAEEMQSGSFVGNLAKDLGLKVRELSSRGARVVSNDKKQRLQLDINTGDLLLSETL
+DREELCGSIEPCVLHLQVLMQN
+>Q9Y5F2_PF00028_141 <unknown description>
+EIPENSPVGAVFLLESAKDLDVGINAVKSYTISPNSHFHIKMRVIPDNRKYPELVLDKAL
+DYEELPELSFILSALDGGSPPRSGTALVRVVV
+>Q9Y5F2_PF00028_247 <unknown description>
+YEVKIRENSILGSLILIVSAWDLDSGTNGEICYTFSHASEDIRKTFEINQKSGEITLRAP
+LDFETIESYSIIIQATDGGGLFGKSTVIIHV
+>Q9Y5F2_PF00028_355 <unknown description>
+PIPENTPETVVMVFSIQDIDSGDNGRIVCSIPEDLPFVLKSSVENYYTLETERPLDREST
+AEYNITITVTDLGIPRLKTEHNTTVLV
+>Q9Y5F2_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVNYSLLPPQDLHLPLASLVSINTDNGHLFA
+LRSLDYEALQAFDFRVGATDRGSPALSSEALVRVLVL
+>Q9Y5F2_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLQVL
+>Q9Y5F2_PF16492_685 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGSCSVPKGPFPGHLVDVSGTGT
+LSQSYQYEVCLTGGSETNEFKFLK
+>Q9Y5F1_PF08266_32 <unknown description>
+NFLVMEELQSGSFVGNLAKTLGLEVSELSSRGARVVSNDNKECLQLDTNTGDLLLREMLD
+REELCGSNEPCVLYFQVLMKN
+>Q9Y5F1_PF00028_141 <unknown description>
+EIPENSPVGAVFLLESAKDLDVGINAVKSYTINPNSHFHVKIRVNPDNRKYPELVLDKAL
+DYEERPELSFILTALDGGSPPRSGTALVRVVV
+>Q9Y5F1_PF00028_247 <unknown description>
+YEVKILENSILGSLVVTVSAWDLDSGTNSELSYTFSHASEDIRKTFEINQKSGDITLTAP
+LDFEAIESYSIIIQATDGGGLFGKSTVRIQV
+>Q9Y5F1_PF00028_355 <unknown description>
+PIPENTPETVVMVFRIRDRDSGDNGKMVCSIPEDIPFVLKSSVNNYYTLETERPLDRESR
+AEYNITITVTDLGTPRLKTEHNITVLV
+>Q9Y5F1_PF00028_458 <unknown description>
+LFVRENNSPALHIGSISATDRDSGTNAQVNYSLLPSQDPHLPLASLVSINADNGHLFALR
+SLDYEALQGFQFRVGATDHGSPALSSEALVRVLVL
+>Q9Y5F1_PF00028_581 <unknown description>
+EPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRL
+VVLVKDNGEPPRSATATLHVL
+>Q9Y5F1_PF16492_685 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAAPVGRCSVPEGPFPGHLVDVSGTGT
+LSQSYHYEVCVTGGSRSNKFKFLK
+>Q9Y5F0_PF08266_32 <unknown description>
+RSYSVVEETEGSSFVTNLAKDLGLEQREFSRRGVRVVSRGNKLHLQLNQETADLLLNEKL
+DREDLCGHTEPCVLRFQVLLE
+>Q9Y5F0_PF00028_141 <unknown description>
+VKVSESSPPGTTFPLKNAEDLDVGQNNIENYIISPNSYFRVLTRKRSDGRKYPELVLDKA
+LDREEEAELRLTLTALDGGSPPRSGTAQVYIEVL
+>Q9Y5F0_PF00028_248 <unknown description>
+YRVQISEDSPVGFLVVKVSATDVDTGVNGEISYSLFQASEEIGKTFKINPLTGEIELKKQ
+LDFEKLQSYEVNIEARDAGTFSGKCTVLIQV
+>Q9Y5F0_PF00028_356 <unknown description>
+PIPENAPETVVALFSVSDLDSGENGKISCSIQEDLPFLLKSAENFYTLLTERPLDRESRA
+EYNITITVTDLGTPMLITQLNMTVLI
+>Q9Y5F0_PF00028_456 <unknown description>
+YTLFVRENNSPALHIRSVSATDRDSGTNAQVTYSLLPPQDPHLPLTSLVSINADNGHLFA
+LRSLDYEALQGFQFRVGASDHGSPALSSEALVRVVVL
+>Q9Y5F0_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATELGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5F0_PF16492_686 <unknown description>
+LTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCLVPEGPLPGHLVDMSGTRTL
+SQSYQYEVCLAGGSGTNEFKFLK
+>Q9Y5E9_PF08266_31 <unknown description>
+AHYSVAEETEIGSFVANLARDLGLGVEELSSREARVVSDDNKKYLHLDLLTGNLLLNEKL
+DRDELCGSTEPCVLHFQVVLEN
+>Q9Y5E9_PF00028_140 <unknown description>
+IKISEGTTVGATFLMESAQDLDVGSNSLQNYTISPNSHFYIKIPDSSDRKIYPELVLDRA
+LDYEQEAELRLTLTAVDGGSPPKSGTTLVLIKVL
+>Q9Y5E9_PF00028_247 <unknown description>
+YEVQVPEDRPLGSWIATISAKDLDAGNYGKISYTFFHASEDIRKTFEINPISGEVNLRSP
+LDFEVIQSYTINIQATDGGGLSGKCTLLVKV
+>Q9Y5E9_PF00028_355 <unknown description>
+RIPENASETLVALFSILDQDSGDNGRMICSIQDNLPFFLKPTFKNFFTLVSEKALDRESQ
+AEYNITITVTDLGTPRLKTEYNITVL
+>Q9Y5E9_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVNYSLLPPQDRHLPLASLVSINADNGHLFA
+LRSLDYEALQEFEFRVGATDRGSPALSSEALVRVLVL
+>Q9Y5E9_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHR
+LVVLVKDNGEPPRSATATLHVL
+>Q9Y5E9_PF16492_685 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGT
+LSQSYQYEVCLTGGSGTNEFKFLK
+>Q9Y5E8_PF08266_31 <unknown description>
+RRYSVMEETERGSFVANLANDLGLGVGELAERGARVVSEDNEQGLQLDLQTGQLILNEKL
+DREKLCGPTEPCIMHFQVLLK
+>Q9Y5E8_PF00028_140 <unknown description>
+LKIPETSSLGTVFPLKKARDLDVGSNNVQNYNISPNSHFHVSTRTRGDGRKYPELVLDTE
+LDREEQAELRLTLTAVDGGSPPRSGTVQILILVL
+>Q9Y5E8_PF00028_247 <unknown description>
+YEVQVPENSPVGSLVVKVSARDLDTGTNGEISYSLYYSSQEIDKPFELSSLSGEIRLIKK
+LDFETMSSYDLDIEASDGGGLSGKCSVSVKVL
+>Q9Y5E8_PF00028_356 <unknown description>
+IPENSPETEVALFRIRDRDSGENGKMICSIQDDVPFKLKPSVENFYRLVTEGALDRETRA
+EYNITITITDLGTPRLKTEQSITVLV
+>Q9Y5E8_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPRDPHLPLTSLVSINTDNGHLFA
+LQSLDYEALQAFEFRVGATDRGFPALSSEALVRVLVL
+>Q9Y5E8_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDVAKHR
+LVVLVKDNGEPPRSATATLQVL
+>Q9Y5E8_PF16492_685 <unknown description>
+SLTVYLVVALASVSSLFLFSVFLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGT
+LSQSYQYEVCLTGGSESNDFKFLK
+>Q9NRJ7_PF08266_32 <unknown description>
+SYSVVEETERGSFVANLGKDLGLGLTEMSTRKARIISQGNKQHLQLKAQTGDLLINEKLD
+REELCGPTEPCILHFQVLMEN
+>Q9NRJ7_PF00028_141 <unknown description>
+KIPENSPLGTEFPLNHALDLDVGSNNVQNYKISPSSHFRVLIHEFRDGRKYPELVLDKEL
+DREEEPQLRLTLTALDGGSPPRSGTAQVRIEV
+>Q9NRJ7_PF00028_247 <unknown description>
+YKVQIPENSPLGSLVATVSARDLDGGANGKISYTLFQPSEDISKTLEVNPMTGEVRLRKQ
+VDFEMVTSYEVRIKATDGGGLSGKCTLLLQV
+>Q9NRJ7_PF00028_356 <unknown description>
+IPENSPEIVVAVFSVSDPDSGNNGKTISSIQEDLPFLLKPSVKNFYTLVTERALDREARA
+EYNITLTVTDMGTPRLKTEHNITVQI
+>Q9NRJ7_PF00028_456 <unknown description>
+YTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFA
+LRSLDYEALQAFEFRVGATDRGSPALSREALVRVLVL
+>Q9NRJ7_PF00028_580 <unknown description>
+AEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQR
+LVVLVKDNGEPPRSATATLHVL
+>Q9NRJ7_PF16492_685 <unknown description>
+SLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSMPEGPFPGRLVDVSGTGT
+LSQSYQYEVCLTGGSETSEFKFLK
+>Q9H158_PF08266_21 <unknown description>
+QLEYSVPEETERGVAVGNLSADLRLPAAAMSSRNFRFLSSHRELYFGVDLPSGNLVVREP
+ADREQLCRAKAACVLTYDLVLED
+>Q9H158_PF00028_132 <unknown description>
+HIPEFLTPGARFTLPNAQDDDEGSNGILSYSLSPSQHFRLDMGSRVDGSEYPELVLEKAL
+DREQRATHLLVLTARDGGLPARSGDAQVTIIV
+>Q9H158_PF00028_238 <unknown description>
+YRTKVPETAPNGTVLFRVQALDPDEGSNGEVQYSLSNSTQAELRHRFHVHPKSGEVQVAA
+SLGPPETLLEAYIEARDEGVFGLASTAKLLVEVT
+>Q9H158_PF00028_349 <unknown description>
+VPEDAAPGTVIALFSVKDEDLDSNGRVICGMSSAGPFQLTASFDNYYSLLIDGPLDREQI
+SEYQVLITASDSGSPPLSTRRTITVSV
+>Q9H158_PF00028_454 <unknown description>
+VAENNGPGASLGRVFAQDPDLGKNGLVSYELLDVISEGPSASSLLAVESSSGAITAKTSF
+DFEQLRGFHFQVEGRDGGIPPRSATVTINLFV
+>Q9H158_PF00028_572 <unknown description>
+RSARTGHLVTKVVAEDADSGSNAWLSYHISRASDSSLFRISANIGELRTARLVLPTDAVK
+QRVVVVVRDHGDPPLSSSVTLGV
+>Q9H158_PF16492_682 <unknown description>
+LYLVIALACISFLFLGCLLFFVCTKLHQSPGCCAQSCCRSTEDLRYGSKMVSNPCMTSAT
+IDVTTVERLSQTYLYRASLGLGSDNNS
+>Q9H158_PF15974_813 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5I4_PF08266_43 <unknown description>
+QLRYSVPEEQAPGALVGNVARALGLELRRLGPGCLRINHLGAPSPRYLELDLTSGALFVN
+ERIDREALCEQRPRCLLSLEVLAHN
+>Q9Y5I4_PF00028_153 <unknown description>
+YQLQVSESVAPGARFHIESAQDPDVGANSVQTYELSPSEHFELDLKPLQENSKVLELVLR
+KGLDREQAALHHLVLTAVDGGIPARSGTAQISVRVL
+>Q9Y5I4_PF00028_262 <unknown description>
+YRVQLREDSPPGTLVVKLNASDPDEGSNGELRYSLSSYTSDRERQLFSIDASTGEVRVIG
+GLDYEEASSYQIYVQATDRGPVPMAGHCKVLVDI
+>Q9Y5I4_PF00028_374 <unknown description>
+VPENATPNTIVAVLSVNDQDSGPNRKVSLGLEATLPFRLNGFGNSYTLVVSGPLDRERVA
+VYNITVTATDGGIPQLTSLRTLKVEI
+>Q9Y5I4_PF00028_474 <unknown description>
+YSIYIQENNLPGVLLCTVQATDPDEKENAEVTYSLLEREIQGLPVTSYVSINSASGSLYA
+VNSFDYEKFREFFVTVEAQDKGSPPLSSTVTANVYV
+>Q9Y5I4_PF00028_595 <unknown description>
+PRTAPAGYLVTKVIAMDSDSGQNAWLFYHLAQTSDLDLFKVELHTGEIRTTRKMGDESGS
+TFNLTVVVRDNGEPSLSASVAITVAV
+>Q9Y5I4_PF16492_704 <unknown description>
+ITLYLIIALSTVSFIFLLTIIILSIIKCYRYTAYGTACCGGFCGVRERSPAELYKQANNN
+IDARIPHGLKVQPHFIEVRGNGSLTKTYCYKACLTAGSGSDTFMFYN
+>Q9Y5I4_PF15974_857 <unknown description>
+RQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVG
+AGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKK
+EETKKKKKKKKGNK
+>Q9Y5H4_PF08266_31 <unknown description>
+IHYSVPEETDKGSFVGNIAKDLGLQPQELADGGVRIVSRGRMPLFALNPRSGSLITARRI
+DREELCAQSMPCLVSFNILVED
+>Q9Y5H4_PF00028_140 <unknown description>
+FKMNEITTPGTRVSLPFGQDLDVGMNSLQSYQLSSNPHFSLDVQQGADGPQHPEMVLQSP
+LDREEEAVHHLILTASDGGEPVRSGTLRIYIQV
+>Q9Y5H4_PF00028_247 <unknown description>
+YHINVPENVPLGTQLLMVNATDPDEGANGEVTYSFHNVDHRVAQIFRLDSYTGEISNKEP
+LDFEEYKMYSMEVQAQDGAGLMAKVKVLIKVL
+>Q9Y5H4_PF00028_354 <unknown description>
+TAVPENFPPGTIIALISVHDQDSGDNGYTTCFIPGNLPFKLEKLVDNYYRLVTERTLDRE
+LISGYNITITAIDQGTPALSTETHISLLV
+>Q9Y5H4_PF00028_457 <unknown description>
+YSAYIPENNPRGASIFSVRAHDLDSNENAQITYSLIEDTIQGAPLSAYLSINSDTGVLYA
+LRSFDYEQFRDMQLKVMARDSGDPPLSSNVSLS
+>Q9Y5H4_PF00028_583 <unknown description>
+EPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSL
+VVAVQDHGQPPLSATVTLTVAV
+>Q9Y5H4_PF16492_688 <unknown description>
+DLTLYLVVAAAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGGLASMPGSHFVGVDGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5H4_PF15974_810 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5H1_PF08266_30 <unknown description>
+QIRYSVREEIDRGSFVGNIAKDLGLEPLALAEQGVRIVSRGRSQLFALNPRSGSLVTANR
+IDREELCAQSAPCLLNFNILLED
+>Q9Y5H1_PF00028_141 <unknown description>
+KISETTTPGFRIPLKNAHDADVGENALQKYALNPNDHFSLDVRRGADGNKYPELVLERSL
+DREEEAVHHLVLVASDGGDPVLSGTSRICVKVL
+>Q9Y5H1_PF00028_247 <unknown description>
+YRISIPENTLVGTRILTVTATDADEGYYAQVVYFLEKSPGETSEVFELKSTSGELTIIKD
+LDYEDATFHEIDIEAQDGPGLLTRAKVIVTVL
+>Q9Y5H1_PF00028_354 <unknown description>
+SSVSEDSLPGTIIGLFNVHDRDSGQNAFTTCSLPEDLPFKLEKSVDNYYRLVTTRALDRE
+QFSFYNITLTAKDGGNPSLSTDAHILLQV
+>Q9Y5H1_PF00028_457 <unknown description>
+YSTYIPENNPRGASVFSVTAHDPDSNDNAHVTYSFAEDTVQGAPLSSYISINSDTGVLYA
+LRSFDYEQLRDLQVWVIARDSGNPPLSSNVSLSLFV
+>Q9Y5H1_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYHLLKASEPGLFSVGLHTGEVRTARALLDRDALKQS
+LVVAIQDHGQPPLSATVTLTVAV
+>Q9Y5H1_PF16492_688 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGSLTGMQSSHFVGVDGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5H1_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5H0_PF08266_30 <unknown description>
+QIRYSVSEELDKGSFVGNIANDLGLEPRELAERGVRIVSRGRTQLFSLNPQSGSLVTAER
+IDREELCAQIPLCLVKINILVED
+>Q9Y5H0_PF00028_247 <unknown description>
+YRVSVWENVPVGTRLLTVNATDPDEGFNAQVSYILDKMPGKIAEIFHLNSVSGEVSILKS
+LDYEDAMFYEIKIEAQDGPGLLSRAKILVTVL
+>Q9Y5H0_PF00028_355 <unknown description>
+SVPEEGTVGREIALIDVHDRDSGQNGQVEVFVLGNLPFKLEKSIDQYYRLVTATSLDREQ
+ISEYNISLRASDGGSPPLSTETHITLHV
+>Q9Y5H0_PF00028_457 <unknown description>
+YSAYIPENNPRGASIFSVTAQDPDSNNNARITYALTEDTLQGAPLSSFVSINSNTGVLYA
+LRSFDYEQFRDLKLLVTASDSGNPPLSSNVSLNLFV
+>Q9Y5H0_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>Q9Y5H0_PF16492_688 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVIVLLALRLRRWHKSRLLQASGGGLASTPGSHFVGADGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5H0_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G9_PF08266_61 <unknown description>
+QILYSVFEEQEEGSVVGNIAKDLGLAPRELAERGVRIVSRGRTQLFALNPRSGTLVTAGR
+IDREELCDRSPNCVTNLEILLED
+>Q9Y5G9_PF00028_170 <unknown description>
+EIKVAENENPGARFPLPEAFDPDVGVNSLQGYQLNSNGYFSLDVQSGADGIKYPELVLER
+ALDREEEAVHHLVLTAFDGGDPVRSGTARILI
+>Q9Y5G9_PF00028_278 <unknown description>
+YHVSVRENVPVGTRLLTVKATDPDEGANGDVTYSFRKVRDKISQLFQLNSLSGDITILGG
+LDYEDSGFYDIDVEAHDGPGLRARSKVLVTVL
+>Q9Y5G9_PF00028_386 <unknown description>
+SVQESSSPGTVIALFNVHDSDSGGNGLVTCSIPDNLPFTLEKTYGNYYRLLTHRTLDREE
+VSEYNITVTATDQGTPPLSTETHISLQV
+>Q9Y5G9_PF00028_488 <unknown description>
+YSAYIPENNPRGASILSMTAQDPDSGDNARITYSLAEDTFQGAPLSSYVSINSNTGILYA
+LCSFDYEQFRDLQLLMTASDSGDPPLSSNVSLSLFV
+>Q9Y5G9_PF00028_613 <unknown description>
+ADSGYLVTKVVAVDRDSGQNAWLSYSLLKSSEPGLFAVGLHTGEVRTARALLDRDALKQR
+LVVVVQDHGQPPLSATVTLTVAV
+>Q9Y5G9_PF16492_720 <unknown description>
+LTLYLVVAVAAVSCVFLAFVTVLLALKLRRWHKSRLLHAEGSRLAGVPASHFVGVDGVRA
+FLQTYSHEVSLTADSRKSHLIFS
+>Q9Y5G9_PF15974_841 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G8_PF08266_30 <unknown description>
+QIRYSMPEELDKGSFVGNIAKDLGLEPQELAERGVRIVSRGRTQLFALNPRSGSLVTAGR
+IDREELCAQSPLCVVNFNILVEN
+>Q9Y5G8_PF00028_140 <unknown description>
+VKVNENAAAGTRLVLPFARDADVGVNSLRSYQLSSNLHFSLDVVSGTDGQKYPELVLEQP
+LDREKETVHDLLLTALDGGDPVLSGTTHIRVTVL
+>Q9Y5G8_PF00028_247 <unknown description>
+YSVSVPENIPVGTRLLMLTATDPDEGINGKLTYSFRNEEEKISETFQLDSNLGEISTLQS
+LDYEESRFYLMEVVAQDGGALVASAKVVVTV
+>Q9Y5G8_PF00028_355 <unknown description>
+SISEDCLPGTVIALFSVHDGDSGENGEIACSIPRNLPFKLEKSVDNYYHLLTTRDLDREE
+TSDYNITLTVMDHGTPPLSTESHIPLKV
+>Q9Y5G8_PF00028_457 <unknown description>
+YSTSVTENNPRGVSIFSVTAHDPDSGDNARVTYSLAEDTFQGAPLSSYVSINSDTGVLYA
+LRSFDYEQLRDLQLWVTASDSGNPPLSSNVSLSLFV
+>Q9Y5G8_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDKDSGQNAWLSYRLLKASEPGLFAVGLHTGEVRTARALLDRDALKQS
+LVVAVEDHGQPPLSATFTVTVAV
+>Q9Y5G8_PF16492_688 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVIVLLVLRLRRWHKSRLLQAEGSRLAGVPASHFVGVDGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5G8_PF15974_810 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G7_PF08266_30 <unknown description>
+QIRYSIPEELEKGSFVGNIVKDLGLEPQELAEHGVRIVSRGRMQLFSLNPRNGSLVTAGR
+IDREELCAQSPRCLVSFNILVED
+>Q9Y5G7_PF00028_140 <unknown description>
+VKILENAAPSSRFPLMEVYDPDVGMNSLQGFKLSGNSHFSVDVQSEAHGPKYPELVLEGT
+LDREGEAVYRLVLTAMDGGDPVRSSVAQILVTVL
+>Q9Y5G7_PF00028_247 <unknown description>
+YRVSVPENLPVGTPVLAVTATDQDEGVHGEVTYSFVKITEKISQIFCLNVLTGEISTSAN
+LDYEDSSFYELGVEARDGPGLRDRAKVLITIL
+>Q9Y5G7_PF00028_355 <unknown description>
+TIAESAPPGTVIALFQVFDRDSGLNGLVTCSIPRSLPFELEKSVGNYYRLVTNAALDREE
+VFLYNITVTATDKGTPPLSTETIISLNV
+>Q9Y5G7_PF00028_457 <unknown description>
+YSVYVLENNPRGASIFSVNALDPDVDQNAQVSYSLAEDTLQGAPLSSYVSINSDTGILYA
+LRSFDYEQLRDLQLWVTASDSGDPPLSSNVSLSLFV
+>Q9Y5G7_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>Q9Y5G7_PF16492_688 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVIVLLALRLQRWHKSRLLQASGGGLASMPGSHFVGVEGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5G7_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G6_PF08266_31 <unknown description>
+ILYSVSEETDKGSFVGDIAKDLGLEPRELAERGVRIISRGRTQLFALNQRSGSLVTAGRI
+DREEICAQSARCLVNFNILMED
+>Q9Y5G6_PF00028_139 <unknown description>
+NVKIMENTAPGVRFPLSEAGDPDVGTNSLQSYQLSPNRHFSLAVQSGDDETKYPELVLER
+VLDREEERVHHLVLTASDGGDPPRSSTAHIQVTV
+>Q9Y5G6_PF00028_247 <unknown description>
+YQVTVPENVPVGTRLLTVHAIDLDEGVNGEVTYSFRKITPKLPKMFHLNSLTGEISTLEG
+LDYEETAFYEMEVQAQDGPGSLTKAKVLITVL
+>Q9Y5G6_PF00028_354 <unknown description>
+SSIPEDTPLGTVIALFYLQDRDSGKNGEVTCTIPENLPFKLEKSIDNYYRLVTTKNLDRE
+TLSLYNITLKATDGGTPPLSRETHIFMQ
+>Q9Y5G6_PF00028_457 <unknown description>
+YSVYIAENNPRGASIFLVTAQDHDSEDNAQITYSLAEDTIQGAPVSSYVSINSDTGVLYA
+LQSFDYEQLRELQLRVTAHDSGDPPLSSNMSLSLFV
+>Q9Y5G6_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDKDSGQNAWLSYLLLKASEPGLFAVGLYTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>Q9Y5G6_PF16492_688 <unknown description>
+DLTLYLVVAVATVSCVFLAFVLVLLALRLRRWHKSRLLQASEGGLANVPTSHFVGMDGVQ
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5G6_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G5_PF08266_30 <unknown description>
+QIRYSVPEETDKGSFVGNISKDLGLDPRKLAKHGVRIVSRGRTQLFALNPRSGSLITAGR
+IDREELCAQSPRCLININTLVED
+>Q9Y5G5_PF00028_140 <unknown description>
+VKINEIAVPGARYPLPEAVDPDVGVNSLQSYQLSPNHHFSLDVQTGDNGAINPELVLERA
+LDREEEAAHHLVLTASDGGKPPRSSTVRIHVTVL
+>Q9Y5G5_PF00028_247 <unknown description>
+YRVKVLENMPPGTRLLTVTASDPDEGINGKVAYKFRKINEKQTPLFQLNENTGEISIAKS
+LDYEECSFYEMEIQAEDVGALLGRTKLLISV
+>Q9Y5G5_PF00028_356 <unknown description>
+VLENSLPGTVIAFLSVHDQDSGKNGQVVCYTRDNLPFKLEKSIGNYYRLVTRKYLDRENV
+SIYNITVMASDLGTPPLSTETQIALHV
+>Q9Y5G5_PF00028_457 <unknown description>
+YSAYILENNLRGASIFSLTAHDPDSQENAQVTYSVTEDTLQGAPLSSYISINSDTGVLYA
+LQSFDYEQIRDLQLLVTASDSGDPPLSSNMSLSLFV
+>Q9Y5G5_PF00028_583 <unknown description>
+ERGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSL
+VVAVQDHGQPPLSATVTLTVAV
+>Q9Y5G5_PF16492_688 <unknown description>
+SLTLYLVVAVAAISCVFLAFVAVLLGLRLRRWHKSRLLQDSGGRLVGVPASHFVGVEEVQ
+AFLQTYSQEVSLTADSRKSHLIFPQ
+>Q9Y5G5_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G4_PF08266_30 <unknown description>
+QIRYSVPEETEKGYIVGNISKDLALEPRELAERRVRIVSRGRTQLFSLNPRSGTLVTAGR
+IDREELCAQSPRCLVNFKVLVED
+>Q9Y5G4_PF00028_140 <unknown description>
+VKINEIAVPGARYPLPEAIDPDVGVNSLQSYQLSPNHHFSLNVQTGDNGAINPELVLERA
+LDREEATAHHLVLTASDGGEPRRSSTVRIHVTVL
+>Q9Y5G4_PF00028_247 <unknown description>
+YRVKVLENVPPGTWLLTATASDLDEGINGKVAYKFWKINEKQSLLFQLNENTGEISTAKS
+LDYEECSFYEMEIQAEDGGGLKGWTKVLISV
+>Q9Y5G4_PF00028_356 <unknown description>
+VREDAPQGTVILLFNAHDRDSGKNGQVVCSIQENLSFTLENSEEDYYRLLTAQILDREKA
+SEYNITVTATDRGTPPLSTEIHITLQVT
+>Q9Y5G4_PF00028_457 <unknown description>
+YSVYLPENNARGTSIFSVIAYDPDSNENSRVIYSLAEDTIQGSPLSTYVSINSDTGVLYA
+LCSFDYEQFRDLQMQVTASDSGSPPLSSNVSLRLFV
+>Q9Y5G4_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYRLFKASEPGLFSVGLHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAI
+>Q9Y5G4_PF16492_688 <unknown description>
+DLTLYLVVAVAVVSCVFLTFVITLLALRLRHWHSSHLLRATSDGLAGVPTSHFVGVDGVR
+AFLQTYSQEFSLTADSRKSHLIFPQ
+>Q9Y5G4_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5H3_PF08266_34 <unknown description>
+QISYSIPEELEKGSFVGNISKDLGLAPRELAERGVRIVSRGRTQLFSLNPRSGSLITAGR
+IDREELCAQSARCVVSFNILVED
+>Q9Y5H3_PF00028_144 <unknown description>
+VKINENVAAGMRFPLPEAIDPDVGVNSLQSYQLSPNKHFSLRVQSRANGVKYPELVLEHS
+LDREEEAIHHLVLTASDGGDPLRSGTVLVSVTV
+>Q9Y5H3_PF00028_251 <unknown description>
+YRVSVPENLPVGTQLLTVTATDRDEGANGEVTYSFRKLPDTQLLKFQLNKYTGEIKISEN
+LDYEETGFYEIEIQAEDGGAYLATAKVLITV
+>Q9Y5H3_PF00028_360 <unknown description>
+VTEDSPLGTVVALLNVHDLDSEQNGQVTCSILAYLPFKLEKSIDSYYRLVIHRALDREQV
+SSYNITVTATDGGSPPLSTEAHFMLQV
+>Q9Y5H3_PF00028_464 <unknown description>
+YIPENNARGASIFSVTALDPDSKENAQIIYSLAEDTIQGVPLSSYISINSDTGVLYALRS
+FDYEQFHELQMQVTASDSGDPPLSSNVSLSLFV
+>Q9Y5H3_PF00028_586 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFAVGEHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>Q9Y5H3_PF16492_692 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGGLTGVSGSHFVGVDGVR
+AFLQTYSHEVSLTADSRKSHLIFPQ
+>Q9Y5H3_PF15974_815 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5H2_PF08266_31 <unknown description>
+QIRYSVPEETEKGSFVGNISKDLGLEPRELAKRGVRIVSRGKTQLFAVNPRSGSLITAGR
+IDREELCETVSSCFLNMELLVED
+>Q9Y5H2_PF00028_248 <unknown description>
+YRVSVPENISSGTRVLMVNATDPDEGINGEVMYSFRNMESKASEIFQLDSQTGEVQVRGS
+LDFEKYRFYEMEIQGQDGGGLFTTTTMLITV
+>Q9Y5H2_PF00028_356 <unknown description>
+SILENSPPGTVIALLNVQDQDSGENGQVSCFIPNHLPFKLEKTYGNYYKLITSRVLDREL
+VQSYNITLTATDQGSPPLSAETHVWLNV
+>Q9Y5H2_PF00028_458 <unknown description>
+YSAYIPENNPRGASIFSVTALDPDSKQNALVTYSLTDDTVQGVPLSSYVSINSNTGVLYA
+LQSFDYEQFRDLELRVIARDSGDPPLSSNVSLSLFV
+>Q9Y5H2_PF00028_583 <unknown description>
+AEPGYLVTKVVAVDKDSGQNAWLSYRLLKASEPGLFAVGEHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>Q9Y5H2_PF16492_689 <unknown description>
+DLSLYLVVAVAAVSCIFLVFVIVLLALRLWRWHKSRLLQASEGGLAGMPTSHFVGVDGVQ
+AFLQTYSHEVSLIADSQKSHLIFPQ
+>Q9Y5H2_PF15974_814 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>O60330_PF08266_30 <unknown description>
+QIRYSVPEELEKGSRVGDISRDLGLEPRELAERGVRIIPRGRTQLFALNPRSGSLVTAGR
+IDREELCMGAIKCQLNLDILMED
+>O60330_PF00028_140 <unknown description>
+IKISENAATEMRFPLPHAWDPDIGKNSLQSYELSPNTHFSLIVQNGADGSKYPELVLKRA
+LDREEKAAHHLVLTASDGGDPVRTGTARIRVMVL
+>O60330_PF00028_247 <unknown description>
+YRASVPENLALGTQLLVVNATDPDEGVNAEVRYSFRYVDDKAAQVFKLDCNSGTISTIGE
+LDHEESGFYQMEVQAMDNAGYSARAKVLITV
+>O60330_PF00028_355 <unknown description>
+SVPENSPRGTLIALLNVNDQDSEENGQVICFIQGNLPFKLEKSYGNYYSLVTDIVLDREQ
+VPSYNITVTATDRGTPPLSTETHISLNV
+>O60330_PF00028_457 <unknown description>
+YSAYIPENNPRGVSLVSVTAHDPDCEENAQITYSLAENTIQGASLSSYVSINSDTGVLYA
+LSSFDYEQFRDLQVKVMARDNGHPPLSSNVSLSLFV
+>O60330_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQS
+LVVAVQDHGQPPLSATVTLTVAV
+>O60330_PF16492_688 <unknown description>
+DLTLYLVVAVAAVSCVFLAFVILLLALRLRRWHKSRLLQASGGGLTGAPASHFVGVDGVQ
+AFLQTYSHEVSLTTDSRKSHLIFPQ
+>O60330_PF15974_811 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G3_PF08266_30 <unknown description>
+QIRYTIPEELANGSRVGKLAKDLGLSVRELPTRKLRVSAEDYFNVSLESGDLLVNGRIDR
+EKICGRKLECALEFETVAEN
+>Q9Y5G3_PF00028_137 <unknown description>
+LEICESALPGVKFSLDSAQDADVEGNSLKLYTINPNQYFSLSTKESPDGSKYPVLLLEKP
+LDREHQSSHRLILTAMDGGDPPLSGTTHIWIRVT
+>Q9Y5G3_PF00028_244 <unknown description>
+YRVSLQENVPWGTSVLRVMATDQDEGINAEITYAFLNSPISTSLFNLNPNTGDITTNGTL
+DFEETSRYVLSVEAKDGGVHTAHCNVQIEI
+>Q9Y5G3_PF00028_350 <unknown description>
+NQIPEDSDLGTVIALIKVRDKDSGQNGMVTCYTQEEVPFKLESTSKNYYKLVIAGALNRE
+QTADYNVTIIATDKGKPALSSRTSITLHI
+>Q9Y5G3_PF00028_453 <unknown description>
+YVVHVSENNPPGASIAQVSASDPDLGPNGRVSYSILASDLEPRELLSYVSVSPQSGVVFA
+QRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLV
+>Q9Y5G3_PF00028_578 <unknown description>
+AEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQR
+LLVAVRDGGQPPLSATATLH
+>Q9Y5G3_PF16492_683 <unknown description>
+ELQFYLVVALALISVLFLLAVILAIALRLRRSSSLDTEGCFQTGLCSKSGPGVPPNHSEG
+TLPYSYNLCIASHSAKTEFNSL
+>Q9Y5G3_PF15974_806 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G2_PF08266_32 <unknown description>
+QIRYSIPEELAKNSVVGNLAKDLGLSVRDLPARKLRVSAEKEYFTVNPESGDLLVSDRID
+REQICGKQPLCVLDFDTVAEN
+>Q9Y5G2_PF00028_141 <unknown description>
+KIGESTKPGTTFPLDPALDSDVGPNSLQRYHLNDNEYFDLAEKQTPDGRKYPELILKHSL
+DREEHSLHQLVLTAVDGGDPPQSGTTQIRIKVT
+>Q9Y5G2_PF00028_247 <unknown description>
+YRVTLREDVPPGFFVLQVTATDRDEGINAEITYSFHNVDEQVKHFFNLNEKTGEITTKDD
+LDFEIASSYTLSIEAKDPGDLAAHCSIQVEI
+>Q9Y5G2_PF00028_355 <unknown description>
+PLPEDSPPGTVIALIKTRDRDSGENGEVYCQVLGNAKFILKSSSKNYYKLVTDGALDREE
+IPEYNLTITATDGGKPPLSSSIIVTLHI
+>Q9Y5G2_PF00028_457 <unknown description>
+YMVHVAENNPPGASIAQISASDPDLGPSGQVSYSIVASDLKPREILSYVSVSAQSGVVFA
+QRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLV
+>Q9Y5G2_PF00028_582 <unknown description>
+AEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQR
+LLVAVRDGGQPPLSATATLH
+>Q9Y5G2_PF16492_687 <unknown description>
+KLQFYLVVALALISVLFFLAVILAISLRLRLSSRSDAWDCFQPGLSSKPGPGVLPNYSEG
+TLPYSYNLCVASQSAKTEFNFLN
+>Q9Y5G2_PF15974_810 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G1_PF08266_33 <unknown description>
+IRYAIPEELDRGSLVGNLAKDLGFGVGDLPTRNLRVIAEKKFFTVSPENGNLLVSDRIDR
+EEICGKKSTCVLEFEMVAE
+>Q9Y5G1_PF00028_140 <unknown description>
+LEISELALTGATFALESAQDPDVGVNSLQQYYLSPDPHFSLIQKENLDGSRYPELVLKAP
+LDREEQPHHHLVLTAVDGGEPSRSCTTQIRVIV
+>Q9Y5G1_PF00028_247 <unknown description>
+YRVNVAENLPAGSSVLKVMAIDMDEGINAEIIYAFINIGKEVRQLFKLDSKTGELTTIGE
+LDFEERDSYTIGVEAKDGGHHTAYCKVQIDI
+>Q9Y5G1_PF00028_355 <unknown description>
+HIQEDAELGTAVALIKTHDLDSGFNGEILCQLKGNFPFKIVQDTKNTYRLVTDGALDREQ
+IPEYNVTITATDKGNPPLSSSKTITLHIL
+>Q9Y5G1_PF00028_457 <unknown description>
+YTVHVAENNPPGASIAHVRASDPDLGPNGLVSYYIVASDLEPRELSSYVSVSARSGVVFA
+QRAFDHEQLRAFELTLQARDQGSPTLSANVSLRVLV
+>Q9Y5G1_PF00028_581 <unknown description>
+SAEPGYLVTKVVAVDADSGYNAWLSYHIVQASEPGLFSLGLRTGEVRTARTLGDREAARQ
+RLLVTVRDGGQQPLSATVMLH
+>Q9Y5G1_PF16492_687 <unknown description>
+ELQFHLVVALALISVLFLLAVILAISLRLRCSSRPATEGYFQPGVCFKTVPGVLPTYSER
+TLPYSYNPCAASHSSNTEFKFLN
+>Q9Y5G1_PF15974_808 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9UN71_PF08266_32 <unknown description>
+QIRYRIPEEMPKGSVVGNLATDLGFSVQELPTRKLRVSSEKPYFTVSAESGELLVSSRLD
+REEICGKKPACALEFEAVAEN
+>Q9UN71_PF00028_139 <unknown description>
+ELQISESAQPGTRFILGSAHDADIGSNTLQNYQLSPSDHFSLINKEKSDGSKYPEMVLKT
+PLDREKQKSYHLTLTALDFGAPPLSSTAQIHVLVT
+>Q9UN71_PF00028_247 <unknown description>
+YRVSLSENVYPGTTVLQVTATDQDEGVNAEITFSFSEASQITQFDLNSNTGEITVLNTLD
+FEEVKEYSIVLEARDGGGMIAQCTVEVEV
+>Q9UN71_PF00028_354 <unknown description>
+IMEDAELGTHIALLKVRDKDSRHNGEVTCKLEGDVPFKILTSSRNTYKLVTDAVLDREQN
+PEYNITVTATDRGKPPLSSSSSITLHI
+>Q9UN71_PF00028_455 <unknown description>
+YIVHVAENNPPGASISQVRASDPDLGPNGQVSYCIMASDLEQRELSSYVSISAESGVVFA
+QRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLV
+>Q9UN71_PF00028_579 <unknown description>
+AAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAVRQ
+RLLVAVRDGGQPPLSATATLH
+>Q9UN71_PF16492_685 <unknown description>
+ELQFYLVVALALISVLFLVAMILAIALRLRRSSSPASWSCFQPGLCVKSESVVPPNYSEG
+TLPYSYNLCVAHTGKTEFNFLK
+>Q9UN71_PF15974_802 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5G0_PF08266_32 <unknown description>
+QIRYRIPEEMPKGSVVGNLATDLGFSVQELPTRKLRVSSEKPYFTVSAESGELLVSSRLD
+REEICGKKPACALEFEAVAEN
+>Q9Y5G0_PF00028_139 <unknown description>
+ELQISESAQPGTRFILEVAEDADIGLNSLQKYKLSLNPSFSLIIKEKQDGSKYPELALEK
+TLDREQQSYHRLVLTALDGGHPPLSGTTELRIQVT
+>Q9Y5G0_PF00028_247 <unknown description>
+YRVSLRENVPPGTTVLQVSATDQDEGINSEITYSFYRTGQIFSLNSKSGEITTQKKLDFE
+ETKEYSMVVEGRDGGGLVAQCTVEINIQ
+>Q9Y5G0_PF00028_353 <unknown description>
+LENAVPGTLIALIKIHDQDSGENGEVNCQLQGEVPFKIISSSKNSYKLVTDGTLDREQTP
+EYNVTITATDRGKPPLSSSISVILHI
+>Q9Y5G0_PF00028_453 <unknown description>
+YLVSVPENNPPGASIAQVCASDLDLGLNGQVSYSIMASDLEPLALASYVSMSAQSGVVFA
+QRAFDYEQLRTFELTLQARDQGSPALSANVSLRVLV
+>Q9Y5G0_PF00028_578 <unknown description>
+AEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQR
+LLVAVRDGGQPPLSATATLH
+>Q9Y5G0_PF16492_683 <unknown description>
+ELQFYLVVALALISVLFLLAVILAVALRLRRSSSPAAWSCFQPGLCVKSGPVVPPNYSQG
+TLPYSYNLCVAHTGKTEFNFLK
+>Q9Y5G0_PF15974_802 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5F9_PF08266_33 <unknown description>
+IRYSIPEELAKGSVVGNLAKDLGLSVLDVSARKLRVSAEKLHFSVDAESGDLLVKNRIDR
+EQICKERRRCELQLEAVVEN
+>Q9Y5F9_PF00028_139 <unknown description>
+HLEIFESASAGTRLSLDPATDPDININSIKDYKINSNPYFSLMVRVNSDGGKYPELSLEK
+LLDREEQRSHSLILTALDGGDPPRSATAHIEISV
+>Q9Y5F9_PF00028_247 <unknown description>
+YRISLSENLPPGSPVLQVTATDQDEGVNAEINYYFRSTAQSTKHMFSLDEKTGMIKNNQS
+FDFEDVERYTMEVEAKDGGGLSTQCKVIIEIL
+>Q9Y5F9_PF00028_355 <unknown description>
+QILENSPPGMVVALFKTRDLDFGGNGEVRCNIETDIPFKIYSSSNNYYKLVTDGALDREQ
+TPEYNVTIVATDRGKPPLSSSRSITLYV
+>Q9Y5F9_PF00028_457 <unknown description>
+YVVHVAENNPPGASIAQVSASDPDLGLNGHISYSIVASDLEPLAVSSYVSVSAQSGVVFA
+QRAFDHEQLRAFALTLQARDHGSPTLSANVSLRVLV
+>Q9Y5F9_PF00028_581 <unknown description>
+SAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQ
+RLLVAVRDGGQPPLSATATLH
+>Q9Y5F9_PF16492_687 <unknown description>
+ELQFYLVVALALISVLFLLAVILAIALRLRRSLSPATWDCFHPGLCVKSGPVVPPNYSEG
+TLPYSYNLCIAHTGTKEFNFLK
+>Q9Y5F9_PF15974_809 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5F8_PF08266_33 <unknown description>
+IRYSIPEELAKGSVVGNLAKDLGLSVLDVSARELRVSAEKLHFSVDAQSGDLLVKDRIDR
+EQICKERRRCELQLEAVVEN
+>Q9Y5F8_PF00028_140 <unknown description>
+LEISESVSLGMGTILESAEDPDISMNSLSKYQLSPNEYFSLVEKDNPDGGKYPELVLQKT
+LDRETQSAHHLVLTALDGGDPPRSGTAQIRILV
+>Q9Y5F8_PF00028_247 <unknown description>
+YRVSLREDVPPGTSILRVKATDQDEGINSEITYSFFGVADKAQHVFSLDYTTGNILTQQP
+LDFEEVERYTINIEAKDRGSLSTRCKVIVEV
+>Q9Y5F8_PF00028_355 <unknown description>
+QIMEDSPPGVVVALFKTRDQDSGENGEVRCSLSRGVPFKIHSSSNNYYKLVTDEALDREQ
+TPEYNVTIAATDRGKPPLSSSKTITLHIT
+>Q9Y5F8_PF00028_457 <unknown description>
+YLVHVPENNQPGASIAQVSASDPDFGLNGRVSYSLIASDLESRTLSSYVSVSAQSGVVFA
+QRAFDHEQLRTFELTLQARDQGSPALSANVSLRVLV
+>Q9Y5F8_PF00028_581 <unknown description>
+AAQPGYLVTKVVAVDADSGHNAWLSYHVVQASEPGLFSLGLRTGEVRMVRALGDKDSVRQ
+RLLVAVRDGGQPPLSATATLH
+>Q9Y5F8_PF16492_688 <unknown description>
+MQFYLVVALALISVLFLLAVILAIALRLRQSFSPTAGDCFESVLCSKSGPVGPPNYSEGT
+LPYAYNFCVPGDQMNPEFNFF
+>Q9Y5F8_PF15974_808 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9UN70_PF08266_33 <unknown description>
+IHYEIPEEREKGFAVGNVVANLGLDLGSLSARRFRVVSGASRRFFEVNRETGEMFVNDRL
+DREELCGTLPSCTVTLELVVEN
+>Q9UN70_PF00028_143 <unknown description>
+EISEAVAPGTRFPLESAHDPDVGSNSLQTYELSRNEYFALRVQTREDSTKYAELVLERAL
+DREREPSLQLVLTALDGGTPALSASLPIHIKVL
+>Q9UN70_PF00028_249 <unknown description>
+YRARVLEDAPSGTRVVQVLATDLDEGPNGEIIYSFGSHNRAGVRQLFALDLVTGMLTIKG
+RLDFEDTKLHEIYIQAKDKGANPEGAHCKVLVEV
+>Q9UN70_PF00028_361 <unknown description>
+VPEDAPLGTVIALLSVTDLDAGENGLVTCEVPPGLPFSLTSSLKNYFTLKTSADLDRETV
+PEYNLSITARDAGTPSLSALTIVRVQV
+>Q9UN70_PF00028_462 <unknown description>
+YDVYIEENNLPGAPILNLSVWDPDAPQNARLSFFLLEQGAETGLVGRYFTINRDNGIVSS
+LVPLDYEDRREFELTAHISDGGTPVLATNISVNIFVT
+>Q9UN70_PF00028_586 <unknown description>
+AGHLVSRVVGWDADAGHNAWLSYSLLGSPNQSLFAIGLHTGQISTARPVQDTDSPRQTLT
+VLIKDNGEPSLSTTATLTVSVT
+>Q9UN70_PF16492_689 <unknown description>
+NLTFYLLLSLILVSVGFVVTVFGVIIFKVYKWKQSRDLYRAPVSSLYRTPGPSLHADAVR
+GGLMSPHLYHQVYLTTDSRRSD
+>Q9UN70_PF15974_813 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5F7_PF08266_30 <unknown description>
+QIRYPVPEESQEGTFVGNVAQDFLLDTDSLSARRLQVAGEVNQRHFRVDLDSGALLIKNP
+IDREALCGLSASCIVPLEFVTE
+>Q9Y5F7_PF00028_141 <unknown description>
+EIGEAAPPGQRFPLEKAQDADVGSNSISSYRLSSNEHFALDVKKRSDGSLVPELLLEKPL
+DREKQSDYRLVLTAVDGGNPPRSGTAELRVSVL
+>Q9Y5F7_PF00028_247 <unknown description>
+YRISVLESAPAGMVLIQLNASDPDLGPSGNVTFYFSGHTPDRVRNLFSLHPTTGKLTLLG
+PLDFESENYYEFDVRARDGGSPAMEQHCSLRVDL
+>Q9Y5F7_PF00028_358 <unknown description>
+TLPESAEPGTVVALISVQDPDSGSNGDVSLRIPDHLPFALKSAFRNQFSLVTAGPLDREA
+KSSYDIMVTASDAGNPPLSTHRTIF
+>Q9Y5F7_PF00028_462 <unknown description>
+VFVPENNRPGDLLCSLAASDPDSGLNALISYSLLEPRNRDVSASSFISLNPQTGAVHATR
+SFDYEQTQTLQFEVQARDRGNPPLSSTVTVRLFV
+>Q9Y5F7_PF00028_587 <unknown description>
+GHLITKVTAVDLDSGYNAWVSYQLLEAPDPSLFAVSRYAGEVRTAVPIPADLPPQKLVIV
+VKDSGSPPLSTSVTLLVSL
+>Q9Y5F7_PF15974_817 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q9Y5F6_PF08266_30 <unknown description>
+QLRYSVVEESEPGTLVGNVAQDLGLKMTDLLSRRLQLGSEENGRYFSLSLMSGALAVNQK
+IDRESLCGASTSCLLPVQVVTE
+>Q9Y5F6_PF00028_139 <unknown description>
+EMRISESAASGARFPLDSAQDPDVGTNTVSFYTLSPNSHFSLNVKTLKDGKPFPELVLEQ
+QLDREAQARHQLVLTAVDGGTPARSGTTLISVIVL
+>Q9Y5F6_PF00028_250 <unknown description>
+GIPENAPIGTLLLRLNATDPDEGTNGQLDYSFGDHTSEAVRNLFGLDPSSGAIHVLGPID
+FEESRFYEIHARARDQGQPAMEGHCVIQVDV
+>Q9Y5F6_PF00028_359 <unknown description>
+VLESTPVGTVVGLFNVRDRDSGRNGEVSLDISPDLPFQIKPSENHYSLLTSQPLDREATS
+HYIIELLASDAGSPSLHKHLTIRLNI
+>Q9Y5F6_PF00028_459 <unknown description>
+YTAYILENRPPGSLLCTVAASDPDTGDNARLTYSIVGNQVQGAPASSFVYVNPEDGRIFA
+QRTFDYELLQMLQIVVGVRDSGSPPLHANTSLHVFVL
+>Q9Y5F6_PF00028_581 <unknown description>
+RSAPPGSLVTKVTAVDADAGHNAWLSYSLLPQSTAPGLFLVSTHTGEVRTARALLEDDSD
+TQQVVVLVRDNGDPSLSSTATVL
+>Q9Y5F6_PF16492_689 <unknown description>
+DLTLYLIVALATVSLLSLVTFTFLSAKCLQGNADGDGGGGQCCRRQDSPSPDFYKQSSPN
+LQVSSDGTLKYMEVTLRPTDSQSHCYRTCFSPASDGSDFTFLR
+>Q9Y5F6_PF15974_823 <unknown description>
+PPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGA
+GTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKE
+KK
+>Q08174_PF08266_60 <unknown description>
+VVYKVPEEQPPNTLIGSLAADYGFPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREG
+LRECQNQLPGDPCILEFEVSI
+>Q08174_PF00028_175 <unknown description>
+LAIPENTNIGSLFPIPLASDRDAGPNGVASYELQAGPEAQELFGLQVAEDQEEKQPQLIV
+MGNLDRERWDSYDLTIKVQDGGSPPRASSALLRVTVL
+>Q08174_PF00028_285 <unknown description>
+YEAELSENSPIGHSVIQVKANDSDQGANAEIEYTFHQAPEVVRRLLRLDRNTGLITVQGP
+VDREDLSTLRFSVLAKDRGTNPKSARAQVVVTV
+>Q08174_PF00028_402 <unknown description>
+ANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQLRQASETGSDSKKKYFLQTT
+TPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQV
+>Q08174_PF00028_513 <unknown description>
+VAFPENNKPGEVIAEITASDADSGSNAELVYSLEPEPAAKGLFTISPETGEIQVKTSLDR
+EQRESYELKVVAADRGSPSLQGTATVLVNVL
+>Q08174_PF00028_617 <unknown description>
+YNFSVMENMPALSPVGMVTVIDGDKGENAQVQLSVEQDNGDFVIQNGTGTILSSLSFDRE
+QQSTYTFQLKAVDGGVPPRSAYVGVTINVL
+>Q08174_PF00028_731 <unknown description>
+RLGETVSQVAAEDFDSGVNAELIYSIAGGNPYGLFQIGSHSGAITLEKEIERRHHGLHRL
+VVKVSDRGKPPRYGTALVHLYV
+>Q08174_PF08374_815 <unknown description>
+TLANRTLLETLLGHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVVAVALLIALAVLV
+RYCRQREAKSGYQAGKKETKDLYAPKPSGKASKGNKSKGKKSKSPKPVKPVEDEDEAGLQ
+KSLKFNLMSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQPQSPSASKKHQVV
+QDLPPANTFVGTGDTTSTGSEQYSDYSYRTNPPKY
+>O95206_PF08266_32 <unknown description>
+VRYSTFEEDAPGTVIGTLAEDLHMKVSGDTSFRLMKQFNSSLLRVREGDGQLTVGDAGLD
+RERLCGQAPQCVLAFDVV
+>O95206_PF00028_142 <unknown description>
+VEVSEGAAVGTRIPLEVPVDEDVGANGLQTVRLAEPHSPFRVELQTRADGAQCADLVLLQ
+ELDRESQAAYSLELVAQDGGRPPRSATAALSVRVL
+>O95206_PF00028_253 <unknown description>
+VELAEDAPVGSLLLDLDAADPDEGPNGDVVFAFGARTPPEARRLFRLDPRSGRLTLAGPV
+DYERQDTYELDVRAQDRGPGPRAATCKVIVRI
+>O95206_PF00028_401 <unknown description>
+VPEGAARESLVALVSTSDRDSGANGQVRCALYGHEHFRLQPAYAGSYLVVTAASLDRERI
+AEYNLTLVAEDRGAPPLRTVRPYTVRV
+>O95206_PF00028_502 <unknown description>
+YEVSVRENNPPGAYLATVAARDRDLGRNGQVTYRLLEAEVGRAGGAVSTYVSVDPATGAI
+YALRSFDYETLRQLDVRIQASDGGSPQLSSSALVQVRVL
+>O95206_PF00028_622 <unknown description>
+AVPGRTAKDTVVARVQARDADEGANGELAFELQQQEPREAFAIGRRTGEILLTGDLSQEP
+PGRVFRALLVISDGGRPPLTTTATVSFVV
+>Q9HC56_PF08266_27 <unknown description>
+IYTIREELPENVPIGNIPKDLNISHINAATGTSASLVYRLVSKAGDAPLVKVSSSTGEIF
+TTSNRIDREKLCAGASYAEENECFFELEVVI
+>Q9HC56_PF00028_148 <unknown description>
+NISIPENTLINSRFPIPSATDPDTGFNGVQHYELLNGQSVFGLDIVETPEGEKWPQLIVQ
+QNLDREQKDTYVMKIKVEDGGTPQKSSTAILQVTV
+>Q9HC56_PF00028_259 <unknown description>
+VHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATKRLFALNNTTGLITVQRSL
+DREETAIHKVTVLASDGSSTPARATVTINVT
+>Q9HC56_PF00028_371 <unknown description>
+VYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYLLETSSLLDYE
+GTKEFSFKIVASDSGKPSLNQTALVRVKL
+>Q9HC56_PF00028_476 <unknown description>
+LSVSENNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFDREEQ
+ERFIFTVTARDNGTPPLQSQAAVIVTVL
+>Q9HC56_PF00028_578 <unknown description>
+QFFVSENLPKYSTVGVITVTDADAGENKAVTLSILNDNDNFVLDPYSGVIKSNVSFDREQ
+QSSYTFDVKATDGGQPPRSSTAKVTINV
+>Q9HC56_PF00028_693 <unknown description>
+PGSVVAEVFAVDVDTGMNAELKYTIVSGNNKGLFRIDPVTGNITLEEKPAPTDVGLHRLV
+VNISDLGYPK
+>Q9HC56_PF08374_778 <unknown description>
+AGNASYIYDLIRRTMETPLDRNIGDSSQPYQNEDYLTIMIAIIAGAMVVIVVIFVTVLVR
+CRHASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVH
+EPINGTISLPAELEEQSIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVS
+VKKHHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQ
+>Q96NT5_PF07690_90 <unknown description>
+NVGGFLVGLFSSTLLGAWSDSVGRRPLLVLASLGLLLQALVSVFVVQLQLHVGYFVLGRI
+LCALLGDFGGLLAASFASVADVSSSRSRTFRMALLEASIGVAGMLASLLGGHWLRAQGYA
+NPFWLALALLIAMTLYAAFCFGETLKEPKSTRLFTFRHHRSIVQLYVAPAPEKSRKHLAL
+YSLAIFVVITVHFGAQDILTLYELSTPLCWDSKLIGYGSAAQHLPYLTSLLALKLLQYCL
+ADAWVAEIGLAFNILGMVVFAFATITPLMFTGYGLLFLSLVITPVIRAKLSKLVRETEQG
+ALFSAVACVNSLAMLT
+>Q9BSM1_PF13923_47 <unknown description>
+CCLCAGYFVDATTITECLHTFCKSCIVKYLQTSKYCPMC
+>Q9BSM1_PF16207_190 <unknown description>
+KYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQIWLSRWFGKPSPLL
+LQYS
+>P35227_PF13923_17 <unknown description>
+MCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMC
+>P35227_PF16207_164 <unknown description>
+RFLRCPAAMTVMHLAKFLRNKMDVPSKYKVEVLYEDEPLKEYYTLMDIAYIYPWRRNGPL
+PLKYR
+>Q3KNV8_PF13923_17 <unknown description>
+CRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTC
+>Q3KNV8_PF16207_172 <unknown description>
+KWIRCSAQATVLHLKKFIAKKLNLSSFNELDILCNEEILGKDHTLKFVVVTRWRFKKAPL
+LLHYR
+>Q86SE9_PF13923_18 <unknown description>
+CYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRC
+>Q86SE9_PF16207_163 <unknown description>
+KFIRCSTRVTVGTIKKFLSLKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWR
+>Q9BYE7_PF13923_134 <unknown description>
+CSICKGYLIDATTITECLHTFCKSCIVRHFYYSNRCPKC
+>Q15645_PF00004_175 <unknown description>
+VLLHGPPGTGKTSLCKALAQKLTIRLSSRYRYGQLIEINSHSLFSKWFSESGKLVTKMFQ
+KIQDLIDDKDALVFVLIDEVESLTAARNACRAGTEPSDAIRVVNAVLTQIDQIKRHSNVV
+ILTTSNITEKIDVAFVDRADIKQYIG
+>Q5JVF3_PF01399_278 <unknown description>
+QFAEVTRAVSEGNLLLLHEALAKHEAFFIRCGIFLILEKLKIITYRNLFKKVYLLLKTHQ
+LSLDAFLVALKFMQVEDVDIDEVQCILANLIYMGHVKGYISHQHQKLVVSK
+>P35558_PF17297_29 <unknown description>
+EFLENNAELCQPDHIHICDGSEEENGRLLGQMEEEGILRRLKKYDNCWLALTDPRDVARI
+ESKTVIVTQEQRDTVPIPKTGLSQLGRWMSEEDFEKAFNARFPGCMKGRTMYVIPFSMGP
+LGSPLSKIGIELTDSPYVVASMRIMTRMGTPVLEAVGDGEFVKCLHSVGCPLPLQKPLVN
+NWPCNPELTLIAHLPDRREIISFGSGYGGNSLLGKKCFALRMASRLAK
+>P35558_PF00821_260 <unknown description>
+WLAEHMLILGITNPEGEKKYLAAAFPSACGKTNLAMMNPSLPGWKVECVGDDIAWMKFDA
+QGHLRAINPENGFFGVAPGTSVKTNPNAIKTIQKNTIFTNVAETSDGGVYWEGIDEPLAS
+GVTITSWKNKEWSSEDGEPCAHPNSRFCTPASQCPIIDAAWESPEGVPIEGIIFGGRRPA
+GVPLVYEALSWQHGVFVGAAMRSEATAAAEHKGKIIMHDPFAMRPFFGYNFGKYLAHWLS
+MAQHPAAKLPKIFHVNWFRKDKEGKFLWPGFGENSRVLEWMFNRIDGKASTKLTPIGYIP
+KEDALNLKGLGHINMMELFSISKEFWEKEVEDIEKYLEDQVNADLPCEIEREILALKQR
+>Q16822_PF17297_46 <unknown description>
+DFVEHSARLCQPEGIHICDGTEAENTATLTLLEQQGLIRKLPKYNNCWLARTDPKDVARV
+ESKTVIVTPSQRDTVPLPPGGARGQLGNWMSPADFQRAVDERFPGCMQGRTMYVLPFSMG
+PVGSPLSRIGVQLTDSAYVVASMRIMTRLGTPVLQALGDGDFVKCLHSVGQPLTGQGEPV
+SQWPCNPEKTLIGHVPDQREIISFGSGYGGNSLLGKKCFALRIASRLAR
+>Q16822_PF00821_278 <unknown description>
+WLAEHMLILGITSPAGKKRYVAAAFPSACGKTNLAMMRPALPGWKVECVGDDIAWMRFDS
+EGRLRAINPENGFFGVAPGTSATTNPNAMATIQSNTIFTNVAETSDGGVYWEGIDQPLPP
+GVTVTSWLGKPWKPGDKEPCAHPNSRFCAPARQCPIMDPAWEAPEGVPIDAIIFGGRRPK
+GVPLVYEAFNWRHGVFVGSAMRSESTAAAEHKGKIIMHDPFAMRPFFGYNFGHYLEHWLS
+MEGRKGAQLPRIFHVNWFRRDEAGHFLWPGFGENARVLDWICRRLEGEDSARETPIGLVP
+KEGALDLSGLRAIDTTQLFSLPKDFWEQEVRDIRSYLTEQVNQDLPKEVLAELEALERR
+>Q7Z2X4_PF14719_59 <unknown description>
+KVTYLGKVSTTGMQFLSGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHK
+GEATVHMDTFQVARIAYCTADHNVSPNIFAWVYREINDDLSYQMDCHAVECESKLEAKKL
+AHAMMEAFRKTFHSMKSDGRIHSNSSSEE
+>Q9Y6V0_PF05715_588 <unknown description>
+ICPLCNTTELLLHVPEKANFNTCTECQTTVCSLCGFNPNPHLTEVKEWLCLNCQMKRAL
+>Q9Y6V0_PF05715_1058 <unknown description>
+TCPLCKTELNIGSKDPPNFNTCTECKNQVCNLCGFNPTPHLTEIQEWLCLNCQTQRAI
+>Q9Y6V0_PF00595_4508 <unknown description>
+VSGNGLGIRIVGGKEIPGHSGEIGAYIAKILPGGSAEQTGKLMEGMQVLEWNGIPLTSKT
+YEEVQSIISQQSGEAE
+>Q9Y6V0_PF00168_4707 <unknown description>
+GNLIIHILQARNLVPRDNNGYSDPFVKVYLLPGRGQVMVVQNASAEYKRRTKHVQKSLNP
+EWNQTVIYKSISMEQLKKKTLEVTVWDYDRFSSNDFLGEVLIDLSSTSHLDNTPRWYPL
+>Q9Y6V0_PF00168_5025 <unknown description>
+QLIVEILQCRNITYKFKSPDHLPDLYVKIYVMNISTQKKVIKKKTRVCRHDREPSFNETF
+RFSLSPAGHSLQILLFSNGGKFMKKTLIGEACIWLDKVDLRKRIVNWHKL
+>Q15154_PF15717_1372 <unknown description>
+GSDFSMFEALRDTIYSEVATLISQNESRPHFLIELFHELQLLNTDYLRQRALYALQDIVS
+RHISESHEKGENVKSVNSGTWIASNSELTPSESLATTDDETFEKNFERETHKISEQNDAD
+NASVLSVSSNFEPFATDDLGNTVIHLDQALARMREYERMKTEAESNSNMRCTCRIIEDGD
+GAGAGTTVNNLEETPVIENRSSQQPVSEVSTIPCPRIDTQQLDRQIKAIMKEVIPFLKEH
+MDEVCSSQLLTSVRRMVLTLTQQNDESKEFVKFFHKQLGSILQDSLAKFAGRKLKDCGED
+LLVEISEVLFNELAFFKLMQDLDNNSITVKQRCKRKIEATGVIQSCAKEAKRILEDHGSP
+AGEIDDEDKDKDETETVKQTQTSEVYDGPKNVRSDISDQEEDEESEGCPVSINLSKAETQ
+ALTNYGSGEDENEDEEMEEFEEGPVDVQTSLQANTEATEENEHDEQVLQRDFKKTAESKN
+VPLEREATSKNDQNNCPVKPCYLNILEDEQPLNSAAHKESPPTVDSTQQPNPLPLRLPEM
+EPLVPRVKEVKSAQETPESSLAGSPDTESPVLVNDYEAESGNISQKSDEEDFVKVEDLPL
+KLTIYSEADLRKKMVEEEQKNHLSGEI
+>Q96MG8_PF01135_10 <unknown description>
+DNDDLIDNLKEAQYIRTERVEQAFRAIDRGDYYLEGYRDNAYKDLAWKHGNIHLSAPCIY
+SEVMEALKLQPGLSFLNLGSGTGYLSTMVGLILGPFGINHGIELHSDVVEYAKEKLESFI
+KNSDSFDKFEFCEPAFVVGNCLQIASDSHQYDRIYCGAGVQKDHENYMKILLKVGGILVM
+PIEDQLTQIMRTGQNTWESKNILAVSFAPLVQ
+>Q9NV79_PF01135_10 <unknown description>
+DNDELIDNLKEAQYIRTELVEQAFRAIDRADYYLEEFKENAYKDLAWKHGNIHLSAPCIY
+SEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVIEYAKQKLDFFI
+RTSDSFDKFDFCEPSFVTGNCLEISPDCSQYDRVYCGAGVQKEHEEYMKNLLKVGGILVM
+PLEEKLTKITRTGPSAWETKKILAVSFAPLI
+>P12004_PF00705_1 <unknown description>
+MFEARLVQGSILKKVLEALKDLINEACWDISSSGVNLQSMDSSHVSLVQLTLRSEGFDTY
+RCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMD
+LDVE
+>P12004_PF02747_127 <unknown description>
+GIPEQEYSCVVKMPSGEFARICRDLSHIGDAVVISCAKDGVKFSASGELGNGNIKLSQTS
+NVDKEEEAVTIEMNEPVQLTFALRYLNFFTKATPLSSTVTLSMSADVPLVVEYKIADMGH
+LKYYLAPK
+>Q8WW12_PF15473_22 <unknown description>
+GPEEEAEKPVKTKTVSSSNGGESSSRSAEKRSAEEEAADLPTKPTKISKFGFAIGSQTTK
+KASAISIKLGSSKPKETVPTLAPKTLSVAAAFNEDEDSEPEEMPPEAKMRMKNIGRDTPT
+SAGPNSFNKGKHGFSDNQKLWERNIKSHL
+>O95613_PF10495_3139 <unknown description>
+YLRAESFRKALIYQKKYLLLLIGGFQDSEQETLSMIAHLGVFPSKAERKITSRPFTRFRT
+AVRVVIAILRLRFLVKKW
+>Q15113_PF00431_37 <unknown description>
+CGGDVKGESGYVASEGFPNLYPPNKECIWTITVPEGQTVSLSFRVFDLELHPACRYDALE
+VFAGSGTSGQRLGRFCGTFRPAPLVAPGNQVTLRMTTDEGTGGRGFLLWY
+>Q15113_PF00431_159 <unknown description>
+CGGRLEKAQGTLTTPNWPESDYPPGISCSWHIIAPPDQVIALTFEKFDLEPDTYCRYDSV
+SVFNGAVSDDSRRLGKFCGDAVPGSISSEGNELLVQFVSDLSVTADGFSASY
+>Q15113_PF01759_331 <unknown description>
+NFCASSLVVTATVKSMVREPGEGLAVTVSLIGAYKTGGLDLPSPPTGASLKFYVPCKQCP
+PMKKGVSYLLMGQVEENRGPVLPPESFVVLHRPNQDQILTNLS
+>Q9UKZ9_PF00431_33 <unknown description>
+CGGILTGESGFIGSEGFPGVYPPNSKCTWKITVPEGKVVVLNFRFIDLESDNLCRYDFVD
+VYNGHANGQRIGRFCGTFRPGALVSSGNKMMVQMISDANTAGNGFMAMF
+>Q9UKZ9_PF00431_154 <unknown description>
+CGGLLDRPSGSFKTPNWPDRDYPAGVTCVWHIVAPKNQLIELKFEKFDVERDNYCRYDYV
+AVFNGGEVNDARRIGKYCGDSPPAPIVSERNELLIQFLSDLSLTADGFI
+>Q9UKZ9_PF01759_310 <unknown description>
+NYCSSDFVLAGTVITTITRDGSLHATVSIINIYKEGNLAIQQAGKNMSARLTVVCKQCPL
+LRRGLNYIIMGQVGEDGRGKIMPNSFIMMFKTKNQKLLDALK
+>Q8IVA1_PF02188_24 <unknown description>
+EGFFNLLSHVQGDRMEGQRCSL
+>Q8IVA1_PF02188_64 <unknown description>
+DSLMDMLASTQGRRMDDQRVT
+>P42785_PF05577_55 <unknown description>
+QKVDHFGFNTVKTFNQRYLVADKYWKKNGGSILFYTGNEGDIIWFCNNTGFMWDVAEELK
+AMLVFAEHRYYGESLPFGDNSFKDSRHLNFLTSEQALADFAELIKHLKRTIPGAENQPVI
+AIGGSYGGMLAAWFRMKYPHMVVGALAASAPIWQFEDLVPCGVFMKIVTTDFRKSGPHCS
+ESIHRSWDAINRLSNTGSGLQWLTGALHLCSPLTSQDIQHLKDWISETWVNLAMVDYPYA
+SNFLQPLPAWPIKVVCQYLKNPNVSDSLLLQNIFQALNVYYNYSGQVKCLNISETATSSL
+GTLGWSYQACTEVVMPFCTNGVDDMFEPHSWNLKELSDDCFQQWGVRPRPSWITTMYGGK
+NISSHTNIVFSNGELDPWSGGGVTKDITDTLVAVTISEGAHHLDLRTKNALDPMSVLLAR
+S
+>Q9UHG2_PF07259_34 <unknown description>
+ARPVKEPRGLSAASPPLAETGAPRRFRRSVPRGEAAGAVQELARALAHLLEAERQERARA
+EAQEAEDQQARVLAQLLRVWGAPRNSDPALGLDDDPDAPAAQLARALLRARLDPAALAAQ
+LVPAPVPAAALRPRPPVYDDGPAGPDAEEAGDETPDVDPELLRYLLGRILAGSADSEGVA
+APRRLRRAADHDVGSELPPEGVLGALLRVKRLETPAPQVPARRLLP
+>Q6UW60_PF16470_37 <unknown description>
+SWAVQVSQGNREVERLARKFGFVNLGPIFPDGQYFHLRHRGVVQQSLTPHWGHRLHLKKN
+PKVQWFQQQTLQRRVKR
+>Q6UW60_PF00082_149 <unknown description>
+GQGIVVSVLDDGIEKDHPDLWANYDPLASYDFNDYDPDPQPRYTPSKENRHGTRCAGEVA
+AMANNGFCGVGVAFNARIGGVRMLDGTITDVIEAQSLSLQPQHIHIYSASWGPEDDGRTV
+DGPGILTREAFRRGVTKGRGGLGTLFIWASGNGGLHYDNCNCDGYTNSIHTLSVGSTTQQ
+GRVPWYSEACASTLTTTYSSGVATDPQIVTTDLHHGCTDQHTGTSASAPLAAGMIALALE
+ANPFLTWRDMQHLVVRASKPAHLQAEDWRTNGVGRQVSHHYGYG
+>Q6UW60_PF01483_489 <unknown description>
+LEHVQAQLTLSYSRRGDLEISLTSPMGTRSTLVAIRPLDVSTEGYNNWVFMSTHFWDENP
+QGVWTLGLENKGYYFNTGTLYRYTL
+>P29122_PF16470_73 <unknown description>
+HWAVQVLGGPAEADRVAAAHGYLNLGQIGNLEDYYHFYHSKTFKRSTLSSRGPHTFLRMD
+PQVKWLQQQEVKRRVKR
+>P29122_PF00082_196 <unknown description>
+GKNVVVTILDDGIERNHPDLAPNYDSYASYDVNGNDYDPSPRYDASNENKHGTRCAGEVA
+ASANNSYCIVGIAYNAKIGGIRMLDGDVTDVVEAKSLGIRPNYIDIYSASWGPDDDGKTV
+DGPGRLAKQAFEYGIKKGRQGLGSIFVWASGNGGREGDYCSCDGYTNSIYTISVSSATEN
+GYKPWYLEECASTLATTYSSGAFYERKIVTTDLRQRCTDGHTGTSVSAPMVAGIIALALE
+ANSQLTWRDVQHLLVKTSRPAHLKASDWKVNGAGHKVSHFYGFG
+>P29122_PF01483_539 <unknown description>
+LEHVVVRTSISHPRRGDLQIYLVSPSGTKSQLLAKRLLDLSNEGFTNWEFMTVHCWGEKA
+EGQWTLEIQDLPSQVRNPEKQGKLKEWSLIL
+>P29122_PF14843_694 <unknown description>
+VCHPECGDKGCDGPNADQCLNCVHFSLGSVKTSRKCVSVCPLGYFGDTAARRCRRCHKGC
+ETCSSRAATQCLSCRRGFYHHQEMNTCVTLCPAGFYADESQKNCLKCHPSCKKCV
+>P29122_PF08686_941 <unknown description>
+TFCEMVKSNRLCERKLFIQFCCRTC
+>Q16549_PF16470_53 <unknown description>
+SWAVHLESLEGDGEEETLEQQADALAQAAGLVNAGRIGELQGHYLFVQPAGHRPALEVEA
+IRQQVEAVLAGHEAVRWHSEQRLLRRAKR
+>Q16549_PF00082_178 <unknown description>
+GRGVTVVVVDDGVEHTIQDIAPNYSPEGSYDLNSNDPDPMPHPDVENGNHHGTRCAGEIA
+AVPNNSFCAVGVAYGSRIAGIRVLDGPLTDSMEAVAFNKHYQINDIYSCSWGPDDDGKTV
+DGPHQLGKAALQHGVIAGRQGFGSIFVVASGNGGQHNDNCNYDGYANSIYTVTIGAVDEE
+GRMPFYAEECASMLAVTFSGGDKMLRSIVTTDWDLQKGTGCTEGHTGTSAAAPLAAGMIA
+LMLQVRPCLTWRDVQHIIVFTATRYEDRR
+>Q16549_PF01483_525 <unknown description>
+LEHVAVTVSITHPRRGSLELKLFCPSGMMSLIGAPRSMDSDPNGFNDWTFSTVRCWGERA
+RGTYRLVIRDVGDESFQVGILRQWQLTL
+>Q8NBP7_PF05922_77 <unknown description>
+TYVVVLKEETHLSQSERTARRLQAQAARRGYLTKILHVFHGLLPGFLVKMSGDLLELALK
+LPHVDYIEEDSSV
+>Q8NBP7_PF00082_181 <unknown description>
+EVYLLDTSIQSDHREIEGRVMVTDFENVPEEDGTRFHRQASKCDSHGTHLAGVVSGRDAG
+VAKGASMRSLRVLNCQGKGTVSGTLIGLEFIRKSQLVQPVGPLVVLLPLAGGYSRVLNAA
+CQRLARAGVVLVTAAGNFRDDACLYSPASAPEVITVGATNAQDQPVTLGTLGTNFGRCVD
+LFAPGEDIIGASSDCSTCFVSQSGTSQAAAHVAGIAAMMLSAEPELTLAELRQRLIHFS
+>Q8NBP7_PF18459_450 <unknown description>
+GAGWQLFCRTVWSAHSGPTRMATAVARCAPDEELLSCSSFSRSGKRRGERMEAQGGKLVC
+RAHNAFGGEGVYAIARCCLLPQ
+>Q8NBP7_PF18464_535 <unknown description>
+SVHTAPPAEASMGTRVHCHQQGHVLTGCSSHWEVEDLGTHKPPVLRPRGQPNQCVGHREA
+SIHASC
+>Q8NBP7_PF18463_602 <unknown description>
+HAPGLECKVKEHGIPAPQEQVTVACEEGWTLTGCSALPGTSHVLGAYAVDNTCVVRSRDV
+STTGSTSEGAVTAVAICCRSR
+>Q96RV3_PF05041_1783 <unknown description>
+VDKDSSLVTLCYGLCVLGRRALGTASHHMSSNLESFLYGLHALFKGDFRISSIRDEWIFA
+DMELLRKVVVPGIRMSIKLHQDHFTSPDEYDDPTVLYEAIVSHEKNLVIAHEGDPAWRSA
+VLANSPSLLALRHVMDDGTNEYKIIMLNRRYLSFRVIKVNKECVRGLWAGQQQELVFLRN
+RNPERGSIQNAKQALRNMINSSCDQPIGYPIFVSPLTTSYSDSHEQ
+>A6NKB5_PF05041_1619 <unknown description>
+DSDEDSPLVTLSFALCTLGRRALGTAAHNMAISLDSFLYGLHVLFKGDFRITARDEWVFA
+DMDLLHKVVAPAIRMSLKLHQDQFTCPDEYEDPAVLYEAIQSFEKKVVICHEGDPAWRGA
+VLSNKEELLTLRHVVDEGADEYKVIMLHRSFLSFKVIKVNKECVRGLWAGQQQELIFLRN
+RNPERGSIQNNKQVLRNLINSSCDQPLGYPMYVSPLTTSYLGTHRQ
+>Q9H6A9_PF05041_1572 <unknown description>
+DWNSPLVTLCFGLCVLGRRALGTASHSMSASLEPFLYGLHALFKGDFRITSPRDEWVFAD
+MDLLHRVVAPGVRMALKLHQDHFTSPDEYEEPAALYDAIAANEERLVISHEGDPAWRSAI
+LSNTPSLLALRHVLDDASDEYKIIMLNRRHLSFRVIKVNRECVRGLWAGQQQELVFLRNR
+NPERGSIQNAKQALRNMINSSCDQPLGYPIYVSPLTTSLAGSHP
+>Q63HM2_PF05041_1010 <unknown description>
+DWLTEKPELFQLALKAFRYTLKLMIDKASLGPIEDFRELIKYLEEYERDWYIGLVSDEKW
+KEAILQEKPYLFSLGYDSNMGIYTGRVLSLQELLIQVGKLNPEAVRGQWANLSWELLYAT
+NDDEERYSIQAHPLLLRNLTVQAAEPPLGYPIYSS
+>P49585_PF01467_80 <unknown description>
+YADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHNFKGFTVMNENERYDAVQHC
+RYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDDVYKHIKEAGMFAPTQRTEG
+ISTSDIITR
+>Q9Y5K3_PF01467_80 <unknown description>
+YADGIFDLFHSGHARALMQAKTLFPNSYLLVGVCSDDLTHKFKGFTVMNEAERYEALRHC
+RYVDEVIRDAPWTLTPEFLEKHKIDFVAHDDIPYSSAGSDDVYKHIKEAGMFVPTQRTEG
+ISTSDIITR
+>Q99447_PF01467_27 <unknown description>
+CDGCYDMVHYGHSNQLRQARAMGDYLIVGVHTDEEIAKHKGPPVFTQEERYKMVQAIKWV
+DEVVPAAPYVTTLETLDKYNCDFCVHGNDITLTVDGRDTYEEVKQAGRYRECKRTQGVST
+TDLV
+>Q99447_PF01467_218 <unknown description>
+VAGAFDLFHIGHVDFLEKVHRLAERPYIIAGLHFDQEVNHYKGKNYPIMNLHERTLSVLA
+CRYVSEVVIGAPYAVTAELLSHFKVDLVCHGK
+>Q9UHG3_PF13450_39 <unknown description>
+IIGAGIGGTSAAYYLRQKFGKDVKIDLFEREEVGGRLATMMVQGQEYEAGGSVIHPLNLH
+MKRFVKDL
+>Q9UHG3_PF07156_128 <unknown description>
+FEESNWFIINVIKLVWRYGFQSLRMHMWVEDVLDKFMRIYRYQSHDYAFSSVEKLLHALG
+GDDFLGMLNRTLLETLQKAGFSEKFLNEMIAPVMRVNYGQSTDINAFVGAVSLSCSDSGL
+WAVEGGNKLVCSGLLQASKSNLISGSVMYIEEKTKTKYTGNPTKMYEVVYQIGTETRSDF
+YDIVLVATPLNRKMSNITFLNFDPPIEEFHQYYQHIVTTLVKGELNTSIFSSRPIDKFGL
+NTVLTTDNSDLFINSIGIVPSVREKEDPEPSTDGTYVWKIFSQETLTKAQILKLFLSYDY
+AVKKPWLAYPHYKPPEKCPSIILHDRLYYLNGIECAASAMEMSAIAAHNAALLAYHRWNG
+HTDMIDQ
+>Q8NBM8_PF13450_31 <unknown description>
+VVGAGIGGSAVAHFLQQHFGPRVQIDVYEKGTVGGRLATISVNKQHYESGAASFHSLSLH
+MQDFV
+>Q8NBM8_PF07156_121 <unknown description>
+EETDWYLLNLFRLWWHYGISFLRLQMWVEEVMEKFMRIYKYQAHGYAFSGVEELLYSLGE
+STFVNMTQHSVAESLLQVGVTQRFIDDVVSAVLRASYGQSAAMPAFAGAMSLAGAQGSLW
+SVEGGNKLVCSGLLKLTKANVIHATVTSVTLHSTEGKALYQVAYENEVGNSSDFYDIVVI
+ATPLHLDNSSSNLTFAGFHPPIDDVQGSFQPTVVSLVHGYLNSSYFGFPDPKLFPFANIL
+TTDFPSFFCTLDNICPVNISASFRRKQPQEAAVWRVQSPKPLFRTQLKTLFRSYYSVQTA
+EWQAHPLYGSRPTLPRFALHDQLFYLNALEWAASSVEVMAVAAKNVALLAYNRWYQDLDK
+IDQ
+>Q9NZQ7_PF07686_29 <unknown description>
+VVEYGSNMTIECKFPVEKQLDLAALIVYWEMEDKNIIQFVHGEEDLKVQHSSYRQRARLL
+KDQLSLGNAALQITDVKLQDAGVYRCMISYGGADYK
+>Q9NZQ7_PF08205_140 <unknown description>
+RILVVDPVTSEHELTCQAEGYPKAEVIWTSSDHQVLSGKTTTTNSKREEKLFNVTSTLRI
+NTTTNEIFYCTFRRLDPEEN
+>Q9BQ51_PF13927_23 <unknown description>
+VTVPKELYIIEHGSNVTLECNFDTGSHVNLGAITASLQKVENDTSPHRERATLLEEQLPL
+GKASFHIPQVQVRDEGQYQCI
+>Q9BQ51_PF08205_136 <unknown description>
+TDEVELTCQATGYPLAEVSWPNVSVPANTSHSRTPEGLYQVTSVLRLKPPPGRNFSCVFW
+NT
+>Q9Y5Y4_PF00001_51 <unknown description>
+GVILFVVGCRMRQTVVTTWVLHLALSDLLASASLPFFTYFLAVGHSWELGTTFCKLHSSI
+FFLNMFASGFLLSAISLDRCLQVVRPVWAQNHRTVAAAHKVCLVLWALAVLNTVPYFVFR
+DTISRLDGRIMCYYNVLLLNPGPDRDATCNSRQVALAVSKFLLAFLVPLAIIASSHAAVS
+LRLQHRGRRRPGRFVRLVAAVVAAFALCWGPYHVFSLLEARAHANPGLRPLVWRGLPFVT
+SLAFFNSVANPVLY
+>Q13258_PF00001_58 <unknown description>
+PSVFYMLVCGLTVTDLLGKCLLSPVVLAAYAQNRSLRVLAPALDNSLCQAFAFFMSFFGL
+SSTLQLLAMALECWLSLGHPFFYRRHITLRLGALVAPVVSAFSLAFCALPFMGFGKFVQY
+CPGTWCFIQMVHEEGSLSVLGYSVLYSSLMALLVLATVLCNLGAMRNLYAMHRRLQRHPR
+SCTRDCAEPRADGREASPQPLEELDHLLLLALMTVLFTMCSLPVIYRAYYGAFKDVKEKN
+RTSEEAEDLRALRFLSVISIVDPWIF
+>Q9BUL8_PF06840_15 <unknown description>
+TSMVSMPLYAVMYPVFNELERVNLSAAQTLRAAFIKAEKENPGLTQDIIMKILEKKSVEV
+NFTESLLRMAADDVEEYMIERPEPEFQDLNEKARALKQILSKIPDEINDRVRFLQTIKDI
+ASAIKELLDTVNNVFKKYQYQNRRALE
+>Q8WUM4_PF03097_4 <unknown description>
+FISVQLKKTSEVDLAKPLVKFIQQTYPSGGEEQAQYCRAAEELSKLRRAAVGRPLDKHEG
+ALETLLRYYDQICSIEPKFPFSENQICLTFTWKDAFDKGSLFGGSVKLALASLGYEKSCV
+LFNCAALASQIAAEQNLDNDEGLKIAAKHYQFASGAFLHIKETVLSALSREPTVDISPDT
+VGTLSLIMLAQAQEVFFLKATRDKMKDAIIAKLANQAADYFGDAFKQCQYKDTLPKEVFP
+VLAAKHCIMQANAEYHQSILAKQQKKFGEEIARLQHAAELIKTVASRYDEYVNVKDFSDK
+INRALAAAKKDNDFIYHDRVPDLKDLDPIGKATLVKSTPVNVPISQKFTDLFEKMVPVSV
+QQSLAAYNQRKADLV
+>Q8WUM4_PF13949_413 <unknown description>
+VPQSILTKSRSVIEQGGIQTVDQLIKELPELLQRNREILDESLRLLDEEEATDNDLRAKF
+KERWQRTPSNELYKPLRAEGTNFRTVLDKAVQADGQVKECYQSHRDTIVLLCKPEPELNA
+AIPSANPAKTMQGSEVVNVLKSLLSNLDEVKKEREGLENDLKSVNFDMTSKFLTALAQDG
+VINEEALSVTELDRVYGGLTTKVQESLKKQEGLLKNIQVSHQEFSKMKQSNNEANLREEV
+LKNLATAYDNFVELVANLKEGTKFYNELTEILVRFQNKCSDIVFARKTE
+>Q15116_PF07686_39 <unknown description>
+PALLVVTEGDNATFTCSFSNTSESFVLNWYRMSPSNQTDKLAAFPEDRSQPGQDCRFRVT
+QLPNGRDFHMSVVRARRNDSGTYLCGAISLAPKAQIKESLRAELRV
+>Q16342_PF01753_135 <unknown description>
+CRVCGCLGPKTCSRCHKAYYCSKEHQTLDWRLGHKQAC
+>Q16342_PF04194_238 <unknown description>
+DKIFQKFKTQIALEPEQILRYGRGIAPIWISGENIPQEKDIPDCPCGAKRILEFQVMPQL
+LNYLKADRLGKSIDWGILAVFTCAESCSLGTGYTEEFVWKQ
+>Q53EL6_PF02847_165 <unknown description>
+EKTLTPIIQEYFEHGDTNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLS
+DLCGTVMSTTDVEKSFDKLLKDLPELALDTPRAPQLVGQFIARAVGDGIL
+>Q53EL6_PF02847_329 <unknown description>
+KEIDMLLKEYLLSGDISEAEHCLKELEVPHFHHELVYEAIIMVLESTGESTFKMILDLLK
+SLWKSSTITVDQMKRGYERIYNEIPDINLDVPHSYSVLERFVEECFQAGII
+>O14737_PF01984_9 <unknown description>
+LRRQRLAELQAKHGDPGDAAQQEAKHREAEMRNSILAQVLDQSARARLSNLALVKPEKTK
+AVENYLIQMARYGQLSEKVSEQGLIEILKKVSQQTEKTTTVKFNRR
+>O75340_PF13202_31 <unknown description>
+VFQRVDKDRSGVISDTELQQ
+>O75340_PF13499_95 <unknown description>
+WQNVFRTYDRDNSGMIDKNELKQALSGFGYRLSDQFHDILIRKFDRQGRGQIAFDDFIQ
+>Q8N8D1_PF16021_170 <unknown description>
+SLGEVRARLLRALRLVRRLRGLSQALREAEADGAAWVLLYSQTAPLRAELAERLQPLTQA
+AYVGEARRRLERVRRRRLRLRERAREREAEREAEAARAVEREQEIDRWRVKCVQEVEEKK
+REQELKAAADGVLSEVRKKQADTKRMVDILRALEKLRKLRKEAAARKGVCPPASADETFT
+HHLQRLRKLIKKRSELYEAEERALRVMLEGEQEEERKRELEKKQRKEKEKILLQKREIES
+KLFGDPDEFPLAHLLEPFRQYYLQAEHSLPALIQIRHDWDQYLVPSDHPKGNFVPQGWVL
+PPLPSNDIWATA
+>Q8N4E4_PF02114_33 <unknown description>
+MVLRLQKEAMVKPFEKMTLAQLKEAEDEFDEEDMQAVETYRKKRLQEWKALKKKQKFGEL
+REISGNQYVNEVTNAEEDVWVIIHLYRSSIPMCLLVNQHLSLLARKFPETKFVKAIVNSC
+IQHYHDNCLPTIFVYKNGQIEAKFIGIIECGGINLKLEELEWKLAEVGAI
+>Q9H2J4_PF02114_32 <unknown description>
+EAEEEQRILQQSVVKTYEDMTLEELEDHEDEFNEEDERAIEMYRRRRLAEWKATKLKNKF
+GEVLEISGKDYVQEVTKAGEGLWVILHLYKQGIPLCALINQHLSGLARKFPDVKFIKAIS
+TTCIPNYPDRNLPTIFVYLEGDIKAQFIG
+>Q9BRP1_PF04194_247 <unknown description>
+DQTFYKFMKRIAACQEQILRYSWSGEPLFLTCPTSEVTELPACSQCGGQRIFEFQLMPAL
+VSMLKSANLGLSVEFGTILVYTCEKSCWPPNHQTPMEEFCIIQE
+>Q9HCR9_PF01590_217 <unknown description>
+DLTSLSYKILIFVCLMVDADRCSLFLVEGAAAGKKTLVSKFFDVHAGTPLLPCSSTENSN
+EVQVPWGKGIIGYVGEHGETVNIPDAYQDRRFNDEIDKLTGYKTKSLLCMPIRSSDGEII
+GVAQAINKIPEGAPFTEDDEKVMQMYLPFCGIAI
+>Q9HCR9_PF01590_402 <unknown description>
+DLEKIVKKIMHRAQTLLKCERCSVLLLEDIESPVVKFTKSFELMSPKCSADAENSFKESM
+EKSSYSDWLINNSIAELVASTGLPVNISDAYQDPRFDAEADQISGFHIRSVLCVPIWNSN
+HQIIGVAQVLNRLDGKPFDDADQRLFEAFVIFCGL
+>Q9HCR9_PF00233_663 <unknown description>
+YHNWRHAFNVCQLMFAMLTTAGFQDILTEVEILAVIVGCLCHDLDHRGTNNAFQAKSGSA
+LAQLYGTSATLEHHHFNHAVMILQSEGHNIFANLSSKEYSDLMQLLKQSILATDLTLYFE
+RRTEFFELVSKGEYDWNIKNHRDIFRSMLMTACDLGAVTKPWEISRQVAELVTSEFFEQG
+DRERLELKLTPSAIFDRNRKDELPRLQLEWIDSICMPLYQALVKVNVKLKPMLDS
+>Q6L8Q7_PF03372_298 <unknown description>
+VSYNILADTYAQTEFSRTVLYPYCAPYALELDYRQNLIQKELTGYNADVICLQEVDRAVF
+SDSLVPALEAFGLEGVFRIKQHEGLATFYRKSKFSLLSQHDISFYEALESDPLHKELLEK
+LVLYPSAQEKVLQRSSVLQVSVLQSTKDSSKRICVANTHLYWHPKGGYIRLIQMAVALAH
+IRHVSCDLYPGIPVIFCGDFNSTPSTGMYHFVINGSIPEDHEDWASNGEEERCNMSLTHF
+FKLKSACGEPAYTNYVGGFHGCLDYIFIDLNALEVEQVIPLPSHEEVTTHQALPSVSHPS
+DH
+>P54750_PF08499_57 <unknown description>
+RLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMTKKKPEEKPKFRSIVHAVQAGIFVE
+R
+>P54750_PF00233_202 <unknown description>
+YHNLIHAADVTQTVHYIMLHTGIMHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSD
+VAILYNDRSVLENHHVSAAYRLMQEEEMNILINLSKDDWRDLRNLVIEMVLSTDMSGHFQ
+QIKNIRNSLQQPEGIDRAKTMSLILHAADISHPAKSWKLHYRWTMALMEEFFLQGDKEAE
+LGLPFSPLCDRKSTMVAQSQIGFIDFIVEPTFSLLTDSTEK
+>Q01064_PF08499_77 <unknown description>
+QILDTEDELQELRSDAVPSEVRDWLASTFTQQARAKGRRAEEKPKFRSIVHAVQAGIFVE
+R
+>Q01064_PF00233_222 <unknown description>
+YHNQIHAADVTQTVHCFLLRTGMVHCLSEIELLAIIFAAAIHDYEHTGTTNSFHIQTKSE
+CAIVYNDRSVLENHHISSVFRLMQDDEMNIFINLTKDEFVELRALVIEMVLATDMSCHFQ
+QVKTMKTALQQLERIDKPKALSLLLHAADISHPTKQWLVHSRWTKALMEEFFRQGDKEAE
+LGLPFSPLCDRTSTLVAQSQIGFIDFIVEPTFSVLTDVAEKSVQPL
+>Q14123_PF08499_82 <unknown description>
+RLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSDEKPRFKSIVHAVQAGIFVE
+R
+>Q14123_PF00233_227 <unknown description>
+YHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSD
+PAILYNDRSVLENHHLSAAYRLLQDDEEMNILINLSKDDWREFRTLVIEMVMATDMSCHF
+QQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREA
+ELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIV
+>O00408_PF13185_242 <unknown description>
+ASSLQLKVLQYLQQETRASRCCLLLVSEDNLQLSCKVIGDKVLGEEVSFPLTGCLGQVVE
+DKKSIQLKDLTSEDVQQLQSMLGCELQAMLCVPVISRATDQVVALACAFNKLEGDLFTDE
+DEHVIQH
+>O00408_PF01590_409 <unknown description>
+DVSVLLQEIITEARNLSNAEICSVFLLDQNELVAKVFDGGVVDDESYEIRIPADQGIAGH
+VATTGQILNIPDAYAHPLFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPW
+FSKFDEDLATAFSIYCGISI
+>O00408_PF00233_655 <unknown description>
+YHNWMHAFSVSHFCYLLYKNLELTNYLEDIEIFALFISCMCHDLDHRGTNNSFQVASKSV
+LAALYSSEGSVMERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHL
+RIFKDLQKMAEVGYDRNNKQHHRLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQG
+DLEKAMGNRPMEMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYER
+>Q14432_PF00233_751 <unknown description>
+YHNRIHATDVLHAVWYLTTQPIPGLSTVINDHGSTSDSDSDSGFTHGHMGYVFSKTYNVT
+DDKYGCLSGNIPALELMALYVAAAMHDYDHPGRTNAFLVATSAPQAVLYNDRSVLENHHA
+AAAWNLFMSRPEYNFLINLDHVEFKHFRFLVIEAILATDLKKHFDFVAKFNGKVNDDVGI
+DWTNENDRLLVCQMCIKLADINGPAKCKELHLQWTDGIVNEFYEQGDEEASLGLPISPFM
+DRSAPQLANLQESFISHIVGPLCNS
+>Q13370_PF00233_736 <unknown description>
+YHNRIHATDVLHAVWYLTTRPVPGLQQIHNGCGTGNETDSDGRINHGRIAYISSKSCSNP
+DESYGCLSSNIPALELMALYVAAAMHDYDHPGRTNAFLVATNAPQAVLYNDRSVLENHHA
+ASAWNLYLSRPEYNFLLHLDHVEFKRFRFLVIEAILATDLKKHFDFLAEFNAKANDVNSN
+GIEWSNENDRLLVCQVCIKLADINGPAKVRDLHLKWTEGIVNEFYEQGDEEANLGLPISP
+FMDRSSPQLAKLQESFITHIVGPLCNSY
+>P27815_PF18100_178 <unknown description>
+VTPFAQVLASLRSVRSNFSLLTNVPVPSNKRSPLGGPTPVCKATLSEETCQQLARETLEE
+LDWCLEQLETMQTYRSVSEMASHKFKRMLNRELTHLSEMSRSGNQVSEYISTTFLD
+>P27815_PF00233_432 <unknown description>
+YHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVSNQFLINTNSE
+LALMYNDESVLENHHLAVGFKLLQEDNCDIFQNLSKRQRQSLRKMVIDMVLATDMSKHMT
+LLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLELYRQWTDRIMA
+EFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVHPDAQEILDT
+>Q07343_PF18100_166 <unknown description>
+VTPFAQVLASLRSVRNNFTILTNLHGTSNKRSPAASQPPVSRVNPQEESYQKLAMETLEE
+LDWCLDQLETIQTYRSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLD
+>Q07343_PF00233_405 <unknown description>
+YHNSLHAADVAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSE
+LALMYNDESVLENHHLAVGFKLLQEEHCDIFMNLTKKQRQTLRKMVIDMVLATDMSKHMS
+LLADLKTMVETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIME
+EFFQQGDKERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDT
+>Q08493_PF18100_120 <unknown description>
+VTPFAQVLASLRTVRSNVAALARQQCLGAAKQGPVGNPSSSNQLPPAEDTGQKLALETLD
+ELDWCLDQLETLQTRHSVGEMASNKFKRILNRELTHLSETSRSGNQVSEYISRTFLD
+>Q08493_PF00233_355 <unknown description>
+YHNSLHAADVAQSTHVLLATPALEAVFTDLEILAALFASAIHDVDHPGVSNQFLINTNSE
+LALMYNDASVLENHHLAVGFKLLQAENCDIFQNLSAKQRLSLRRMVIDMVLATDMSKHMN
+LLADLKTMVETKKVTSLGVLLLDNYSDRIQVLQNLVHCADLSNPTKPLPLYRQWTDRIMA
+EFFQQGDRERESGLDISPMCDKHTASVEKSQVGFIDYIAHPLWETWADLVHPDAQDLLDT
+L
+>Q08499_PF18100_223 <unknown description>
+VTPFAQVLASLRTVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLE
+ELDWCLDQLETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEFISNTFLD
+>Q08499_PF00233_461 <unknown description>
+YHNNIHAADVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSE
+LALMYNDSSVLENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMN
+LLADLKTMVETKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIME
+EFFRQGDRERERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDT
+>O76074_PF01590_164 <unknown description>
+DVTALCHKIFLHIHGLISADRYSLFLVCEDSSNDKFLISRLFDVAEGSTLEEVSNNCIRL
+EWNKGIVGHVAALGEPLNIKDAYEDPRFNAEVDQITGYKTQSILCMPIKNHREEVVGVAQ
+AINKKSGNGGTFTEKDEK
+>O76074_PF01590_346 <unknown description>
+SLEVILKKIAATIISFMQVQKCTIFIVDEDCSDSFSSVFHMECEELEKSSDTLTREHDAN
+KINYMYAQYVKNTMEPLNIPDVSKDKRFPWTTENTGNVNQQCIRSLLCTPIKNGKKNKVI
+GVCQLVNKMEENTGKVKPFNRNDEQFLEAFVIFCGL
+>O76074_PF00233_612 <unknown description>
+YHNWRHAFNTAQCMFAALKAGKIQNKLTDLEILALLIAALSHDLDHRGVNNSYIQRSEHP
+LAQLYCHSIMEHHHFDQCLMILNSPGNQILSGLSIEEYKTTLKIIKQAILATDLALYIKR
+RGEFFELIRKNQFNLEDPHQKELFLAMLMTACDLSAITKPWPIQQRIAELVATEFFDQGD
+RERKELNIEPTDLMNREKKNKIPSMQVGFIDAICLQLYEALTHVSEDCFPLLDGC
+>P16499_PF01590_78 <unknown description>
+IFNVMKKLCFLLQADRMSLFMYRTRNGIAELATRLFNVHKDAVLEDCLVMPDQEIVFPLD
+MGIVGHVAHSKKIANVPNTEEDEHFCDFVDILTEYKTKNILASPIMNGKDVVAIIMAVNK
+VDGSHFTKRDEEILLKY
+>P16499_PF01590_254 <unknown description>
+DIERQFHKALYTVRAFLNCDRYSVGLLDMTKQKEFFDVWPVLMGEVPPYSGPRTPDGREI
+NFYKVIDYILHGKEDIKVIPNPPPDHWALVSGLPAYVAQNGLICNIMNAPAEDFFAFQKE
+PLDESGWMIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEMDETLMESLTQFLGWS
+>P16499_PF00233_558 <unknown description>
+YHNWRHGFNVGQTMFSLLVTGKLKRYFTDLEALAMVTAAFCHDIDHRGTNNLYQMKSQNP
+LAKLHGSSILERHHLEFGKTLLRDESLNIFQNLNRRQHEHAIHMMDIAIIATDLALYFKK
+RTMFQKIVDQSKTYESEQEWTQYMMLEQTRKEIVMAMMMTACDLSAITKPWEVQSQVALL
+VAAEFWEQGDLERTVLQQNPIPMMDRNKADELPKLQVGFIDFVCTFVYKEFSRFHEEITP
+MLDG
+>P35913_PF01590_71 <unknown description>
+NMERVVFKVLRRLCTLLQADRCSLFMYRQRNGVAELATRLFSVQPDSVLEDCLVPPDSEI
+VFPLDIGVVGHVAQTKKMVNVEDVAECPHFSSFADELTDYKTKNMLATPIMNGKDVVAVI
+MAVNKLNGPFFTSEDEDV
+>P35913_PF01590_252 <unknown description>
+DIERQFHKAFYTVRAYLNCERYSVGLLDMTKEKEFFDVWSVLMGESQPYSGPRTPDGREI
+VFYKVIDYVLHGKEEIKVIPTPSADHWALASGLPSYVAESGFICNIMNASADEMFKFQEG
+ALDDSGWLIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEQDEVLMESLTQFLGWS
+>P35913_PF00233_556 <unknown description>
+YHNWRHGFNVAQTMFTLLMTGKLKSYYTDLEAFAMVTAGLCHDIDHRGTNNLYQMKSQNP
+LAKLHGSSILERHHLEFGKFLLSEETLNIYQNLNRRQHEHVIHLMDIAIIATDLALYFKK
+RAMFQKIVDESKNYQDKKSWVEYLSLETTRKEIVMAMMMTACDLSAITKPWEVQSKVALL
+VAAEFWEQGDLERTVLDQQPIPMMDRNKAAELPKLQVGFIDFVCTFVYKEFSRFHEEILP
+MFDR
+>P51160_PF01590_78 <unknown description>
+QGVHRALQRLAHLLQADRCSMFLCRSRNGIPEVASRLLDVTPTSKFEDNLVGPDKEVVFP
+LDIGIVGWAAHTKKTHNVPDVKKNSHFSDFMDKQTGYVTKNLLATPIVVGKEVLAVIMAV
+NKVNASEFSKQDEEVFSKYLNFVSI
+>P51160_PF01590_256 <unknown description>
+DVERQFHKALYTVRSYLNCERYSIGLLDMTKEKEFYDEWPIKLGEVEPYKGPKTPDGREV
+NFYKIIDYILHGKEEIKVIPTPPADHWTLISGLPTYVAENGFICNMMNAPADEYFTFQKG
+PVDETGWVIKNVLSLPIVNKKEDIVGVATFYNRKDGKPFDEHDEYITETLTQFLGWS
+>P51160_PF00233_561 <unknown description>
+YHNWRHGFNVGQTMFTLLMTGRLKKYYTDLEAFAMLAAAFCHDIDHRGTNNLYQMKSTSP
+LARLHGSSILERHHLEYSKTLLQDESLNIFQNLNKRQFETVIHLFEVAIIATDLALYFKK
+RTMFQKIVDACEQMQTEEEAIKYVTVDPTKKEIIMAMMMTACDLSAITKPWEVQSQVALM
+VANEFWEQGDLERTVLQQQPIPMMDRNKRDELPKLQVGFIDFVCTFVYKEFSRFHKEITP
+MLS
+>O43924_PF05351_10 <unknown description>
+EILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEARVPKKILKCKAVSRELNFSSTE
+QMEKFRLEQKVYFKGQCLEEWFFEFGFVIPNSTNTWQSLIEAAPESQMMPASVLTGNVII
+ETKFFDDDLLVSTSRVRLFY
+>Q13946_PF00233_211 <unknown description>
+YHNAVHAADVTQAMHCYLKEPKLANSVTPWDILLSLIAAATHDLDHPGVNQPFLIKTNHY
+LATLYKNTSVLENHHWRSAVGLLRESGLFSHLPLESRQQMETQIGALILATDISRQNEYL
+SLFRSHLDRGDLCLEDTRHRHLVLQMALKCADICNPCRTWELSKQWSEKVTEEFFHQGDI
+EKKYHLGVSPLCDRHTESIANIQIGFMTYLVEPLFTEWARFSNTRLS
+>Q9NP56_PF00233_172 <unknown description>
+YHNAVHAADVTQAMHCYLKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIKTNHH
+LANLYQNMSVLENHHWRSTIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQNEFL
+TRLKAHLHNKDLRLEDAQDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYRQGEL
+EQKFELEISPLCNQQKDSIPSIQIGFMSYIVEPLFREWAHFTG
+>O60658_PF00989_219 <unknown description>
+TALENSEDAIEITSEDRFIQYANPAFETTMGYQSGELIGKELGEVPINEKKADLLDTINS
+CIRIGKEWQGIYYAKKKNGDNIQQNVKIIPVIGQGGKIRHYVSIIR
+>O60658_PF00233_555 <unknown description>
+YHNSTHSADVLHATAYFLSKERIKETLDPIDEVAALIAATIHDVDHPGRTNSFLCNAGSE
+LAILYNDTAVLESHHAALAFQLTTGDDKCNIFKNMERNDYRTLRQGIIDMVLATEMTKHF
+EHVNKFVNSINKPLATLEENGETDKNQEVINTMLRTPENRTLIKRMLIKCADVSNPCRPL
+QYCIEWAARISEEYFSQTDEEKQQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWD
+AFVDLPDLMQHL
+>O95263_PF08629_1 <unknown description>
+MGCAPSIHVSQSGVIYCRDSDESSSPRQTTSVSQGPAAPLPGLFVQT
+>O95263_PF13426_280 <unknown description>
+EAIEITSDDHVIQYVNPAFERMMGYHKGELLGKELADLPKSDKNRADLLDTINTCIKKGK
+EWQGVYYARRKSGDSIQQHVKITPVIGQGGKIRHFVS
+>O95263_PF00233_614 <unknown description>
+YHNSTHAADVLHATAFFLGKERVKGSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSE
+LAVLYNDTAVLESHHTALAFQLTVKDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHF
+EHVNKFVNSINKPMAAEIEGSDCECNPAGKNFPENQILIKRMMIKCADVANPCRPLDLCI
+EWAGRISEEYFAQTDEEKRQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAH
+LPALMQHL
+>O76083_PF00233_311 <unknown description>
+FHNFRHCFCVAQMMYSMVWLCSLQEKFSQTDILILMTAAICHDLDHPGYNNTYQINARTE
+LAVRYNDISPLENHHCAVAFQILAEPECNIFSNIPPDGFKQIRQGMITLILATDMARHAE
+IMDSFKEKMENFDYSNEEHMTLLKMILIKCCDISNEVRPMEVAEPWVDCLLEEYFMQSDR
+EKSEGLPVAPFMDRDKVTKATAQIGFIKFVLIPMFETVTKLFPMVEEIM
+>A5PLL7_PF10520_85 <unknown description>
+LIADFLSGLVHWGADTWGSVELPIVGKAFIRPFREHHIDPTAITRHDFIETNGDNCLVTL
+LPLLNMAYKFRTHSPEALEQLYPWECFVFCLIIFGTFTNQIHKWSHTYFGLPRWVTLLQD
+WHVILPRKHHRIHHVSPHETYFCITTGWLNYPLEKIGFWRRLEDLIQGLTGEKPRAD
+>P04085_PF04692_21 <unknown description>
+EEAEIPREVIERLARSQIHSIRDLQRLLEIDSVGSEDSLDTSLRAHGVHATKHVPEKRPL
+PIRRKRSIEEAVPAV
+>P04085_PF00341_96 <unknown description>
+CKTRTVIYEIPRSQVDPTSANFLIWPPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKV
+EYVRKKPKLKEVQVRLEEHLECAC
+>P01127_PF04692_21 <unknown description>
+EGDPIPEELYEMLSDHSIRSFDDLQRLLHGDPGEEDGAELDLNMTRSHSGGELESLARGR
+RSLGSLTIAEPAM
+>P01127_PF00341_97 <unknown description>
+CKTRTEVFEISRRLIDRTNANFLVWPPCVEVQRCSGCCNNRNVQCRPTQVQLRPVQVRKI
+EIVRKKPIFKKATVTLEDHLACKC
+>Q9NRA1_PF00431_53 <unknown description>
+STNGSIHSPRFPHTYPRNTVLVWRLVAVEENVWIQLTFDERFGLEDPEDDICKYDFVEVE
+EPSDGTILGRWCGSGTVPGKQISKGNQIRIRFVSDEYFPSEPGFCIHY
+>Q9NRA1_PF00341_250 <unknown description>
+CTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPSKVTKKYHEVL
+QLRPKTGVRGLHKSLTDVALEHHEECDC
+>Q9GZP0_PF00431_59 <unknown description>
+KGNGYVQSPRFPNSYPRNLLLTWRLHSQENTRIQLVFDNQFGLEEAENDICRYDFVEVED
+ISETSTIIRGRWCGHKEVPPRIKSRTNQIKITFKSDDYFVAKPGFKIYY
+>Q9GZP0_PF00341_272 <unknown description>
+CTPRNYSVNIREELKLANVVFFPRCLLVQRCGGNCGCGTVNWRSCTCNSGKTVKKYHEVL
+QFEPGHIKRRGRAKTMALVDIQLDHHERCDC
+>P07237_PF00085_26 <unknown description>
+VLVLRKSNFAEALAAHKYLLVEFYAPWCGHCKALAPEYAKAAGKLKAEGSEIRLAKVDAT
+EESDLAQQYGVRGYPTIKFFRNGDTASPKEYTAGREADDIVNWLKK
+>P07237_PF13848_161 <unknown description>
+FKDVESDSAKQFLQAAEAIDDIPFGITSNSDVFSKYQLDKDGVVLFKKFDEGRNNFEGEV
+TKENLLDFIKHNQLPLVIEFTEQTAPKIFGGEIKTHILLFLPKSVSDYDGKLSNFKTAAE
+SFKGKILFIFIDSDHTDNQRILEFFGLKKEECPAVRLITLEEEMTKYKPESEELTAERIT
+EFCH
+>P07237_PF00085_369 <unknown description>
+VKVLVGKNFEDVAFDEKKNVFVEFYAPWCGHCKQLAPIWDKLGETYKDHENIVIAKMDST
+ANEVEAVKVHSFPTLKFFPASADRTVIDYNGERTLDGFKKFLE
+>Q13087_PF00085_58 <unknown description>
+EHPALLVEFYAPWCGHCQALAPEYSKAAAVLAAESMVVTLAKVDGPAQRELAEEFGVTEY
+PTLKFFRNGNRTHPEEYTGPRDAEGIAEWLR
+>Q13087_PF13848_179 <unknown description>
+FQDLQDEDVATFLALAQDALDMTFGLTDRPRLFQQFGLTKDTVVLFKKFDEGRADFPVDE
+ELGLDLGDLSRFLVTHSMRLVTEFNSQTSAKIFAARILNHLLLFVNQTLAAHRELLAGFG
+EAAPRFRGQVLFVVVDVAADNEHVLQYFGLKAEAAPTLRLVNLETTKKYAPVDGGPVTAA
+SITAFCH
+>Q13087_PF00085_390 <unknown description>
+VKTLVGKNFEQVAFDETKNVFVKFYAPWCTHCKEMAPAWEALAEKYQDHEDIIIAELDAT
+ANELDAFAVHGFPTLKYFPAGPGRKVIEYKSTRDLETFSKFLD
+>P30101_PF00085_27 <unknown description>
+VLELTDDNFESRISDTGSAGLMLVEFFAPWCGHCKRLAPEYEAAATRLKGIVPLAKVDCT
+ANTNTCNKYGVSGYPTLKIFRDGEEAGAYDGPRTADGIVSHLKK
+>P30101_PF13848_160 <unknown description>
+FDDSFSEAHSEFLKAASNLRDNYRFAHTNVESLVNEYDDNGEGIILFRPSHLTNKFEDKT
+VAYTEQKMTSGKIKKFIQENIFGICPHMTEDNKDLIQGKDLLIAYYDVDYEKNAKGSNYW
+RNRVMMVAKKFLDAGHKLNFAVASRKTFSHELSDFGLESTAGEIPVVAIRTAKGEKFVMQ
+EEFSRDGKALERFLQD
+>P30101_PF00085_378 <unknown description>
+VKVVVAENFDEIVNNENKDVLIEFYAPWCGHCKNLEPKYKELGEKLSKDPNIVIAKMDAT
+ANDVPSPYEVRGFPTIYFSPANKKLNPKKYEGGRELSDFISYLQ
+>P13667_PF00085_64 <unknown description>
+VLVLNDANFDNFVADKDTVLLEFYAPWCGHCKQFAPEYEKIANILKDKDPPIPVAKIDAT
+SASVLASRFDVSGYPTIKILKKGQAVDYEGSRTQEEIVAKVR
+>P13667_PF00085_179 <unknown description>
+TLVLTKENFDEVVNDADIILVEFYAPWCGHCKKLAPEYEKAAKELSKRSPPIPLAKVDAT
+AETDLAKRFDVSGYPTLKIFRKGRPYDYNGPREKYGIVDYM
+>P13667_PF13848_312 <unknown description>
+FKGESDPAYQQYQDAANNLREDYKFHHTFSTEIAKFLKVSQGQLVVMQPEKFQSKYEPRS
+HMMDVQGSTQDSAIKDFVLKYALPLVGHRKVSNDAKRYTRRPLVVVYYSVDFSFDYRAAT
+QFWRSKVLEVAKDFPEYTFAIADEEDYAGEVKDLGLSESGEDVNAAILDESGKKFAMEPE
+EFDSDTLREFVT
+>P13667_PF00085_527 <unknown description>
+VKVVVGKTFDSIVMDPKKDVLIEFYAPWCGHCKQLEPVYNSLAKKYKGQKGLVIAKMDAT
+ANDVPSDRYKVEGFPTIYFAPSGDKKNPVKFEGGDRDLEHLSKFIE
+>Q14554_PF00085_157 <unknown description>
+DSEKDFRRLLKKEEKPLLIMFYAPWCSMCKRMMPHFQKAATQLRGHAVLAGMNVYSSEFE
+NIKEEYSVRGFPTICYFEKGRFLFQYDNYGSTAEDIVEWLK
+>Q14554_PF00085_278 <unknown description>
+VYHLTDEDFDQFVKEHSSVLVMFHAPWCGHCKKMKPEFEKAAEALHGEADSSGVLAAVDA
+TVNKALAERFHISEFPTLKYFKNGEKYAVPVLRTKKKFLE
+>Q14554_PF00085_399 <unknown description>
+VLHLVGDNFRETLKKKKHTLVMFYAPWCPHCKKVIPHFTATADAFKDDRKIACAAVDCVK
+DKNQDLCQQEAVKGYPTFHYYHYGKFAEKYDSDRTELGFTNYIR
+>Q15084_PF00085_27 <unknown description>
+VIELTPSNFNREVIQSDSLWLVEFYAPWCGHCQRLTPEWKKAATALKDVVKVGAVDADKH
+HSLGGQYGVQGFPTIKIFGSNKNRPEDYQGGRTGEAIVDA
+>Q15084_PF00085_162 <unknown description>
+VIELTDDSFDKNVLDSEDVWMVEFYAPWCGHCKNLEPEWAAAASEVKEQTKGKVKLAAVD
+ATVNQVLASRYGIRGFPTIKIFQKGESPVDYDGGRTRSDIVS
+>Q8N807_PF00085_48 <unknown description>
+LTPAGLTQMLNQTRFLMVLFHNPSSKQSRNLAEELGKAVEIMGKGKNGIGFGKVDITIEK
+ELQQEFGITKAPELKLFFEGNRSEPISCKGVVESAALVVWL
+>Q8N807_PF13848_180 <unknown description>
+FQDLEEEVAELFYDVIKDFPELTFGVITIGNVIGRFHVTLDSVLVFKKGKIVNRQKLIND
+STNKQELNRVIKQHLTDFVIEYNTENKDLISELHIMSHMLLFVSKSSESYGIIIQHYKLA
+SKEFQNKILFILVDADEPRNGRVFKYFRVTEVDIPSVQILNLSSDARYKMPSDDITYESL
+KKF
+>Q8N807_PF00085_389 <unknown description>
+VKQLVGKNFNVVVFDKEKDVFVMFYAPWSKKCKMLFPLLEELGRKYQNHSTIIIAKIDVT
+ANDIQLMYLDRYPFFRLFPSGSQQAVLYKGEHTLKGFSDFLE
+>Q9Y2S7_PF08755_76 <unknown description>
+KYETGQLFLHSIFGYRGVVLFPWQARLYDRDVASAAPEKAENPAGHGSKEVKGKTHTYYQ
+VLIDARDCPHISQRSQTEAVTFLANHDDSRALYAIPGLDYVSHEDILPYTSTDQVPIQHE
+LFERF
+>Q9Y2S7_PF04379_254 <unknown description>
+EAQNSHVYWWRYCIRLENLDSDVVQLRERHWRIFSLSGTLETVRGRGVVGREPVLSKEQP
+AFQYSSHVSLQASSGHMWGTFRFE
+>Q9BY77_PF00076_284 <unknown description>
+VNNLHPRVTEEDIVELFCVCGALKRARLVHPGVAEVVFVKKDDAITAYKKYNNRCLDGQP
+M
+>Q8N165_PF00069_8 <unknown description>
+YDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSIKSQHPNV
+IHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMDFCDGGDM
+NEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQTRLDTSDLEPTLK
+VADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADIFALGIII
+WAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSMNGRMKQL
+IKEMLAANPQDRPDAFE
+>Q15118_PF10436_56 <unknown description>
+PLSMKQFLDFGSVNACEKTSFMFLRQELPVRLANIMKEISLLPDNLLRTPSVQLVQSWYI
+QSLQELLDFKDKSAEDAKAIYDFTDTVIRIRNRHNDVIPTMAQGVIEYKESFGVDPVTSQ
+NVQYFLDRFYMSRISIRMLLNQHSLLFGGKGKGSPSHRKHIGSI
+>Q15118_PF02518_270 <unknown description>
+PSHLYHMVFELFKNAMRATMEHHANRGVYPPIQVHVTLGNEDLTVKMSDRGGGVPLRKID
+RLFNYMYSTAPRPRVETSRAVPLAGFGYGLPISRLYAQYFQGDLKLYSLEGYGTDAVIYI
+K
+>Q15119_PF10436_30 <unknown description>
+PLSMKQFLDFGSSNACEKTSFTFLRQELPVRLANIMKEINLLPDRVLSTPSVQLVQSWYV
+QSLLDIMEFLDKDPEDHRTLSQFTDALVTIRNRHNDVVPTMAQGVLEYKDTYGDDPVSNQ
+NIQYFLDRFYLSRISIRMLINQHTLIFDGSTNPAHPKHIGSID
+>Q15119_PF02518_242 <unknown description>
+PSHLYHMLFELFKNAMRATVESHESSLILPPIKVMVALGEEDLSIKMSDRGGGVPLRKIE
+RLFSYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYLK
+>Q15120_PF10436_26 <unknown description>
+PLSIKQFLDFGRDNACEKTSYMFLRKELPVRLANTMREVNLLPDNLLNRPSVGLVQSWYM
+QSFLELLEYENKSPEDPQVLDNFLQVLIKVRNRHNDVVPTMAQGVIEYKEKFGFDPFIST
+NIQYFLDRFYTNRISFRMLINQHTLLFGGDTNPVHPKHIGSID
+>Q15120_PF02518_238 <unknown description>
+PSHLFHMLFELFKNSMRATVELYEDRKEGYPAVKTLVTLGKEDLSIKISDLGGGVPLRKI
+DRLFNYMYSTAPRPSLEPTRAAPLAGFGYGLPISRLYARYFQGDLKLYSMEGVGTDAVIY
+LK
+>Q16654_PF10436_34 <unknown description>
+PLSMKQLLDFGSENACERTSFAFLRQELPVRLANILKEIDILPTQLVNTSSVQLVKSWYI
+QSLMDLVEFHEKSPDDQKALSDFVDTLIKVRNRHHNVVPTMAQGIIEYKDACTVDPVTNQ
+NLQYFLDRFYMNRISTRMLMNQHILIFSDSQTGNPSHIGSID
+>Q16654_PF02518_245 <unknown description>
+PSHLHHMLFELFKNAMRATVEHQENQPSLTPIEVIVVLGKEDLTIKISDRGGGVPLRIID
+RLFSYTYSTAPTPVMDNSRNAPLAGFGYGLPISRLYAKYFQGDLNLYSLSGYGTDAIIYL
+K
+>O00151_PF00595_5 <unknown description>
+QIDLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAALANLCIGDVITAIDGENTSNMTHL
+EAQNRIKGCTDNLTLTV
+>O00151_PF15936_138 <unknown description>
+RVITNQYNNPAGLYSSENISNFNNALESKTAASGVEANSRPLDHAQPPSSLVIDKESEVY
+KMLQEKQELNEPPKQSTSFLVLQEILESEEKGDPNK
+>O00151_PF00412_260 <unknown description>
+CDKCGTGIVGVFVKLRDRHRHPECYVCTDCGTNLKQKGHFFVEDQIYCEKH
+>Q96JY6_PF00595_9 <unknown description>
+GPAPWGFRITGGRDFHTPIMVTKVAERGKAKDADLRPGDIIVAINGESAEGMLHAEAQSK
+IRQSPSPLRLQ
+>Q96JY6_PF15936_211 <unknown description>
+GGSLLLDEDSEVFKMLQENREGRAAPRQSSSFRLLQEALEAEER
+>Q96JY6_PF00412_286 <unknown description>
+CEKCSTSIANQAVRIQEGRYRHPGCYTCADCGLNLKMRGHFWVGDELYCEKHA
+>Q53GG5_PF00595_8 <unknown description>
+PGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESMTHADAQD
+RIKAAAHQLCLKI
+>Q53GG5_PF15936_184 <unknown description>
+KIVHAQFNTPMQLYSDDNIMETLQGQVSTALGETPLMSEPTASVPPESDVYRMLHDNRNE
+PTQPRQSGSFRVLQGMVDDG
+>Q53GG5_PF00412_294 <unknown description>
+CDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFIEGELYCETH
+>P50479_PF00595_9 <unknown description>
+GPSPWGFRLVGGRDFSAPLTISRVHAGSKAALAALCPGDLIQAINGESTELMTHLEAQNR
+IKGCHDHLTLSV
+>P50479_PF15936_149 <unknown description>
+GSSEATLPAQMSTLHVSPPPSADPARGLPRSRDCRVDLGSEVYRMLREPAEPVAAEPKQS
+GSFRYLQGMLEAGEGG
+>P50479_PF00412_255 <unknown description>
+CTRCGHGIVGTIVKARDKLYHPECFMCSDCGLNLKQRGYFFLDERLYCESH
+>Q96HC4_PF00595_10 <unknown description>
+GPAPWGFRLQGGKDFNMPLTISSLKDGGKAAQANVRIGDVVLSIDGINAQGMTHLEAQNK
+IKGCTGSLNMTLQ
+>Q96HC4_PF15936_214 <unknown description>
+SETSQELAEGQRRGSQGDSKQQNGPPRKHIVERYTEFYHVPTHSDASKKRLIEDTEDWRP
+RTGTTQSRSFRILAQITGTEHLKESEADNTK
+>Q96HC4_PF00412_420 <unknown description>
+CAHCNQVIRGPFLVALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEK
+>Q96HC4_PF00412_479 <unknown description>
+CGRCQRKILGEVISALKQTWHVSCFVCVACGKPIRNNVFHLEDGEPYCETDYYAL
+>Q96HC4_PF00412_538 <unknown description>
+CHGCEFPIEAGDMFLEALGYTWHDTCFVCSVCCESLEGQTFFSKKDKPLCKKH
+>Q9NR12_PF00595_8 <unknown description>
+LEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLSIDGENAGSLTHIEAQ
+NKIRACGERLSLG
+>Q9NR12_PF00412_282 <unknown description>
+CHQCHKVIRGRYLVALGHAYHPEEFVCSQCGKVLEEGGFFEEKGAIFCPPCY
+>Q9NR12_PF00412_341 <unknown description>
+CAKCKKKITGEIMHALKMTWHVHCFTCAACKTPIRNRAFYMEEGVPYCERDYEKMF
+>Q9NR12_PF00412_400 <unknown description>
+CHGCDFKIDAGDRFLEALGFSWHDTCFVCAICQINLEGKTFYSKKDRPLCKSHAF
+>Q9P0J1_PF00481_203 <unknown description>
+EASKLYFNSLRTYWQELIDLNTGESTDIDVKEALINAFKRLDNDISLEAQVGDPNSFLNY
+LVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQEEDGSWSAVTLSNDHNAQNEREL
+ERLKLEHPKSEAKSVVKQDRLLGLLMPFRAFGDVKFKWSIDLQKRVIESGPDQLNDNEYT
+KFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGLWETMHRQDVVRIVGEYLTGMH
+>Q9P2J9_PF00481_228 <unknown description>
+EEALMYSFQRLDSDISLEIQAPLEDEVTRNLSLQVAFSGATACMAHVDGIHLHVANAGDC
+RAILGVQEDNGMWSCLPLTRDHNAWNQAELSRLKREHPESEDRTIIMEDRLLGVLIPCRA
+FGDVQLKWSKELQRSILERGFNTEALNIYQFTPPHYYTPPYLTAEPEVTYHRLRPQDKFL
+VLASDGLWDMLSNEDVVRLVVGHLAEADWHKTDLAQR
+>Q8WWR9_PF15060_1 <unknown description>
+MASVPSIGCLLARNQYYRKSSVSSVSSLTSSDSVNFIDDDKPQQGLPEVAESTWWFKSFF
+HSEP
+>O15530_PF00069_83 <unknown description>
+KFGKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMSRLDHPFF
+VKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSFDETCTRFYTAEIVSALEYLHGKGII
+HRDLKPENILLNEDMHIQITDFGTAKVLSPESKQARANSFVGTAQYVSPELLTEKSACKS
+SDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKLEYDFPEKFFPKARDLVEKLLVLDAT
+KRLGCEEM
+>O15530_PF14593_446 <unknown description>
+NPWHQFVENNLILKMGPVDKRKGLFARRRQLLLTEGPHLYYVDPVNKVLKGEIPWSQELR
+PEAKNFKTFFVHTPNRTYYLMDPSGNAHKWCRKIQEVWRQRY
+>Q86YL7_PF05808_28 <unknown description>
+PEDDTETTGLEGGVAMPGAEDDVVTPGTSEDRYKSGLTTLVATSVNSVTGIRIEDLPTSE
+STVHAQEQSPSATASNVATSHSTEKVDGDTQTTVEKDGLSTVTLVGIIVGVLLAIGFIGA
+IIVVVMRKMSGRYS
+>Q8NCN5_PF01266_45 <unknown description>
+VVICGGGITGTSVAYHLSKMGWKDIVLLEQGRLAAGSTRFCAGILSTARHLTIEQKMADY
+SNKLYYQLEQETGIQTGYTRTGSIFLAQTQDRLISLKRINAGLNVIGIPSEIISPKKVAE
+LHHLLNVHDLVGAMHVPEDAVVSSADVALALASAASQNGVQIYDRTSVLHVMVKKGQVTG
+VETDKGQIECQYFVNCAGQWAYELGLSNEEPVSIPLHACEHFYLLTRPLETPLQSSTPTI
+VDADGRIYIRNWQGGILSGGFEKNPKPIFTEGKNQLEIQNLQEDWDHFEPLLSSLLRRMP
+ELETLEIMKLVNCPETFTPDMRCIMGESPAVQGYFVLAGMNSAGLSFGGGAGKYLAEW
+>Q8NCN5_PF16350_405 <unknown description>
+HGYPSENVWELDLKRFGALQSSRTFLRHRVMEVMPLMYDLKVPRWDFQTGRQLRTS
+>Q8NCN5_PF01571_462 <unknown description>
+LYDRLDAQGARWMEKHGFERPKYFVPPDKDLLALEQSKTFYKPDWFDIVESEVKCCKEAV
+CVIDMSSFTKFEITSTGDQALEVLQYLFSNDLDVPVGHIVHTGMLNEGGGYENDCSIARL
+NKRSFFMISPTDQQVHCWAWLKKHMPKDSNLLLEDVTWKYTALNLIGPRAVDVLSELSYA
+PMTPDHFPSLFCKEMSVGYANGIRVMSMTHTGEPGFMLYIPIEYALHVYNEVMSVGQKYG
+IRNAGYYALRSLRIEKFFAFWGQDINNLTTPLECG
+>Q8NCN5_PF08669_765 <unknown description>
+KRLTMFILDDHDSDLDLWPWWGEPIYRNGQYVGKTTSSAYSYSLERHVCLGFVHNFSEDT
+GEEQVVTADFINRGEYEIDIAGYRFQAKA
+>Q9NUG6_PF01920_29 <unknown description>
+QIVDLDTKRNQNREGLRALQKDLSLSEDVMVCFGNMFIKMPHPETKEMIEKDQDHLDKEI
+EKLRKQLKVKVNRLFEAQ
+>P52945_PF00046_147 <unknown description>
+KRTRTAYTRAQLLELEKEFLFNKYISRPRRVELAVMLNLTERHIKIWFQNRRMKWKK
+>Q6P996_PF00282_202 <unknown description>
+CRVPCNTVFGSQHQMDVAFLEKLIKDDIERGRLPLLLVANAGTAAVGHTDKIGRLKELCE
+QYGIWLHVE
+>O00764_PF08543_94 <unknown description>
+VVDIVQELKQQNPRLVYVCDPVLGDKWDGEGSMYVPEDLLPVYKEKVVPLADIITPNQFE
+AELLSGRKIHSQEEALRVMDMLHSMGPDTVVITSSDLPSPQGSNYLIVLGSQRRRNPAGS
+VVMERIRMDIRKVDAVFVGTGDLFAAMLLAWTHKHPNNLKVACEKTVSTLHHVLQRTIQC
+AK
+>Q9NZ53_PF06365_393 <unknown description>
+ILNMTENIDCEVFRQHRGPQLLALVEEVLPRHGSGHHGAWHISLSKPSEKEQHLLMTLVG
+EQGVVPTQDVLSMLGDIRRSLEEIGIQNYSTTSSCQARASQVRSDYGTLFVVLVVIGAIC
+IIIIALGLLYNCWQRRLPKLKHVSHGEELRFVENGCHDNPTLDVASDSQSEMQEKHPSLN
+GGGALNGPGSWGALMGGKRDPEDSDVFEEDTHL
+>P01213_PF01160_21 <unknown description>
+DCLSRCSLCAVKTQDGPKPINPLICSLQCQAALLPSEEWERCQSFLS
+>Q5EBL8_PF00595_48 <unknown description>
+ITLKKPPGAQLGFNIRGGKASQLGIFISKVIPDSDAHRAGLQEGDQVLAVNDVDFQDIEH
+SKAVEILKTAREISMRV
+>Q13113_PF15807_1 <unknown description>
+MSALSLLILGLLTAVPPASCQQGLGNLQPWMQGLIAVAVFLVLVAIAFAVNHFWCQEEPE
+PAHMILTVGNKADGVLVGTDGRYSSMAASFRSSEHENAYENVPEEEGKVRSTPM
+>O15018_PF00595_339 <unknown description>
+KESDGLGIQVSGGRGSKRSPHAIVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHE
+EAVAILRSATGMVQLVV
+>O15018_PF00595_590 <unknown description>
+YKEKGKGLGFSIAGGRDCIRGQMGIFVKTIFPNGSAAEDGRLKEGDEILDVNGIPIKGLT
+FQEAIHTFKQIRS
+>O15018_PF00595_752 <unknown description>
+PPGIYIHSLAPGSVAKMESNLSRGDQILEVNSVNVRHAALSKVHAILSKCPPGPVRLVI
+>O15018_PF00595_2625 <unknown description>
+LNRKEGSGLGFSVAGGTDVEPKSITVHRVFSQGAASQEGTMNRGDFLLSVNGASLAGLAH
+GNVLKVLHQ
+>O15018_PF00595_2755 <unknown description>
+KTSAGLGLSLDGGKSSVTGDGPLVIKRVYKGGAAEQAGIIEAGDEILAINGKPLVGLMHF
+DAWNIMKSVPEGPVQLLIR
+>Q9H5P4_PF00595_87 <unknown description>
+VRVEKSPAGRLGFSVRGGSEHGLGIFVSKVEEGSSAERAGLCVGDKITEVNGLSLESTTM
+GSAVKVLTSSSRLHMMV
+>Q9H5P4_PF00595_222 <unknown description>
+LGFNIRGGKEFGLGIYVSKVDHGGLAEENGIKVGDQVLAANGVRFDDISHSQAVEVLKGQ
+THIMLTI
+>Q9H5P4_PF00595_863 <unknown description>
+VTLSKMKQSLGISISGGIESKVQPMVKIEKIFPGGAAFLSGALQAGFELVAVDGENLEQV
+THQRAVDTIRRA
+>Q8NEN9_PF17820_395 <unknown description>
+IIETVAPNSPAAIADLQRGDRLIAIGGVKITSTLQVLKLIKQAGDRVL
+>Q8NEN9_PF00130_841 <unknown description>
+HSFQDTQFQNPTWCDYCKKKVWTKAASQCMFCAYVCHKKCQEKC
+>Q8IXQ8_PF17820_57 <unknown description>
+IRKGAAANDGKLQPGDVLISVGHANVLGYTLREFLQLLQHITIGTVLQIKVYR
+>P34995_PF00001_65 <unknown description>
+RRRRSAATFLLFVASLLATDLAGHVIPGALVLRLYTAGRAPAGGACHFLGGCMVFFGLCP
+LLLGCGMAVERCVGVTRPLLHAARVSVARARLALAAVAAVALAVALLPLARVGRYELQYP
+GTWCFIGLGPPGGWRQALLAGLFASLGLVALLAALVCNTLSGLALLRARWRRRSRRPPPA
+SGPDSRRRWGAHGPRSASASSASSIASASTFFGGSRSSGSARRARAHDVEMVGQLVGIMV
+VSCICWSPMLVLVALAVGGWSSTSLQRPLFLAVRLASWNQILDPWVY
+>P43116_PF00001_63 <unknown description>
+SLSLFHVLVTELVFTDLLGTCLISPVVLASYARNQTLVALAPESRACTYFAFAMTFFSLA
+TMLMLFAMALERYLSIGHPYFYQRRVSRSGGLAVLPVIYAVSLLFCSLPLLDYGQYVQYC
+PGTWCFIRHGRTAYLQLYATLLLLLIVSVLACNFSVILNLIRMHRRSRRSRCGPSLGSGR
+GGPGARRRGERVSMAEETDHLILLAIMTITFAVCSLPFTIFAYMNETSSRKEKWDLQALR
+FLSINSIIDPWV
+>P43115_PF00001_81 <unknown description>
+ESKRKKSFLLCIGWLALTDLVGQLLTTPVVIVVYLSKQRWEHIDPSGRLCTFFGLTMTVF
+GLSSLFIASAMAVERALAIRAPHWYASHMKTRATRAVLLGVWLAVLAFALLPVLGVGQYT
+VQWPGTWCFISTGRGGNGTSSSHNWGNLFFASAFAFLGLLALTVTFSCNLATIKALVSRC
+RAKATASQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIMMLKMIFNQTSVEHCKTHTE
+KQKECNFFLIAVRLASLNQILDPWVY
+>P35408_PF00001_34 <unknown description>
+GNLVAIVVLCKSRKEQKETTFYTLVCGLAVTDLLGTLLVSPVTIATYMKGQWPGGQPLCE
+YSTFILLFFSLSGLSIICAMSVERYLAINHAYFYSHYVDKRLAGLTLFAVYASNVLFCAL
+PNMGLGSSRLQYPDTWCFIDWTTNVTAHAAYSYMYAGFSSFLILATVLCNVLVCGALLRM
+HRQFMRRTSLGTEQHHAAAAASVASRGHPAASPALPRLSDFRRRRSFRRIAGAEIQMVIL
+LIATSLVVLICSIPLVVRVFVNQLYQPSLEREVSKNPDLQAIRIASVNPILDPWIY
+>Q15121_PF01335_4 <unknown description>
+YGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNLSYI
+EHIFEISRRPDLLTMVVDYRT
+>Q9H792_PF00069_1476 <unknown description>
+RDSLAQHGKSPDLYERQVCLLLLQLCSGLEHLKPYHVTHCDLRLENLLLVHYQPGGTAQG
+FGPAEPSPTSSYPTRLIVSNFSQAKQKSHLVDPEILRDQSRLAPEIITATQYKKCDEFQT
+GILIYEMLHLPNPFDENPELKEREYTRADLPRIPFRSPYSRGLQQLASCLLNPNPSERIL
+ISDA
+>Q5VY43_PF00053_319 <unknown description>
+CDCAPDARCFPANGACLCEHGFTGDRCTDRLCPDGFYGLSCQAPCTC
+>Q5VY43_PF00053_537 <unknown description>
+CDCDHSDGCDPVHGRCQCQAGWMGARCHLSCPEGLWGVNCSNTCTC
+>Q5VY43_PF00053_622 <unknown description>
+CKCANHSFCHPSNGTCYCLAGWTGPDCSQPCPPGHWGENCAQTC
+>Q13951_PF02312_1 <unknown description>
+MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQARFQNACRDGRSEIAFVAT
+GTNLSLQFFPASWQGEQRQTPSREYVDLEREAGKVYLKAPMILNGVCVIWKGWIDLQRLD
+GMGCLEFDEERAQQEDALAQQAFEEARRRTREFEDRDRSHREEMEAR
+>P30086_PF01161_47 <unknown description>
+KNRPTSISWDGLDSGKLYTLVLTDPDAPSRKDPKYREWHHFLVVNMKGNDISSGTVLSDY
+VGSGPPKGTGLHRYVWLVYEQDRPLKCDEPILSNRSGDHRGKFKVASFRKKYELRAPVA
+>Q96S96_PF01161_78 <unknown description>
+IVKFPGAVDGATYILVMVDPDAPSRAEPRQRFWRHWLVTDIKGADLKKGKIQGQELSAYQ
+APSPPAHSGFHRYQFFVYLQEGKVISLLPK
+>P16284_PF13895_327 <unknown description>
+KPELESSFTHLDQGERLNLSCSIPGAPPANFTIQKEDTIVSQTQDFTKIASKSDSGTYIC
+TAGIDKVVKKSNTVQIVV
+>P16284_PF17736_415 <unknown description>
+YDAQFEVIKGQTIEVRCESISGTLPISYQLLKTSKVLENSTKNSNDPAVFKDNPTEDVEY
+QCVADNCHSHA
+>Q9BY49_PF13561_25 <unknown description>
+GGATGIGKAIVKELLELGSNVVIASRKLERLKSAADELQANLPPTKQARVIPIQCNIRNE
+EEVNNLVKSTLDTFGKINFLVNNGGGQFLSPAEHISSKGWHAVLETNLTGTFYMCKAVYS
+SWMKEHGGSIVNIIVPTKAGFPLAVHSGAARAGVYNLTKSLALEWACSGIRINCVAPGVI
+YSQTAVENYGSWGQSFFEGSFQKIPAKRIGVPEEVSSVVCFLLSPAASFITGQSVDVDGG
+>Q9H1Q7_PF13839_20 <unknown description>
+HFQASEVQQLLHNKFVVILGDSIQRAVYKDLVLLLQKDSLLTAAQLKAKGELSFEQDQLV
+AGGQLGELHNGTQYREVRQFCSGSGHHLVRFYFLTRVYSEY
+>P36955_PF00079_57 <unknown description>
+AVSNFGYDLYRVRSSTSPTTNVLLSPLSVATALSALSLGAEQRTESIIHRALYYDLISSP
+DIHGTYKELLDTVTAPQKNLKSASRIVFEKKLRIKSSFVAPLEKSYGTRPRVLTGNPRLD
+LQEINNWVQAQMKGKLARSTKEIPDEISILLLGVAHFKGQWVTKFDSRKTSLEDFYLDEE
+RTVRVPMMSDPKAVLRYGLDSDLSCKIAQLPLTGSMSIIFFLPLKVTQNLTLIEESLTSE
+FIHDIDRELKTVQAVLTVPKLKLSYEGEVTKSLQEMKLQSLFDSPDFSKITGKPIKLTQV
+EHRAGFEWNEDGAGTTPSPGLQPAHLTFPLDYHLNQPFIFVLRDTDTGALLFIGKILDP
+>Q9UBV8_PF13499_119 <unknown description>
+AYSWFQSVDSDHSGYISMKELKQALVNCNWSSFNDETCLMMINMFDKTKSGRIDVYGFSA
+L
+>Q9UBV8_PF13405_186 <unknown description>
+WKNLFQQYDRDRSGSISYTELQQALSQ
+>Q9GZU2_PF02023_42 <unknown description>
+SEFFHQRFRNLIYVEFVGPRKTLIKLRNLCLDWLQPETRTKEEIIELLVLEQYLTIIPEK
+LKPWVRAKKPENCEKLVTLLENYKEMY
+>Q9GZU2_PF00096_452 <unknown description>
+YVCDECGRSFSVISEFVEHQIMH
+>Q9GZU2_PF00096_505 <unknown description>
+FECKDCGETFNKSAALAEHRKIH
+>Q9GZU2_PF00096_627 <unknown description>
+YECKVCGETFLHSSSLKEHQKIH
+>Q9GZU2_PF00096_969 <unknown description>
+YECQECGECFAHSSDLTEHQKIH
+>Q9GZU2_PF00096_1107 <unknown description>
+YECEDCGLGFVDLTDLTDHQKVH
+>Q9GZU2_PF00096_1163 <unknown description>
+YECPKCGESFIHSSFLFEHQRIH
+>Q9GZU2_PF00096_1226 <unknown description>
+RCLLCGQGFIHSSALNEHMRLH
+>Q9GZU2_PF13913_1281 <unknown description>
+LFECAVCGESFVNPAELADHVT
+>Q9GZU2_PF00096_1332 <unknown description>
+YECKDCGKSFIHSTVLTKHKELH
+>Q9GZU2_PF00096_1564 <unknown description>
+FKCDVCGQLFNDRLSLARHQNTH
+>Q96FA3_PF04710_10 <unknown description>
+PSKAPVKYGELIVLGYNGSLPNGDRGRRKSRFALFKRPKANGVKPSTVHIACTPQAAKAI
+SNKDQHSISYTLSRAQTVVVEYTHDSNTDMFQIGRSTESPIDFVVTDTVPGSQSNSDTQS
+VQSTISRFACRIICERNPPFTARIYAAGFDSSKNIFLGEKAAKWKTSDGQMDGLTTNGVL
+VMHPRNGFTEDSKPGIWREISVCGNVFSLRETRSAQQRGKMVEIETNQLQDGSLIDLCGA
+TLLWRTAEGLSHTPTVKHLEALRQEINAARPQCPVGFNTLAFPSMKRKDVVDEKQPWVYL
+NCGHVHGYHNWGNKEERDGKDRECPMCRSVGPYVPLWLGCEAGFYVDAGPPTHAFSPCGH
+VCSEKTTAYWSQIPLPHGTHTFHAACPFCAHQLAGEQGYIRLIFQGPLD
+>Q9HAT8_PF04710_12 <unknown description>
+PNKEPVKYGELVVLGYNGALPNGDRGRRKSRFALYKRPKANGVKPSTVHVISTPQASKAI
+SCKGQHSISYTLSRNQTVVVEYTHDKDTDMFQVGRSTESPIDFVVTDTISGSQNTDEAQI
+TQSTISRFACRIVCDRNEPYTARIFAAGFDSSKNIFLGEKAAKWKNPDGHMDGLTTNGVL
+VMHPRGGFTEESQPGVWREISVCGDVYTLRETRSAQQRGKLVESETNVLQDGSLIDLCGA
+TLLWRTADGLFHTPTQKHIEALRQEINAARPQCPVGLNTLAFPSINRKEVVEEKQPWAYL
+SCGHVHGYHNWGHRSDTEANERECPMCRTVGPYVPLWLGCEAGFYVDAGPPTHAFTPCGH
+VCSEKSAKYWSQIPLPHGTHAFHAACPFCATQLVGEQNCIKLIFQGPID
+>Q8N2H9_PF04710_76 <unknown description>
+YNGCLASGDKGRRRSRLALSRRSHANGVKPDVMHHISTPLVSKALSNRGQHSISYTLSRS
+HSVIVEYTHDSDTDMFQIGRSTENMIDFVVTDTSPGGGAAEGPSAQSTISRYACRILCDR
+RPPYTARIYAAGFDASSNIFLGERAAKWRTPDGLMDGLTTNGVLVMHPAGGFSEDSAPGV
+WREISVCGNVYTLRDSRSAQQRGKLVENESNVLQDGSLIDLCGATLLWRTPAGLLRAPTL
+KQLEAQRQEANAARPQCPVGLSTLAFPSPARGRTAPDKQQPWVYVRCGHVHGYHGWGCRR
+ERGPQERECPLCRLVGPYVPLWLGQEAGLCLDPGPPSHAFAPCGHVCSEKTARYWAQTPL
+PHGTHAFHAACPFCGAWLTGEHGCVRLIFQGPLD
+>Q9BRX2_PF03463_1 <unknown description>
+MKLVRKNIEKDNAGQVTLVPEEPEDMWHTYNLVQVGDSLRASTIRKVQTESSTGSVGSNR
+VRTTLTLCVEAIDFDSQACQLRVKGTNIQENEYVKMGAYHTIELEPNRQFTLAKKQWDSV
+VLERIEQAC
+>Q9BRX2_PF03464_136 <unknown description>
+DVAAVVMQEGLAHICLVTPSMTLTRAKVEVNIPRKRKGNCSQHDRALERFYEQVVQAIQR
+HIHFDVVKCILVASPGFVREQFCDYLFQQAVKTDNKLLLENRSKFLQVHASSGHKYSLKE
+ALCDPTVASRLSD
+>Q9BRX2_PF03465_272 <unknown description>
+AGEVKALDDFYKMLQHEPDRAFYGLKQVEKANEAMAIDTLLISDELFRHQDVATRSRYVR
+LVDSVKENAGTVRIFSSLHVSGEQLSQLTGVAAILRFPV
+>Q8IZL8_PF08167_65 <unknown description>
+HLPGLMCLLRLHGSVGGAQNLSALGALVSLSNARLSSIKTRFEGLCLLSLLVGESPTELF
+QQHCVSWLRSIQQVLQTQDPPATMELAVAVLRDLLRYAAQLPALFRDISMNHLPGLLTSL
+LGLRPECEQSALEGMKACMTYFPRACGSLKGKLASFFLSRVD
+>Q8IZL8_PF08166_423 <unknown description>
+PYSTVRTKVYAILELWVQVCGASAGMLQGGASGEALLTHLLSDISPPADALKLRSPRGSP
+DGSLQT
+>Q8IZL8_PF08166_569 <unknown description>
+PYTSSRCRRELYCLLLALLLAPSPRCPPPLACALQAFSLGQREDSLEVSSFCSEALVTCA
+ALTHPRVPPLQ
+>Q9UBM1_PF04191_127 <unknown description>
+YSLGLALLGLGVVLVLSSFFALGFAGTFLGDYFGILKEARVTVFPFNILDNPMYWGSTAN
+YLGWAIMHASPTGLLLTVLVALTYIVALLYEEPFTAEIYRQ
+>Q9NZ42_PF10251_7 <unknown description>
+SNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFLVPAYTEQSQIKGYVWRSAVGFLF
+WVIVLTSWITIFQIYRPRWGALGDYLSFTIPLG
+>P01210_PF01160_25 <unknown description>
+ECSQDCATCSYRLVRPADINFLACVMECEGKLPSLKIWETCKELLQ
+>Q96RR1_PF13481_385 <unknown description>
+EQAAGLRWSRFPDLNRILKGHRKGELTVFTGPTGSGKTTFISEYALDLCSQGVNTLWGSF
+EISNVRLARVMLTQFAEGRLEDQLDKYDHWADRFEDLPLYFMTFHGQQSIRTVIDTMQHA
+VYVYDICHVIIDNLQFMMGHEQLSTDRIAAQDYIIGVFRKFATDNNCHVTLVIHPRKED
+>P0DJD8_PF07966_18 <unknown description>
+YKVPLIRKKSLRRTLSERGLLKDFLKKH
+>P0DJD8_PF00026_75 <unknown description>
+EYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVS
+ITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSG
+ATPVFDNIWNQGLVSQDLFSVYLSADDQSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQI
+TVDSITMNGEAIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAI
+SSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNLPTESGELWILGDVFIRQYFTV
+FDRANNQVGLAPV
+>P0DJD7_PF07966_18 <unknown description>
+YKVPLIRKKSLRRTLSERGLLKDFLKKH
+>P0DJD7_PF00026_75 <unknown description>
+EYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVS
+ITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSG
+ATPVFDNIWNQGLVSQDLFSVYLSADDQSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQI
+TVDSITMNGEAIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAI
+SSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNLPTESGELWILGDVFIRQYFTV
+FDRANNQVGLAPV
+>P0DJD9_PF07966_18 <unknown description>
+YKVPLIRKKSLRRTLSERGLLKDFLKKH
+>P0DJD9_PF00026_75 <unknown description>
+EYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVS
+ITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSG
+ATPVFDNIWNQGLVSQDLFSVYLSADDKSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQI
+TVDSITMNGETIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAI
+SSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNVPTESGELWILGDVFIRQYFTV
+FDRANNQVGLAPV
+>P20142_PF07966_18 <unknown description>
+VVKVPLKKFKSIRETMKEKGLLGEFLRTH
+>P20142_PF00026_72 <unknown description>
+AYFGEISIGTPPQNFLVLFDTGSSNLWVPSVYCQSQACTSHSRFNPSESSTYSTNGQTFS
+LQYGSGSLTGFFGYDTLTVQSIQVPNQEFGLSENEPGTNFVYAQFDGIMGLAYPALSVDE
+ATTAMQGMVQEGALTSPVFSVYLSNQQGSSGGAVVFGGVDSSLYTGQIYWAPVTQELYWQ
+IGIEEFLIGGQASGWCSEGCQAIVDTGTSLLTVPQQYMSALLQATGAQEDEYGQFLVNCN
+SIQNLPSLTFIINGVEFPLPPSSYILSNNGYCTVGVEPTYLSSQNGQPLWILGDVFLRSY
+YSVYDLGNNRVGFAT
+>P12955_PF05195_23 <unknown description>
+FALNRQRLCERLRKNPAVQAGSIVVLQGGEETQRYCTDTGVLFRQESFFHWAFGVTEPGC
+YGVIDVDTGKSTLFVPRLPASHATWMGKIHSKEHFKEKYAVDDVQYVDEIASVLTS
+>P12955_PF00557_195 <unknown description>
+LRYTNKISSEAHREVMKAVKVGMKEYELESLFEHYCYSRGGMRHSSYTCICGSGENSAVL
+HYGHAGAPNDRTIQNGDMCLFDMGGEYYCFASDITCSFPANGKFTADQKAVYEAVLRSSR
+AVMGAMKPGVWWPDMHRLADRIHLEELAHMGILSGSVDAMVQAHLGAVFMPHGLGHFLGI
+DVHDVGGYPEGVERIDEPGLRSLRTARHLQPGMVLTVEPGIYFIDHLLDEALADPARASF
+LNREVLQRFRGFGGVRIEEDVVVTD
+>Q8NDH3_PF18295_21 <unknown description>
+PLLLLGQLHHLHRVPWSHVRGKLQPRVTEELWQAALSTLNPNPTDSCPLYLNYATVAALP
+CRVSRHNSPSAAHFITRLVRTCLPPGAHRCIVMVCEQPEVFASACALARAFPLFTHRSG
+>Q8NDH3_PF00883_180 <unknown description>
+LAARIVDTPCNEMNTDTFLEEINKVGKELGIIPTIIRDEELKTRGFGGIYGVGKAALHPP
+ALAVLSHTPDGATQTIAWVGKGIVYDTGGLSIKGKTTMPGMKRDCGGAAAVLGAFRAAIK
+QGFKDNLHAVFCLAENSVGPNATRPDDIHLLYSGKTVEINNTDAEGRLVLADGVSYACKD
+LGADIILDMATLTGAQGIATGKYHAAVLTNSAEWEAACVKAGRKCGDLVHPLVYCPELHF
+SEFTSAVADMKNSVADRDNSPSSCAGLFIASHIGFDWPGVWVHLDIAAPVHAGERATGFG
+VALL
+>O60437_PF17902_390 <unknown description>
+PLKYRRETPLKPIPVEALCDFEGEQGLISRGYSYTLQKNNGESWELMDSAGNKLIAPAVC
+FVIP
+>O15534_PF08447_373 <unknown description>
+VDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPFDHSPIRFCARNG
+EYVTMDTSWAGFVHPWSRKVAFVLG
+>O15534_PF12114_1032 <unknown description>
+NQDALSGSSDLLELLLQEDSRSGTGSAASGSLGSGLGSGSGSGSHEGGSTSASITRSSQS
+SHTSKYFGSIDSSEAEAGAARGGAEPGDQVIKYVLQDPIWLLMANADQRVMMTYQVPSRD
+MTSVLKQDRERLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVMAC
+>O15055_PF08447_346 <unknown description>
+VDERAVPLLGYLPQDLIETPVLVQLHPSDRPLMLAIHKKILQSGGQPFDYSPIRFRARNG
+EYITLDTSWSSFINPWSRKISFIIG
+>O15055_PF12114_1046 <unknown description>
+NSDALSTSSGLLNLLLNEDLCSASGSAASESLGSGSLGCDASPSGAGSSDTSHTSKYFGS
+IDSSENNHKAKMNTGMEESEHFIKCVLQDPIWLLMADADSSVMMTYQLPSRNLEAVLKED
+REKLKLLQKLQPRFTESQKQELREVHQWMQTGGLPAAIDVAEC
+>P56645_PF08447_286 <unknown description>
+LEVDEKAVPLLGYLPQDLIGTSILSYLHPEDRSLMVAIHQKVLKYAGHPPFEHSPIRFCT
+QNGDYIILDSSWSSFVNPWSRKISFIIG
+>P56645_PF12114_1049 <unknown description>
+TATVLSTGSPPSESPSRTGSAASGSSDSSIYLTSSVYSSKISQNGQQSQDVQKKETFPNV
+AEEPIWRMIRQTPERILMTYQVPERVKEVVLKEDLEKLESMRQQQPQFSHGQKEELAKVY
+NWIQSQTVTQEIDIQAC
+>P11678_PF03098_145 <unknown description>
+YRTITGRCNNKRRPLLGASNQALARWLPAEYEDGLSLPFGWTPSRRRNGFLLPLVRAVSN
+QIVRFPNERLTSDRGRALMFMQWGQFIDHDLDFSPESPARVAFTAGVDCERTCAQLPPCF
+PIKIPPNDPRIKNQRDCIPFFRSAPSCPQNKNRVRNQINALTSFVDASMVYGSEVSLSLR
+LRNRTNYLGLLAINQRFQDNGRALLPFDNLHDDPCLLTNRSARIPCFLAGDTRSTETPKL
+AAMHTLFMREHNRLATELRRLNPRWNGDKLYNEARKIMGAMVQIITYRDFLPLVLGKARA
+RRTLGHYRGYCSNVDPRVANVFTLAFRFGHTMLQPFMFRLDSQYRASAPNSHVPLSSAFF
+ASWRIVYEGGIDPILRGLMATPAKLNRQDAMLVDELRDRLFRQVRRIGLDLAALNMQRSR
+DHGLPGYNAWRRFCGLSQPRNLAQLSRVLKNQDLARKFLNLYGTPDNIDIWIGAIAEPLL
+PGARVGPLLACLFENQFRRARDGDRFWWQKRGVFTKRQRKALSRISLSRIICDNTGITTV
+SRDIF
+>P14222_PF01823_150 <unknown description>
+SHSQAANFAAQKTHQDQYSFSTDTVECRFYSFHVVHTPPLHPDFKRALGDLPHHFNASTQ
+PAYLRLISNYGTHFIRAVELGGRISALTALRTCELALEGLTDNEVEDCLTVEAQVNIGIH
+GSISAEAKACEEKKKKHKMTASFHQTYRERHSEVVGGHHTSINDLLFGIQAGPEQYSAWV
+NSLPGSPGLVDYTLEPLHVLLDSQDPRREALRRALSQY
+>P14222_PF00168_416 <unknown description>
+QLEVTFIQAWGLWGDWFTATDAYVKLFFGGQELRTSTVWDNNNPIWSVRLDFGDVLLATG
+GPLRLQVWDQDSGRDDDLLGTC
+>P41219_PF04732_13 <unknown description>
+SSTSYRRTFGPPPSLSPGAFSYSSSSRFSSSRLLGSASPSSSVRLGSFRSPRAGAGALLR
+LPSERLDFSMAEALNQEFLATRS
+>P41219_PF00038_96 <unknown description>
+NEKQELQELNDRFANFIEKVRFLEQQNAALRGELSQARGQEPARADQLCQQELRELRREL
+ELLGRERDRVQVERDGLAEDLAALKQRLEEETRKREDAEHNLVLFRKDVDDATLSRLELE
+RKIESLMDEIEFLKKLHEEELRDLQVSVESQQVQQVEVEATVKPELTAALRDIRAQYESI
+AAKNLQEAEEWYKSKYADLSDAANRNHEALRQAKQEMNESRRQIQSLTCEVDGLRGTNEA
+LLRQLRELEEQFALEAGGYQAGAARLEEELRQLKEEMARHLREYQELLNVKMALDIEIAT
+YRKLLEGEESR
+>P22079_PF03098_138 <unknown description>
+YRTITGDCNNRRKPALGAANRALARWLPAEYEDGLSLPFGWTPGKTRNGFPLPLAREVSN
+KIVGYLNEEGVLDQNRSLLFMQWGQIVDHDLDFAPDTELGSSEYSKAQCDEYCIQGDNCF
+PIMFPPNDPKAGTQGKCMPFFRAGFVCPTPPYKSLAREQINALTSFLDASFVYSSEPSLA
+SRLRNLSSPLGLMAVNQEVSDHGLPYLPYDSKKPSPCEFINTTARVPCFLAGDSRASEHI
+LLATSHTLFLREHNRLARELKRLNPQWDGEKLYQEARKILGAFVQIITFRDYLPILLGDH
+MQKWIPPYQGYSESVDPRISNVFTFAFRFGHLEVPSSMFRLDENYQPWGPEPELPLHTLF
+FNTWRMVKDGGIDPLVRGLLAKKSKLMKQNKMMTGELRNKLFQPTHRIHGFDLAAINTQR
+CRDHGQPGYNSWRAFCDLSQPQTLEELNTVLKSKMLAKKLLGLYGTPDNIDIWIGAIAEP
+LVERGRVGPLLACLLGKQFQQIRDGDRFWWENPGVFTNEQKDSLQKMSFSRLVCDNTRIT
+KVPRDPF
+>P05164_PF03098_173 <unknown description>
+YRTITGMCNNRRSPTLGASNRAFVRWLPAEYEDGFSLPYGWTPGVKRNGFPVALARAVSN
+EIVRFPTDQLTPDQERSLMFMQWGQLLDHDLDFTPEPAARASFVTGVNCETSCVQQPPCF
+PLKIPPNDPRIKNQADCIPFFRSCPACPGSNITIRNQINALTSFVDASMVYGSEEPLARN
+LRNMSNQLGLLAVNQRFQDNGRALLPFDNLHDDPCLLTNRSARIPCFLAGDTRSSEMPEL
+TSMHTLLLREHNRLATELKSLNPRWDGERLYQEARKIVGAMVQIITYRDYLPLVLGPTAM
+RKYLPTYRSYNDSVDPRIANVFTNAFRYGHTLIQPFMFRLDNRYQPMEPNPRVPLSRVFF
+ASWRVVLEGGIDPILRGLMATPAKLNRQNQIAVDEIRERLFEQVMRIGLDLPALNMQRSR
+DHGLPGYNAWRRFCGLPQPETVGQLGTVLRNLKLARKLMEQYGTPNNIDIWMGGVSEPLK
+RKGRVGPLLACIIGTQFRKLRDGDRFWWENEGVFSMQQRQALAQISLPRIICDNTGITTV
+SKNN
+>Q96FX8_PF00822_25 <unknown description>
+FDIIALAGRGWLQSSDHGQTSSLWWKCSQEGGGSGSYEEGCQSLMEYAWGRAAAAMLFCG
+FIILVICFILSFFALCGPQMLVFLRVIGGLLALAAVFQIISLVIYPVKYTQTFTLHANPA
+VTYIYNWAYGFGWAATIIL
+>P07202_PF03098_151 <unknown description>
+YRPITGACNNRDHPRWGASNTALARWLPPVYEDGFSQPRGWNPGFLYNGFPLPPVREVTR
+HVIQVSNEVVTDDDRYSDLLMAWGQYIDHDIAFTPQSTSKAAFGGGADCQMTCENQNPCF
+PIQLPEEARPAAGTACLPFYRSSAACGTGDQGALFGNLSTANPRQQMNGLTSFLDASTVY
+GSSPALERQLRNWTSAEGLLRVHARLRDSGRAYLPFVPPRAPAACAPEPGIPGETRGPCF
+LAGDGRASEVPSLTALHTLWLREHNRLAAALKALNAHWSADAVYQEARKVVGALHQIITL
+RDYIPRILGPEAFQQYVGPYEGYDSTANPTVSNVFSTAAFRFGHATIHPLVRRLDASFQE
+HPDLPGLWLHQAFFSPWTLLRGGGLDPLIRGLLARPAKLQVQDQLMNEELTERLFVLSNS
+STLDLASINLQRGRDHGLPGYNEWREFCGLPRLETPADLSTAIASRSVADKILDLYKHPD
+NIDVWLGGLAENFLPRARTGPLFACLIGKQMKALRDGDWFWWENSHVFTDAQRRELEKHS
+LSRVICDNTGLTRVPMDAF
+>P07202_PF00084_742 <unknown description>
+CGFPESVENGDFVHCEESGRRVLVYSCRHGYELQGREQLTCTQEGWDFQPPLC
+>P07202_PF07645_796 <unknown description>
+DVNECADGAHPPCHASARCRNTKGGFQCLCADPYELGDDGRTC
+>O00541_PF06732_7 <unknown description>
+KKYERGSATNYITRNKARKKLQLSLADFRRLCILKGIYPHEPKHKKKVNKGSTAARTFYL
+IKDIRFLLHEPIVNKFREYKVFVRKLRKAYGKSEWNTVERLKDNKPNYKLDHIIKERYPT
+FIDALRDLDDALSMCFLFSTFPRTGKCHVQTIQLCRRLTVEFMHYIIAARALRKVFLSIK
+GIYYQAEVLGQPIVWITPYAFSHDHPTDVDYRVMATFTEFYTTLLGFVNFRLYQLLNLHY
+PPKLEGQAQAEAKAGEGTYALDSESCMEKL
+>O00541_PF16589_324 <unknown description>
+KKLFEGLKFFLNREVPREALAFIIRSFGGEVSWDKSLCIGATYDVTDSRITHQIVDRPGQ
+QTSVIGRCYVQPQWVFDSVNARLLLPVAEY
+>O60683_PF04757_18 <unknown description>
+DEYYRGGLRSAAGGALHSLAGARKWLEWRKEVELLSDVAYFGLTTLAGYQTLGEEYVSII
+QVDPSRIHVPSSLRRGVLVTLHAVLPYLLDKALLPLEQELQADPDSGRPLQGSLGPGGRG
+CSGARRWMRHHTATLTEQQRRALLRAVFVLRQGLACLQRLHVAWFYIHGVFYHLAKRLTG
+ITYLRVRSLPGEDLRARVSYRLLGVISLLHLVLSMGLQLYGFRQR
+>O60683_PF13920_271 <unknown description>
+PLCTLCLEERRHPTATPCGHLFCWECITAWCSSKAECPLCREK
+>O00623_PF04757_26 <unknown description>
+QDSLMTAVRPALQHVVKVLAESNPTHYGFLWRWFDEIFTLLDLLLQQHYLSRTSASFSEN
+FYGLKRIVMGDTHKSQRLASAGLPKQQLWKSIMFLVLLPYLKVKLEKLVSSLREEDEYSI
+HPPSSRWKRFYRAFLAAYPFVNMAWEGWFLVQQLRYILGKAQHHSPLLRLAGVQLGRLTV
+QDIQALEHKPAKASMMQQPARSVSEKINSALKKAVGGVALSLSTGLSVGVFFLQFLDWWY
+SS
+>Q92968_PF04088_117 <unknown description>
+RFVQQAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFT
+KVFSAFALVRTIRYLYRRLQRMLGLRRGSENEDLWAESEGTVACLGAEDRAATSAKSWPI
+FLFFAVILGGPYLIWKLL
+>Q92968_PF14604_279 <unknown description>
+AEYDFAAVSEEEISFRAGDMLNLALKEQQPKVRGWLLASLDGQTTGLIPANYVK
+>O75381_PF04695_25 <unknown description>
+REPLIATAVKFLQNSRVRQSPLATRRAFLKKKGLTDEEIDMAFQ
+>Q9Y5Y5_PF08610_10 <unknown description>
+RYQEYVTRHPAATAQLETAVRGFSYLLAGRFADSHELSELVYSASNLLVLLNDGILRKEL
+RKKLPVSLSQQKLLTWLSVLECVEVFMEMGAAKVWGEVGRWLVIALVQLAKAVLRMLLLL
+WFKAGLQTSPPIVPLDRETQAQPPDGDHSPGNHEQSYVGKRSNRVVRTLQNTPSLHSRHW
+GAPQQREGRQQQHHEELSATPTPLGLQETIAEFLYIARPLLHLLSLGLWGQRSWKPWLLA
+GVVDVTSLSLLSDRKGLTRRERRELRRRTILLLYYLLRSPFYDRFSEARILFLLQLLADH
+VPGVGLVTRPLMDYLPTWQK
+>P40855_PF04614_76 <unknown description>
+DSELASQATAEFEKAMKELAEEEPHLVEQFQKLSEAAGRVGSDMTSQQEFTSCLKETLSG
+LAKNATDLQNSSMSEEELTKAMEGLGMDEGDGEGNILPIMQSIMQNLLSKDVLYPSLKEI
+TEKYPEWLQSHRESLPPEQFEKYQEQHSVMCKICEQFEAETPTDSETTQKARFEMVLDLM
+QQLQDLGHPPKELAGEMPPGLNFDLDALNLSGPPGASGEQCLIM
+>O43933_PF09263_17 <unknown description>
+VTVAFTNARDCFLHLPRRLVAQLHLLQNQAIEVVWSHQPAFLSWVEGRHFSDQGENVAEI
+NRQVGQKLGLSNGGQVFLKPCS
+>O43933_PF09262_104 <unknown description>
+QQVEVEPLSADDWEILELHAVSLEQHLLDQIRIVFPKAIFPVWVDQQTYIFIQIVALIPA
+ASYGRLETDTKLLIQP
+>O43933_PF00004_595 <unknown description>
+LLLTGGKGSGKSTLAKAICKEAFDKLDAHVERVDCKALRGKRLENIQKTLEVAFSEAVWM
+QPSVVLLDDLDLIAGLPAVPEHEHSPDAVQSQRLAHALNDMIKEFISMGSLVALIATSQS
+QQSLHPLLVSAQ
+>O43933_PF00004_877 <unknown description>
+ILLYGPPGTGKTLLAGVIARESRMNFISVKGPELLSKYIGASEQAVRDIFIRAQAAKPCI
+LFFDEFESIAPRRGHDNTGVTDRVVNQLLTQLDGVEGLQGVYVLAATSRPDLIDPALLRP
+GRLDKCVYC
+>O43933_PF17862_1029 <unknown description>
+DVDLQHVASVTDSFTGADLKALLYNAQLEALHG
+>Q7Z412_PF07163_1 <unknown description>
+MKSDSSTSAAPLRGLGGPLRSSEPVRAVPARAPAVDLLEEAADLLVVHLDFRAALETCER
+AWQSLANHAVAEEPAGTSLEVKCSLCVVGIQALAEMDRWQEVLSWVLQYYQVPEKLPPKV
+LELCILLYSKMQEPGAVLDVVGAWLQDPANQNLPEYGALAEFHVQRVLLPLGCLSEAEEL
+VVGSAAFGEERRLDVLQAIHTARQQQKQEHSGSEEAQKPNLEGSVSHKFLSLPMLVRQLW
+DSAVSHFFSLPFKKSLLAALILCLLVVRFDPASPSSLHFLYKLAQLFRWIRKAAFSRLYQ
+L
+>P28328_PF04757_28 <unknown description>
+ALEQLVWSQFTQCFHGFKPGLLARFEPEVKACLWVFLWRFTIYSKNATVGQSVLNIKYKN
+DFSPNLRYQPPSKNQKIWYAVCTIGGRWLEERCYDLFRNHHLASFGKVKQCVNFVIGLLK
+LGGLINFLIFLQRGKFATLTERLLGIHSVFCKPQNICEVGFEYMNRELLWHGFAEFLIFL
+LPLINVQKLKAKLSSWC
+>P28328_PF00097_244 <unknown description>
+CALCGEWPTMPHTIGCEHIFCYFCAKSSFLFDVYFTCPKC
+>P56589_PF04882_8 <unknown description>
+FLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFESNQRTCNM
+TVLSMLPTLREALMQQLNSESLTALLKNRP
+>P56589_PF04882_98 <unknown description>
+SNKLEIWEDLKIISFTRSTVAVYSTCMLVVLLRVQLNIIGGYIYLDNAA
+>P56589_PF04882_159 <unknown description>
+DVQQQYLSSIQHLLGDGLTELITVIKQAVQKVLGSVSLKHSLSLLDLEQKLKEIRNLVEQ
+HKSSSWINKDGSKPLLCHYMMPDEETPLAVQACGLSPRDITTIKLLNETRDMLESPDFST
+VLNTCLNRGFSRLLDNMAEFFRPTEQDLQHGNSMNSLSSVSLPLAKIIPIVNGQIHSVCS
+ETPSHFVQDLLTMEQVKDFAANVY
+>Q8IYB4_PF13181_360 <unknown description>
+AEAWQFLGITQAENENEQAAIVALQRCLELQPNN
+>Q8IYB4_PF13432_488 <unknown description>
+GEFNRAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPG
+>Q8IYB4_PF13181_543 <unknown description>
+RSRYNLGISCINLGAYREAVSNFLTALSLQRK
+>P50542_PF13432_340 <unknown description>
+EEGLRRLQEGDLPNAVLLFEAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRCLELK
+PDN
+>P50542_PF13432_501 <unknown description>
+SGEYDKAVDCFTAALSVRPNDYLLWNKLGATLANGNQSEEAVAAYRRALELQPGY
+>P50542_PF13181_557 <unknown description>
+RSRYNLGISCINLGAHREAVEHFLEALNMQRK
+>Q13608_PF00004_466 <unknown description>
+VLLRGPPGCGKTTVVAAACSHLGLHLLKVPCSSLCAESSGAVETKLQAIFSRARRCRPAV
+LLLTAVDLLGRDRDGLGEDARVMAVLRHLLLNEDPLNSCPPLMVVATTSRAQDLPADVQT
+AFPHELEV
+>Q13608_PF00004_740 <unknown description>
+LLLHGPPGTGKTLLAKAVATECSLTFLSVKGPELINMYVGQSEENVREVFARARAAAPCI
+IFFDELDSLAPSRGRSGDSGGVMDRVVSQLLAELDGLHSTQDVFVIGATNRPDLLDPALL
+RPGRFDKLVFV
+>O00628_PF00400_69 <unknown description>
+GLFDVTWSENNEHVLITCSGDGSLQLWD
+>O00628_PF00400_103 <unknown description>
+PLQVYKEHAQEVYSVDWSQTRGEQLVVSGSWDQTVKLWD
+>O00628_PF00400_145 <unknown description>
+GKSLCTFRGHESIIYSTIWSPHIPGCFASASGDQTLRIWD
+>O00628_PF00400_233 <unknown description>
+QPVFELLGHTYAIRRVKFSPFHASVLASCSYDFTVRFWN
+>Q96BD5_PF00628_491 <unknown description>
+FCSVCRKSGQLLMCDTCSRVYHLDCLDPPLKTIPKGMWICPRCQD
+>Q96EK2_PF00628_355 <unknown description>
+CAACKRGANLQPCGTCPGAYHLSCLEPPLKTAPKGVWVCPRCQQK
+>P43088_PF00001_61 <unknown description>
+KSKASFLLLASGLVITDFFGHLINGAIAVFVYASDKEWIRFDQSNVLCSIFGICMVFSGL
+CPLLLGSVMAIERCIGVTKPIFHSTKITSKHVKMMLSGVCLFAVFIALLPILGHRDYKIQ
+ASRTWCFYNTEDIKDWEDRFYLLLFSFLGLLALGVSLLCNAITGITLLRVKFKSQQHRQG
+RSHHLEMVIQLLAIMCVSCICWSPFLVTMANIGINGNHSLETCETTLFALRMATWNQILD
+PWVY
+>P10720_PF00048_43 <unknown description>
+QCLCVKTTSQVRPRHITSLEVIKAGPHCPTAQLIATLKNGRKICLDLQALLYKKIIKE
+>O60925_PF01920_15 <unknown description>
+ELQAKVIDTQQKVKLADIQIEQLNRTKKHAHLTDTEIMTLVDETNMYEGVGRMFILQSKE
+AIHSQLLEKQKIAEEKIKELEQKKSYLERSVKEAEDNIREMLMA
+>Q9UHV9_PF01920_25 <unknown description>
+QVIAGFNRLRQEQRGLASKAAELEMELNEHSLVIDTLKEVDETRKCYRMVGGVLVERTVK
+EVLPALENNKEQIQKIIETLTQQLQAKGKELNEFREKHNIRLMG
+>P61758_PF02996_60 <unknown description>
+YKFMELNLAQKKRRLKGQIPEIKQTLEILKYMQKKKESTNSMETRFLLADNLYCKASVPP
+TDKVCLWLGANVMLEYDIDEAQALLEKNLSTATKNLDSLEEDLDFLRDQFTTTEVNMARV
+YNW
+>Q9NQP4_PF01920_20 <unknown description>
+QQKINKFARNTSRITELKEEIEVKKKQLQNLEDACDDIMLADDDCLMIPYQIGDVFISHS
+QEETQEMLEEAKKNLQEEIDALESRVESIQRVLADLKVQLYAKFGS
+>Q99471_PF02996_22 <unknown description>
+LDQEVEFLSTSIAQLKVVQTKYVEAKDCLNVLNKSNEGKELLVPLTSSMYVPGKLHDVEH
+VLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQAVMEMMSQKIQQ
+>O15212_PF01920_10 <unknown description>
+QGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLLGPVLVKQEL
+GEARATVGKRLDYITAEIKRYESQLRDLERQSEQQRETLAQLQQE
+>P17858_PF00365_18 <unknown description>
+IGVLTSGGDAQGMNAAVRAVTRMGIYVGAKVFLIYEGYEGLVEGGENIKQANWLSVSNII
+QLGGTIIGSARCKAFTTREGRRAAAYNLVQHGITNLCVIGGDGSLTGANIFRSEWGSLLE
+ELVAEGKISETTARTYSHLNIAGLVGSIDNDFCGTDMTIGTDSALHRIMEVIDAITTTAQ
+SHQRTFVLEVMGRHCGYLALVSALASGADWLFIPEAPPEDGWENFMCERLGETRSRGSRL
+NIIIIAEGAIDRNGKPISSSYVKDLVVQRLGFDTRVTVLGHVQRGGTPSAFDRILSSKMG
+MEAVMA
+>P17858_PF00365_402 <unknown description>
+LAILNVGAPAAGMNAAVRSAVRTGISHGHTVYVVHDGFEGLAKGQVQEVGWHDVAGWLGR
+GGSMLGTKRTLPKGQLESIVENIRIYGIHALLVVGGFEAYEGVLQLVEARGRYEELCIVM
+CVIPATISNNVPGTDFSLGSDTAVNAAMESCDRIKQSASGTKRRVFIVETMGGYCGYLAT
+VTGIAVGADAAYVFEDPFNIHDLKVNVEHMTEKMKTDIQRGLVLRNEKCHDYYTTEFLYN
+LYSSEGKGVFDCRTNVLGHLQQGGAPTPFDRNYGTKLGVKAMLW
+>P08237_PF00365_18 <unknown description>
+IAVLTSGGDAQGMNAAVRAVVRVGIFTGARVFFVHEGYQGLVDGGDHIKEATWESVSMML
+QLGGTVIGSARCKDFREREGRLRAAYNLVKRGITNLCVIGGDGSLTGADTFRSEWSDLLS
+DLQKAGKITDEEATKSSYLNIVGLVGSIDNDFCGTDMTIGTDSALHRIMEIVDAITTTAQ
+SHQRTFVLEVMGRHCGYLALVTSLSCGADWVFIPECPPDDDWEEHLCRRLSETRTRGSRL
+NIIIVAEGAIDKNGKPITSEDIKNLVVKRLGYDTRVTVLGHVQRGGTPSAFDRILGSRMG
+VEAVMA
+>P08237_PF00365_403 <unknown description>
+VAVMNVGAPAAGMNAAVRSTVRIGLIQGNRVLVVHDGFEGLAKGQIEEAGWSYVGGWTGQ
+GGSKLGTKRTLPKKSFEQISANITKFNIQGLVIIGGFEAYTGGLELMEGRKQFDELCIPF
+VVIPATVSNNVPGSDFSVGADTALNTICTTCDRIKQSAAGTKRRVFIIETMGGYCGYLAT
+MAGLAAGADAAYIFEEPFTIRDLQANVEHLVQKMKTTVKRGLVLRNEKCNENYTTDFIFN
+LYSEEGKGIFDSRKNVLGHMQQGGSPTPFDRNFATKMGAKAMNW
+>Q01813_PF00365_27 <unknown description>
+IGVLTSGGDAQGMNAAVRAVVRMGIYVGAKVYFIYEGYQGMVDGGSNIAEADWESVSSIL
+QVGGTIIGSARCQAFRTREGRLKAACNLLQRGITNLCVIGGDGSLTGANLFRKEWSGLLE
+ELARNGQIDKEAVQKYAYLNVVGMVGSIDNDFCGTDMTIGTDSALHRIIEVVDAIMTTAQ
+SHQRTFVLEVMGRHCGYLALVSALACGADWVFLPESPPEEGWEEQMCVKLSENRARKKRL
+NIIIVAEGAIDTQNKPITSEKIKELVVTQLGYDTRVTILGHVQRGGTPSAFDRILASRMG
+VEAVI
+>Q01813_PF00365_413 <unknown description>
+VAVINVGAPAAGMNAAVRSAVRVGIADGHRMLAIYDGFDGFAKGQIKEIGWTDVGGWTGQ
+GGSILGTKRVLPGKYLEEIATQMRTHSINALLIIGGFEAYLGLLELSAAREKHEEFCVPM
+VMVPATVSNNVPGSDFSIGADTALNTITDTCDRIKQSASGTKRRVFIIETMGGYCGYLAN
+MGGLAAGADAAYIFEEPFDIRDLQSNVEHLTEKMKTTIQRGLVLRNESCSENYTTDFIYQ
+LYSEEGKGVFDCRKNVLGHMQQGGAPSPFDRNFGTKISARAMEWI
+>Q9BZM1_PF06951_23 <unknown description>
+QEQAQTTDWRATLKTIRNGVHKIDTYLNAALDLLGGEDGLCQYKCSDGSKPFPRYGYKPS
+PPNGCGSPLFGVHLNIGIPSLTKCCNQHDRCYETCGKSKNDCDEEFQYCLSKICRDVQKT
+LGLTQHVQACETTVELLFDSVIHLGCKPYLDSQRAACRCHYEEKTDL
+>Q9BX93_PF06951_20 <unknown description>
+QSDTSPDTEESYSDWGLRHLRGSFESVNSYFDSFLELLGGKNGVCQYRCRYGKAPMPRPG
+YKPQEPNGCGSYFLGLKVPESMDLGIPAMTKCCNQLDVCYDTCGANKYRCDAKFRWCLHS
+ICSDLKRSLGFVSKVEAACDSLVDTVFNTVWTLGCRPFMNSQRAACICAEEEKEEL
+>P18669_PF00300_6 <unknown description>
+LVLIRHGESAWNLENRFSGWYDADLSPAGHEEAKRGGQALRDAGYEFDICFTSVQKRAIR
+TLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYDVPPPP
+MEP
+>P18669_PF00300_143 <unknown description>
+ADLTEDQLPSCESLKDTIARALPFWNEEIVPQIKEGKRVLIAAHGNSLRGIVKHLEGLSE
+EAIMELNLPT
+>P15259_PF00300_6 <unknown description>
+LVMVRHGESTWNQENRFCGWFDAELSEKGTEEAKRGAKAIKDAKMEFDICYTSVLKRAIR
+TLWAILDGTDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEEQVKIWRRSFDIPPPP
+>P15259_PF00300_149 <unknown description>
+ELPTCESLKDTIARALPFWNEEIVPQIKAGKRVLIAAHGNSLRGIVKHLEGMSDQAIMEL
+NLP
+>Q8N0Y7_PF00300_6 <unknown description>
+LVLIRHGESTWNLENRFSCWYDADLSPAGHEEAKRGGQALRDAGYEFDICLTSVQKRVIR
+TLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYDVPPPP
+MEP
+>Q8N0Y7_PF00300_143 <unknown description>
+ADLTEDQLPSYESPKDTIARALPFWNEEIVPQIKEGKRVLIAAHGNSLQGIAKHVEGLSE
+EAIMELNLPT
+>Q96HS1_PF00300_100 <unknown description>
+IFLIRHSQYHVDGSLEKDRTLTPLGREQAELTGLRLASLGLKFNKIVHSSMTRAIETTDI
+ISRHLPGVCKVSTDLLREGAPIEPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRADARQ
+EEDSYEIFICHANVIRYIVCRALQFPPEGWLRLSLNNGSITHLVIRPNGRVAL
+>Q75T13_PF07819_83 <unknown description>
+LTGIPVLFLPGNAGSYKQVRSIGSIALRKAEDIDFKYHFDFFSVNFNEELVALYGGSLQK
+QTKFVHECIKTILKLYKGQEFAPKSVAIIGHSMGGLVARALLTLKNFKHDLINLLITQAT
+PHVAPVMPLDRFITDFYTTVNNYWILNARHINLTTLSVAGGFRDYQVRSGLTFLPKLSHH
+TSALSVVSSAVPKTWVSTDHLSIVWCKQLQLTTVRAFFD
+>Q9UHJ9_PF10277_81 <unknown description>
+TAMVWWAITFPVFGFFFCIIWSLVFHFEYTVATDCGVPNYLPSVSSAIGGEVPQRYVWRF
+CIGLHSAPRFLVAFAYWNHYLSCTSPCSCYRPLCRLNFGLNVVENLALLVLTYVSSSEDF
+TIHENAFIVFIASSLGHMLLTCILWRLTKKHTVSQEDRKSYSWKQRLFIINFISFFSALA
+VYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGN
+>Q96FM1_PF04080_54 <unknown description>
+PIYMSLAGWTCRDDCKYECMWVTVGLYLQEGHKVPQFHGKWPFSRFLFFQEPASAVASFL
+NGLASLVMLCRYRTFVPASSPMYHTCVAFAWVSLNAWFWSTVFHTRDTDLTEKMDYFCAS
+TVILHSIYLCCVRTVGLQHPAVVSAFRALLLLMLTVHVSYLSLIRFDYGYNLVANVAIGL
+VNVVWWLAWCLWNQRRLPHVRKCVVVVLLLQGLSLLELLDFPPLFWVLDAHAIWHISTIP
+VHVLFFSFLEDD
+>Q9HCN3_PF12036_546 <unknown description>
+AATLLLTLSNLMFLAPIAVSVRRFFLVEASVYAYTMFFSTFYHACDQPGEAVLCILSYDT
+LQYCDFLGSGAAIWVTILCMARLKTVLKYVLFLLGTLVIAMSLQLDRRGMWNMLGPCLFA
+FVIMASMWAYRCGHRRQCYPTSWQRWAFYLLPGVSMASVGIAIYTSMMTSDNYYYTHSIW
+HI
+>Q96JS3_PF02023_40 <unknown description>
+QEICRLRFRHFCYQEAHGPQEALAQLRELCHQWLRPEMHTKEQIMELLVLEQFLTILPKE
+LQPCVKTYPLESGEEAVTVLENLETGS
+>Q96JS3_PF13843_418 <unknown description>
+NPVELFELFFDDETFNLIVNETNNYASQKNVSLEVTVQEMRCVFGVLLLSGFMRHPRREM
+YWEVSDTDQNLVRDAIRRDRFELIFSNLHFADNGHLDQKDKFTKLRPLIKQMNKNFLLYA
+PLEEYYCFDKSMCECFDSDQFLNGKPIRIGYKIWCGTTTQGYLVWFEPYQEESTMKVDED
+PDLGLGGNLVMNFADVLLERGQYPYHLCFDSFFTSVKLLSALKKKGVRATGTIRENRTEK
+CPLMNVEHMKKMKRGYFDFRIEENNEIILCRWYGDGIISLCSNAVGIEPVNEVSCCDADN
+EEIPQISQPSIVKVYDECKEGVAKMDQIISKYRVRIRSKKWYSILVSYMIDVAMNNAW
+>Q6P3X8_PF13843_140 <unknown description>
+SPVGLFELFFDEGTINFIVNETNRYAWQKNVNLSLTAQELKCVLGILILSGYISYPRRRM
+FWETSPDSHHHLVADAIRRDRFELIFSYLHFADNNELDASDRFAKVRPLIIRMNCNFQKH
+APLEEFYSFGESMCEYFGHRGSKQLHRGKPVRLGYKIWCGTTSRGYLVWFEPSQGTLFTK
+PDRSLDLGGSMVIKFVDALQERGFLPYHIFFDKVFTSVKLMSILRKKGVKATGTVREYRT
+ERCPLKDPKELKKMKRGSFDYKVDESEEIIVCRWHDSSVVNICSNAVGIEPVRLTSRHSG
+AAKTRTQVHQPSLVKLYQEKVGGVGRMDQNIAKYKVKIRGMKWYSSFIGYVIDAALNNAW
+>Q96DM1_PF13843_103 <unknown description>
+DPLQYFELFFTEELVSKITRETNAQAALLASKPPGPKGFSRMDKWKDTDNDELKVFFAVM
+LLQGIVQKPELEMFWSTRPLLDTPYLRQIMTGERFLLLFRCLHFVNNSSISAGQSKAQIS
+LQKIKPVFDFLVNKFSTVYTPNRNIAVDESLMLFKGPLAMKQYLPTKRVRFGLKLYVLCE
+SQSGYVWNALVHTGPGMNLKDSADGLKSSRIVLTLVNDLLGQGYCVFLDNFNISPMLFRE
+LHQNRTDAVGTARLNRKQIPNDLKKRIAKGTTVARFCGELMALKWCDGKEVTMLSTFHND
+TVIEVNNRNGKKTKRPRVIVDYNENMGAVDSADQMLTSYPSERKRHKVWYKKFFHHLLHI
+TVLNSY
+>Q96DM1_PF13842_539 <unknown description>
+GRCKICCSQYDKDGKKIRKETRYFCAECDVPLCVVPC
+>Q8N414_PF13843_121 <unknown description>
+SAVDFFQLFVPDNVLKNMVVQTNMYAKKFQERFGSDGAWVEVTLTEMKAFLGYMISTSIS
+HCESVLSIWSGGFYSNRSLALVMSQARFEKILKYFHVVAFRSSQTTHGLYKVQPFLDSLQ
+NSFDSAFRPSQTQVLHEPLIDEDPVFIATCTERELRKRKKRKFSLWVRQCSSTGFIIQIY
+VHLKEGGGPDGLDALKNKPQLHSMVARSLCRNAAGKNYIIFTGPSITSLTLFEEFEKQGI
+YCCGLLRARKSDCTGLPLSMLTNPATPPARGQYQIKMKGNMSLICWYNKGHFRFLTNAYS
+PVQQGVIIKRKSGEIPCPLAVEAFAAHLSYICRYDDKYSKYFISHKPNKTWQQVFWFAIS
+IAINNAY
+>P98160_PF00057_198 <unknown description>
+ACTEAEFACHSYNECVALEYRCDRRPDCRDMSDELNC
+>P98160_PF00057_284 <unknown description>
+PCGPQEAACRNGHCIPRDYLCDGQEDCEDGSDELDC
+>P98160_PF00057_324 <unknown description>
+PCEPNEFPCGNGHCALKLWRCDGDFDCEDRTDEANC
+>P98160_PF00057_368 <unknown description>
+CGPTQFRCVSTNMCIPASFHCDEESDCPDRSDEFGC
+>P98160_PF13927_405 <unknown description>
+PPQVVTPPRESIQASRGQTVTFTCVAIGVPTPIINWRLNWGHIPSHPRVTVTSEGGRGTL
+IIRDVKESDQGAYTCEAMN
+>P98160_PF00052_595 <unknown description>
+FWALPEQFLGNKVDSYGGSLRYNVRYELARGMLEPVQRPDVVLMGAGYRLLSRGHTPTQP
+GALNQRQVQFSEEHWVHESGRPVQRAELLQVLQSLEAVLIQTVYNTKMASVGLSDIAMDT
+TVTHATSHGRAHSVE
+>P98160_PF00053_764 <unknown description>
+CNCNGHASSCDPVYGHCLNCQHNTEGPQCNKCKAGFFGDAMKA
+>P98160_PF00053_814 <unknown description>
+CPCPYIDASRRFSDTCFLDTDGQATCDACAPGYTGRRCESCAPGYEGNPIQPGGKC
+>P98160_PF00052_990 <unknown description>
+FWSLPSRFLGDKVTSYGGELRFTVTQRSQPGSTPLHGQPLVVLQGNNIILEHHVAQEPSP
+GQPSTFIVPFREQAWQRPDGQPATREHLLMALAGIDTLLIRASYAQQPAESRVSGISMDV
+AVPEETGQDPALEVE
+>P98160_PF00053_1125 <unknown description>
+QCSCPPGYRGPSCQDCDTGYTRTPS
+>P98160_PF00053_1159 <unknown description>
+CSCHGHSEACEPETGACQGCQHHTEGPRCEQCQPGYYGDAQRGTPQDC
+>P98160_PF00053_1209 <unknown description>
+CPCYGDPAAGQAAHTCFLDTDGHPTCDACSPGHSGRHCERCAPGYYGNPSQGQPC
+>P98160_PF00053_1275 <unknown description>
+CNCDPQGSVSSQCDAAGQCQCKAQVEGLTCSHCRPHHFHLSASNPDGC
+>P98160_PF00052_1396 <unknown description>
+YWQLPETYQGDKVAAYGGKLRYTLSYTAGPQGSPLSDPDVQITGNNIMLVASQPALQGPE
+RRSYEIMFREEFWRRPDGQPATREHLLMALADLDELLIRATFSSVPLAASISAVSLEVAQ
+PGPSNRPRALEVE
+>P98160_PF00053_1529 <unknown description>
+ECRCPPGYIGLSCQDCAPGYTRT
+>P98160_PF00053_1563 <unknown description>
+CECNGHSDLCHPETGACSQCQHNAAGEFCELCAPGYYGDATAGTPEDC
+>P98160_PF00053_1613 <unknown description>
+CACPLTNPENMFSRTCESLGAGGYRCTACEPGYTGQYCEQCGPGYVGNPSVQGGQC
+>P98160_PF13927_1678 <unknown description>
+VVEVHPARSIVPQGGSHSLRCQVSGSPPHYFYWSREDGRPVPSGTQQRHQGSELHFPSVQ
+PSDAGVYICTCRN
+>P98160_PF13927_1774 <unknown description>
+TVEEQRSQSVRPGADVTFICTAKSKSPAYTLVWTRLHNGKLPTRAMDFNGILTIRNVQLS
+DAGTYVCTGSN
+>P98160_PF07679_1866 <unknown description>
+PVVSIHPPQLTVQPGQLAEFRCSATGSPTPTLEWTGGPGGQLPAKAQIHGGILRLPAVEP
+TDQAQYLCRAHSSAGQQVARAVLHV
+>P98160_PF07679_1956 <unknown description>
+PRVQVSPERTQVHAGRTVRLYCRAAGVPSATITWRKEGGSLPPQARSERTDIATLLIPAI
+TTADAGFYLCVATSPAGTAQARIQVVV
+>P98160_PF13927_2050 <unknown description>
+PPPVKIESSSPSVTEGQTLDLNCVVAGSAHAQVTWYRRGGSLPPHTQVHGSRLRLPQVSP
+ADSGEYVCRVEN
+>P98160_PF13927_2154 <unknown description>
+IRIEPSSSHVAEGQTLDLNCVVPGQAHAQVTWHKRGGSLPARHQTHGSLLRLHQVTPADS
+GEYVCHV
+>P98160_PF13927_2245 <unknown description>
+PPVRIESSSSTVAEGQTLDLSCVVAGQAHAQVTWYKRGGSLPARHQVRGSRLYIFQASPA
+DAGQYVCRASN
+>P98160_PF13927_2343 <unknown description>
+IRIEPSSSQVAEGQTLDLNCVVPGQSHAQVTWHKRGGSLPVRHQTHGSLLRLYQASPADS
+GEYVCRV
+>P98160_PF07679_2437 <unknown description>
+PTVRIESSSSQVAEGQTLDLNCLVAGQAHAQVTWHKRGGSLPARHQVHGSRLRLLQVTPA
+DSGEYVCRVVGSSGTQEASVLVTI
+>P98160_PF13927_2538 <unknown description>
+IESSSASLANGHTLDLNCLVASQAPHTITWYKRGGSLPSRHQIVGSRLRIPQVTPADSGE
+YVCHVSN
+>P98160_PF13927_2630 <unknown description>
+PPIRIESSSPTVVEGQTLDLNCVVARQPQAIITWYKRGGSLPSRHQTHGSHLRLHQMSVA
+DSGEYVCRANN
+>P98160_PF13927_2729 <unknown description>
+IRIESSSSHVAEGETLDLNCVVPGQAHAQVTWHKRGGSLPSHHQTRGSRLRLHHVSPADS
+GEYVCRV
+>P98160_PF07679_2827 <unknown description>
+PPIRIEPSSSRVAEGQTLDLKCVVPGQAHAQVTWHKRGGNLPARHQVHGPLLRLNQVSPA
+DSGEYSCQVTGSSGTLEASVLVTI
+>P98160_PF13895_2929 <unknown description>
+IEASSSHVTEGQTLDLNCVVPGQAHAQVTWYKRGGSLPARHQTHGSQLRLHLVSPADSGE
+YVCRAASGPGPEQEASFTVTV
+>P98160_PF13927_3022 <unknown description>
+PVISIDPPSSTVQQGQDASFKCLIHDGAAPISLEWKTRNQELEDNVHISPNGSIITIVGT
+RPSNHGTYRCVASN
+>P98160_PF13927_3111 <unknown description>
+PPTVSVLPEGPVWVKVGKAVTLECVSAGEPRSSARWTRISSTPAKLEQRTYGLMDSHAVL
+QISSAKPSDAGTYVCLAQN
+>P98160_PF07679_3212 <unknown description>
+PQVQAEEAELTVEAGHTATLRCSATGSPAPTIHWSKLRSPLPWQHRLEGDTLIIPRVAQQ
+DSGQYICNATSPAGHAEATIILHV
+>P98160_PF07679_3299 <unknown description>
+PYATTVPEHASVQAGETVQLQCLAHGTPPLTFQWSRVGSSLPGRATARNELLHFERAAPE
+DSGRYRCRVTNKVGSAEAFAQLLV
+>P98160_PF07679_3402 <unknown description>
+VQVTPQLETKSIGASVEFHCAVPSDRGTQLRWFKEGGQLPPGHSVQDGVLRIQNLDQSCQ
+GTYICQAHGPWGKAQASAQLVI
+>P98160_PF07679_3494 <unknown description>
+RTSVQTVVVGHAVEFECLALGDPKPQVTWSKVGGHLRPGIVQSGGVVRIAHVELADAGQY
+RCTATNAAGTTQSHVLLLV
+>P98160_PF07679_3580 <unknown description>
+MPQEVRVPAGSAAVFPCIASGYPTPDISWSKLDGSLPPDSRLENNMLMLPSVRPQDAGTY
+VCTATNRQGKVKAFAHLQV
+>P98160_PF00054_3692 <unknown description>
+FRPDSADGMLLYNGQKRVPGSPTNLANRQPDFISFGLVGGRPEFRFDAGSGMATIRHPTP
+LALGHFHTVTLLRSLTQGSLIVGDLAPVNGTSQGKFQGLDLNEELYLGGYPDYGAIPKAG
+LSSGFIGCVRELRIQGEE
+>P98160_PF00008_3848 <unknown description>
+CRDRPCQNGGQCHDSESSSYVCVCPAGFTGSR
+>P98160_PF00054_3960 <unknown description>
+LAPDGVLLFSGGKSGPVEDFVSLAMVGGHLEFRYELGSGLAVLRSAEPLALGRWHRVSAE
+RLNKDGSLRVNGGRPVLRSSPGKSQGLNLHTLLYLGGVEPSVPLSPATNMSAHFRGCVGE
+VSVNGKRLD
+>P98160_PF00008_4108 <unknown description>
+CERQPCQHGATCMPAGEYEFQCLCRDGFKGD
+>P98160_PF00054_4234 <unknown description>
+VRTSTASGLLLWQGVEVGEAGQGKDFISLGLQDGHLVFRYQLGSGEARLVSEDPINDGEW
+HRVTALREGRRGSIQVDGEELVSGRSPGPNVAVNAKGSVYIGGAPDVATLTGGRFSSGIT
+GCVKNLVLH
+>Q96GW7_PF07686_43 <unknown description>
+APLQGVLGGALTIPCHVHYLRPPPSRRAVLGSPRVKWTFLSRGREAEVLVARGVRVKVNE
+AYRFRVALPAYPASLTDVSLALSELRPNDSGIYRCEVQHGIDDSSDAVEVKV
+>Q96GW7_PF00193_158 <unknown description>
+VFLYREGSARYAFSFSGAQEACARIGAHIATPEQLYAAYLGGYEQCDAGWLSDQTVRYPI
+QTPREACYGDMDGFPGVRNYGVVDPDDLYDVYCY
+>Q96GW7_PF00193_264 <unknown description>
+PPEKLTLEEARAYCQERGAEIATTGQLYAAWDGGLDHCSPGWLADGSVRYPIVTPSQRCG
+GGLPGVKTLFLFPNQTGFPNKHSRFNVYCF
+>Q96GW7_PF00008_650 <unknown description>
+CVPSPCHNGGTCLEEEEGVRCLCLPGYGGD
+>Q96GW7_PF00059_706 <unknown description>
+RRSWEEAETQCRMYGAHLASISTPEEQDFINNRYREYQWIGLNDRTIEGDFLWSDGVPLL
+YENWNPGQPDSYFLSGENCVVMVWHDQGQWSDVPCNYHLSYTCK
+>Q96GW7_PF00084_815 <unknown description>
+CGPPPELPLAQVFGRPRLRYEVDTVLRYRCREGLAQRNLPLIRCQENGRWEAPQISC
+>P15428_PF00106_6 <unknown description>
+KVALVTGAAQGIGRAFAEALLLKGAKVALVDWNLEAGVQCKAALDEQFEPQKTLFIQCDV
+ADQQQLRDTFRKVVDHFGRLDILVNNAGVNNEKNWEKTLQINLVSVISGTYLGLDYMSKQ
+NGGEGGIIINMSSLAGLMPVAQQPVYCASKHGIVGFTRSAALAANLMNSGVRLNAICPGF
+VNTAILESIEKEE
+>Q9H7Z7_PF13417_104 <unknown description>
+LYQYKTCPFCSKVRAFLDFHALPYQVVEVNPVRRAEIKFSSYRKVPILVAQEGESSQQLN
+DSSVIISAL
+>P16234_PF07679_223 <unknown description>
+TVYKSGETIVVTCAVFNNEVVDLQWTYPGEVKGKGITMLEEIKVPSIKLVYTLTVPEATV
+KDSGDYECAARQATREVKEMKKVT
+>P16234_PF07679_327 <unknown description>
+VNLHEVKHFVVEVRAYPPPRISWLKNNLTLIENLTEITTDVEKIQEIRYRSKLKLIRAKE
+EDSGHYTIVAQNEDAVKS
+>P16234_PF07714_594 <unknown description>
+VLGRVLGSGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGP
+HLNIVNLLGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFLSHHPEKPKKELDIFGLNPA
+DESTRSYVILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSM
+LDSEVKNLLSDDNSEGLTLLDLLSFTYQVARGMEFLASKNCVHRDLAARNVLLAQGKIVK
+ICDFGLARDIMHDSNYVSKGSTFLPVKWMAPESIFDNLYTTLSDVWSYGILLWEIFSLGG
+TPYPGMMVDSTFYNKIKSGYRMAKPDHATSEVYEIMVKCWNSEPEKRPSFYHLSE
+>P09619_PF00047_40 <unknown description>
+PELVLNVSSTFVLTCSGSAPVVWERMSQEPPQEMAKAQDGTFSSVLTLTNLTGLDTGEYF
+CTHNDSRGLET
+>P09619_PF13927_217 <unknown description>
+SVNAVQTVVRQGENITLMCIVIGNEVVNFEWTYPRKESGRLVEPVTDFLLDMPYHIRSIL
+HIPSAELEDSGTYTCNVT
+>P09619_PF07679_333 <unknown description>
+SRTLQVVFEAYPPPTVLWFKDNRTLGDSSAGEIALSTRNVSETRYVSELTLVRVKVAEAG
+HYTMRAFHEDAEVQLSFQLQI
+>P09619_PF07714_601 <unknown description>
+VLGRTLGSGAFGQVVEATAHGLSHSQATMKVAVKMLKSTARSSEKQALMSELKIMSHLGP
+HLNVVNLLGACTKGGPIYIITEYCRYGDLVDYLHRNKHTFLQHHSDKRRPPSAELYSNAL
+PVGLPLPSHVSLTGESDGGYMDMSKDESVDYVPMLDMKGDVKYADIESSNYMAPYDNYVP
+SAPERTCRATLINESPVLSYMDLVGFSYQVANGMEFLASKNCVHRDLAARNVLICEGKLV
+KICDFGLARDIMRDSNYISKGSTFLPLKWMAPESIFNSLYTTLSDVWSFGILLWEIFTLG
+GTPYPELPMNEQFYNAIKRGYRMAQPAHASDEIYEIMQKCWEEKFEIRPPFSQLVL
+>Q15198_PF13927_271 <unknown description>
+PPSTTILASSNKVKSGDDISVLCTVLGEPDVEVEFTWIFPGQKDERPVTIQDTWRLIHRG
+LGHTTRISQSVITVEDFETIDAGYYICTAQN
+>Q32M88_PF03632_288 <unknown description>
+GSREECYWGHVFWDQDLWMFPSILMFHPEAARAILEYRIRTLDGALENAQNLGYQGAKFA
+WESADSGLEVCPEDIYGVQEVHVNGAVVLAFELYYHTTQDLQLFREAGGWDVVRAVAEFW
+CSRVEWSPREEKYHLRGVMSPDEYHSGVNNSVYTNVLVQNSLRFAAALAQDLGLPIPSQW
+LAVADKIKVPFDVEQNFHPEFDGY
+>P23219_PF00008_36 <unknown description>
+CYYPCQHQGICVRFGLDRYQCDCTRTGYSGPN
+>P23219_PF03098_223 <unknown description>
+LGHGVDLGHIYGDNLERQYQLRLFKDGKLKYQVLDGEMYPPSVEEAPVLMHYPRGIPPQS
+QMAVGQEVFGLLPGLMLYATLWLREHNRVCDLLKAEHPTWGDEQLFQTTRLILIGETIKI
+VIEEYVQQLSGYFLQLKFDPELLFGVQFQYRNRIAMEFNHLYHWHPLMPDSFKVGSQEYS
+YEQFLFNTSMLVDYGVEALVDAFSRQIAGRIGGGRNMDHHILHVAVDVIRESREMRLQPF
+NEYRKRFGMKPYTSFQELVGEKEMAAELEELYGDIDALEFYPGLLLEKCHPNSIFGESMI
+EI
+>P35354_PF00008_22 <unknown description>
+CSHPCQNRGVCMSVGFDQYKCDCTRTGFYGEN
+>P35354_PF03098_207 <unknown description>
+TNGLGHGVDLNHIYGETLARQRKLRLFKDGKMKYQIIDGEMYPPTVKDTQAEMIYPPQVP
+EHLRFAVGQEVFGLVPGLMMYATIWLREHNRVCDVLKQEHPEWGDEQLFQTSRLILIGET
+IKIVIEDYVQHLSGYHFKLKFDPELLFNKQFQYQNRIAAEFNTLYHWHPLLPDTFQIHDQ
+KYNYQQFIYNNSILLEHGITQFVESFTRQIAGRVAGGRNVPPAVQKVSQASIDQSRQMKY
+QSFNEYRKRFMLKPYESFEELTGEKEMSAELEALYGDIDAVELYPALLVEKPRPDAIFGE
+TMVE
+>P00558_PF00162_10 <unknown description>
+DKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKFCLDNGAKSVVLMSHLGRPDG
+VPMPDKYSLEPVAVELKSLLGKDVLFLKDCVGPEVEKACANPAAGSVILLENLRFHVEEE
+GKGKDASGNKVKAEPAKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVNLPQKAGGFL
+MKKELNYFAKALESPERPFLAILGGAKVADKIQLINNMLDKVNEMIIGGGMAFTFLKVLN
+NMEIGTSLFDEEGAKIVKDLMSKAEKNGVKITLPVDFVTADKFDENAKTGQATVASGIPA
+GWMGLDCGPESSKKYAEAVTRAKQIVWNGPVGVFEWEAFARGTKALMDEVVKATSRGCIT
+IIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGK
+>P07205_PF00162_10 <unknown description>
+DKLDVRGKRVIMRVDFNVPMKKNQITNNQRIKASIPSIKYCLDNGAKAVVLMSHLGRPDG
+VPMPDKYSLAPVAVELKSLLGKDVLFLKDCVGAEVEKACANPAPGSVILLENLRFHVEEE
+GKGQDPSGKKIKAEPDKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVNLPHKASGFL
+MKKELDYFAKALENPVRPFLAILGGAKVADKIQLIKNMLDKVNEMIIGGGMAYTFLKVLN
+NMEIGASLFDEEGAKIVKDIMAKAQKNGVRITFPVDFVTGDKFDENAQVGKATVASGISP
+GWMGLDCGPESNKNHAQVVAQARLIVWNGPLGVFEWDAFAKGTKALMDEIVKATSKGCIT
+VIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGK
+>Q8NBL1_PF05686_50 <unknown description>
+SSQNCSCYHGVIEEDLTPFRGGISRKMMAEVVRRKLGTHYQITKNRLYRENDCMFPSRCS
+GVEHFILEVIGRLPDMEMVINVRDYPQVPKWMEPAIPVFSFSKTSEYHDIMYPAWTFWEG
+GPAVWPIYPTGLGRWDLFREDLVRSAAQWPWKKKNSTAYFRGSRTSPERDPLILLSRKNP
+KLVDAEYTKNQAWKSMKDTLGKPAAKDVHLVDHCKYKYLFNFRGVAASFRFKHLFLCGSL
+VFHVGDEWLEFFYPQLKPWVHYIPVKTDLSNVQELLQFVKANDDVAQEIAERGSQFIRNH
+LQMDDITCYWENLLSEYSKFLSYNVTRRKGYDQIIPKML
+>P36871_PF02878_15 <unknown description>
+QKPGTSGLRKRVKVFQSSANYAENFIQSIISTVEPAQRQEATLVVGGDGRFYMKEAIQLI
+ARIAAANGIGRLVIGQNGILSTPAVSCIIRKIKAIGGIILTASHNPGGPNGDFGIKFNIS
+NGGPAPEAITDKIFQISKTIEEY
+>P36871_PF02879_194 <unknown description>
+AYATMLRSIFDFSALKELLSGPNRLKIRIDAMHGVVGPYVKKILCEELGAPANSAVNCVP
+LEDFGGHHPDPNLTYAADLVETMKSGEHDFGAAFDGDGDRNMILGK
+>P36871_PF02880_306 <unknown description>
+PSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALDRVASATKIALYETPTGWKFFGNL
+MDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSILATRKQSVEDILKDHWQKY
+>Q6PCE3_PF02878_65 <unknown description>
+MTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQVTSS
+CSSQRLAKLTAAVLLAKDVPVYLFSRYVPTPFVPYAVQKLKAVAGVMITASHNRKEDNGY
+KVYWETGAQITSPHDKEILKCIEE
+>Q6PCE3_PF02879_238 <unknown description>
+RYMEDLKKICFYRELNSKTTLKFVHTSFHGVGHDYVQLAFKVFGFKPPIPVPEQKDPDPD
+FSTVKCPNPEEGESVLELSLRLAEKENARVVLATDPDADRLAAAELQE
+>Q6PCE3_PF02880_354 <unknown description>
+GNELAALFGWWMFDCWKKNKSRNADVKNVYMLATTVSSKILKAIALKEGFHFEETLPGFK
+WIGSRIIDLLENGKEVLFAFEESIGFLCGTSVLDKDGVSAAVVVAEMASYLETMNITLKQ
+QLVKVYEKY
+>Q6PCE3_PF00408_550 <unknown description>
+KNSQMITFTFQNGCVATLRTSGTEPKIKYYAEMCASPDQS
+>Q96G03_PF02878_55 <unknown description>
+MEFGTAGLRAAMGPGISRMNDLTIIQTTQGFCRYLEKQFSDLKQKGIVISFDARAHPSSG
+GSSRRFARLAATTFISQGIPVYLFSDITPTPFVPFTVSHLKLCAGIMITASHNPKQDNGY
+KVYWDNGAQIISPHDKGISQAIEENL
+>Q96G03_PF02879_228 <unknown description>
+DYFEDLKKYCFHRSVNRETKVKFVHTSVHGVGHSFVQSAFKAFDLVPPEAVPEQKDPDPE
+FPTVKYPNPEEGKGVLTLSFALADKTKARIVLANDPDADRLAVAEK
+>Q96G03_PF02880_344 <unknown description>
+GNELGALLGWWLFTSWKEKNQDRSALKDTYMLSSTVSSKILRAIALKEGFHFEETLTGFK
+WMGNRAKQLIDQGKTVLFAFEEAIGYMCCPFVLDKDGVSAAVISAELASFLATKNLSLSQ
+QLKAIYVE
+>Q96G03_PF00408_540 <unknown description>
+KSSQMITFTFANGGVATMRTSGTEPKIKYYAELC
+>Q15124_PF02878_23 <unknown description>
+GGGGLRRPTGLFEGQRNYLPNFIQSVLSSIDLRDRQGCTMVVGSDGRYFSRTAIEIVVQM
+AAANGIGRLIIGQNGILSTPAVSCIIRKIKAAGGIILTASHCPGGPGGEFGVKFNVANGG
+PAPDVVSDKIYQISKTIEEY
+>Q15124_PF02879_200 <unknown description>
+YLNLLRTIFDFHAIKGLLTGPSQLKIRIDAMHGVMGPYVRKVLCDELGAPANSAINCVPL
+EDFGGQHPDPNLTYATTLLEAMKGGEYGFGAAFDADGDRYMILGQNG
+>Q15124_PF02880_312 <unknown description>
+SDSLAIIAANLSCIPYFRQMGVRGFGRSMPTSMALDRVAKSMKVPVYETPAGWRFFSNLM
+DSGRCNLCGEESFGTGSDHLREKDGLWAVLVWLSIIAARKQSVEEIVRDHWAKF
+>A6NFU8_PF01470_22 <unknown description>
+ADIRSFWPEGGVCLPGSPDVLESGVCMKAVCKRVAVEGVDVIFSRDAGRYVCDYTYYLSL
+HHGKGCAALIHVP
+>Q9NXJ5_PF01470_7 <unknown description>
+AVVVTGFGPFGEHTVNASWIAVQELEKLGLGDSVDLHVYEIPVEYQTVQRLIPALWEKHS
+PQLVVHVGVSGMATTVTLEKCGHNKGYKGLDNCRFCPGSQCCVEDGPESIDSIIDMDAVC
+KRVTTLGLDVSVTISQDAGRYLCDFTYYTSLYQSHGRSAFVHVPPL
+>A6NDG6_PF13344_31 <unknown description>
+LLFDCDGVLWRGETAVPGAPEALRALRARGKRLGFITNNSSKTRAAYAEKLRRLGFGGPA
+GPGASLEVFGTAYCTALYLRQRLAGAPAPKAYVLGSPALAAELEAVGV
+>A6NDG6_PF13242_233 <unknown description>
+IGKPSRFIFDCVSQEYGINPERTVMVGDRLDTDILLGATCGLKTILTLTGVSTLGDVKNN
+QESDCVSKKKMVPDFYVDSIAD
+>O00264_PF00173_74 <unknown description>
+TPAELRRFDGVQDPRILMAINGKVFDVTKGRKFYGPEGPYGVFAGRDASRGLATFCLDKE
+ALKDEYDDLSDLTAAQQETLSDWES
+>O15173_PF00173_104 <unknown description>
+SLEQLRQYDGSRNPRILLAVNGKVFDVTKGSKFYGPAGPYGIFAGRDASRGLATFCLDKD
+ALRDEYDDLSDLNAVQMESVREWEM
+>O75594_PF01510_53 <unknown description>
+PLRYVVVSHTAGSSCNTPASCQQQARNVQHYHMKTLGWCDVGYNFLIGEDGLVYEGRGWN
+FTGAHSGHLWNPMSIGISFMGNYMDRVPTPQAIRAAQGLLACGVAQGALRSNYVLKGHRD
+VQRTLSPG
+>Q96PD5_PF01510_406 <unknown description>
+FLYVHHTYVPAPPCTDFTRCAANMRSMQRYHQDTQGWGDIGYSFVVGSDGYVYEGRGWHW
+VGAHTLGHNSRGFGVAIVGNYTAALPTEAALRTVRDTLPSCAVRAGLLRPDYALLGHRQL
+VRTDCPG
+>Q96LB9_PF01510_60 <unknown description>
+QSVCSQMLRGLQSHSVYTIGWCDVAYNFLVGDDGRVYEGVGWNIQGLHTQGYNNISLGIA
+FFGNKIGSSPSPAALSAAEGLISYAIQKGHLSPRYIQPLLLKEETCLDPQHPVMPRKVCP
+>Q96LB9_PF01510_200 <unknown description>
+PAKYVIIIHTAGTSCTVSTDCQTVVRNIQSFHMDTRNFCDIGYHFLVGQDGGVYEGVGWH
+IQGSHTYGFNDIALGIAFIGYFVEKPPNAAALEAAQDLIQCAVVEGYLTPNYLLMGHSDV
+VNILSP
+>Q96LB8_PF01510_74 <unknown description>
+TPVNVLVIHHVPGLECHDQTVCSQRLRELQAHHVHNNSGCDVAYNFLVGDDGRVYEGVGW
+NIQGVHTQGYNNISLGFAFFGTKKGHSPSPAALSAMENLITYAVQKGHLSSSYVQPLLGK
+GENCLAPRQKTSLKKACPG
+>Q96LB8_PF01510_236 <unknown description>
+GIIIHTAGRTCNISDECRLLVRDIQSFYIDRLKSCDIGYNFLVGQDGAIYEGVGWNVQGS
+STPGYDDIALGITFMGTFTGIPPNAAALEAAQDLIQCAMVKGYLTPNYLLVGHSDVARTL
+SPG
+>P21810_PF01462_62 <unknown description>
+MCPFGCHCHLRVVQCSDLGLKSVPKEI
+>P21810_PF13855_93 <unknown description>
+TLLDLQNNDISELRKDDFKGLQHLYALVLVNNKISKIHEKAFSPLRKLQKLYISKNHL
+>P21810_PF13855_160 <unknown description>
+SLVELRIHDNRIRKVPKGVFSGLRNMNCIEMGGNPLENSGFEPGAFDGLKLNYLRIS
+>P21810_PF13855_230 <unknown description>
+TLNELHLDHNKIQAIELEDLLRYSKLYRLGLGHNQIRMIENGSLSFLPTLRELHLDNNKL
+>P07585_PF01462_54 <unknown description>
+CPFRCQCHLRVVQCSDLGLDKVPKDLP
+>P07585_PF13855_84 <unknown description>
+TLLDLQNNKITEIKDGDFKNLKNLHALILVNNKISKVSPGAFTPLVKLERLYLSKNQL
+>P07585_PF13855_151 <unknown description>
+TLQELRAHENEITKVRKVTFNGLNQMIVIELGTNPLKSSGIENGAFQGMKKLSYIRIADT
+NI
+>P07585_PF13855_221 <unknown description>
+PSLTELHLDGNKISRVDAASLKGLNNLAKLGLSFNSISAVDNGSLANTPHLRELHLDNNK
+L
+>Q92696_PF01239_48 <unknown description>
+VLELTSQILGANPDFATLWNCRREVLQQL
+>Q92696_PF01239_90 <unknown description>
+KAELGFLESCLRVNPKSYGTWHHRCWLLGRL
+>Q92696_PF01239_125 <unknown description>
+WTRELELCARFLEVDERNFHCWDYRRFVAT
+>Q92696_PF01239_161 <unknown description>
+AEELAFTDSLITRNFSNYSSWHYRSCLLPQ
+>Q92696_PF01239_210 <unknown description>
+KELELVQNAFFTDPNDQSAWFYHRWLLGR
+>Q92696_PF07711_245 <unknown description>
+LRCLHVSRDEACLTVSFSRPLLVGSRMEILLLMVDDSPLIVEWRTPDGRNRPSHVWLCDL
+PAASLNDQLPQHTFRVIWTAGDVQKECVLLKGRQEGWCRDST
+>P53609_PF00432_143 <unknown description>
+NKEACLAGLRALQLEDGSFCAVPEGSENDMRFVYCASCICYMLN
+>P53609_PF00432_191 <unknown description>
+MDMKKAITYIRRSMSYDNGLAQGAGLESHGGSTFCGIASLCLMG
+>P53609_PF00432_243 <unknown description>
+KELNRIKRWCIMRQQNGYHGRPNKPVDTCYSFWVGATLKLL
+>P53609_PF00432_290 <unknown description>
+NFEKNRNYILSTQDRLVGGFAKWPDSHPDALHAYFGICGLSLM
+>P53611_PF00432_66 <unknown description>
+MNREEILAFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLY
+>P53611_PF00432_114 <unknown description>
+IDVNKVVEYVKGLQKEDGSFAGDIWGEIDTRFSFCAVATLALLG
+>P53611_PF00432_162 <unknown description>
+INVEKAIEFVLSCMNFDGGFGCRPGSESHAGQIYCCTGFLAI
+>P53611_PF00432_214 <unknown description>
+LLGWWLCERQLPSGGLNGRPEKLPDVCYSWWVLASLKIIG
+>P53611_PF00432_258 <unknown description>
+IDREKLRNFILACQDEETGGFADRPGDMVDPFHTLFGIAGLSLLG
+>P00439_PF01842_36 <unknown description>
+SLIFSLKEEVGALAKVLRLFEENDVNLTHIESRPSRLKKDEYEFFTHLDKRSLPALTNII
+KI
+>P00439_PF00351_119 <unknown description>
+PWFPRTIQELDRFANQILSYGAELDADHPGFKDPVYRARRKQFADIAYNYRHGQPIPRVE
+YMEEEKKTWGTVFKTLKSLYKTHACYEYNHIFPLLEKYCGFHEDNIPQLEDVSQFLQTCT
+GFRLRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMYTPEPDICHELLGHVPLFSDRS
+FAQFSQEIGLASLGAPDEYIEKLATIYWFTVEFGLCKQGDSIKAYGAGLLSSFGELQYCL
+SEKPKLLPLELEKTAIQNYTVTEFQPLYYVAESFNDAKEKVRNFAATIPRPFSVRYDPYT
+QRIEVLDNTQQLKILADSINSEIGILCSALQ
+>Q9BSU1_PF03676_15 <unknown description>
+EQWEFTLGMPLAQAVAILQKHCRIIKNVQVLYSEQSPLSHDLILNLTQDGIKLMFDAFNQ
+RLKVIEVCDLTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGVYNSAEQLFHLNFRGL
+SFSFQLDSWTEAPKYEPNFAHGLASLQIPHGATVKRMYIYSGNSLQDTKAPMMPLSCFLG
+NVYAESVDVLRDGTGPAGLRLRLLAAGCGPGLLADAKMRVFERSVYFGDSCQDVLSMLGS
+PHKVFYKSEDKMKIHSPSPHKQVPSKCNDYFFNYFTLGVDILFDANTHKVKKFVLHTNYP
+GHYNFNIYHRCEFKIPLAIKKENADGQTETCTTYSKWDNIQELLGHPVEKPVVLHRSSSP
+NNTNPFGSTFCFGLQRMIFEVMQNNHIASVTLY
+>Q9NWQ8_PF15347_1 <unknown description>
+MGPAGSLLGSGQMQITLWGSLAAVAIFFVITFLIFLCSSCDREKKPRQHSGDHENLMNVP
+SDKEMFSRSVTSLATDAPASSEQNGALTNGDILSEDSTLTCMQHYEEVQTSASDLLDSQD
+STGKPKCHQSRELPRIPPESAVDTMLTARSVDGDQGLGMEGPYEVLKDSSSQENMVEDCL
+YETVKEIKEVAAAAHLEKGHSGKAKSTSASKELPGPQTEGKAEFAEYASVDRNKKCRQSV
+NVESILGNSCDPEEEAPPPVPVKLLDENENLQEKEGGEAEESATDTTSETNKRFSSLSYK
+SREEDPTLTEEEISAMYSSVNKPGQLVNKSGQSLTVPESTYTSIQGDPQRSPSSCNDLYA
+TVKDFEKTPNSTLPPAGRPSEEPEPDYEAIQTLNREEEKATLGTNGHHGLVPKENDYESI
+SDLQQGRDITRL
+>Q9C0D0_PF02755_140 <unknown description>
+LERKISMRQSREELIKRGVLKE
+>Q9C0D0_PF02755_423 <unknown description>
+SLAIKLSNRPSKRELEEKNILPR
+>Q9C0D0_PF02755_461 <unknown description>
+KLTRRLSQRPTAEELEQRNILKP
+>Q9C0D0_PF02755_499 <unknown description>
+RLTRKLSQRPTVEELRERKIL
+>O75167_PF02755_73 <unknown description>
+LERKISTRQSREELIRRGVLKE
+>O75167_PF02755_528 <unknown description>
+LVRRLSQRPTTEELEQRNILKQ
+>Q96KR7_PF02755_440 <unknown description>
+KLSKRLSQRPAVEELERRNILKQ
+>Q8IZ21_PF02755_65 <unknown description>
+LERKISMRKPREELVKRGVLLED
+>Q8IZ21_PF02755_585 <unknown description>
+LIRRLSQRPTPEELEQRNILQP
+>Q8IZ21_PF02755_622 <unknown description>
+RLTRKLSQRPTVAELLARKILRFN
+>Q9H814_PF10258_230 <unknown description>
+VADEISFRLQEPKKDLIARVVRIIGNKKAIELLMETAEVEQNGGLFIMNGSRRRTPGGVF
+LNLLKNTPSISEEQIKDIFYIEN
+>P35232_PF01145_29 <unknown description>
+NVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNV
+NITLRILFRPVASQLPRIFTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSR
+QVSDDLTERAATFGLILDDVSLTHLTFGKEFTEAVEAKQVAQQEAERARFVVEKAEQ
+>Q99623_PF01145_42 <unknown description>
+TVEGGHRAIFFNRIGGVQQDTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQM
+VNISLRVLSRPNAQELPSMYQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVS
+LLIRRELTERAKDFSLILDDVAITELSFSREYTAAVEAKQVAQQEAQRAQFLVEKAKQE
+>P78364_PF16616_828 <unknown description>
+NVSCSHQFRLKRKKMKEFQEANYARVRRRGPRRSSSDIARAKIQGKCHRGQEDSSRGSDN
+SSYDEALSPTSPGPLSVRAGHGERDLGNPNTAPPTPELHGINPVFLSS
+>P78364_PF00536_938 <unknown description>
+SRWSVEEVYEFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAK
+INVLK
+>Q8IXK0_PF16616_671 <unknown description>
+NVGCTKRVGLFHSDRSKLQKAGAATHNRRRASKASLPPLTKDTKKQPTGTVPLSVTAALQ
+LTHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLELPDMHMRDLVGMGHHFLPS
+>Q8IXK0_PF00536_794 <unknown description>
+KWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKIYARI
+SMLK
+>Q8NDX5_PF00536_930 <unknown description>
+IWTVDDVWAFIHSLPGCQDIADEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKICARI
+NSLK
+>P78562_PF05649_76 <unknown description>
+PCDNFFRFACDGWISNNPIPEDMPSYGVYPWLRHNVDLKLKELLEKSISRRRDTEAIQKA
+KILYSSCMNEKAIEKADAKPLLHILRHSPFRWPVLESNIGPEGVWSERKFSLLQTLATFR
+GQYSNSVFIRLYVSPDDKASNEHILKLDQATLSLAVREDYLDNSTEAKSYRDALYKFMVD
+TAVLLGANSSRAEHDMKSVLRLEIKIAEIMIPHENRTSEAMYNKMNISELSAMIPQFDWL
+GYIKKVIDTRLYPHLKDISPSENVVVRVPQYFKDLFRILGSERKKTIANYLVWRMVYSRI
+PNLSRRFQYRWLEFSRVIQGTTTLLPQWDKCVNFIESALPYVVGKMFVDVYFQEDKKEMM
+EELVEGVRWAFIDMLEKENEWMDAGTKRKAKEKARAVLAKVGYP
+>P78562_PF01431_538 <unknown description>
+NAFYSASTNQIRFPAGELQKPFFWGTEYPRSLSYGAIGVIVGHEFTHGFDNNGRKYDKNG
+NLDPWWSTESEEKFKEKTKCMINQYSNYYWKKAGLNVKGKRTLGENIADNGGLREAFRAY
+RKWINDRRQGLEEPLLPGITFTNNQLFFLSYAHVRCNSYRPEAAREQVQIGAHSPPQFRV
+NGAISNFEEFQKAFNCPPNSTMNR
+>Q8WUB8_PF00628_436 <unknown description>
+CIICGQPHHEEEMMFCDMCDRGYHTFCVGLGAIPSGRWICDCCQR
+>Q9UIL8_PF13771_68 <unknown description>
+HENCLLYSSGLVECEDQDPLNPDRSFDVESVKKEIQRGRKLKCKFCHKRGATVGCDLKNC
+NKNYHFFCAKKDDAVPQSDGVRGIYKLLCQQH
+>Q96QT6_PF00628_59 <unknown description>
+CDSCKEGGDLLCCDHCPAAFHLQCCNPPLSEEMLPPGEWMCHRCTV
+>Q96QT6_PF16737_203 <unknown description>
+QPQLRRPFELLIAAAMERNPTQFQLPNELTCTTALPGSS
+>Q96QT6_PF00628_274 <unknown description>
+CFTCNRSCRVAPLIQCDYCPLLFHMDCLEPPLTAMPLGRWMCP
+>Q86YI8_PF00628_235 <unknown description>
+CFCMKPFAGRPMIECNECHTWIHLSCAKIRKSNVPEVFVCQKCRD
+>O94880_PF00628_321 <unknown description>
+ICCVCLGDNSEDADEIIQCDNCGITVHEGCYGVDGESDSIMSSASENSTEPWFCDACKC
+>O94880_PF13832_384 <unknown description>
+SCELCPNQDGIFKETDAGRWVHIVCALYVPGVAFGDIDKLRPVTLTEMNYSKYGAKECSF
+CEDPRFARTGVCISCDAGMCRAYFHVTCAQKEGLLSEAAAEEDIADPFFAYCKQH
+>O94880_PF00628_728 <unknown description>
+CGICKKNHDQHLLLLCDTCKLHYHLGCLDPPLTRMPRKTKNSYWQCSECDQ
+>O94880_PF00628_871 <unknown description>
+CATCKGTGDNENLVRCDECRLCYHFGCLDPPLKKSPKQTGYGWICQECDS
+>Q5T6S3_PF18104_43 <unknown description>
+GQYVLCRWTDGLYYLGKIKRVSSSKQSCLVTFEDNS
+>Q5T6S3_PF00628_99 <unknown description>
+CNICLGKTSGPLNEILICGKCGLGYHQQCHIPIAGSADQPLLTPWFCRRCI
+>Q5T6S3_PF14061_531 <unknown description>
+SLSHLKSSITNYFGAAGRLACGEKYQVLARRVTPEGKVQYLVEWEGTT
+>O43189_PF18104_34 <unknown description>
+GQDVLARWTDGLLYLGTIKKVDSAREVCLVQFEDDS
+>O43189_PF00628_90 <unknown description>
+CCVCRSETVVPGNRLVSCEKCRHAYHQDCHVPRAPAPGEGEGTSWVCRQCV
+>O43189_PF14061_531 <unknown description>
+GGVGYLSRGDPVRVLARRVRPDGSVQYLVEWGGG
+>Q9BVI0_PF18115_90 <unknown description>
+NEQVLACWSDCRFYPAKVTAVNKDGTYTVKFYDGVVQTVKHIHVKAF
+>Q9BVI0_PF12618_176 <unknown description>
+VKKDKEDKPLKTEKRPKQPDKEGKLICSEKGKVSEKSLPKNEKEDKENISENDREYSGDA
+QVDKKPENDIVKSPQENLREPKRKRGRPPSIAPTAVDSNSQTLQ
+>Q9BUL5_PF00628_342 <unknown description>
+CYCRKPFAGRPMIECSLCGTWIHLSCAKIKKTNVPDFFYCQKCKE
+>Q9UPV7_PF16744_127 <unknown description>
+VVNDEMCDVCEVWTAESLFPCRVCTRVFHDGCLRRMGYIQGDSAAEVTEMAHTETGWSCH
+YCDNINLLLTEE
+>O75151_PF00628_7 <unknown description>
+YCVCRLPYDVTRFMIECDACKDWFHGSCVGVEEEEAPDIDIYHCPNCEK
+>O75151_PF02373_236 <unknown description>
+YCLICVKDSYTDFHIDSGGASAWYHVLKGEKTFYLIRPASANISLYERWRSASNHSEMFF
+ADQVDKCYKCIVKQGQTLFIPSGWIYATLTPVDCLAFAGHF
+>O75151_PF17811_340 <unknown description>
+LSVEMQMRAYEVERRLKLGSLTQFPNFETACWYMGKHLLEAFKGSHKSGKQLPPHLVQGA
+KILNGAFRSWTKKQALAEHEDELPEHFKPSQLIKDLAKEIRLSE
+>Q92576_PF00628_720 <unknown description>
+CGFCKKPHGNRFMVGCGRCDDWFHGDCVGLSLSQAQQMGEEDKEYVCVKCC
+>Q92576_PF07500_925 <unknown description>
+DQIRQSVRHSLKDILMKRLTDSNLKVPEEKAAKVATKIEKELFSFFRDTDAKYKNKYRSL
+MFNLKDPKNNILFKKVLKGEVTPDHLIRMSPEELASKELAAWRRRENRHTIEM
+>Q92576_PF07744_1201 <unknown description>
+FLARLNFIWKGFINMPSVAKFVTKAYPVSGSPEYLTEDLPDSIQVGGRISPQTVWDYVEK
+IKASGTKEICVVRFTPVTEEDQISYTLLFAYFSSRKRYGVAANNMKQVKDMYLIPLGATD
+KIPHPLVPFDGPGLELHRPNLLLGLIIRQ
+>Q7RTV0_PF03660_1 <unknown description>
+MAKHHPDLIFCRKQAGVAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSYQGRCVI
+CGGPGVSDAYYCKECTIQEKDRDGCPKIVNLGSSKTDLFYERKK
+>Q8IWS0_PF13771_42 <unknown description>
+HHKCMLFSSALVSSHSDNESLGGFSIEDVQKEIKRGTKLMCSLCHCPGATIGCDVKTCHR
+TYHYHCALHDKAQIREKPSQGIYMVYCRKHK
+>Q8IWS0_PF13771_239 <unknown description>
+HYKCMLFSSGTVQLTTTSRAEFGDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACV
+KTYHYHCGVQDKAKYIENMSRGIYKLYCKNHS
+>Q9BWX1_PF13771_58 <unknown description>
+HYFCLILSSKLPQRGQSNRGFHGFLPEDIKKEAARASRKICFVCKKKGAAINCQKDQCLR
+NFHLPCGQERGCLSQFFGEYKSFCDKHR
+>Q9UPP1_PF00628_7 <unknown description>
+YCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCE
+>Q9UPP1_PF02373_234 <unknown description>
+YCLMSVRDSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFF
+GDQVDKCYKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNF
+>Q9UPP1_PF17811_338 <unknown description>
+LNIEMQLKAYEIEKRLSTADLFRFPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGG
+KALNLAFRAWTRKEALPDHEDEIPETVRTVQLIKDLAREIRLVE
+>Q96FC7_PF00041_52 <unknown description>
+VPHNIKISNITCDSFKISWEMDSKSKDRITHYFIDLNKKENKNSNKFKHKDVPTKLVAKA
+VPLPMTVRGHWFLSPRTEYTVAVQTASKQV
+>Q96FC7_PF19281_157 <unknown description>
+CTADYSKVHLTQLLEKAEVIAGRMLKFSVFYRNQHKEYFDYVREHHGNAMQPSVKDNSGS
+HGSPISGKLEGIFFSCSTEFNTGKPPQDSPYGRYRFEIAAEKLFNPNTNLYFGDFYCMYT
+AYHYVILVIAPVGSPGDEFCKQRLPQLNSKDNKFLTCTEEDGVLVYHHAQDVILEVIYTD
+PVDLSVGTVAEITGHQLMSLSTANAKKDPSCKTCNISVGR
+>Q8WWQ0_PF00400_179 <unknown description>
+ILGHLSSVYCVTFDRTGRRIFTGSDDCLVKIW
+>Q8WWQ0_PF00400_215 <unknown description>
+GRLLATLRGHAAEISDMAVNYENTMIAAGSCDKMIRVW
+>Q8WWQ0_PF00400_259 <unknown description>
+PLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFW
+>Q8WWQ0_PF00400_358 <unknown description>
+ISELEFHTDKVDSIQFSNTSNRFVSGSRDGTARIW
+>Q8WWQ0_PF00400_456 <unknown description>
+GQLIHVLMGHEDEVFVLEPHPFDPRVLFSAGHDGNVIVWD
+>Q8WWQ0_PF00439_1165 <unknown description>
+CERIVAGINQLMTLDIASAFVAPVDLQAYPMYCTVVAYPTDLSTIKQRLENRFYRRVSSL
+MWEVRYIEHNTRTFNEPGSPIVKSAK
+>Q8WWQ0_PF00439_1325 <unknown description>
+CEELLNLIFQCEDSEPFRQPVDLLEYPDYRDIIDTPMDFATVRETLEAGNYESPMELCKD
+VRLIFSNSKAYTPSKRSRIYS
+>Q16816_PF00069_20 <unknown description>
+YEPKEILGRGVSSVVRRCIHKPTSQEYAVKVIDVTGGGSFSPEEVRELREATLKEVDILR
+KVSGHPNIIQLKDTYETNTFFFLVFDLMKRGELFDYLTEKVTLSEKETRKIMRALLEVIC
+TLHKLNIVHRDLKPENILLDDNMNIKLTDFGFSCQLEPGERLREVCGTPSYLAPEIIECS
+MNEDHPGYGKEVDMWSTGVIMYTLLAGSPPFWHRKQMLMLRMIMSGNYQFGSPEWDDYSD
+TVKDLVSRFLVVQPQNRYTAEEALAHPFF
+>P15735_PF00069_26 <unknown description>
+PKDVIGRGVSSVVRRCVHRATGHEFAVKIMEVTAERLSPEQLEEVREATRRETHILRQVA
+GHPHIITLIDSYESSSFMFLVFDLMRKGELFDYLTEKVALSEKETRSIMRSLLEAVSFLH
+ANNIVHRDLKPENILLDDNMQIRLSDFGFSCHLEPGEKLRELCGTPGYLAPEILKCSMDE
+THPGYGKEVDLWACGVILFTLLAGSPPFWHRRQILMLRMIMEGQYQFSSPEWDDRSSTVK
+DLISRLLQVDPEARLTAEQALQHPFF
+>Q86UU1_PF00169_1258 <unknown description>
+VCRGYLVKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHLRS
+AAKKRFFRFTMVTESPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVI
+>Q86SQ0_PF00169_1146 <unknown description>
+CRGFLIKMGGKIKTWKKRWFVFDRNKRTFSYYADKHETKLKGVIYFQAIEEVYYDHLKNA
+NKSPNPLLTFSVKTHDRIYYMVAPSPEAMRIWMDVI
+>Q6NSJ2_PF00169_536 <unknown description>
+RGPLVKMGGRIKTWRKRWFCFDRQARRLAYYADKEETKLKGVIYFQAIEEVYYDHLRCAF
+KSPNPRLTFCVKTYERLFYMVAPSPEAMRIWMDVIV
+>P80108_PF00882_28 <unknown description>
+THVEIGHRALEFLQLHNGRVNYRELLLEHQDAYQAGIVFPDCFYPSICKGGKFHDVSEST
+HWTPFLNASVHYIRENYPLPWEKDTEKLVAFLFGITSHMAADVSWHSLGLEQGFLRTMGA
+IDFHGSYSEAHSAGDFGGDVLSQFEFNFNYLARRWYVPVKDLLGIYEKLYGRKVITENVI
+VDC
+>P80108_PF01839_382 <unknown description>
+GWAMTSADLNQDGHGDLVVGAPGYSRPGHIHIGRVYL
+>P80108_PF01839_450 <unknown description>
+FGSALAVLDFNVDGVPDLAVGAPSVGSEQLTYKGAVYVY
+>P80108_PF01839_514 <unknown description>
+GWTLLAADVNGDSEPDLVIGSPFAPGGGK
+>O60346_PF00169_551 <unknown description>
+QLPVNRWTRRQVILCGTCLIVSSVKDSLTGKMHVLPLIGGKVEEVKKHQHCLAFSSSGPQ
+SQTYYICFDTFTEYLRWLRQVSKV
+>O60346_PF13516_691 <unknown description>
+TKLKSLNLSNNHLGD
+>O60346_PF13855_714 <unknown description>
+PTLAELNVSCNALRSVPAAVGVMHNLQTFLLDGNFLQSLPAELENMKQLSYLGLSFNE
+>O60346_PF13516_831 <unknown description>
+QHVTQLDLRDNKLGDLD
+>O60346_PF13855_1014 <unknown description>
+LQELYLTNNSLTDKCVPLLTGHPHLKILHMAYNRLQSFPASKMAKLEELEEIDLSGNKL
+>O60346_PF00481_1177 <unknown description>
+GYTEASGVKNKLCVAALSVNNFCDNREALYGVFDGDRNVEVPYLLQCTMSDILAEELQKT
+KNEEEYMVNTFIVMQRKLGTAGQKLGGAAVLCHIKHDPVDPGGSFTLTSANVGKCQTVLC
+RNGKPLPLSRSYIMSCEEELKRIKQHKAIITEDGKVNGVTESTRILGYTFLHPSVVPRPH
+VQSVLLTPQDEFFILGSKGLWDSLSVEEAVEAVRNVPDALAAAKKLCTLAQSYGCHDSI
+>Q6ZVD8_PF13855_572 <unknown description>
+LEVLDLQHNALTRLPDTLFSKALNLRYLNASANSLESLPSACTGEESLSMLQLLYLTNNL
+>Q6ZVD8_PF13855_645 <unknown description>
+HLRILHLANNQLQTFPASKLNKLEQLEELNLSGNKLKTIPTTIANCKRLHTLVAHSNNI
+>Q6ZVD8_PF00481_816 <unknown description>
+YGMFDGDRNEELPRLLQCTMADVLLEEVQQSTNDTVFMANTFLVSHRKLGMAGQKLGSSA
+LLCYIRPDTADPASSFSLTVANVGTCQAVLCRGGKPVPLSKVFSLEQDPEEAQRVKDQKA
+IITEDNKVNGVTCCTRMLGCTYLYPWILPKPHISSTPLTIQDELLILGNKALWEHLSYTE
+AVNAVRHVQDPLAAAKKLCTLAQSYGCQDNV
+>Q13371_PF02114_36 <unknown description>
+APASSSVPAEAELAGEGISVNTGPKGVINDWRRFKQLETEQREEQCREMERLIKKLSMTC
+RSHLDEEEEQQKQKDLQEKISGKMTLKEFAIMNEDQDDEEFLQQYRKQRMEEMRQQLHKG
+PQFKQVFEISSGEGFLDMIDKEQKSIVIMVHIYEDGIPGTEAMNGCMICLAAEYPAVKFC
+KVKSSVIGASSQFTRNALPALLIYKGGELIGNFVRVTDQLGDDFFAVDLEAFLQEFGLLP
+EKEVLVLTSVRNSATCHSEDSDLE
+>Q9Y3A3_PF03637_52 <unknown description>
+SNIDKILEPPEGQDEGVWKYEHLRQFCLELNGLAVKLQSECHPDTCTQMTATEQWIFLCA
+AHKTPKECPAIDYTRHTLDGAACLLNSNKYFPSRVSIKESSVAKLGSVCRRIYRIFSHAY
+FHHRQIFDEYENETFLCHRFTKFVMKYNLMSKDNL
+>Q8TCT1_PF06888_28 <unknown description>
+LLTFDFDETIVDENSDDSIVRAAPGQRLPESLRATYREGFYNEYMQRVFKYLGEQGVRPR
+DLSAIYEAIPLSPGMSDLLQFVAKQGACFEVILISDANTFGVESSLRAAGHHSLFRRILS
+NPSGPDARGLLALRPFHTHSCARCPANMCKHKVLSDYLRERAHDGVHFERLFYVGDGAND
+FCPMGLLAGGDVAFPRRGYPMHRLIQEAQKAEPSSFRASVVPWETAADVRLHLQQV
+>Q8TCD6_PF06888_3 <unknown description>
+ILLVFDFDNTIIDDNSDTWIVQCAPNKKLPIELRDSYRKGFWTEFMGRVFKYLGDKGVRE
+HEMKRAVTSLPFTPGMVELFNFIRKNKDKFDCIIISDSNSVFIDWVLEAASFHDIFDKVF
+TNPAAFNSNGHLTVENYHTHSCNRCPKNLCKKVVLIEFVDKQLQQGVNYTQIVYIGDGGN
+DVCPVTFLKNDDVAMPRKGYTLQKTLSRMSQNLEPMEYSVVVWSSGVDIISHLQFLI
+>P20941_PF02114_1 <unknown description>
+MEEAKSQSLEEDFEGQATHTGPKGVINDWRKFKLESQDSDSIPPSKKEILRQMSSPQSRN
+GKDSKERVSRKMSIQEYELIHKEKEDENCLRKYRRQCMQDMHQKLSFGPRYGFVYELETG
+KQFLETIEKELKITTIVVHIYEDGIKGCDALNSSLTCLAAEYPIVKFCKIKASNTGAGDR
+FSLDVLPTLLIYKGGELISNFISVAEQFAEEFFAGDVESFLNEYGLLPEREVHVLEHTKI
+EEED
+>Q9NRX4_PF05005_8 <unknown description>
+LIPDVDIDSDGVFKYVLIRVHSAPRSGAPAAESKEIVRGYKWAEYHADIYDKVSGDMQKQ
+GCDCECLGGGRISHQSQDKKIHVYGYSMAYGPAQHAISTEKIKAKYPDYE
+>Q9P1Y6_PF13639_107 <unknown description>
+SCPICLNAFRDQAVGTPENCAHYFCLDCIVEWSKNANSCPVD
+>Q9P1Y6_PF00628_185 <unknown description>
+FCEVCGRSDREDRLLLCDGCDAGYHMECLDPPLQEVPVDEWFCPECA
+>Q9H0N5_PF01329_34 <unknown description>
+RLTAEERNQAILDLKAAGWSELSERDAIYKEFSFHNFNQAFGFMSRVALQAEKMNHHPEW
+FNVYNKVQITLTSHDCGELTKKDVKLAKFIEK
+>P61457_PF01329_7 <unknown description>
+RLSAEERDQLLPNLRAVGWNELEGRDAIFKQFHFKDFNRAFGFMTRVALQAEKLDHHPEW
+FNVYNKVHITLSTHECAGLSERDINLASFIEQ
+>Q9UMS5_PF12129_6 <unknown description>
+RDAISWYQKKIGAYDQQIWEKSIEQTQIKGLKNKPKKMGHIKPDLIDVDLIRGSTFAKAK
+PEIPWTSLTRKGLVRVVFFPLFSNWWIQVTSLRIFVWLLLLYFMQVIAIVLYLMMPIVNI
+SEVLGPLCLMLLMGTVHCQIVSTQI
+>O14813_PF00046_91 <unknown description>
+RRIRTTFTSAQLKELERVFAETHYPDIYTREELALKIDLTEARVQVWFQNRRAKFRK
+>Q99453_PF00046_99 <unknown description>
+RRIRTTFTSAQLKELERVFAETHYPDIYTREELALKIDLTEARVQVWFQNRRAKFRK
+>Q5SRE7_PF05721_12 <unknown description>
+FQQDGFLVLEGFLSAEECVAMQQRIGEIVAEMDVPLHCRTEFSTQEEEQLRAQGSTDYFL
+SSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFKSITHSFKVQTLARSLG
+LQMPVVVQSMYIFKQPHFGGEVSPHQDASFLYTEPLGRVLGVWIAVEDATLENGCLWFIP
+GSHTSGVSRRMVRAPVGSAPGTSFLGSEPARDNSLFVPTPVQRGALVLIHGEVVHKSKQN
+LSDRSRQA
+>Q92561_PF19281_111 <unknown description>
+CTGDYAKEHLAQLQEKAEQIAGRMLRFSVFYRNHHKEYFQHARTHCGNMLQPYLKDNSGS
+HGSPTSGMLHGVFFSCNTEFNTGQPPQDSPYGRWRFQIPAQRLFNPSTNLYFADFYCMYT
+AYHYAILVLAPKGSLGDRFCRDRLPLLDIACNKFLTCSVEDGELVFRHAQDLILEIIYTE
+PVDLSLGTLGEISGHQLMSLSTADAKKDPSCKTCNISVGR
+>O43692_PF00188_71 <unknown description>
+LDYHNQVRGKVFPPAANMEYMVWDENLAKSAEAWAATCIWDHGPSYLLRFLGQNLSVRTG
+RYRSILQLVKPWYDEVKDYAFPYPQDCNPRCPMRCFGPMCTHYTQMVWATSNRIGCAIHT
+CQNMNVWGSVWRRAVYLVCNY
+>Q6UXB8_PF00188_38 <unknown description>
+ELHNLYRAQVSPTASDMLHMRWDEELAAFAKAYARQCVWGHNKERGRRGENLFAITDEGM
+DVPLAMEEWHHEREHYNLSAATCSPGQMCGHYTQVVWAKTERIGCGSHFCEKLQGVEETN
+IELLVCNY
+>P43119_PF00001_31 <unknown description>
+NGLALGILSARRPARPSAFAVLVTGLAATDLLGTSFLSPAVFVAYARNSSLLGLARGGPA
+LCDAFAFAMTFFGLASMLILFAMAVERCLALSHPYLYAQLDGPRCARLALPAIYAFCVLF
+CALPLLGLGQHQQYCPGSWCFLRMRWAQPGGAAFSLAYAGLVALLVAAIFLCNGSVTLSL
+CRMYRQQKRHQGSLGPRPRTGEDEVDHLILLALMTVVMAVCSLPLTIRCFTQAVAPDSSS
+EMGDLLAFRFYAFNPILDPWV
+>Q99570_PF00069_27 <unknown description>
+EYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDPTLPLTSYKQELEELKIRLNSAQNCLP
+FQKASEKASEKAAMLFRQYVRDNLYDRISTRPFLNNIEKRWIAFQILTAVDQAHKSGVRH
+GDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNPADFNYFFDTSRRRTCYIAPERFVDGG
+MFATELEYMRDPSTPLVDLNSNQRTRGELKRAMDIFSAGCVIAELFTEGVPLFDLSQLLA
+YRNGHFFPEQVLNKIEDHSIRELVTQMIHREPDKRLEAEDYLKQ
+>Q99570_PF00400_986 <unknown description>
+VAHLHEHKSAVNRIRVSDEHSLFATCSNDGTVKIWN
+>Q99570_PF00400_1327 <unknown description>
+GHHDIITDVATFQTTQGFIVTASRDGIVKVWK
+>Q8WYR1_PF10486_6 <unknown description>
+TTCTEDRIQHALERCLHGLSLSRRSTSWSAGLCLNCWSLQELVSRDPGHFLILLEQILQK
+TREVQEKGTYDLLTPLALLFYSTVLCTPHFPPDSDLLLKAASTYHRFLTWPVPYCSICQE
+LLTFIDAELKAPGISYQRLVRAEQGLPIRSHRSSTVTVLLLNPVEVQAEFLAVANKLSTP
+GHSPHSAYTTLLLHAFQATFGAHCDVPGLHCRLQAKTLAELEDIFTETAEAQELASGIGD
+AAEARRWLRTKLQAVGEKAGFPGVLDTAKPGKLHTIPIPVARCYTYSWSQDSFDILQEIL
+LKEQELLQPGILGDDEEEEEEEEEVEEDLETDGHCAERDSLLSTSSLASHDSTLSLASSQ
+ASGPALSRHLLTSFVSGLSDGMDSGYVEDSEESSSEWPWRRGSQERRGHRRPGQKFIRIY
+KLFKSTSQLVLRRDSRSLEGSSDTALPLRRAGSLCSPLDEPVSPPSRAQRSRSLPQPKLG
+TQLPSWLLAPASRPQRRRPFLSGDEDPKASTLRVVVFGSDRISGKVARAYSNLRRLENNR
+PLLTRFFKLQFFYVPVKRSHGTSPGACPPPRSQTPSPPTDSPRHASPGELGTTPWEESTN
+DISHYLGMLDPWYERNVLGLMHLPPEVLCQQSLKAEAQALEGSPTQLPILADMLLYYCRF
+AARPVLLQVYQTELTFITGEKTTEIFIHSLELGHSAATRAIKASGPGSKRLGIDGDREAV
+PLTLQIIYSKGAISGRSRWSNLEKVCTSVNLNKACRKQEELDSSMEALTLNLTEVVKRQN
+SKSKKGFNQISTSQIKVDKVQIIGSNSCPFAVCLDQDERKILQSVVRCEVSPCYKPEKSD
+LSSPPQTPPDLPAQAAPDLCSLLCLPIMTFSGALP
+>Q5UE93_PF10486_18 <unknown description>
+LRELSTQAPALQSNQGMWRWSLHKKVERDPGKSPVLVRILLRELEKAESQDLRHVIIPLL
+HTVMYVLTKATGITEELYQRIYAFCTRLLTLPTPYCTVALDCAIRLKTEMAVPGTLYQRM
+VIAEQNLTNELYPYQERVFLFVDPELVSASVCSALLLEIEAAQAQQTPETCMRHVVSHAL
+QAALGEACHAGALHRKLQASPRRTLEHYFHAVVAALEQMASEASPSREGHVERLEEIYCS
+LLGPAAGRCGGDLVQERPPSIPLPSP
+>Q5UE93_PF10486_414 <unknown description>
+HTARVLVLGDDRMLGRLAQAYHRLRKRETQKFCLTPRLSLQLYYIPVLAP
+>Q5UE93_PF10486_476 <unknown description>
+ELATFLGRVDPWYQSNVNTLCPAIHKLAEMPPSLDTSRTVDPFILDVITYYIRMGTQPIY
+FQIYTVKIFFSDLSQDPTEDIFLIELKVKIQDSKFPKDGFSPRRRGVAEGPGAELSLCYQ
+KALLSHRPREVTVSLRATGLILKAIPASDTEVSGSSHCPLPAAPVTDHTCLNVNVTEVVK
+SSNLAGKSFSTVTNTFRTNNIQIQSRDQRLLTLSLDKDDQRTFRDVVRFEVAPCPEPCSG
+AQKSKAPWLNLHGQQEVEAIKAKPKPLLMPINTFSG
+>P48426_PF01504_126 <unknown description>
+SQARSGARFHTSYDKRYIIKTITSEDVAEMHNILKKYHQYIVECHGITLLPQFLGMYRLN
+VDGVEIYVIVTRNVFSHRLSVYRKYDLKGSTVAREASDKEKAKELPTLKDNDFINEGQKI
+YIDDNNKKVFLEKLKKDVEFLAQLKLMDYSLLVGIHDVERAEQEEVECEENDGEEEGESD
+GTHPVGTPPDSPGNTLNSSPPLAPGEFDPNIDVYGIKCHENSPRKEVYFMAIIDILTHYD
+AKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFLDFIGH
+>P78356_PF01504_130 <unknown description>
+DSQGRCGTRFLTTYDRRFVIKTVSSEDVAEMHNILKKYHQFIVECHGNTLLPQFLGMYRL
+TVDGVETYMVVTRNVFSHRLTVHRKYDLKGSTVAREASDKEKAKDLPTFKDNDFLNEGQK
+LHVGEESKKNFLEKLKRDVEFLAQLKIMDYSLLVGIHDVDRAEQEEMEVEERAEDEECEN
+DGVGGNLLCSYGTPPDSPGNLLSFPRFFGPGEFDPSVDVYAMKSHESSPKKEVYFMAIID
+ILTPYDTKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFNEFMSNI
+>Q8TBX8_PF01504_136 <unknown description>
+GSDGRFLISYDRTLVIKEVSSEDIADMHSNLSNYHQYIVKCHGNTLLPQFLGMYRVSVDN
+EDSYMLVMRNMFSHRLPVHRKYDLKGSLVSREASDKEKVKELPTLKDMDFLNKNQKVYIG
+EEEKKIFLEKLKRDVEFLVQLKIMDYSLLLGIHDIIRGSEPEEEAPVREDESEVDGDCSL
+TGPPALVGSYGTSPEGIGGYIHSHRPLGPGEFESFIDVYAIRSAEGAPQKEVYFMGLIDI
+LTQYDAKKKAAHAAKTVKHGAGAEISTVHPEQYAKRFLDFITNI
+>P42356_PF19274_378 <unknown description>
+FKMLRDTLYYMKDLPTSFVKEIHDFVLEQFNTSQGELQKILHDADRIHNELSPLKLRCQA
+NAACVDLMVWAVKDEQGAENLCIKLSEKLQSKTSSKVIIAHLPLLICCLQGLGRLCERFP
+VVVHSVTPSLRDFLVIPSPVLVKLYKYHSQYHTVAGNDIKISVTNEHSESTLNVMSGKKS
+QPSMYEQLRDIAIDNICRCLKAGLTVDPVIVEAFLASLSNRLYISQESDKDAHLIPDHTI
+RALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIIDQLGCLVITGNQYIYQEVWN
+LFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAANIQDEHLVDELLMNLLELF
+VQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLTRRLPPIKEAKPRLQKLFRD
+FWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSKEPLRSVLQYNSAMKNDTVT
+PAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYRLEYMRVLRSTDPDRFQVMF
+CYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMADKAKTKENEEELERHAQFLLVNFNH
+IHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLSLSLSADIHKDQPYYDIPDA
+PYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKSHLQEYLNKHQNWVSGLSQH
+TGLAMATESILHFAGYNKQNTTLGATQLSERPACVKKDYSNFMASLNLRNRYAGEVYGMI
+RFSGTTGQMSDLNKMMVQDLHSALDRSHPQHYTQAMFKLTAMLISSKDCDPQLLHHLCWG
+PLRMFNEHGMETALACWEWLLAGKDGVEVPFMREMAGAWHMTVEQKFGLFSAEIKEADPL
+AASEASQPKPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVEIFSSLLQRSMSLNIGGAKG
+SMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKIYSTAFDYFSCPPKFPTQGE
+KRLREDISIMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNLDITVGSRQQATQGWINTYP
+LSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLATEIERLITWYNPLSAPE
+>P42356_PF00613_1583 <unknown description>
+VSDVPEAIKFLVTWHTIDADAPELSHVLCWAPTDPPTGLSYFSSMYPPHPLTAQYGVKVL
+RSFPPDAILFYIPQIVQALRYDKMGYVREYILWAASKSQLLAHQFIWNMKTNIYLDEEGH
+QKDPDIGDLLDQLVEEITGSLSG
+>P42356_PF00454_1911 <unknown description>
+QTDFGMYDYFTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGH
+IIHIDFGFMFESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRPY
+MDAVVSLVTLMLDTGLPCF
+>Q9UBF8_PF00454_560 <unknown description>
+SVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKILVISADSGMIEPVVNAVSI
+HQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVKDRHNGNILL
+DAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGGLDGDMFNYYKMLMLQGLIA
+ARKHMDKVVQIVEIM
+>Q99755_PF01504_167 <unknown description>
+SEPLIELCSSGASGSLFYVSSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKF
+YGLYCVQAGGKNIRIVVMNNLLPRSVKMHIKYDLKGSTYKRRASQKEREKPLPTFKDLDF
+LQDIPDGLFLDADMYNALCKTLQRDCLVLQSFKIMDYSLLMSIHNIDHAQREPLSSETQY
+SVDTRRPAPQKALYSTAMESIQGEARRGGTMETDDHMGGIPARNSKGERLLLYIGIIDIL
+QSYRFVKKLEHSWKALVHDGDTVSVHRPGFYAERFQRFMCN
+>O14986_PF01504_111 <unknown description>
+SEPLIELSNPGASGSLFFVTSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKF
+YGLYCMQSGGINIRIVVMNNVLPRSMRMHFTYDLKGSTYKRRASRKEREKSNPTFKDLDF
+LQDMHEGLYFDTETYNALMKTLQRDCRVLESFKIMDYSLLLGIHFLDHSLKEKEEETPQN
+VPDAKRTGMQKVLYSTAMESIQGPGKSGDGIITENPDTMGGIPAKSHRGEKLLLFMGIID
+ILQSYRLMKKLEHSWKALVYDGDTVSVHRPSFYADRFLKFMN
+>O60331_PF01504_161 <unknown description>
+NEPLIELSNPGASGSLFYVTSDDEFIIKTVMHKEAEFLQKLLPGYYMNLNQNPRTLLPKF
+YGLYCVQSGGKNIRVVVMNNILPRVVKMHLKFDLKGSTYKRRASKKEKEKSFPTYKDLDF
+MQDMPEGLLLDADTFSALVKTLQRDCLVLESFKIMDYSLLLGVHNIDQHERERQAQGAQS
+TSDEKRPVGQKALYSTAMESIQGGAARGEAIESDDTMGGIPAVNGRGERLLLHIGIIDIL
+QSYRFIKKLEHTWKALVHDGDTVSVHRPSFYAERFFKFMSN
+>Q5T9C9_PF01504_131 <unknown description>
+QFLSTSKSKASFFLSHDQRFFLKTQGRREVQALLAHLPRYVQHLQRHPHSLLARLLGVHS
+LRVDRGKKTYFIVMQSVFYPAGRISERYDIKGCEVSRWVDPAPEGSPLVLVLKDLNFQGK
+TINLGPQRSWFLRQMELDTTFLRELNVLDYSLLIAFQRLHEDERGPGSSLIFRTARSVQG
+AQSPEESRAQNRRLLPDAPNALHILDGPEQRYFLGVVDLATVYGLRKRLEHLWKTLRYPG
+RTFSTVSPARYARRLCQWVEA
+>Q15735_PF03372_428 <unknown description>
+VTWNVGTAMPPDDVTSLLHLGGGDDSDGADMIAIGLQEVNSMLNKRLKDALFTDQWSELF
+MDALGPFNFVLVSSVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGVSVRL
+AAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAQGILDHDLVFWFGDLNFR
+IESYDLHFVKFAIDSDQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVGTNKY
+DTSAKKRKPAWTDRILWKVKAPGGGPSPSGRKSHRLQVTQHSYRSHMEYTVSDH
+>Q15735_PF17751_741 <unknown description>
+VRLEVADEWVRPEQAVVRYRMETVFARSSWDWIGLYRVGFRHCKDYVAYVWAKHEDVDGN
+TYQVTFSEESLPKGHGDFILGYYSHNHSILIGITEPFQI
+>Q8IYJ0_PF15298_181 <unknown description>
+YVTITISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGV
+>O75925_PF14324_136 <unknown description>
+IKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQISSSMDISGTKCDFT
+VQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNGVEPKRPSRPINITSL
+VRLSTTVPNTIVVSWTAEIGRNYSMAVYLVK
+>O75925_PF02891_331 <unknown description>
+VSLLCPLGKMRLTIPCRALTCSHLQCFDATLYIQMNEKKPTWVCPVCDK
+>O75928_PF14324_146 <unknown description>
+VQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVREICISRDFLPGGRRDY
+TVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPKNGIEQKRPGRPLNITS
+LVRLSSAVPNQISISWASEIGKNYSMSVYLVR
+>O75928_PF02891_342 <unknown description>
+VSLMCPLGKMRLTIPCRAVTCTHLQCFDAALYLQMNEKKPTWICPVCDK
+>Q9Y6X2_PF14324_127 <unknown description>
+VTMKPLPFYEVYGELIRPTTLASTSSQRFEEAHFTFALTPQQVQQILTSREVLPGAKCDY
+TIQVQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSRPINITP
+LARLSATVPNTIVVNWSSEFGRNYSLSVYLVR
+>Q9Y6X2_PF02891_323 <unknown description>
+VSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEKKPTWTCPVCDK
+>Q8N2W9_PF14324_131 <unknown description>
+VRLVKLPFFNMLDELLKPTELVPQNNEKLQESPCIFALTPRQVELIRNSRELQPGVKAVQ
+VVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTHLMY
+LSSATNRITVTWGNYGKSYSVALYLVR
+>Q8N2W9_PF02891_322 <unknown description>
+VSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKKPTWMCPVCDKP
+>Q13492_PF07651_23 <unknown description>
+SKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLADSLFERTTNSSWVVVFKSLITT
+HHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDMSTFIRRYSRYLNEKAVSYRQVA
+FDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLDFNVNSNELTNGVINAAFMLLFK
+DAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKFLTRMTRISEFLKVAEQVGIDRG
+DIPDLSQAPSSLLDALEQHLA
+>Q9NRD5_PF00595_23 <unknown description>
+VTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAALDGTVAAGDEITGVNGRSIKGKT
+KVEVAKMIQEVKGEVTI
+>Q9NRD5_PF06456_117 <unknown description>
+VLKKVKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRA
+FYELSQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLN
+TYLNKAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRL
+ILRCRQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRLVSTMSKYYNDCYAVL
+>Q9HB75_PF13855_126 <unknown description>
+HLAHLDLSFNSLETLPACVLQMRGLGALLLSHNCLSELPEALGALPALTFLTVTHNRL
+>Q9HB75_PF13855_195 <unknown description>
+TLQRLDLSQNLLDTLPPEIGGLGSLLELNLASNRLQSLPASLAGLRSLRLLVLHSNLL
+>Q9HB75_PF00791_326 <unknown description>
+FPVTPQGCSVTLACGVRLQFPAGATATPITIRYRLLLPEPGLVPLGPHDALLSHVLELQP
+HGVAFQQDVGLWLLFTPPQARRCREVVVRT
+>Q9HB75_PF10461_421 <unknown description>
+WGDLETYLEEEAPQRLWAHCQVPHFSWFLVVSR
+>Q9HB75_PF00791_463 <unknown description>
+PEGTLLCSSGHPGVKVIFPPGATEEPRRVSMQVVRMAGRELQALLGEPEAAVSPLLCLSQ
+SGPPSFLQPVTVQLP
+>Q9HB75_PF00531_798 <unknown description>
+RLGLDWPAVALHLGVSYREVQRIRHEFRDDLDEQIRHMLFSWAERQAGQPGAVGLLVQAL
+EQSDRQDVAEEVRA
+>Q92508_PF15917_1235 <unknown description>
+LACVFVEQMQTGFCWVIQLFSLVCTVKGYYDPKEMMDRDQDCLLPVEEAGIIWDSVCFFF
+LLLQRRVFLSHYYLHVRADLQATALLASRGFALYNAANLKSIDFHRRIEEKSLAQLKRQM
+ERIRAKQEKHRQGRVDRSRPQDTLGPKDPGLEPGPDSPGGSSPPRRQWWRPWLDHATVIH
+SGDYFLFESDSEEEEEAVPEDPRPSAQSAFQLAYQAWVTNAQAVLRRRQQE
+>Q92508_PF12166_2111 <unknown description>
+LVPFLVELRAVMDWVWTDTTLSLSSWMCVEDIYANIFIIKCSRETEKKYPQPKGQKKKKI
+VKYGMGGLIILFLIAIIWFPLLFMSLVRSVVGVVNQPIDVTVTLKLGGYEPLFTMSAQQP
+SIIPFTAQAYEELSRQFDPQPLAMQFISQYSPEDIVTAQIEGSSGALWRISPPSRAQMKR
+ELYNGTADITLRFTWNFQRDLAKGGTVEYANEKHMLALAPNSTARRQLASLLEGTSDQSV
+VIPNLFPKYIRAPNGPEANPVKQLQPNEEADYLGVRIQLRREQGAGATGFLEWWVIELQE
+CRTDCNLLPMVIFSDKVSPPSLGFLAGYGIMGLYVSIVLVIGKFVRGFFSEISHSIMFEE
+LPCVDRILKLCQDIFLVRETRELELEEELYAKLIFLYRSPETMIKWTRE
+>Q9H611_PF05970_206 <unknown description>
+QLSEEQAAVLRAVLKGQSIFFTGSAGTGKSYLLKRILGSLPPTGTVATASTGVAACHIGG
+TTLHAFAGIGSGQAPLAQCVALAQRPGVRQGWLNCQRLVIDEISMVEADLFDKLEAVARA
+VRQQNKPFGGIQLIICGDFLQLPPVTKGSQPPRFCFQSKSWKRCVPVTLELTKVWRQADQ
+TFISLLQAVRLGRCSDEVTRQLQATASHKVGRDGIVATRLCTHQDDVALTNERRLQELPG
+KVHRFEAMDSNPELASTLDAQCPVSQLLQLKLGAQVMLVKNLSVSRGLVNGARGVVVGF
+>Q9H611_PF02689_550 <unknown description>
+WAMSIHKSQGMTLDCVEISLGRVFASGQAYVALSRARSLQGLRVLDFDPMA
+>P37287_PF08288_72 <unknown description>
+HAYGNRKGIRYLTSGLKVYYLPLKVMYNQSTATTLFHSLPLLRYIFVRERVTIIHSHSSF
+SAMAHDALFHAKTMGLQTVFTDHSLFGFAD
+>P37287_PF00534_222 <unknown description>
+RHDSITIVVVSRLVYRKGIDLLSGIIPELCQKYPDLNFIIGGEGPKRIILEEVRERYQLH
+DRVRLLGALEHKDVRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTRVGGIPEVLP
+ENLIILCEPSVKSLCEGLEKA
+>Q92521_PF03901_63 <unknown description>
+LLLFTIALRILNCFLVQTSFVPDEYWQSLEVSHHMVFNYGYLTWEWTERLRSYTYPLIFA
+SIYKILHLLGKDSVQLLIWIPRLAQALLSAVADVRLYSLMKQLENQEVARWVFFCQLCSW
+FTWYCCTRTLTNTMETVLTIIALFYYPLEGSKSMNSVKYSSLVALAFIIRPTAVILWTPL
+LFRHFCQEPRKLDLILHHFLPVGFVTLSLSLMIDRIFFGQWTLVQFNFLKFNVLQNWGTF
+YGSHPWHWYFSQGFPVILGTHLPFFIHGCYLAPKRYRILLVTVLWTLLVYSMLSHKEFRF
+IYPVLPFCMVFCGYSLTHLKTWKKPALSFLFLSNLFLALYTGLVHQRGTLDVMSHIQKVC
+YNNPNKSSASIFIMMPCHSTPYYSHVH
+>Q92535_PF06432_14 <unknown description>
+WQKVLYERQPFPDNYVDRRFLEELRKNIHARKYQYWAVVFESSVVIQQLCSVCVFVVIWW
+YMDEGLLAPHWLLGTGLASSLIGYVLFDLIDGGEGRKKSGQTRWADLKSALVFITFTYGF
+SPVLKTLTESVSTDTIYAMSVFMLLGHLIFFDYGANAAIVSSTLSLNMAIFASVCLASRL
+PRSLHAFIMVTFAIQIFALWPMLQKKLKACTPRSYVGVTLLFAFSAVGGLLSISAVGAVL
+FALLLMSISCLCPFYLIRLQLFKENIHGPWD
+>Q07326_PF06699_22 <unknown description>
+LSVFIPSLFLENFSILETHLTWLCICSGFVTAVNLVLYLVVKPNTSSKRSSLSHKVTGFL
+KCCIYFLMSCFSFHVIFVLYGAPLIELALETFLFAVILSTFTTVPCLCLLGPNLKAWLRV
+FSRNGVTSIWENSLQITTISSFVGAWLGALPIPLDWERPWQVWPISCTLGATFGYVAGLV
+IS
+>Q5H8A4_PF01663_192 <unknown description>
+DNNVTRHLDKVLKRGDWDILILHYLGLDHIGHISGPNSPLIGQKLSEMDSVLMKIHTSLQ
+SKERETPLPNLLVLCGDHGMSET
+>Q5H8A4_PF19316_650 <unknown description>
+EKWMVLASPWLILACCRLLRSLNQTGVQWAHRPDLGHWLTSSDHKAELSVLAALSLLVVF
+VLVQRGCSPVSKAALALGLLGVYCYRAAIGSVRFPWRPDSKDISKGIIEARFVYVFVLGI
+LFTGTKDLLKSQVIAADFKLKTVGLWEIYSGLVLLAALLFRPHNLPVLAFSLLIQTLMTK
+FIWKPLRHDAAEITVMHYWFGQAFFYFQGNSNNIATVDISAGFVGLDTYVEIPAVLLTAF
+GTYAGPVLWASHLVHFLSSETRSGSALSHACFCYALICSIPVFTYIVLVTSLRYHLFIWS
+VFSPKLLYEGMHLLITAAV
+>Q14442_PF10181_89 <unknown description>
+TLLIIDSLGIQMTSSYASGKESTTFIEMGKVKDIVINEAIYMQKVIYYLCILLKDPVEPH
+GISQVVPVFQ
+>Q9Y2B2_PF02585_44 <unknown description>
+LLVIAHPDDEAMFFAPTVLGLARLRHWVYLLCFSAGNYYNQGETRKKELLQSCDVLGIPL
+SSVMIIDNRDFPDDPGMQWDTEHVARVLLQHIEVNGINLVVTFDAGGVSGHSNHIALYAA
+VRA
+>Q9H3S5_PF05007_140 <unknown description>
+VSSRGNADSIVASLVLMVLYLIKKRLVACAAVFYGFAVHMKIYPVTYILPITLHLLPDRD
+NDKSLRQFRYTFQACLYELLKRLCNRAVLLFVAVAGLTFFALSFGFYYEYGWEFLEHTYF
+YHLTRRDIRHNFSPYFYMLYLTAESKWSFSLGIAAFLPQLILLSAVSFAYYRDLVFCCFL
+HTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRMPWKRAVVLLMLWFIGQAMWLAPAYVLE
+FQGKNTFLFIWLAGLFFLLINCSILIQII
+>O95427_PF01663_158 <unknown description>
+SYDAKREDFGAQDATKLDTWVFDNVKDFFHHARNNQSLFSKINEEKIVFFLHLLGIDTNG
+HAHRPSSRDYKHNIKKVDDGVKEIVSMFNHFYGNDGKTTFIFTSDHGMTDW
+>O95427_PF04987_430 <unknown description>
+KGLSYYHTYDRFFLGVNVVIGFVGWISYASLLIIKSHSNLIKGVSKEVKKPSHLLPCSFV
+AIGILVAFFLLIQACPWTYYVYGLLPLPIWYAVLREFQVIQDLVVSVLTYPLSHFVGYLL
+AFTLGIEVLVLSFFYRYMLTAGLTAFAAWPFLTRLWTRAKMTSLSWTFFSLLLAVFPLMP
+VVGRKPDISLVMGAGLLVLLLSLCVVTSLMKRKDSFIKEELLVHLLQVLSTVLSMYVVYS
+TQSSLLRKQGLPLMNQIISWATLASSLVVPLLSSPVLFQRLFSILLSLMSTYLLLSTGYE
+ALFPLVLSCLMFVWINIEQETLQQSGVCCKQKLTSIQFSYNTDITQFRQLYLDDIRRAFF
+LVFFLVTAFFGTGNIASINSFDLASVYCFLTVFSPFMMGALMMWKILIPFVLVMCAFEAV
+QLTTQLSSKSLFLIVLVISDIMALHFFFLVKDYGS
+>Q8TEQ8_PF01663_211 <unknown description>
+ILEHLYPTMDSGEWDVLIAHFLGVDHCGHKHGPHHPEMAKKLSQMDQVIQGLVERLENDT
+LLVVAGDHGMTTNG
+>P57054_PF08510_12 <unknown description>
+RAIYGFVLFLSSQFGFILYLVWAFIPESWLNSLGLTYWPQKYWAVALPVYLLIAIVIGYV
+LLFGINMMSTSPLDSIHTITDNYAKNQQQKKYQEEAIPALRDISISEVNQMFF
+>Q9BRB3_PF05024_277 <unknown description>
+NTVASVLLDVALGLMLLSWLHGRSRIGHLADALVPVADHVAEELQHLLQWLMGAPAGLKM
+NRALDQVLGRFFLYHIHLWISYIHLMSPFVEHILWHVGLSACLGLTVALSLLSDIIALLT
+FHIYCFYVYGARLYCLKIHGLSSLWRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTIL
+LFLLPTT
+>P01833_PF07686_24 <unknown description>
+GPEEVNSVEGNSVSITCYYPPTSVNRHTRKYWCRQGARGGCITLISSEGYVSSKYAGRAN
+LTNFPENGTFVVNIAQLSQDDSGRYKCGLGINSRGLS
+>P01833_PF07686_140 <unknown description>
+YTVDLGRTVTINCPFKTENAQKRKSLYKQIGLYPVLVIDSSGYVNPNYTGRIRLDIQGTG
+QLLFSVVINQLRLSDAGQYLCQAGDDSNSNKKNADLQVL
+>P01833_PF07686_251 <unknown description>
+GSVTFHCALGPEVANVAKFLCRQSSGENCDVVVNTLGKRAPAFEGRILLNPQDKDGSFSV
+VITGLRKEDAGRYLCGAHSDGQLQEGSP
+>P01833_PF07686_355 <unknown description>
+SPTVVKGVAGGSVAVLCPYNRKESKSIKYWCLWEGAQNGRCPLLVDSEGWVKAQYEGRLS
+LLEEPGNGTFTVILNQLTSRDAGFYWCLTNGD
+>P01833_PF07686_466 <unknown description>
+VPGNVTAVLGETLKVPCHFPCKFSSYEKYWCKWNNTGCQALPSQDEGPSKAFVNCDENSR
+LVSLTLNLVTRADEGWYWCGVKQGHFY
+>Q96S52_PF10510_23 <unknown description>
+FAAVAIVLGLPLWWKTTETYRASLPYSQISGLNALQLRLMVPVTVVFTRESVPLDDQEKL
+PFTVVHEREIPLKYKMKIKCRFQKAYRRALDHEEEALSSGSVQEAEAMLDEPQEQAEGSL
+TVYVISEHSSLLPQDMMSYIGPKRTAVVRGIMHREAFNIIGRRIVQVAQAMSLTEDVLAA
+ALADHLPEDKWSAEKRRPLKSSLGYEITFSLLNPDPKSHDVYWDIEGAVRRYVQPFLNAL
+GAAGNFSVDSQILYYAMLGVNPRFDSASSSYYLDMHSLPHVINPVESRLGSSAASLYPVL
+NFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIMVYNVDSKTYNASVLPVRVEVDM
+VRVMEVFLAQLRLLFGIAQPQLPPKCLLSGPTSEGLMTWELDRLLWARSVENLATATTTL
+TSLAQLLGKISNIVIKDDVASEVYKAVAAVQKSAEELASGHLASAFVASQEAVTSSELAF
+FDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLVKIFLETRK
+>Q969N2_PF04113_30 <unknown description>
+LREELVITPLPSGDVAATFQFRTRWDSELQREGVSHYRLFPKALGQLISKYSLRELHLSF
+TQGFWRTRYWGPPFLQAPSGAELWVWFQDTVTDVDKSWKELSNVLSGIFCASLNFIDSTN
+TVTPTASFKPLGLANDTDHYFLRYAVLPREVVCTENLTPWKKLLPCSSKAGLSVLLKADR
+LFHTSYHSQAVHIRPVCRNARCTSISWELRQTLSVVFDAFITGQGKKDWSLFRMFSRTLT
+EPCPLASESRVYVDITTYNQDNETLEVHPPPTTTYQDVILGTRKTYAIYDLLDTAMINNS
+RNLNIQLKWKRPPENEAPPVPFLHAQRYVSGYGLQKGELSTLLYNTHPYRAFPVLLLDTV
+PWYLRLYVHTLTITSKGKENKPSYIHYQPAQDRLQPHLLEMLIQLPANSVTKVSIQFERA
+LLKWTEYTPDPNHGFYVSPSVLSALVPSMVAAKPVDWEESPLFNSLFPVSDGSNYFVRLY
+TEPLLVNLPTPDFSMPYNVICLTCTVVAVCYGSFYNLLTRTFHIEEP
+>Q9H490_PF06728_11 <unknown description>
+VAVTVRAALFRSSLAEFISERVEVVSPLSSWKRVVEGLSLLDLGVSPYSGAVFHETPLII
+YLFHFLIDYAELVFMITDALTAIALYFAIQDFNKVVFKKQKLLLELDQYAPDVAELIRTP
+MEMRYIPLKVALFYLLNPYTILSCVAKSTCAINNTLIAFFILTTIKGSAFLSAIFLALAT
+YQSLYPLTLFVPGLLYLLQRQYIPVKMKSKAFWIFSWEYAMMYVGSLVVIICLSFFLLSS
+WDFIPAVYGFILSVPDLTPNIGLFWYFFAEMFEHFSLFFVCVFQINVFFYTIPLAIKLKE
+HPIFFMFIQIAVIAIFKSYPTVGDVALYMAFFPVWNHLYRFLRNIFVLTCIIIVCSLLFP
+VLWHLWIYAGSANSNFFYAITLTF
+>Q9NUD9_PF04188_8 <unknown description>
+RKEVLRFAVSCRILTLMLQALFNAIIPDHHAEAFSPPRLAPSGFVDQLVEGLLGGLSHWD
+AEHFLFIAEHGYLYEHNFAFFPGFPLALLVGTELLRPLRGLLSLRSCLLISVASLNFLFF
+MLAAVALHDLGCLVLHCPHQSFYAALLFCLSPANVFLAAGYSEALFALLTFSAMGQLERG
+RVWTSVLLFAFATGVRSNGLVSVGFLMHSQCQGFFSSLTMLNPLRQLFKLMASLFLSVFT
+LGLPFALFQYYAYTQFCLPGSARPIPEPLVQLAVDKGYRIAEGNEPPWCFWDVPLIYSYI
+QDVYWNVGFLKYYELKQVPNFLLAAPVAILVAWATWTYVTTHPWLCLTLGLQRSKNNKTL
+EKPDLGFLSPQVFVYVVHAAVLLLFGGLCMHVQVLTRFLGSSTPIMYWFPAHLLQDQEPL
+LRSLKTVPWKPLAEDSPPGQKVPRNPIMGLLYHWKTCSPVTRYILGYFLTYWLLGLLLHC
+NFLPWT
+>Q7Z7B1_PF06423_301 <unknown description>
+ANREGIISTLGYVAIHMAGVQTGLYMHKNRSHIKDLIKVACFLLLAAISLFISLYVVQVN
+VEAVSRRMANLAFCIWIVASSLILLSSLLLGDIILSFAKFLIKGALVPCSWKLIQSPVTN
+KKHSESLVPEAERMEPSLCLITALNRKQLIFFLLSNITTGLIN
+>Q8TBF5_PF08320_54 <unknown description>
+KDGFHRDLLIKVKFGESIEDLHTCRLLIKQDIPAGLYVDPYELASLRERNITEAVMVSEN
+FDIEAPNYLSKESEVLIYARRDSQCIDCFQAFLPVHCRYHRPHSEDGEASIVVNNPDLLM
+FCDQEFPILKCWAHSEVAAPCALENEDICQWNKMKYKSVYKNVILQVPVGLTVHTSLVCS
+VTLLITILCSTLILVAV
+>Q3MUY2_PF15159_8 <unknown description>
+LTVLIPLVSLAGLFYSASVEENFPQGCTSTASLCFYSLLLPITIPVYVFFHLWTWMGIKL
+FRH
+>Q86VD9_PF03901_43 <unknown description>
+LLRVLWCLLPQTGYVHPDEFFQSPEVMAEDILGVQAARPWEFYPSSSCRSVLFPLLISGS
+TFWLLRLWEELGPWPGLVSGYALLVGPRLLLTALSFALDGAVYHLAPPMGADRWNALALL
+SGSYVTLVFYTRTFSNTIEGLLFTWLLVLVSSHVTWGPTRKEPAPGPRWRSWLLGGIVAA
+GFFNRPTFLAFAVVPLYLWGTRGATNPGLKSLTREALVLLPGAALTAAVFVATDSWYFSS
+PATSRNLVLTPVNFLHYNLNPQNLARHGTHARLTHLAVNGFLLFGVLHAQALQAAWQRLQ
+VGLQASAQMGLLRALGARSLLSSPRSYLLLLYFMPLALLSAFSHQEARFLIPLLVPLVLL
+CSPQTQPVPWKGTVVLFNALGALLFGCLHQGGLVPGLEYLEQVVHAP
+>Q9NWS0_PF08190_30 <unknown description>
+QASKELQQAQTTRPESTQIQPQPGFCIKTNSSEGKVFINICHSPSIPPPADVTEEELLQM
+LEEDQAGFRIPMSLGEPHAELDAKGQGCTAYDVAVNSDFYRRMQNSDFLRELVITIAREG
+LEDKYNLQLNPEWRMMKNRPFMGSISQQNIRSEQRP
+>Q9NWS0_PF18201_216 <unknown description>
+APDLLLAEVDLPKLDGALGLSLEIGENRLVMGGPQQLYHLDAYIPLQINSHESKAAFHRK
+RKQLMVAMPL
+>Q8WWB5_PF08190_30 <unknown description>
+YEKFIQQQLKEGKQLCAAPEPQLCLQTRILKPKEKILFINLCQWTRIPAPQSTTHPVPLT
+VGKPEDTTEISDAYTVIDVAYNPDVLHAAEKDQVKKNQLIQMAMKCIEEKFQFTLSHSYH
+ITKFRIKGSIQ
+>Q8WWB5_PF18201_231 <unknown description>
+KMPAYELKIVHDHSEKPLKIELKVELPGINSVSLCDLSVSEDDLLIEVSEKYRLHLNLPK
+LIDTEMTTAKFIKEKSTLIITMPL
+>Q9UKJ1_PF07686_36 <unknown description>
+TQPKHLSASMGGSVEIPFSFYYPWELATAPDVRISWRRGHFHRQSFYSTRPPSIHKDYVN
+RLFLNWTEGQKSGFLRISNLQKQDQSVYFCRVELDTRSSGRQQWQSIEGTKLSI
+>P11309_PF00069_38 <unknown description>
+YQVGPLLGSGGFGSVYSGIRVSDNLPVAIKHVEKDRISDWGELPNGTRVPMEVVLLKKVS
+SGFSGVIRLLDWFERPDSFVLILERPEPVQDLFDFITERGALQEELARSFFWQVLEAVRH
+CHNCGVLHRDIKDENILIDLNRGELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHR
+YHGRSAAVWSLGILLYDMVCGDIPFEHDEEIIRGQVFFRQRVSSECQHLIRWCLALRPSD
+RPTFEEIQNHPWM
+>Q9P1W9_PF00069_34 <unknown description>
+LGPLLGKGGFGTVFAGHRLTDRLQVAIKVIPRNRVLGWSPLSDSVTCPLEVALLWKVGAG
+GGHPGVIRLLDWFETQEGFMLVLERPLPAQDLFDYITEKGPLGEGPSRCFFGQVVAAIQH
+CHSRGVVHRDIKDENILIDLRRGCAKLIDFGSGALLHDEPYTDFDGTRVYSPPEWISRHQ
+YHALPATVWSLGILLYDMVCGDIPFERDQEILEAELHFPAHVSPDCCALIRRCLAPKPSS
+RPSLEEILLDPWM
+>Q86V86_PF00069_40 <unknown description>
+YQVGAVLGSGGFGTVYAGSRIADGLPVAVKHVVKERVTEWGSLGGATVPLEVVLLRKVGA
+AGGARGVIRLLDWFERPDGFLLVLERPEPAQDLFDFITERGALDEPLARRFFAQVLAAVR
+HCHSCGVVHRDIKDENLLVDLRSGELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYH
+RYHGRSATVWSLGVLLYDMVCGDIPFEQDEEILRGRLLFRRRVSPECQQLIRWCLSLRPS
+ERPSLDQIAAHPWM
+>Q9BSJ6_PF07326_1 <unknown description>
+MASRWQNMGTSVRRRSLQHQEQLEDSKELQPVVSHQETSVGALGSLCRQFQRRLPLRAVN
+LNLRAGPSWKRLETPEPGQQGLQAAARSAKSALGAVSQRIQESCQSGTKWLVETQVKARR
+RKRGAQKGSGSPTHSLSQKSTRLSGAAPAHSAADPWEKEHHRLSVRMGSHAHPLRRSRRE
+AAFRSPYSSTEPLCSPSESDSDLEPVGAGIQHLQKLSQELDEAIMAEESGD
+>P22061_PF01135_9 <unknown description>
+SHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKCNPYMDSPQSIGFQATISAPHMHAYA
+LELLFDQLHEGAKALDVGSGSGILTACFARMVGCTGKVIGIDHIKELVDDSVNNVRKDDP
+TLLSSGRVQLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILPVGPAGG
+NQMLEQYDKLQDGSIKMKPLMGVIYVPLTDKEK
+>Q13526_PF00397_7 <unknown description>
+LPPGWEKRMSRSSGRVYYFNHITNASQWERP
+>Q13526_PF00639_59 <unknown description>
+HLLVKHSQSRRPSSWRQEKITRTKEEALELINGYIQKIKSGEEDFESLASQFSDCSSAKA
+RGDLGAFSRGQMQKPFEDASFALRTGEMSGPVFTDSGIHIILRT
+>Q9Y237_PF13616_32 <unknown description>
+KGGGNAVKVRHILCEKHGKIMEAMEKLKSGMRFNEVAAQYSEDKARQGGDLGWMTRGSMV
+GPFQEAAFALPVSGMDKPVFTDPPVKTKFGYHIIMVEG
+>Q9H307_PF04697_1 <unknown description>
+MAVAVRTLQEQLEKAKESLKNVDENIRKLTGRDPNDVRPIQARLLALSGPGGGRGRGSLL
+LRRGFSDSGGGPPAKQRDLEGAVSRLGGERRTRRESRQESDPEDDDVKKPALQSSVVATS
+KERTRRDLIQDQ
+>Q9H307_PF04696_136 <unknown description>
+EKGKQRNRRIFGLLMGTLQKFKQESTVATERQKRRQEIEQKLEVQAEEERKQVENERREL
+FEERRAKQTELRLLEQKVELAQLQEEWNEHNAKIIKYIRTKTKPHLFYIPGRMCPATQKL
+IEESQ
+>Q9BXM7_PF00069_267 <unknown description>
+QLAPHPNIIRVLRAFTSSVPLLPGALVDYPDVLPSRLHPEGLGHGRTLFLVMKNYPCTLR
+QYLCVNTPSPRLAAMMLLQLLEGVDHLVQQGIAHRDLKSDNILVELDPDGCPWLVIADFG
+CCLADESIGLQLPFSSWYVDRGGNGCLMAPEVSTARPGPRAVIDYSKADAWAVGAIAYEI
+FGLVNPFYGQGKAHLESRSYQEAQLPALPESVPPDVRQLVRALLQREASKRPSARVA
+>A6NC86_PF02988_28 <unknown description>
+HCEICTAAGSRCHGQMKTCSSDKDTCVLLVGKATSKGKELVHTYKGCIRSQDCYSGVIST
+TMGPKDHMVTSSFCCQSDGCN
+>A6NC86_PF00021_126 <unknown description>
+CPACTASFRDKCMGPMTHCTGKENHCVSLSGHVQAGIFKPRFAMRGCATESMCFT
+>Q96BK5_PF01585_27 <unknown description>
+SKFGQRMLEKMGWSKGKGLGAQEQGATDHIKVQVKNNHLGLGA
+>Q9GZU8_PF10187_15 <unknown description>
+FVSEAELDERRKRRQEEWEKVRKPEDPEECPEEVYDPRSLYERLQEQKDRKQQEYEEQFK
+FKNMVRGLDEDETNFLDEVSRQQELIEKQRREEELKELKEYR
+>Q00169_PF02121_2 <unknown description>
+VLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDGEKGQYTHKI
+YHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVITNEYMKEDFLIKIETWHKPDLGT
+QENVHKLEPEAWKHVEAVYIDIADRSQVLSKDYKAEEDPAKFKSIKTGRGPLGPNWKQEL
+VNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQERRLFTNFHRQLFCWLDKWVDLTMD
+DIRRMEEETK
+>P48739_PF02121_2 <unknown description>
+VLIKEFRVVLPCSVQEYQVGQLYSVAEASKNETGGGEGIEVLKNEPYEKDGEKGQYTHKI
+YHLKSKVPAFVRMIAPEGSLVFHEKAWNAYPYCRTIVTNEYMKDDFFIKIETWHKPDLGT
+LENVHGLDPNTWKTVEIVHIDIADRSQVEPADYKADEDPALFQSVKTKRGPLGPNWKKEL
+ANSPDCPQMCAYKLVTIKFKWWGLQSKVENFIQKQEKRIFTNFHRQLFCWIDKWIDLTME
+DIRRMEDETQK
+>P12273_PF05326_4 <unknown description>
+LQLLFRASPATLLLVLCLQLGANKAQDNTRKIIIKNFDIPKSVRPNDEVTAVLAVQTELK
+ECMVVKTYLISSIPLQGAFNYKYTACLCDDNPKTFYWDFYTNRTVQIAAVVDVIRELGIC
+PDD
+>Q5BN46_PF14892_5 <unknown description>
+CPRACAEPVAPKATAPPERTSDYYRVSADLPGRFNNPGWFRGYRTQKAVSVYRTSNQAYG
+SRAPTVHEMPKVFYPNSNKFSQQLAAGGMFRNNTLNVYLEKS
+>H3BRN8_PF14892_53 <unknown description>
+KPQMIMYKTNSSHYGEFLPIPQFFPCNYTPKEQVFSSHIRATGFYQNNTLNTAPDR
+>P0C851_PF15099_11 <unknown description>
+EVDEKSPEAKDLLPSQTASSLCISSRSESVWTTTPRSNWEIYRKPIVIMSVGGAILLFGV
+VITCLAYTLKLSDKSLSILKMVGPGFLSLGLMMLVCGLVWVPIIKKKQKHRQKSNFLRSL
+KSFFLTR
+>O00625_PF02678_22 <unknown description>
+ARVRRSIGRPELKNLDPFLLFDEFKGGRPGGFPDHPHRGFETVSYLLEGGSMAHEDFCGH
+TGKMNPGDLQWMTAGRGILHAEMPCSEEPAHGLQLWV
+>O00625_PF05726_171 <unknown description>
+YLDFKLDPGAKHSQPIPKGWTSFIYTISGDVYIGPDDAQQKIEPHHTAVLGEGDSVQVEN
+KDPKRSHFVLIAGEPLREPVIQHGPFVMNTNEEISQAILDFRNAKNG
+>Q9UG56_PF02666_165 <unknown description>
+LSEFFRRKLKPQARPVCGLHSVISPSDGRILNFGQVKNCEVEQVKGVTYSLESFLGPRMC
+TEDLPFPPAASCDSFKNQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSL
+MSVNPGMARWIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRH
+SKGSYNDFSFVTHTNREGVPMRKGEHLGEFNLGSTIVLIFEAPKDFNFQLKTGQKIRFGE
+ALG
+>P28069_PF00157_129 <unknown description>
+IRELEKFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSFKNACKLKA
+ILSKWLEEAE
+>P28069_PF00046_215 <unknown description>
+RKRRTTISIAAKDALERHFGEQNKPSSQEIMRMAEELNLEKEVVRVWFCNRRQREKR
+>Q9UKF7_PF02121_1 <unknown description>
+MLLKEYRICMPLTVDEYKIGQLYMISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEK
+RVYLNSKLPSWARAVVPKIFYVTEKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSN
+DTIFDNEAKDVEREVCFIDIACDEIPERYYKESEDPKHFKSEKTGRGQLREGWRDSHQPI
+MCSYKLVTVKFEVWGLQTRVEQFVHKVVRDILLIGHRQAFAWVDEWYDMTMDEVREFERA
+TQE
+>Q9GZP4_PF06201_31 <unknown description>
+LYLRIDLERLQCLNESREGSGRGVFKPWEERTDRSKFVESDADEELLFNIPFTGNVKLKG
+IIIMGEDDDSHPSEMRLYKNIPQMSFDDTEREPDQTFSLNRDLTGELEYATKISRFSNVY
+HLSIHISKNFGADTTKVFYIGLRGE
+>O00562_PF02121_1 <unknown description>
+MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGSGQYTH
+KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG
+QQPNVFNLSGAERRQRILDTIDIVRDAVAPGEYKAEEDPRLYHSVKTGRGPLSDDWARTA
+AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWTELSMAD
+IRALEEETA
+>O00562_PF02862_686 <unknown description>
+LDFKVSGFFLFGSPLGLVLALRKTVMPALEAAQMRPACEQIYNLFHAADPCASRLEPLLA
+PKFQAIAPLTVPRYQKFPLGDGSSLLLADTLQTHSSLFL
+>O00562_PF02862_824 <unknown description>
+EVVKILERWWGTKRIDYSLYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVI
+>Q9BZ72_PF02121_1 <unknown description>
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETYGEGSGVEILENRPYTDGPGGSGQYTH
+KVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAG
+ENPDVFNLSPVEKNQLTIDFIDIVKDPVPHNEYKTEEDPKLFQSTKTQRGPLSENWIEEY
+KKQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLSME
+NIRELEKEAQ
+>Q9BZ72_PF02862_715 <unknown description>
+FDFEITDLFLFGCPLGLVLALRKTVIPALDVFQLRPACQQVYNLFHPADPSASRLEPLLE
+RRFHALPPFSVPRYQRYPLGDGCSTLLADVLQTHNAAFQEHGAPSSPGTAPASRGFRRAS
+EISIASQVSGMAESYTASSIAQKAPDALSHTPSVRRLSLLALPAPSPTTPGPHPPARKAS
+PGLERAPGLPELDIGEVAAKWWGQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVV
+SFLLRQVM
+>Q9BZ71_PF02862_390 <unknown description>
+FDFDVSDFFLFGSPLGLVLAMRRTVLPGLDGFQVRPACSQVYSFFHCADPSASRLEPLLE
+PKFHLVPPVSVPRYQRFPLGDGQSLLLADALHTHSPLFLEGSSRDSPPLLDAPASPPQAS
+RFQRPGRRMSEGSSHSESSESSDSMAPVGASRITAKWWGSKRIDYALYCPDVLTAFPTVA
+LPHLFHASYWESTDVVAFILRQVM
+>P78337_PF00046_90 <unknown description>
+RRQRTHFTSQQLQELEATFQRNRYPDMSMREEIAVWTNLTEPRVRVWFKNRRAKWRK
+>P78337_PF03826_276 <unknown description>
+DTCNSSLASLRLKSKQHS
+>Q99697_PF00046_93 <unknown description>
+RRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRK
+>Q99697_PF03826_282 <unknown description>
+DTCNSSLASLRLKAKQHS
+>O75364_PF00046_63 <unknown description>
+RRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRK
+>O75364_PF03826_258 <unknown description>
+DPCNSSLASLRLKAKQHA
+>Q96J94_PF05831_1 <unknown description>
+MTGRARARARGRARGQETAQLVGSTASQQPGYIQPRPQPPPAEGELFGRGRQRGTAGGTA
+KSQGLQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTG
+>Q96J94_PF08699_227 <unknown description>
+QIGRNYYNPNDPIDIPSHRLVIWPGFTTSILQYENSIMLCTDVSHKV
+>Q96J94_PF02170_280 <unknown description>
+LDFMFNFYHQTEEHKFQEQVSKELIGLVVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSE
+VSFLEYYRKQYNQEITDLKQPVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRND
+FNVMKDLAVHTRL
+>Q96J94_PF02171_555 <unknown description>
+IVVCLLSSNRKDKYDAIKKYLCTDCPTPSQCVVARTLGKQQTVMAIATKIALQMNCKMGG
+ELWRVDIPLKLVMIVGIDCYHDMTAGRRSIAGFVASINEGMTRWFSRCIFQDRGQELVDG
+LKVCLQAALRAWNSCNEYMPSRIIVYRDGVGDGQLKTLVNYEVPQFLDCLKSIGRGYNPR
+LTVIVVKKRVNTRFFAQSGGRLQNPLPGTVIDVEVTRPEWYDFFIVSQAVRSGSVSPTHY
+NVIYDNSGLKPDHIQRLTYKLCHIYYNWPGVIRVPAPCQYAHKLAFLVGQSIH
+>Q8TC59_PF08699_339 <unknown description>
+VGRNFYDPTSAMVLQQHRLQIWPGYAASIRRTDGGLFLLADVSHKVI
+>Q8TC59_PF02170_391 <unknown description>
+LDVMHAIYQQNKEHFQDECTKLLVGNIVITRYNNRTYRIDDVDWNKTPKDSFTMSDGKEI
+TFLEYYSKNYGITVKEEDQPLLIHRPSERQDNHGMLLKGEILLLPELSFMTGIPEKMKKD
+FRAMKDLAQQINL
+>Q8TC59_PF02171_669 <unknown description>
+VVCIIMGPRDDLYGAIKKLCCVQSPVPSQVVNVRTIGQPTRLRSVAQKILLQINCKLGGE
+LWGVDIPLKQLMVIGMDVYHDPSRGMRSVVGFVASINLTLTKWYSRVVFQMPHQEIVDSL
+KLCLVGSLKKFYEVNHCLPEKIVVYRDGVSDGQLKTVANYEIPQLQKCFEAFENYQPKMV
+VFVVQKKISTNLYLAAPQNFVTPTPGTVVDHTITSCEWVDFYLLAHHVRQGCGIPTHYVC
+VLNTANLSPDHMQRLTFKLCHMYWNWPGTIRVPAPCKYAHKLAFLSGH
+>Q7Z3Z3_PF08699_240 <unknown description>
+QVGRNYYTKKKAIQLYRHGTSLEIWLGYVTSVLQYENSITLCADVSHK
+>Q7Z3Z3_PF02170_296 <unknown description>
+DFIKRTSAQAQTGNIREEVTNKLIGSIVLTKYNNKTYRVDDIDWKQNPEDTFNKSDGSKI
+TYIDYYRQQHKEIVTVKKQPLLVSQGRWKKGLTGTQREPILLIPQLCHMTGLTDEICKDY
+SIVKELAKHTRL
+>Q7Z3Z3_PF02171_570 <unknown description>
+VICILPNDDKRRYDSIKRYLCTKCPIPSQCVVKKTLEKVQARTIVTKIAQQMNCKMGGAL
+WKVETDVQRTMFVGIDCFHDIVNRQKSIAGFVASTNAELTKWYSQCVIQKTGEELVKELE
+ICLKAALDVWCKNESSMPHSVIVYRDGVGDGQLQALLDHEAKKMSTYLKTISPNNFTLAF
+IVVKKRINTRFFLKHGSNFQNPPPGTVIDVELTRNEWYDFFIVSQSVQDGTVTPTHYNVI
+YDTIGLSPDTVQRLTYCLCHMYYNLPGIIRVPAPCHYAHKLAYLVGQSIH
+>Q7Z3Z4_PF02170_275 <unknown description>
+EFMTALCQRTGLSCFTQTCEKQLIGLIVLTRYNNRTYSIDDIDWSVKPTHTFQKRDGTEI
+TYVDYYKQQYDITVSDLNQPMLVSLLKKKRNDNSEAQLAHLIPELCFLTGLTDQATSDFQ
+LMKAVAEKTRL
+>Q7Z3Z4_PF02171_546 <unknown description>
+LVMCILPSNQKTYYDSIKKYLSSDCPVPSQCVLARTLNKQGMMMSIATKIAMQMTCKLGG
+ELWAVEIPLKSLMVVGIDVCKDALSKDVMVVGCVASVNPRITRWFSRCILQRTMTDVADC
+LKVFMTGALNKWYKYNHDLPARIIVYRAGVGDGQLKTLIEYEVPQLLSSVAESSSNTSSR
+LSVIVVRKKCMPRFFTEMNRTVQNPPLGTVVDSEATRNEWYDFYLISQVACRGTVSPTYY
+NVIYDDNGLKPDHMQRLTFKLCHLYYNWPGIVSVPAPCQYAHKLTFLVAQSIH
+>Q8NG27_PF13639_540 <unknown description>
+CPICCSEYVKGEVATELPCHHYFHKPCVSIWLQKSGTCPVCR
+>O43164_PF13639_634 <unknown description>
+CPICCSEYIKDDIATELPCHHFFHKPCVSIWLQKSGTCPVCR
+>Q0ZLH3_PF04598_1 <unknown description>
+MFAAATKSFVKQVGDGGRLVPVPSLSEADKYQPLSLVVKKKRCFLFPRYKFTSTPFTLKD
+ILLGDREISAGISSYQLLNYEDESDVSLYGRRGNHIVNDVGINVAGSDSIAVKASFGIVT
+KHEVEVSTLLKEITTRKINFDHSLIRQSRSSRKAVLCVVMESIRTTRQCSLSVHAGIRGE
+AMRFHFMDEQNPKGRDKAIVFPAHTTIAFSVFELFIYLDGAFDLCVTSVSKGGFE
+>Q9NWT1_PF00400_40 <unknown description>
+AHTASLSAVAVNSRFVVTGSKDETIHIYD
+>Q9NWT1_PF00400_80 <unknown description>
+HHSGTITCLKFYGNRHLISGAEDGLICIWD
+>Q9NWT1_PF00400_113 <unknown description>
+WECLKSIKAHKGQVTFLSIHPSGKLALSVGTDKTLRTWN
+>Q8TDX9_PF00801_598 <unknown description>
+SPSSALVNASVAFECWINFGTDVAYLWDFGDGTVSLGSSSSSHVYSREGEFTVEVLAFNN
+VSAST
+>Q8TDX9_PF02010_709 <unknown description>
+ISQGLSYTWNLMDSEGLPVSLPAAVDTHRQTLILPSHTLEYGNYTALAKVQIEGSVVYSN
+YCVGLEVRAQAPVSVISEGTHLFFSRTTSSPIVLRGTQSFDPDDPGATLRYHWECATAGS
+PAHPCFDSSTAHQLDAAAPTVSFEAQWLSDSYDQFLVMLRVSSGGRNSSETRVFLSPYPD
+SAFRFVHISWVSFKDTFVNWNDELSLQAMCEDCSEIPNLSYSWDLFLVNATEKNRIEVPF
+CRVVGLLGSLGLGAISESSQLNLLPTEPGTADPDATTTPFSREPSPVTLGQPATSAPRGT
+PTEPMTGVYWIPPAGDSAVLGEAPEEGSLDLEPGPQSKGSLMTGRSERSQPTHSPDPHLS
+DFEAYYSDIQEAIPSGGRQPAKDTSFPGSGPSLSAEESPGDGDNLVDPSLSAGRAEPVLM
+IDWPKALLGRAVFQGYSSSGITEQTVTIKPYSLSSGETYVLQVSVASKHGLLGKAQLYLT
+VNPAPRDMACQVQPHHGLEAHTVFSVFCMSGKPDFHYEFSYQIGNTSKHTLYHGRDTQYY
+FVLPAGEHLDNYKVMVSTEITDGKGSKVQPCTVVVTVLPRYHGNDCLGEDLYNSSLKN
+>Q8TDX9_PF01477_1798 <unknown description>
+YAVVIDTGFRAPARLTSKVYIVLCGDNGLSETKELSCPEKPLFERNSRHTFILSAPAQLG
+LLRKIRLWHDSRGPSPGWFISHVMVKELHTGQGWFFPAQCWLSAGRH
+>Q8TDX9_PF08016_2442 <unknown description>
+VLSLGRTRTEAHTALSRLRASMWIDRSTRAVSVHFTLYNPPTQLFTSVSLRVEILPTGSL
+VPSSLVESFSIFRSDSALQYHLMLPQLVFLALSLIHLCVQLYRMMDKGVLSYWRKPRNWL
+ELSVVGVSLTYYAVSGHLVTLAGDVTNQFHRGLCRAFMDLTLMASWNQRARWLRGILLFL
+FTLKCVYLPGIQNTMASCSSMMRHSLPSIFVAGLVGALMLAA
+>Q7Z443_PF00059_43 <unknown description>
+SFEEAQHYCHVQRGFLAHIWNKEVQDLIRDYLEEGKKWWIGQNVMPLKKHQDNKYPADVA
+ANGPPKPLSCTYLSRNFIRISSKGDKCLLKYYFICQT
+>Q7Z443_PF01825_634 <unknown description>
+QCYYWEIHNQTWSSAGCQVGPQSTILRTQCLCNHLTFFA
+>Q7Z443_PF01477_745 <unknown description>
+YLIQVYTGYRRSAATTAKVVITLYGSEGRSEPHHLCDPQKTVFERGGLDVFLLTTWTSLG
+NLHSLRLWHDNSGVSPSWYVSQVIVCDMAVKRKWHFLCNCWLAVDLGDCELDRVF
+>Q7Z443_PF08016_1442 <unknown description>
+GAFFTSLRLESFTSLQMSKKGCVWSIISQVIYYLLVCYYAFIQGCQLKQQKWRFFTGKRN
+ILDTSIILISFILLGLDMKSISLHKKNMARYRDDQDRFISFYEAVKVNSAATHLVGFPVL
+LATVQLWNLLRHSPRLRVISRTLSRAWDEVVGFLLIILILLTGYAIAFNLLFGCSISDYR
+TFFSSAVTVVGLLMGISHQEEVFALDPVLGTFLILTSVILMVLVVINLFVSAILMAF
+>Q9P0L9_PF08016_147 <unknown description>
+SFQAISSMADFWDFAQGPLLDSLYWTKWYNNQSLGHGSHSFIYYENMLLGVPRLRQLKVR
+NDSCVVHEDFREDILSCYDVYSPDKEEQLPFGPFNGTAWTYHSQDELGGFSHWGRLTSYS
+GGGYYLDLPGSRQGSAEALRALQEGLWLDRGTRVVFIDFSVYNANINLFCVLRLVVEFPA
+TGGAIPSWQIRTVKLIRYVSNWDFFIVGCEVIFCVFIFYYVVEEILELHIHRLRYLSSIW
+NILDLVVILLSIVAVGFHIFRTLEVNRLMGKLLQQPNTYADFEFLAFWQTQYNNMNAVNL
+FFAWIKIFKYISFNKTMTQLSSTLARCAKDILGFAVMFFIVFFAYAQLGYLLFGTQVENF
+STFIKCIFTQFRIILGDFDYNAIDNANRILGPAYFVTYVFFVFFVLLNMFLAIINDTYSE
+V
+>Q9P0L9_PF18109_702 <unknown description>
+VSGEEFYMLTRRVLQLETVLEGVVSQIDAVGSKL
+>Q9NZM6_PF08016_76 <unknown description>
+TNFKSIRSITDFWKFMEGPLLEGLYWDSWYNNQQLYNLKNSSRIYYENILLGVPRVRQLK
+VRNNTCKVYSSFQSLMSECYGKYTSANEDLSNFGLQINTEWRYSTSNTNSPWHWGFLGVY
+RNGGYIFTLSKSKSETKNKFIDLRLNSWITRGTRVIFIDFSLYNANVNLFCIIRLVAEFP
+ATGGILTSWQFYSVKLLRYVSYYDYFIASCEITFCIFLFVFTTQEVKKIKEFKSAYFKSI
+WNWLELLLLLLCFVAVSFNTYYNVQIFLLLGQLLKSTEKYSDFYFLACWHIYYNNIIAIT
+IFFAWIKIFKFISFNKTMSQLSSTLSRCVKDIVGFAIMFFIIFFAYAQLGFLVFGSQVDD
+FSTFQNSIFAQFRIVLGDFNFAGIQQANPILGPIYFITFIFFVFFVLLNMFLAIINDTYS
+EV
+>Q8NEB9_PF00792_54 <unknown description>
+CSDLYVTCQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDV
+YGPGKAVPVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTKTPGRTSSTLSEDQMS
+RLAKLTKAHRQGHMVKVDWLDRLTF
+>Q8NEB9_PF00613_285 <unknown description>
+DLKPNAATRDQLNIIVSYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQE
+AKQALELLGKWKPMDVEDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALK
+YENFDDIKNGLEPTKKDSQSSVSENVSNSGINSAEIDSSQIITSPLPSVSSPPPASKTKE
+VPDGENLEQDLCTFLISRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVM
+>Q8NEB9_PF00454_696 <unknown description>
+TEGSIQNFFRKYAPSENGPNGISAEVMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTG
+KLFHIDFGYILGRDPKPLPPPMKLNKEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRYSNL
+ILNLFSLMVDANIPDI
+>P42336_PF02192_32 <unknown description>
+VTLECLREATLITIKHELFKEARKYPLHQLLQDESSYIFVSVTQEAEREEFFDETRRLCD
+LRLFQPFLKVIEPVGN
+>P42336_PF00794_174 <unknown description>
+SPELPKHIYNKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSML
+LSSEQLKLCVLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKE
+>P42336_PF00792_351 <unknown description>
+IDKIYVRTGIYHGGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVK
+GRKGAKEEHCPLAWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKET
+PCLELEFDWFSSV
+>P42336_PF00613_521 <unknown description>
+NELRENDKEQLKAISTRDPLSEITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEV
+AQMYCLVKDWPPIKPEQAMELLDCNYPDPMVRGFAVRCLEKYLTDDKLSQYLIQLVQVLK
+YEQYLDNLLVRFLLKKALTNQRIGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLK
+HLN
+>P42336_PF00454_798 <unknown description>
+EIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLSIGDCVGLIEVVRNSHTI
+MQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRSCAGYCVATFILGIGDRH
+NSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDFLIVISKGAQECTKTREF
+ERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQ
+>P42338_PF02192_42 <unknown description>
+IQLEVPREATISYIKQMLWKQVHNYPMFNLLMDIDSYMFACVNQTAVYEELEDETRRLCD
+VRPFLPVLKLVTRSC
+>P42338_PF00794_181 <unknown description>
+EPSIPENLEDKLYGGKLIVAVHFENCQDVFSFQVSPNMNPIKVNELAIQKRLTIHGKEDE
+VSPYDYVLQVSGRVEYVFGDHPLIQFQYIRNCVMNRALPHFILVECC
+>P42338_PF00792_350 <unknown description>
+KVHVRAGLFHGTELLCKTIVSSEVSGKNDHIWNEPLEFDINICDLPRMARLCFAVYAVLD
+KVKTKKSTKTINPSKYQTIRKAGKVHYPVAWVNTMVFDFKGQLRTGDIILHSWSSF
+>P42338_PF00613_533 <unknown description>
+KFLPVLKEILDRDPLSQLCENEMDLIWTLRQDCREIFPQSLPKLLLSIKWNKLEDVAQLQ
+ALLQIWPKLPPREALELLDFNYPDQYVREYAVGCLRQMSDEELSQYLLQLVQVLKYEPFL
+DCALSRFLLERALGNRRIGQFLFWHLRSEVHIPAVSVQFGVILEAYCRGSVGHMKV
+>P42338_PF00454_800 <unknown description>
+VGVIFKNGDDLRQDMLTLQMLRLMDLLWKEAGLDLRMLPYGCLATGDRSGLIEVVSTSET
+IADIQLNSSNVAAAAAFNKDALLNWLKEYNSGDDLDRAIEEFTLSCAGYCVASYVLGIGD
+RHSDNIMVKKTGQLFHIDFGHILGNFKSKFGIKRERVPFILTYDFIHVIQQGKTGNTEKF
+GRFRQCCEDAYLILRRHGNLFITLFALMLTAGLPELT
+>O00329_PF02192_33 <unknown description>
+NFPVSRNANLSTIKQLLWHRAQYEPLFHMLSGPEAYVFTCINQTAEQQELEDEQRRLCDV
+QPFLPVLRLVAREGD
+>O00329_PF00794_176 <unknown description>
+QTWGPGTLRLPNRALLVNVKFEGSEESFTFQVSTKDVPLALMACALRKKATVFRQPLVEQ
+PEDYTLQVNGRHEYLYGSYPLCQFQYICSCLHSGLTPHLTMVHSS
+>O00329_PF00792_339 <unknown description>
+MKLVVQAGLFHGNEMLCKTVSSSEVSVCSEPVWKQRLEFDINICDLPRMARLCFALYAVI
+EKAKKARSTKKKSKKADCPIAWANLMLFDYKDQLKTGERCLYMWPSVPDEK
+>O00329_PF00613_502 <unknown description>
+HVTEEEQLQLREILERRGSGELYEHEKDLVWKLRHEVQEHFPEALARLLLVTKWNKHEDV
+AQMLYLLCSWPELPVLSALELLDFSFPDCHVGSFAIKSLRKLTDDELFQYLLQLVQVLKY
+ESYLDCELTKFLLDRALANRKIGHFLFWHLRSEMHVPSVALRFGLILEAYCRGSTHHMKV
+L
+>O00329_PF00454_774 <unknown description>
+VGIIFKNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLRSDT
+IANIQLNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGD
+RHSDNIMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKF
+ERFRGYCERAYTILRRHGLLFLHLFALMRAAGLPELS
+>P48736_PF19710_1 <unknown description>
+MELENYKQPVVLREDNCRRRRRMKPRSAAASLSSMELIPIEFVLPTSQRKCKSPETALLH
+VAGHGNVEQMKAQVWLRALETSVAADFYHRLGPHHFLLLYQKKGQWYEIYDKYQVVQTLD
+CLRYWKATHRSPGQIHLVQRHPPSEESQAFQRQLTALIGYDVTDVSNVHDDELEFTRRGL
+VTPRMAEVASRD
+>P48736_PF00794_204 <unknown description>
+SKPLPEYLWKKIANNCIFIVIHRSTTSQTIKVSPDDTPGAILQSFFTKMAKKKSLMDIPE
+SQSEQDFVLRVCGRDEYLVGETPIKNFQWVRHCLKNGEEIHVVLDTP
+>P48736_PF00792_380 <unknown description>
+TVFVEANIQHGQQVLCQRRTSPKPFTEEVLWNVWLEFSIKIKDLPKGALLNLQIYCGKAP
+ALSSKASAESPSSESKGKVQLLYYVNLLLIDHRFLLRRGEYVLHMWQISGKGEDQ
+>P48736_PF00613_544 <unknown description>
+RAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKHPKAYPKLFSSVKWGQQEI
+VAKTYQLLARREVWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYLLQL
+VQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAYLRG
+CGTAMLHDF
+>P48736_PF00454_828 <unknown description>
+IGIIFKHGDDLRQDMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGMIEIVKDATT
+IAKIQQSTVGNTGAFKDEVLNHWLKEKSPTEEKFQAAVERFVYSCAGYCVATFVLGIGDR
+HNDNIMITETGNLFHIDFGHILGNYKSFLGINKERVPFVLTPDFLFVMGTSGKKTSPHFQ
+KFQDICVKAYLALRHHTNLLIILFSMMLMTGMPQLT
+>Q9ULU4_PF00439_183 <unknown description>
+QKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILH
+NCIIYNGGNHKLTQIAK
+>Q9ULU4_PF00855_300 <unknown description>
+LVWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPINNCYLMSKEIPFSVKKTKSIF
+NSAMQEMEVY
+>Q9ULU4_PF12064_442 <unknown description>
+RRISLSDMPRSPMSTNSSVHTGSDVEQDAEKKATSSHFSASEESMDFLDKSTASPASTKT
+GQAGSLSGSPKPFSPQLSAPITTKTDKTSTTGSILNLNLDRSKAEMDLKELSESVQQQST
+PVPLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEKSDSSDSE
+YISDDEQKSKNEPEDTED
+>P98161_PF13855_71 <unknown description>
+ALDVSHNLLRALDVGLLANLSALAELDISNNKISTLEEGIFANLFNLSEINLSGNP
+>P98161_PF01822_180 <unknown description>
+YVACLPDNSSGTVAAVSFSAAHEGLLQPEACSAFCFSTGQGLAALSEQGWCLCGAAQPSS
+ASFACLSLCSGPP
+>P98161_PF00801_281 <unknown description>
+HGPLASGQLAAFHIAAPLPVTATRWDFGDGSAEVDAAGPAASHRYVLPGRYHVTAVLALG
+AGSA
+>P98161_PF00059_426 <unknown description>
+KAAWLQAQEQCQAWAGAALAMVDSPAVQRFLVSRVTRSLDVWIGFSTVQGVEVGPAPQGE
+AFSLESCQNWLPGEPHPATAEHCVRLGPTGWCNTDLCSAPHSYVCE
+>P98161_PF00801_856 <unknown description>
+TATARWPGGSVSARFENVCPALVATFVPGCPWETNDTLFSVVALPWLSEGEHVVDVVVEN
+SASRAN
+>P98161_PF00801_1024 <unknown description>
+VSTVPAVLSPNATLALTAGVLVDSAVEVAFLWTFGDGEQALHQFQPPYNESFPVPDPSVA
+QVLVEHNVMHTYAAPGEYLLTVLASNAFENLTQ
+>P98161_PF00801_1134 <unknown description>
+SDGVLVAGRPVTFYPHPLPSPGGVLYTWDFGDGSPVLTQSQPAANHTYASRGTYHVRLEV
+NNTVSGAA
+>P98161_PF00801_1222 <unknown description>
+AVEQGAPVVVSAAVQTGDNITWTFDMGDGTVLSGPEATVEHVYLRAQNCTVTVGAASPAG
+HLA
+>P98161_PF00801_1303 <unknown description>
+ACIPTQPDARLTAYVTGNPAHYLFDWTFGDGSSNTTVRGCPTVTHNFTRSGTFPLALVLS
+SRVNRAH
+>P98161_PF00801_1386 <unknown description>
+QPERQFVQLGDEAWLVACAWPPFPYRYTWDFGTEEAAPTRARGPEVTFIYRDPGSYLVTV
+TASNNISAAN
+>P98161_PF00801_1476 <unknown description>
+SLGLELQQPYLFSAVGRGRPASYLWDLGDGGWLEGPEVTHAYNSTGDFTVRVAGWNEVSR
+SE
+>P98161_PF00801_1553 <unknown description>
+VNASRTVVPLNGSVSFSTSLEAGSDVRYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVT
+AENEVGSAQ
+>P98161_PF00801_1638 <unknown description>
+VGGGRYFPTNHTVQLQAVVRDGTNVSYSWTAWRDRGPALAGSGKGFSLTVLEAGTYHVQL
+RATNMLGSAW
+>P98161_PF00801_1723 <unknown description>
+VAASPNPAAVNTSVTLSAELAGGSGVVYTWSLEEGLSWETSEPFTTHSFPTPGLHLVTMT
+AGNPLGSANA
+>P98161_PF00801_1813 <unknown description>
+GGSFVAAGSSVPFWGQLATGTNVSWCWAVPGGSSKRGPHVTMVFPDAGTFSIRLNASNAV
+SWVSA
+>P98161_PF00801_1893 <unknown description>
+WASSKVVAPGQLVHFQILLAAGSAVTFRLQVGGANPEVLPGPRFSHSFPRVGDHVVSVRG
+KNHVSWAQA
+>P98161_PF00801_1981 <unknown description>
+EPGIATGTERNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGLLEIQV
+RAFNALGSEN
+>P98161_PF00801_2068 <unknown description>
+SGPCFTNRSAQFEAATSPSPRRVAYHWDFGDGSPGQDTDEPRAEHSYLRPGDYRVQVNAS
+NLVSF
+>P98161_PF02010_2171 <unknown description>
+AHVDLRDCVTYQTEYRWEVYRTASCQRPGRPARVALPGVDVSRPRLVLPRLALPVGHYCF
+VFVVSFGDTPLTQSIQANVTVAPERLVPIIEGGSYRVWSDTRDLVLDGSESYDPNLEDGD
+QTPLSFHWACVASTQREAGGCALNFGPRGSSTVTIPRERLAAGVEYTFSLTVWKAGRKEE
+ATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGRCLNCSSGSKRGRWAARTF
+SNKTLVLDETTTSTGSAGMRLVLRRGVLRDGEGYTFTLTVLGRSGEEEGCASIRLSPNRP
+PLGGSCRLFPLGAVHALTTKVHFECTGWHDAEDAGAPLVYALLLRRCRQGHCEEFCVYKG
+SLSSYGAVLPPGFRPHFEVGLAVVVQDQLGAAVVALNRSLAITLPEPNGSATGLTVWLHG
+LTASVLPGLLRQADPQHVIEYSLA
+>P98161_PF01477_3120 <unknown description>
+YEILVKTGWGRGSGTTAHVGIMLYGVDSRSGHRHLDGDRAFHRNSLDIFRIATPHSLGSV
+WKIRVWHDNKGLSPAWFLQHVIVRDLQTARSAFFLVNDWLSVET
+>P98161_PF08016_3712 <unknown description>
+RAFLAITRSEELWPWMAHVLLPYVHGNQSSPELGPPRLRQVRLQEALYPDPPGPRVHTCS
+AAGGFSTSDYDVGWESPHNGSGTWAYSAPDLLGAWSWGSCAVYDSGGYVQELGLSLEESR
+DRLRFLQLHNWLDNRSRAVFLELTRYSPAVGLHAAVTLRLEFPAAGRALAALSVRPFALR
+RLSAGLSLPLLTSVCLLLFAVHFAVAEARTWHREGRWRVLRLGAWARWLLVALTAATALV
+RLAQLGAADRQWTRFVRGRPRRFTSFDQVAQLSSAARGLAASLLFLLLVKAAQQLRFVRQ
+WSVFGKTLCRALPELLGVTLGLVVLGVAYAQLAILLVSSCVDSLWSVAQALLVLCPGTGL
+STLCPAESWHLSPLLCVGLWALRLWGALRLGAVILRWRYHA
+>Q13563_PF08016_268 <unknown description>
+TNFKTLSSMEDFWKFTEGSLLDGLYWKMQPSNQTEADNRSFIFYENLLLGVPRIRQLRVR
+NGSCSIPQDLRDEIKECYDVYSVSSEDRAPFGPRNGTAWIYTSEKDLNGSSHWGIIATYS
+GAGYYLDLSRTREETAAQVASLKKNVWLDRGTRATFIDFSVYNANINLFCVVRLLVEFPA
+TGGVIPSWQFQPLKLIRYVTTFDFFLAACEIIFCFFIFYYVVEEILEIRIHKLHYFRSFW
+NCLDVVIVVLSVVAIGINIYRTSNVEVLLQFLEDQNTFPNFEHLAYWQIQFNNIAAVTVF
+FVWIKLFKFINFNRTMSQLSTTMSRCAKDLFGFAIMFFIIFLAYAQLAYLVFGTQVDDFS
+TFQECIFTQFRIILGDINFAEIEEANRVLGPIYFTTFVFFMFFILLNMFLAIINDTYSEV
+>Q13563_PF18109_834 <unknown description>
+VSYEEFQVLVRRVDRMEHSIGSIVSKIDAVIVKLE
+>Q504Y2_PF12260_232 <unknown description>
+ITELGAPVEMIQLLQTSWEDRFRICLSLGRLLHHLAHSPLGSVTLLDFRPRQFVLVDGEL
+KVTDLDDARVEETPCAGSTDCILEFPARNFTLPCSAQGWCEGMNEKRNLYNAYRFFFTYL
+LPHSAPPSLRPLLDSIV
+>Q9NTG1_PF02010_243 <unknown description>
+ASVQLDCPAARAIAQYWQVFSVPAVGQAPDWTQPLDLPQLEIRNSPLFIHIPNNSLQWGV
+YVFNFTVSITTGNPKMPEVKDSDAVYVWIVRSSLQAVMLGDANITANFTEQLILDGSTSS
+DPDADSPLQGLQFFWYCTTDPRNYGGDRIILGSKEVCHPEQANLKWPWASGPVLTLLPET
+LKGDHVYFFRMVIRKDSRTAFSDKRVHVLQGPKAIAHITCIENCERNFIVSDRFSLFLNC
+TNCASRDFYKWSILSSSGGEMLFDWMGETVTGRNGAYLSIKAFAFRHFLEAEFSISLYLA
+CWSGVTSVFRHSFIINHGPQIGECKINPAKGIALITKFVVQCSNFRDKHVPLTYKIIVSD
+LHSVGEISSVKENTLGTILYLGPQSTVPPSFLPVGMLASQYGLKIYAQVYDSLGAFSQVT
+LHATAQAPTDKNSSKTVLNQLLSFTVGPSSLLSTLIQKKDFLPAGYLL
+>Q9NTG1_PF01477_1232 <unknown description>
+YLVTIFTGSRWGSGTRANVFVQLRGTVSTSDVHCLSHPHFTTLYRGSINTFLLTTKSDLG
+DIHSIRVWHNNEGRSPSWYLSRIKVENLFSRHIWLFICQKWLSVDTTLDRTFH
+>Q9NTG1_PF08016_1749 <unknown description>
+MDLATVTKLEDIYRWLNSVLLPLLHNDLNPTFLPESSSKILGLPLMRQVRAKSSEKMCLP
+AEKFVQNSIRREIHCHPKYGIDPEDTKNYSGFWNEVDKQAIDESTNGFTYKPQGTQWLYY
+SYGLLHTYGSGGYALYFFPEQQRFNSTLRLKELQESNWLDEKTWAVVLELTTFNPDINLF
+CSISVIFEVSQLGVVNTSISLHSFSLADFDRKASAEIYLYVAILIFFLAYVVDEGCIIMQ
+ERASYVRSVYNLLNFALKCIFTVLIVLFLRKHFLATGIIRFYLSNPEDFIPFHAVSQVDH
+IMRIILGFLLFLTILKTLRYSRFFYDVRLAQRAIQAALPGICHMAFVVSVYFFVYMAFGY
+LVFGQHEWNYSNLIHSTQTVFSYCVSAFQNTEFSNNRILGVLFLSSFMLVMICVLINLFQ
+AVILSAYEEM
+>Q9HB21_PF00169_10 <unknown description>
+ICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIKLTYISKVSD
+ATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIK
+>Q9HB21_PF00169_192 <unknown description>
+VIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEVHKVQECKQSDI
+MMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGA
+>Q9HB20_PF00169_1 <unknown description>
+MEGVLYKWTNYLTGWQPRWFVLDNGILSYYDSQDDVCKGSKGSIKMAVCEIKVHSADNTR
+MELIIPGEQHFYMKAVNAAERQRWLVALGS
+>Q9H4M7_PF00169_55 <unknown description>
+VHIRGWLHKQDSSGLRLWKRRWFVLSGHCLFYYKDSREESVLGSVLLPSYNIRPDGPGAP
+RGRRFTFTAEHPGMRTYVLAADTLEDLRGWLRALGRAS
+>Q9HAU0_PF00397_58 <unknown description>
+LPTGWEEAYTFEGARYYINHNERKVTCKHP
+>Q9HAU0_PF00169_170 <unknown description>
+VVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIALLTSEDH
+INRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAML
+>Q9Y2H5_PF00169_60 <unknown description>
+VTKAGWLFKQASSGVKQWNKRWFVLVDRCLFYYKDEKEESILGSIPLLSFRVAAVQPSDN
+ISRKHTFKAEHAGVRTYFFSAESPEEQEAWIQAMGEA
+>Q96JA3_PF00169_1 <unknown description>
+MEGVLYKWTNYLSGWQPRWFLLCGGILSYYDSPEDAWKGCKGSIQMAVCEIQVHSVDNTR
+MDLIIPGEQYFYLKARSVAERQRWLVALGSA
+>Q96JA3_PF08718_330 <unknown description>
+IPTEAFLASCYAVVPVLDKLGPTVFAPVKMDLVGNIKKVNQKYITNKEEFTTLQKIVLHE
+VEADVAQVRNSATEALLWLKRGLKFLKGFLTEVKNGEKDIQTALNNAYGKTLRQHHGWVV
+RGVFALALRAAPSYEDFVAAL
+>Q9UF11_PF00169_23 <unknown description>
+VRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVLIHFNVRDIKIGPECH
+DVQPPEGRSRDGLLTVNLREGGRLHLCAETKDDALAWKTALLE
+>Q96CS7_PF00169_4 <unknown description>
+VKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQNIEDKVHMPMDCINIRTGQECR
+DTQPPDGKSKDCMLQIVCRDGKTISLCAESTDDCLAWKFTLQ
+>P08F94_PF01833_260 <unknown description>
+EILSVFPETGSLGGRTNITITGDFFDNSAQVTIAGIPCDIRHVSPRKIECTTRA
+>P08F94_PF01833_945 <unknown description>
+NLMIYITGTGFSGDSQFLQVTVNKTSCKVIFSNQTNVVCQTDLLPVGMHRILMLVRP
+>P08F94_PF01833_1019 <unknown description>
+PRLDMVEPSRAADIGGLWATIRGSSLEGVSLILFGSYSCAINVATSNSSRIQCKVPPRGK
+DGRIVNVTVIRGDYSAVLPRAFTY
+>P08F94_PF01833_1108 <unknown description>
+PVIVTLSRNISNIAGGETLVIGVARLMNYTDLDVEVHVQDALAPVHTQSAWGLEVALPPL
+PAGLHRISVSINGVSIHSQGVD
+>P08F94_PF01833_1389 <unknown description>
+PRIMAIFPSQGSACGGTILTVRGLLLNSRRRSVRVDLSGPFTCVILSLGDHTILCQVSLE
+GDPLPGASFSLNVTVLVNGLTSEC
+>P08F94_PF01833_1486 <unknown description>
+PVMDALSTNTSGSLTTVLIRGQRLATTADEPMVFVDDQLPCNVTFFNASHVVCQTRDLAP
+GPHYLSVFYTRNGYACSGNV
+>P08F94_PF01833_1578 <unknown description>
+YFPKNFSLHGGSLLTIEGTGLRGQNTTSVYIDQQTCLTVNIGAELIRCIVPTGNGSVALE
+IEVDG
+>P08F94_PF10162_1934 <unknown description>
+WFPERLPQDGDNVTVENGQLLLLDTNTSILNLLHIKGGKLIFMAPGPIELRAHAILVSDG
+GELRIGSEDKPFQGRAQITLYGSSYSTPFFPYGVKFLAVRNGTLSLHGSLPEVIVTC
+>P08F94_PF13229_2243 <unknown description>
+GLSMCGTLGLKVDSNVFYNILGHALLVGTCTEMRYISWEAIHGRKDDWSGHGNIIRNNVI
+IQVSGAEGLSNPEMLTPSGIYICSPTNVIEGNRVCGAGYGYFFHLMTNQTSQAPLLSFTQ
+NIAHSCTRYGLFVYPKFQPPWDNVTGTTLFQSFTVWESAGGAQIFRSSNLRLKNFK
+>P08F94_PF10162_2749 <unknown description>
+WGGYNNTIPGPGDDVLILPNRTVLVDTDLPFFKGLYVMGTLDFPVDRSNVLSVACMVIAG
+GELKVGTLENPLEKEQKLLILLRASEGVFCDRMNGIHIDPGTIGVYGKVHLYSAYPKNSW
+THL
+>P08F94_PF13229_3012 <unknown description>
+GSWIISSTLHQSCGGGIHAAASHGVLLNDNIVFGTAGHGIDLEGQAYTVTNNLVVLMTQP
+AWSTIWVAGIKVNQVKDINLHGNVVAGSERLGFHIRGHKCSSCELLWSDNVAHSSLHGLH
+LYKESGLDNCTRISGFLAFKNFDYGAMLHVENSVEIENITL
+>Q96S99_PF00169_39 <unknown description>
+EGVLTKECRKKAKPRIFFLFNDILVYGSIVLNKRKYRSQHIIPLEEVTLELLPETLQAKN
+RWMIKTAKKSFVVSAASATERQEWISHIEECVR
+>Q96S99_PF01363_148 <unknown description>
+PWIPDKATDICMRCTQTRFSALTRRHHCRKCGFVVCAECSRQRFLLPRLSPKPVRVCSLC
+YREL
+>Q9H8W4_PF00169_39 <unknown description>
+EGVLTKLCRKKPKARQFFLFNDILVYGNIVIQKKKYNKQHIIPLENVTIDSIKDEGDLRN
+GWLIKTPTKSFAVYAATATEKSEWMNHINKCV
+>Q9H8W4_PF01363_148 <unknown description>
+VWVPDSEATVCMRCQKAKFTPVNRRHHCRKCGFVVCGPCSEKRFLLPSQSSKPVRICDFC
+YDLL
+>Q9ULL1_PF00621_117 <unknown description>
+VVQEILETERTYVQDLKSIVEDYLDCIRDQTKLPLGTEERSALFGNIQDIYHFNSELLQD
+LENCENDPVAIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKILAKFFRERQETLK
+HSLPLGSYLLKPVQRILKYHLLLHEIENHLDKDTEGYDVVLDAIDTMQRVAWHIN
+>Q9H7P9_PF00621_106 <unknown description>
+VAREIVETERAYVRDLRSIVEDYLGPLLDGGVLGLSVEQVGTLFANIEDIYEFSSELLED
+LENSSSAGGIAECFVQRSEDFDIYTLYCMNYPSSLALLRELSLSPPAALWLQERQAQLRH
+SLPLQSFLLKPVQRILKYHLLLQELGKHWAEGPGTGGREMVEEAIVSMTAVAWYIN
+>Q9H7P9_PF00169_334 <unknown description>
+ERLLFLFSRMLLVAKRRGLEYTYKGHIFCCNLSVSESPRDPLGFKVSDLTIPKHRHLLQA
+KNQEEKRLWIHCLQR
+>A1L390_PF00621_97 <unknown description>
+VVREIVETERMYVQDLRSIVEDYLLKIIDTPGLLKPEQVSALFGNIENIYALNSQLLRDL
+DSCNSDPVAVASCFVERSQEFDIYTQYCNNYPNSVAALTECMRDKQQAKFFRDRQELLQH
+SLPLGSYLLKPVQRILKYHLLLQEIAKHFDEEEDGFEVVEDAIDTMTCVAWYIN
+>A1L390_PF00169_317 <unknown description>
+ERTFFLFDKTLLITKKRGDHFVYKGNIPCSSLMLIESTRDSLCFTVTHYKHSKQQYSIQA
+KTVEEKRNWTHHIKRLI
+>Q58EX7_PF00621_736 <unknown description>
+VLAEMVATEREYVRALEYTMENYFPELDRPDVPQGLRGQRAHLFGNLEKLRDFHCHFFLR
+ELEACTRHPPRVAYAFLRHRVQFGMYALYSKNKPRSDALMSSYGHTFFKDKQQALGDHLD
+LASYLLKPIQRMGKYALLLQELARACGGPTQELSALREAQSL
+>O94827_PF00621_341 <unknown description>
+VWELLHTEASYIRKLRVIINLFLCCLLNLQESGLLCEVEAERLFSNIPEIAQLHRRLWAS
+VMAPVLEKARRTRALLQPGDFLKGFKMFGSLFKPYIRYCMEEEGCMEYMRGLLRDNDLFR
+AYITWAEKHPQCQRLKLSDMLAKPHQRLTKYPLLLKSVLRKTEEPRAKEAVVAMIGSVER
+FIHHVN
+>Q3KR16_PF00621_166 <unknown description>
+LWELLTTELIYVRKLKIMTDLLAAGLLNLQRVGLLMEVSAETLFGNVPSLIRTHRSFWDE
+VLGPTLEETRASGQPLDPIGLQSGFLTFGQRFHPYVQYCLRVKQTMAYAREQQETNPLFH
+AFVQWCEKHKRSGRQMLCDLLIKPHQRITKYPLLLHAVLKRSPEARAQEALNAMIEAVES
+FLRHIN
+>Q6ZR37_PF15720_2 <unknown description>
+EKTESFCPEVPPQDCGASPRPSLRSLPKNQGSLLQFDRQAPGRISTSPTLRRLRTRGCGT
+RQDAWQVTTWGSWGAPVGFPCYLSKSLPGSPKDSSHLLSPLRLHSRLTSEPERALNAADS
+LEPQTRPTDKYLPPELQPVNEGSLHQASLRQQEGHFLPSPTLRHPSPQGEELHPSRF
+>Q6ZR37_PF00621_293 <unknown description>
+VWELFTSECTYFLDHLLVLKMIFMNTLRYLQTHEYLLDVDLWRLFANLEELTQTSLGFVN
+SLFGIIKDYVDASEISSSLDFISVLTKYFRGSLCQSHQTYCLNYSAAIFYLESLRQRDDF
+GIYLKWCEQNEQCRRLHVPELLVAPLQRLTRYPLLLKNIWKRSMDSA
+>Q9ULM0_PF00169_580 <unknown description>
+EKSGYLLKMGSQVKTWKRRWFVLRQGQIMYYKSPSDVIRKPQGQVDLNSRCQIVRGEGSQ
+TFQLISEKKTYYLTADSPSLLEEWIRVLQSLL
+>Q9ULM0_PF00784_880 <unknown description>
+AQTALQVCLVHPELQSEIYCQLMKQTSCRPPQKYSLMQCWQLLALCAPLFLPQHHFLWYV
+KQQLQRHADPRSETGQYATYCQRAVERTLRTGEREARPSRMEV
+>Q9ULM0_PF00373_1108 <unknown description>
+RERLLLASQTSREIVAGRFPINKELALEMAALMAQVEYGDLEKPALPGPGGTSPAKAQHL
+LQQVLDRFHPRRYRHGAPAEQLRHLADMLTTKWATLQGCSPPECIRIYLTVARKWPFFGA
+KLF
+>Q8IVE3_PF00169_705 <unknown description>
+EKSGYLLKMSGKVKSWKRRWFVLKGGELLYYKSPSDVIRKPQGHIELSASCSILRGDNKQ
+TVQLTTEKHTYYLTADSPNILEEWIKVLQNVL
+>Q8IVE3_PF00784_1003 <unknown description>
+AQSALQICLTHPELQNEICCQLIKQTRRRQPQNQPGPLQGWQLLALCVGLFLPHHPFLWL
+LRLHLKRNADSRTEFGKYAIYCQRCVERTQQNGDREARPSRMEIL
+>Q8IVE3_PF00373_1235 <unknown description>
+LLMYQTNDQIINGLFPLNKDLALEMAALLSQVEIGDFERPFSTPAGHVTNQCKVNQTLKQ
+VIEKFYPKRYRDGCSEEQLRQLCQRLSTRWMALRGHSAADCVRIYLTVARKWPFFGAKLF
+>Q7Z736_PF00784_288 <unknown description>
+QGVLQTCRDLPALRDELFLQLAKQTSGPAGPPGLPATQDPAALRYWQLLTCMSCTFRPGG
+AVRGHLLGHLERTEQALPDSELAEYARFIRKALGRTRGRELVPSLAEISA
+>Q7Z736_PF00788_412 <unknown description>
+GAGACAVAIDSHTTAGEVARELVGRLGLARSRNAFALYEQRGAQERALA
+>Q7Z736_PF00373_512 <unknown description>
+LPFLFEQAHALLLRGRPPPPDDTLRALAALRLQSLQRDFSPRVPLPRLDRLLPPPAPPRE
+DPPRPTPRPPPSAALLAGALWSPGLAKRRAERARRGGAGRTAGSIAREGGGGAGTAAAVL
+GGWKRLRGMGRAEAMAAYLALAAQCPGFGAAR
+>Q9NW61_PF00169_27 <unknown description>
+PKKGSVLKRRLVKLVVNFLFYFRTDEAEPVGALLLERCRVVREEPGTFSISFIEDPERKY
+HFECSSEEQCQEWMEALRRAS
+>Q86WI1_PF01833_31 <unknown description>
+PKVTEIIPKYGSINGATRLTIRGEGFSQANQFNYGVDNAELGNSVQLISSFQSITCDVEK
+DASHSTQITCYTRAMPEDSYTVRVSVDGVPV
+>Q86WI1_PF01833_146 <unknown description>
+PTIRSITPLSGTPGTLITIQGRIFTDVYGSNIALSSNGKNVRILRVYIGGMPCELLIPQS
+DNLYGLKLDHPNGDMGSMVCKTTGTFIGHHNVSFILDNDYGRSFPQKMAY
+>Q86WI1_PF01833_271 <unknown description>
+EVTMIFPSQGSIRGGTTLTISGRFFDQTDFPVRVLVGGEPCDILNVTENSICCKTPPKPH
+ILKTVYPGGRGLKLEVW
+>Q86WI1_PF07691_377 <unknown description>
+ASYIWLMEQDTFVARFSGFLVAPDSDVYRFYIKGDDRYAIYFSQTGLPEDKVRIAYHSAN
+ANSYFSSPTQRSDDIHLQKGKEYYIEILLQEY
+>Q86WI1_PF01833_1067 <unknown description>
+PLVLAISPSQGSYEEGTILTIVGSGFSPSSAVTVSVGPVGCSLLSVDEKELKCQILNGSA
+GHAPVAVSMAD
+>Q86WI1_PF01833_1156 <unknown description>
+QISHIWPDSGSIAGGTLLTLSGFGFNENSKVLVGNETCNVIEGDLNRITCRTPKKTEGTV
+DISVTTNGFQATARDAFSY
+>Q86WI1_PF01833_1240 <unknown description>
+PIITDFSPKVRTILGEVNLTIKGYNFGNELTQNMAVYVGGKTCQILHWNFTDIRCLLPKL
+SPGKHDIYVEVRNWGFA
+>Q86WI1_PF01833_1331 <unknown description>
+EVTSMFPQRGSLFGGTEITIRGFGFSTIPAENTVLLGSIPCNVTSSSENVIKCILH
+>Q86WI1_PF01833_1566 <unknown description>
+PSISNITPSTGTVNELITIIGHGFSNLPWANKVTIGSYPCVVEESSEDSITCHIDPQNSM
+DVGIRETV
+>Q86WI1_PF01833_1659 <unknown description>
+PNIDLVLPNAGSTTGMTSVTIKGSGFAVSSAGVKVLMGHFPCKVLSVNYTAIECETSPAA
+QQLVDVDLLIHGVPAQCQGNCTFSY
+>Q86WI1_PF01833_1749 <unknown description>
+PYITGVFPNSVIGSVKVLIEGEGLGTVLEDIAVFIGNQQFRAIEVNENNITALVTPLPVG
+HHSVSVVVGSKGLALGNLT
+>Q86WI1_PF01833_1833 <unknown description>
+VASLSPTSGSIGGGTTLVITGNGFYPGNTTVTIGDEPCQIISINPNEVYCRTPAGTTGMV
+DVKIFVNTIAYPPLLFTY
+>Q86WI1_PF01833_1916 <unknown description>
+PFLRGIIPSRGPPGTEIEITGSNFGFEILEISVMINNIQCNVTMANDSVVQCIVGDHAGG
+TFPVMMHHKTKGSAMSTVVFEY
+>Q86WI1_PF01833_2000 <unknown description>
+NIQNINPSQGSFGGGQTMTVTGTGFNPQNSIILVCGSECAIDRLRSDYTTLLCEIPSNNG
+TGAEQACEVSVVNGKDLSQSMTPFTY
+>Q86WI1_PF01833_2091 <unknown description>
+PLITAVSPKRGSTAGGTRLTVVGSGFSENMEDVHITIAEAKCDVEYSNKTHIICMTDAHT
+LSGWAPVCVHIRGVGMAKLD
+>Q86WI1_PF10162_2185 <unknown description>
+SWGGKSPPEEGSLVVITKGQTILLDQSTPILKMLLIQGGTLIFDEADIELQAENILITDG
+GVLQIGTETSPFQHKAVITLHGHLRSPELPVYGAKTLAVREGILDLHGVPVPVTWTRLA
+>Q86WI1_PF10162_3042 <unknown description>
+RENNYTVPHPGANVIIPEGTWIVADIDMPSMERLIIWGVLELEDKYNVGAAESSYREVVL
+NATYISLQGGRLIGGWEDNPFKGDLKIVLRGNHTTQDWALPEGPNQGAKVLGVFGELDLH
+GIPHSIYKTKLS
+>Q9Y4G2_PF02759_50 <unknown description>
+MCSALEAVFIHGLHAKHIRAEAGGKRKKSAHQKPLPQPVFWPLLKAVTHKHIISELEHLT
+FVNTDVGRCRAWLRLALNDGLMECYLKLLLQEQARLHEYYQPTALLRDAEEGEFLLSFLQ
+GLTSLSFELSYK
+>Q9Y4G2_PF13901_846 <unknown description>
+KLCAFSGLYYCDICHQDDASVIPARIIHNWDLTKRPICRQALKFLTQIRAQPLINLQMVN
+ASLYEHVERMHLIGRRREQLKLLGDYLGLCRSGALKELSKRLNHRNYLLESPHRFSVADL
+QQIADGVYEGFLKALIEFASQHVYHCDLCTQRGFICQICQHHDIIFPFEFDTTVRCAECK
+TVFHQSCQAVVKKGCPRCARRR
+>Q8IWE5_PF02759_75 <unknown description>
+EAIKQIEVLQHVATNLGRSRAWLYLALNENSLESYLRLFQENLGLLHKYYVKNALVCSHD
+HLTLFLTLVSGLEFIRFELDLD
+>Q8IWE5_PF00169_773 <unknown description>
+TKEGMLHYKAGTSYLGKEHWKTCFVVLSNGILYQYPDRTDVIPLLSVNMGGEQCGGCRRA
+NTTDRPHAFQVILSDRPCLELSAESEAEMAEWMQHLCQAV
+>Q6ZWE6_PF00169_363 <unknown description>
+LKSGTLYRLTVQNNWKAFTFVLSRAYLMAFQPGKLDEDPLLSYNVDVCLAVQMDNLDGCD
+SCFQVIFPQDVLRLRAETRQRAQEWMEALKIA
+>Q6ZWE6_PF13901_529 <unknown description>
+KVCNYSGWYYCSSCHVDDSFLIPARIVHNWDTSKYKVSKQAKEFLEYVYEEPLIDIQQEN
+AMLYHHAEPLAAVLRLRQRLKSLRAYLFSCRAAVAEDLRRRIFPREYLLQQIHLYSLADL
+QQVIEGKLAPFLGKVIKFATSHVYSCSLCSQKGFICEICNNGEILYPFEDISTSRCESCG
+AVFHSECKEKSVPCPRCVRR
+>Q53GL0_PF00169_24 <unknown description>
+KVGWVRKFCGKGIFREIWKNRYVVLKGDQLYISEKEVKDEKNIQEVFDLSDYEKCEELRK
+SKSRSKKNHSKFTLAHSKQPGNTAPNLIFLAVSPEEKESWINALNSAI
+>Q8TD55_PF00169_19 <unknown description>
+VDKAGWIKKSSGGLLGFWKDRYLLLCQAQLLVYENEDDQKCVETVELGSYEKCQDLRALL
+KRKHRFILLRSPGNKVSDIKFQAPTGEEKESWIKALNEGI
+>Q16512_PF02185_39 <unknown description>
+RERLRREIRKELKLKEGAENLRRATTDLGRSLGPVELLLRGSSRRLDLLHQQLQELHAHV
+V
+>Q16512_PF02185_128 <unknown description>
+VAGLEKQLAIELKVKQGAENMIQTYSNGSTKDRKLLLTAQQMLQDSKTKIDIIRMQLRRA
+LQ
+>Q16512_PF02185_214 <unknown description>
+RIEELRHHFRVEHAVAEGAKNVLRLLSAAKAPDRKAVSEAQEKLTESNQKLGLLREALER
+RLG
+>Q16512_PF00069_616 <unknown description>
+KFLAVLGRGHFGKVLLSEFRPSGELFAIKALKKGDIVARDEVESLMCEKRILAAVTSAGH
+PFLVNLFGCFQTPEHVCFVMEYSAGGDLMLHIHSDVFSEPRAIFYSACVVLGLQFLHEHK
+IVYRDLKLDNLLLDTEGYVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTDTSYTRA
+VDWWGLGVLLYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSAEAIGIMRRLLRRNPE
+RRLGSSERDAEDVKKQPFF
+>Q16512_PF00433_896 <unknown description>
+SGRTDVSNFDEEFTGEAPTLSPPRDARPLTAAEQAAFLDFDF
+>Q16513_PF02185_51 <unknown description>
+RIKREIRKELKIKEGAENLRKVTTDKKSLAYVDNILKKSNKKLEELHHKLQELNAHIVV
+>Q16513_PF02185_137 <unknown description>
+RLKALQKQLDIELKVKQGAENMIQMYSNGSSKDRKLHGTAQQLLQDSKTKIEVIRMQILQ
+AVQ
+>Q16513_PF02185_218 <unknown description>
+RMEELRHHFRIEFAVAEGAKNVMKLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQ
+RLN
+>Q16513_PF00069_662 <unknown description>
+VLGRGHFGKVLLAEYKNTNEMFAIKALKKGDIVARDEVDSLMCEKRIFETVNSVRHPFLV
+NLFACFQTKEHVCFVMEYAAGGDLMMHIHTDVFSEPRAVFYAACVVLGLQYLHEHKIVYR
+DLKLDNLLLDTEGFVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTETSYTRAVDWW
+GLGVLIYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSTEAISIMRRLLRRNPERRLG
+ASEKDAEDVKKHPFF
+>Q16513_PF00433_938 <unknown description>
+RGREDVSNFDDEFTSEAPILTPPREPRILSEEEQEMFRDFDY
+>Q6P5Z2_PF02185_22 <unknown description>
+VIRRAIQKELKIKEGVENLRRVATDRRHLGHVQQLLRSSNRRLEQLHGELRELHAR
+>Q6P5Z2_PF02185_107 <unknown description>
+LEALRRQLHVELKVKQGAENMTHTCASGTPKERKLLAAAQQMLRDSQLKVALLRMKISSL
+EAS
+>Q6P5Z2_PF02185_181 <unknown description>
+EELQHRLHVEAAVAEGAKNVVKLLSSRRTQDRKALAEAQAQLQESSQKLDLLRLALEQLL
+E
+>Q6P5Z2_PF00069_562 <unknown description>
+LAVLGRGHFGKVLLVQFKGTGKYYAIKALKKQEVLSRDEIESLYCEKRILEAVGCTGHPF
+LLSLLACFQTSSHACFVTEFVPGGDLMMQIHEDVFPEPQARFYVACVVLGLQFLHEKKII
+YRDLKLDNLLLDAQGFLKIADFGLCKEGIGFGDRTSTFCGTPEFLAPEVLTQEAYTRAVD
+WWGLGVLLYEMLVGECPFPGDTEEEVFDCIVNMDAPYPGFLSVQGLEFIQKLLQKCPEKR
+LGAGEQDAEEIKVQPFF
+>Q6P5Z2_PF00433_842 <unknown description>
+PADLRYFEGEFTGLPPALTPPAPHSLLTARQQAAFRDFDF
+>P55347_PF16493_80 <unknown description>
+GSEGTTSASFDVDIENFVRKQEKEGKPFFCEDPETDNLMVKAIQVLRIHLLELEKVNELC
+KDFCSRYIACLKTKMNSETLLSGE
+>P55347_PF05920_277 <unknown description>
+WLFQHIGHPYPTEDEKKQIAAQTNLTLLQVNNWFINARRR
+>Q96KN3_PF16493_96 <unknown description>
+GSECITSASFDVDIENFVHQQEQEHKPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELC
+KDFCNRYITCLKTKMHSDNLLRND
+>Q96KN3_PF05920_306 <unknown description>
+WLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRR
+>Q13835_PF00514_279 <unknown description>
+AKQQVYQLGGICKLVDLLRSPNQNVQQAAAGALRNLV
+>Q99959_PF00514_386 <unknown description>
+EARKRVNQLRGILKLLQLLKVQNEDVQRAVCGALRNLV
+>Q99959_PF00514_426 <unknown description>
+DNDNKLEVAELNGVPRLLQVLKQTRDLETKKQITGLLWNLSS
+>Q9Y446_PF00514_355 <unknown description>
+KQARSLQAVPRLVKLFNHANQEVQRHATGAMRNL
+>Q9Y446_PF00514_392 <unknown description>
+NADNKLALVEENGIFELLRTLREQDDELRKNVTGILWNLSS
+>Q99569_PF00514_559 <unknown description>
+DNKVKMEVCRLGGIKHLVDLLDHRVLEVQKNACGALRNLV
+>Q99569_PF00514_603 <unknown description>
+TDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSS
+>Q99569_PF00514_865 <unknown description>
+RAAVRKEKGLPILVELLRMDNDRVVSSVATALRNMA
+>Q8TCW9_PF00001_79 <unknown description>
+GNFIFIAALVRYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWEHGHVLCT
+SVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLRPRMKCQTATGLIALVWTVSILIAIPSA
+YFTTETVLVIVKSQEKIFCGQIWPVDQQLYYKSYFLFIFGIEFVGPVVTMTLCYARISRE
+LWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFTIVRDFFPTVFVKEK
+HYLTAFYIVECIAMSNSMINTL
+>Q8NFJ6_PF00001_70 <unknown description>
+GNFVFIAALTRYKKLRNLTNLLIANLAISDFLVAIICCPFEMDYYVVRQLSWEHGHVLCA
+SVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLKPRMNYQTASFLIALVWMVSILIAIPSA
+YFATETVLFIVKSQEKIFCGQIWPVDQQLYYKSYFLFIFGVEFVGPVVTMTLCYARISRE
+LWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFTIVRDFFPTVFVKEK
+HYLTAFYVVECIAMSNSMINTVC
+>Q9H875_PF06658_42 <unknown description>
+PDKAVPIPEKMSEWAPRPPPEFVRDVMGSSAGAGSGEFHVYRHLRRREYQRQDYMDAMAE
+KQKLDAEFQKRLEKNKIAAEEQTAKRRKKRQKLKEKKLLAKKMKLEQKKQEGPGQPKEQG
+SSSSAEASGTEEE
+>A1L4L8_PF04749_72 <unknown description>
+WSTGLFSVCRDRRICFCGLFCPMCLECDIARHYGECLCWPLLPGSTFALRIGTRERHKIQ
+GTLCEDWLAVHCCWAFSICQVARE
+>Q53H76_PF00151_17 <unknown description>
+LWLSVGSSGDAPPTPQPKCADFQSANLFEGTDLKVQFLLFVPSNPSCGQLVEGSSDLQNS
+GFNATLGTKLIIHGFRVLGTKPSWIDTFIRTLLRATNANVIAVDWIYGSTGVYFSAVKNV
+IKLSLEISLFLNKLLVLGVSESSIHIIGVSLGAHVGGMVGQLFGGQLGQITGLDPAGPEY
+TRASVEERLDAGDALFVEAIHTDTDNLGIRIPVGHVDYFVNGGQDQPGCPTFFYAGYSYL
+ICDHMRAVHLYISALENSCPLMAFPCASYKAFLAGRCLDCFNPFLLSCPRIGLVEQGGVK
+IEPLPKEVKVYLLTTSSAPY
+>Q13018_PF00040_178 <unknown description>
+CMFPFQYNHQWHHECTREGREDDLLWCATTSRYERDEKWGFC
+>Q13018_PF00059_250 <unknown description>
+SLSWSEAHSSCQMQGGTLLSITDETEENFIREHMSSKTVEVWMGLNQLDEHAGWQWSDGT
+PLNYLNWSPEVNFEPFVEDHCGTFSSFMPSAWRSRDCESTLPYICKK
+>Q13018_PF00059_396 <unknown description>
+EKTWHEALRSCQADNSALIDITSLAEVEFLVTLLGDENASETWIGLSSNKIPVSFEWSND
+SSVIFTNWHTLEPHIFPNRSQLCVSAEQSEGHWKVKNCEERLFYICKK
+>Q13018_PF00059_549 <unknown description>
+LVTITNRFEQAFITSLISSVVKMKDSYFWIALQDQNDTGEYTWKPVGQKPEPVQYTHWNT
+HQPRYSGGCVAMRGRHPLGRWEVKHCRHFKAMSLCK
+>Q13018_PF00059_689 <unknown description>
+KRTWREAEAFCEEFGAHLASFAHIEEENFVNELLHSKFNWTEERQFWIGFNKRNPLNAGS
+WEWSDRTPVVSSFLDNTYFGEDARNCAVYKANKTLLPLHCGSKREWICK
+>Q13018_PF00059_833 <unknown description>
+WLNFEFVCSWLHSDLLTIHSAHEQEFIHSKIKALSKYGASWWIGLQEERANDEFRWRDGT
+PVIYQNWDTGRERTVNNQSQRCGFISSITGLWGSEECSVSMPSICKR
+>Q13018_PF00059_982 <unknown description>
+KNWTHAQHFCAEEGGTLVAIESEVEQAFITMNLFGQTTSVWIGLQNDDYETWLNGKPVVY
+SNWSPFDIINIPSHNTTEVQKHIPLCALLSSNPNFHFTGKWYFEDCGKEGYGFVCEK
+>Q13018_PF00059_1132 <unknown description>
+NMTWYAAIKTCLMHKAQLVSITDQYHQSFLTVVLNRLGYAHWIGLFTTDNGLNFDWSDGT
+KSSFTFWKDEESSLLGDCVFADSNGRWHSTACESFLQGAICH
+>Q13018_PF00059_1270 <unknown description>
+SMSFEAAHEFCKKEGSNLLTIKDEAENAFLLEELFAFGSSVQMVWLNAQFDGNNETIKWF
+DGTPTDQSNWGIRKPDTDYFKPHHCVALRIPEGLWQLSPCQEKKGFICKM
+>Q9NZF1_PF04749_26 <unknown description>
+WQTGMCDCFSDCGVCLCGTFCFPCLGCQVAADMNECCLCGTSVAMRTLYRTRYGIPGSIC
+DDYMATLCCPHCTLCQIKR
+>Q5JTB6_PF15205_23 <unknown description>
+AEPFSPPRGDSAQSTACDRHMAVQRRLDVMEEMVEKTVDHLGTEVKGLLGLLEELAWNLP
+PGPFSPAPDLLGDG
+>Q6DJT9_PF13912_34 <unknown description>
+FPCQLCDKAFNSVEKLKVHSYSH
+>Q6DJT9_PF00096_63 <unknown description>
+KCIQQDCTKAFVSKYKLQRHMATH
+>Q6DJT9_PF00096_121 <unknown description>
+FKCEECGKNYNTKLGFKRHLALH
+>Q6DJT9_PF13912_152 <unknown description>
+CKVCLQTFESTGVLLEHLKSH
+>Q6DJT9_PF00096_214 <unknown description>
+LCQYCAQRFGRKDHLTRHMKKSH
+>P14923_PF00514_221 <unknown description>
+AIFKSGGIPALVRMLSSPVESVLFYAITTLHNL
+>P14923_PF00514_342 <unknown description>
+PSNKPAIVEAGGMQALGKHLTSNSPRLVQNCLWTLRNLSD
+>P14923_PF00514_573 <unknown description>
+DPMNRMEIFRLNTIPLFVQLLYSSVENIQRVAAGVLCELA
+>Q9UM63_PF00096_32 <unknown description>
+YKCVQPDCGKAFVSRYKLMRHMATH
+>Q9UM63_PF00096_62 <unknown description>
+HQCAHCEKTFNRKDHLKNHLQTH
+>Q9UPG8_PF00096_68 <unknown description>
+YSCPQLHCGKAFASKYKLYRHMATH
+>Q9UPG8_PF00096_98 <unknown description>
+HQCMYCDKMFHRKDHLRNHLQTH
+>Q9UPG8_PF13912_158 <unknown description>
+CKVCLQTFESTQALLEHLKAHSR
+>Q9Y263_PF00400_57 <unknown description>
+EMHCMSGHSNFVSCVCIIPSSDIYPHGLIATGGNDHNICIF
+>Q9Y263_PF00400_104 <unknown description>
+PLYILKGHKNTVCSLSSGKFGTLLSGSWDTTAKVW
+>Q9Y263_PF00400_142 <unknown description>
+KCMMTLQGHTAAVWAVKILPEQGLMLTGSADKTVKLWK
+>Q9Y263_PF00400_181 <unknown description>
+GRCERTFSGHEDCVRGLAILSETEFLSCANDASIRRW
+>Q9Y263_PF00400_221 <unknown description>
+GECLEVYYGHTNYIYSISVFPNCRDFVTTAEDRSLRIWK
+>Q9Y263_PF00400_271 <unknown description>
+AQSIWCCCVLDNGDIVVGASDGIIRVF
+>Q9Y263_PF09070_346 <unknown description>
+PGTREGQTRLIRDGEKVEAYQWSVSEGRWIKIGDVVGSSGANQQTSGKVLYEGKEFDYVF
+SIDVNEGGPSYKLPYNTSDDPWLTAYNFLQKNDLNPMFLDQVAKFIIDNTKGQ
+>Q9Y263_PF08324_535 <unknown description>
+FPKKEAVTFDQANPTQILGKLKELNGTAPEEKKLTEDDLILLEKILSLICNSSSEKPTVQ
+QLQILWKAINCPEDIVFPALDILRLSIKHPSVNENFCNEKEGAQFSSHLINLLNPKGKPA
+NQLLALRTFCNCFVGQAGQKLMMSQRESLMSHAIELKSGSNKNIHIALATLALNYSVCFH
+KDHNIEGKAQCLSLISTILEVVQDLEATFRLLVALGTLISDDSNAVQLAKSLGVDSQIKK
+YSSVSEPAKVSECCR
+>Q9HDD0_PF04970_16 <unknown description>
+CPGDLIEVFRPGYQHWALYLGDGYVINIAPVDGIPASFTSAKSVFSSKALVKMQLLKDVV
+GNDTYRINNKYDETYPPLPVEEIIKRSEFVIGQEVAYNLLVNNCEHFVTLLRYGEG
+>Q9NWW9_PF04970_7 <unknown description>
+RPRLGDLIEISRFGYAHWAIYVGDGYVVHLAPASEIAGAGAASVLSALTNKAIVKKELLS
+VVAGGDNYRVNNKHDDRYTPLPSNKIVKRAEELVGQELPYSLTSDNCEHFVNHLRYGVS
+>P53816_PF04970_7 <unknown description>
+EPKPGDLIEIFRPFYRHWAIYVGDGYVVHLAPPSEVAGAGAASVMSALTDKAIVKKELLY
+DVAGSDKYQVNNKHDDKYSPLPCSKIIQRAEELVGQEVLYKLTSENCEHFVNELRYGVA
+>Q9UL19_PF04970_7 <unknown description>
+EPKPGDLIEIFRLGYEHWALYIGDGYVIHLAPPSEYPGAGSSSVFSVLSNSAEVKRERLE
+DVVGGCCYRVNNSLDHEYQPRPVEVIISSAKEMVGQKMKYSIVSRNCEHFVTQLRYGKS
+>Q96KN8_PF04970_119 <unknown description>
+RPRPGDLIEIFRIGYEHWAIYVEDDCVVHLAPPSEEFEVGSITSIFSNRAVVKYSRLEDV
+LHGCSWKVNNKLDGTYLPLPVDKIIQRTKKMVNKIVQYSLIEGNCEHFVNGLRYGVP
+>Q6P1J6_PF00657_394 <unknown description>
+IGALGDSLTAGNGAGSTPGNVLDVLTQYRGLSWSVGGDENIGTVTTLANILREFNPSLKG
+FSVGTGKETSPNAFLNQAVAGGRAEDLPVQARRLVDLMKNDTRIHFQEDWKIITLFIGGN
+DLCDFCNDLVHYSPQNFTDNIGKALDILHAEVPRAFVNLVTVLEIVNLRELYQEKKVYCP
+RMILRSLCPCVLKFDDNSTELATLIEFNKKFQEKTHQLIESGRYDTREDFTVVVQPFFEN
+VDMPKTSEGLPDNSFFAPDCFHFSSKSHSRAASA
+>Q6P1J6_PF00657_741 <unknown description>
+VAALGDSLTAGNGIGSKPDDLPDVTTQYRGLSYSAGGDGSLENVTTLPNILREFNRNLTG
+YAVGTGDANDTNAFLNQAVPGAKAEDLMSQVQTLMQKMKDDHRVNFHEDWKVITVLIGGS
+DLCDYCTDSNLYSAANFVHHLRNALDVLHREVPRVLVNLVDFLNPTIMRQVFLGNPDKCP
+VQQASVLCNCVLTLRENSQELARLEAFSRAYRSSMRELVGSGRYDTQEDFSVVLQPFFQN
+IQLPVLADGLPDTSFFAPDCIHPNQKFHSQLARA
+>Q6P1J6_PF00657_1097 <unknown description>
+VAALGDSLTTAVGARPNNSSDLPTSWRGLSWSIGGDGNLETHTTLPNILKKFNPYLLGFS
+TSTWEGTAGLNVAAEGARARDMPAQAWDLVERMKNSPDINLEKDWKLVTLFIGVNDLCHY
+CENPEAHLATEYVQHIQQALDILSEELPRAFVNVVEVMELASLYQGQGGKCAMLAAQNNC
+TCLRHSQSSLEKQELKKVNWNLQHGISSFSYWHQYTQREDFAVVVQPFFQNTLTPLNERG
+DTDLTFFSEDCFHFSDRGHAEMAI
+>Q6P4A8_PF04916_66 <unknown description>
+AYGFYNNSVKTTGWGILEIRAGYGSQTLSNEIIMFVAGFLEGYLTAPHMNDHYTNLYPQL
+ITKPSIMDKVQDFMEKQDKWTRKNIKEYKTDSFWRHTGYVMAQIDGLYVGAKKRAILEGT
+KPMTLFQIQFLNSVGDLLDLIPSLSPTKNGSLKVFKRWDMGHCSALIKVLPGFENILFAH
+SSWYTYAAMLRIYKHWDFNVIDKDTSSSRLSFSSYPGFLESLDDFYILSSGLILLQTTNS
+VFNKTLLKQVIPETLLSWQRVRVANMMADSGKRWADIFSKYNSGTYNNQYMVLDLKKVKL
+NHSLDKGTLYIVEQIPTYVEYSEQTDVLRKGYWPSYNVPFHEKIYNWSGYPLLVQKLGLD
+YSYDLAPRAKIFRRDQGKVTDTASMKYIMRYNNYKKDPYSRGDPCNTICCREDLNSPNPS
+PGGCYDTKVADIYLASQYTSYAISGPTVQGGLPVFRWDRFNKTLHQGMPEVYNFDFITM
+>Q8NHP8_PF04916_85 <unknown description>
+AWANLTNAIRETGWAFLELGTSGQYNDSLQAYAAGVVEAAVSEELIYMHWMNTVVNYCGP
+FEYEVGYCERLKSFLEANLEWMQEEMESNPDSPYWHQVRLTLLQLKGLEDSYEGRVSFPA
+GKFTIKPLGFLLLQLSGDLEDLELALNKTKIKPSLGSGSCSALIKLLPGQSDLLVAHNTW
+NNYQHMLRVIKKYWLQFREGPWGDYPLVPGNKLVFSSYPGTIFSCDDFYILGSGLVTLET
+TIGNKNPALWKYVRPRGCVLEWVRNIVANRLASDGATWADIFKRFNSGTYNNQWMIVDYK
+AFIPGGPSPGSRVLTILEQIPGMVVVADKTSELYQKTYWASYNIPSFETVFNASGLQALV
+AQYGDWFSYDGSPRAQIFRRNQSLVQDMDSMVRLMRYNDFLHDPLSLCKACNPQPNGENA
+ISARSDLNPANGSYPFQALRQRSHGGIDVKVTSMSLARILSLLAASGPTWDQVPPFQWST
+SPFSGLLHMGQPDLWKFAPVK
+>Q99943_PF01553_83 <unknown description>
+RVEVRGAHHFPPSQPYVVVSNHQSSLDLLGMMEVLPGRCVPIAKRELLWAGSAGLACWLA
+GVIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNHNGSMLPFKRGAFHLAVQAQ
+VPIVPIVMS
+>Q9NQ66_PF17787_18 <unknown description>
+VSDSLKKGTKFVKWDDDSTIVTPIILRTDPQGFFFYWTDQNKETELLDLSLVKDARCGRH
+AKAPKDPKLRELLDVGNIGRLEQRMITVVYGPDLVNISHLNLVAFQEEVAKEWTNEVFSL
+ATNLLAQN
+>Q9NQ66_PF09279_216 <unknown description>
+LNNLCPRPEIDNIFSEFGAKSKPYLTVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLI
+EKYEPNNSLARKGQISVDGFMRYLSGEENGVV
+>Q9NQ66_PF00388_318 <unknown description>
+MSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCVELDCWKGRTAEEEPVIT
+HGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQQAKMAEYCRLIFGDALL
+MEPLEKYPLESGVPLPSPMDLMYKILVKNKK
+>Q9NQ66_PF00387_540 <unknown description>
+MSNLVNYIQPVKFESFEISKKRNKSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYP
+KGTRVDSSNYMPQLFWNAGCQMVALNFQTMDLAMQINMGMYEYNGKSGYRLKPEF
+>Q9NQ66_PF06631_903 <unknown description>
+TEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKT
+>Q9NQ66_PF08703_1004 <unknown description>
+KLIDLKDKQQQQLLNLRQEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEK
+EKKELKKKMDKKRQEKITEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEK
+LVEKHKEIRQQILDEKPKLQVELEQEYQDKFKRLPLEILEFVQEAMKGK
+>Q00722_PF17787_12 <unknown description>
+KVKAYLSQGERFIKWDDETTVASPVILRVDPKGYYLYWTYQSKEMEFLDITSIRDTRFGK
+FAKMPKSQKLRDVFNMDFPDNSFLLKTLTVVSGPDMVDLTFHNFVSYKENVGKAWAEDVL
+ALVKHPLTAN
+>Q00722_PF09279_214 <unknown description>
+SLCPRPEIDEIFTSYHAKAKPYMTKEHLTKFINQKQRDSRLNSLLFPPARPDQVQGLIDK
+YEPSGINAQRGQLSPEGMVWFLCGPENSVLA
+>Q00722_PF00388_314 <unknown description>
+MTQPLNHYFINSSHNTYLTAGQFSGLSSAEMYRQVLLSGCRCVELDCWKGKPPDEEPIIT
+HGFTMTTDIFFKEAIEAIAESAFKTSPYPIILSFENHVDSPRQQAKMAEYCRTIFGDMLL
+TEPLEKFPLKPGVPLPSPEDLRGKILIKNKK
+>Q00722_PF00387_546 <unknown description>
+MSSLVNYIQPTKFVSFEFSAQKNRSYVISSFTELKAYDLLSKASVQFVDYNKRQMSRIYP
+KGTRMDSSNYMPQMFWNAGCQMVALNFQTMDLPMQQNMAVFEFNGQSGYLLKHE
+>Q00722_PF00168_683 <unknown description>
+TLSITVISGQFLSERSVRTYVEVELFGLPGDPKRRYRTKLSPSTNSINPVWKEEPFVFEK
+ILMPELASLRVAVMEEGNKFLGHRIIPINALNSGY
+>Q00722_PF08703_979 <unknown description>
+RVRELKDRLELELLRQGEEQYECVLKRKEQHVAEQISKMMELAREKQAAELKALKETSEN
+DTKEMKKKLETKRLERIQGMTKVTTDKMAQERLKREINNSHIQEVVQVIKQMTENLERHQ
+EKLEEKQAACLEQIREMEKQFQKEALAEYEARMKGLEAEVKESVRACLRTCFPSE
+>Q01970_PF17787_18 <unknown description>
+VVETLRRGSKFIKWDEETSSRNLVTLRVDPNGFFLYWTGPNMEVDTLDISSIRDTRTGRY
+ARLPKDPKIREVLGFGGPDARLEEKLMTVVSGPDPVNTVFLNFMAVQDDTAKVWSEELFK
+LAMNILAQN
+>Q01970_PF09279_217 <unknown description>
+LNKLCLRPDIDKILLEIGAKGKPYLTLEQLMDFINQKQRDPRLNEVLYPPLRPSQARLLI
+EKYEPNQQFLERDQMSMEGFSRYLGGEENGIL
+>Q01970_PF00388_319 <unknown description>
+MTQPLSAYFINSSHNTYLTAGQLAGTSSVEMYRQALLWGCRCVELDVWKGRPPEEEPFIT
+HGFTMTTEVPLRDVLEAIAETAFKTSPYPVILSFENHVDSAKQQAKMAEYCRSIFGDALL
+IEPLDKYPLAPGVPLPSPQDLMGRILVKNKK
+>Q01970_PF00387_590 <unknown description>
+MSTLVNYIEPVKFKSFEAARKRNKCFEMSSFVETKAMEQLTKSPMEFVEYNKQQLSRIYP
+KGTRVDSSNYMPQLFWNVGCQLVALNFQTLDVAMQLNAGVFEYNGRSGYLLKPEF
+>Q01970_PF00168_727 <unknown description>
+ALRVKVISGQFLSDRKVGIYVEVDMFGLPVDTRRKYRTRTSQGNSFNPVWDEEPFDFPKV
+VLPTLASLRIAAFEEGGKFVGHRILPVSAIRSGYH
+>Q01970_PF08703_1029 <unknown description>
+KRYQEFQNRQVQSLLELREAQVDAEAQRRLEHLRQALQRLREVVLDANTTQFKRLKEMNE
+REKKELQKILDRKRHNSISEAKMRDKHKKEAELTEINRRHITESVNSIRRLEEAQKQRHD
+RLVAGQQQVLQQLAEEEPKLLAQLAQECQEQRARLPQEIRRSLLGEMPEGLG
+>O15120_PF01553_78 <unknown description>
+FEVRDPRRLQEARPCVIVSNHQSILDMMGLMEVLPERCVQIAKRELLFLGPVGLIMYLGG
+VFFINRQRSSTAMTVMADLGERMVRENLKVWIYPEGTRNDNGDLLPFKKGAFYLAVQAQV
+PIVPVVYS
+>Q9NRZ7_PF01553_83 <unknown description>
+ERFGKEHAVIILNHNFEIDFLCGWTMCERFGVLGSSKVLAKKELLYVPLIGWTWYFLEIV
+FCKRKWEEDRDTVVEGLRRLSDYPEYMWFLLYCEGTRFTETKHRVSMEVAAAKGLPVLKY
+HLLPRTKGFTTAVKCLRGTVAAVYDVTLN
+>Q9NRZ7_PF16076_243 <unknown description>
+ILYGKKYEADMCVRRFPLEDIPLDEKEAAQWLHKLYQEKDALQEIYNQKGMFPGEQFKPA
+RRPWTLLNFLSW
+>P51178_PF09279_204 <unknown description>
+FYKMLTQRVEIDRTFAEAAGSGETLSVDQLVTFLQHQQREEAAGPALALSLIERYEPSET
+AKAQRQMTKDGFLMYLLSADGSAFS
+>P51178_PF00388_298 <unknown description>
+MGQPLSHYLVSSSHNTYLLEDQLAGPSSTEAYIRALCKGCRCLELDCWDGPNQEPIIYHG
+YTFTSKILFCDVLRAIRDYAFKASPYPVILSLENHCTLEQQRVMARHLHAILGPMLLNRP
+LDGVTNSLPSPEQLKGKILLKGKK
+>P51178_PF00387_492 <unknown description>
+LSDMVIYCKSVHFGGFSSPGTPGQAFYEMASFSENRALRLLQESGNGFVRHNVGHLSRIY
+PAGWRTDSSNYSPVEMWNGGCQIVALNFQTPGPEMDVYQGRFQDNGACGYVLKPAF
+>P51178_PF00168_630 <unknown description>
+RLNIRVISGQQLPKVNKNKNSIVDPKVTVEIHGVSRDVASRQTAVITNNGFNPWWDTEFA
+FEVVVPDLALIRFLVEDYDASSKNDFIGQSTIPLNSLKQGYRHV
+>Q8N3E9_PF14788_201 <unknown description>
+KMSFKEIKSLLRMVNVDMNDMYAYLLFKECDHSNNDRLEGAEIEEFLRRL
+>Q8N3E9_PF00388_339 <unknown description>
+MNQPLAHYFISSSHNTYLTDSQIGGPSSTEAYVRAFAQGCRCVELDCWEGPGGEPVIYHG
+HTLTSKILFRDVVQAVRDHAFTLSPYPVILSLENHCGLEQQAAMARHLCTILGDMLVTQA
+LDSPNPEELPSPEQLKGRVLVKGKK
+>Q8N3E9_PF00387_528 <unknown description>
+LSALAVYCHATRLRTLHPAPNAPQPCQVSSLSERKAKKLIREAGNSFVRHNARQLTRVYP
+LGLRMNSANYSPQEMWNSGCQLVALNFQTPGYEMDLNAGRFLVNGQCGYVLKPAC
+>Q8N3E9_PF00168_661 <unknown description>
+TLSIQVLTAQQLPKLNAEKPHSIVDPLVRIEIHGVPADCARQETDYVLNNGFNPRWGQTL
+QFQLRAPELALVRFVVEDYDATSPNDFVGQFTLPLSSLKQGYRH
+>Q9BRC7_PF00169_20 <unknown description>
+EGMPMRKVRSKSWKKLRYFRLQNDGMTVWHARQARGSAKPSFSISDVETIRNGHDSELLR
+SLAEELPLEQGFTIVFHGRRSNLDLMANSVEEAQIWMRGLQLL
+>Q9BRC7_PF13202_141 <unknown description>
+DWFQRGDKNQDGKMSFQEVQRL
+>Q9BRC7_PF09279_198 <unknown description>
+FYKALTKRAEVQELFESFSADGQKLTLLEFLDFLQEEQKERDCTSELALELIDRYEPSDS
+GKLRHVLSMDGFLSYLCSKDGDIFNP
+>Q9BRC7_PF00388_292 <unknown description>
+MTQPLNHYFICSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDVWDGPSGEPVVYHG
+HTLTSRILFKDVVATVAQYAFQTSDYPVILSLETHCSWEQQQTMARHLTEILGEQLLSTT
+LDGVLPTQLPSPEELRRKILVKGKK
+>Q9BRC7_PF00387_493 <unknown description>
+LSSLVIYLKSVSFRSFTHSKEHYHFYEISSFSETKAKRLIKEAGNEFVQHNTWQLSRVYP
+SGLRTDSSNYNPQELWNAGCQMVAMNMQTAGLEMDICDGHFRQNGGCGYVLKPDF
+>Q9BRC7_PF00168_628 <unknown description>
+TLLIQVISGQQLPKVDKTKEGSIVDPLVKVQIFGVRLDTARQETNYVENNGFNPYWGQTL
+CFRVLVPELAMLRFVVMDYDWKSRNDFIGQYTLPWTCMQQGYR
+>Q9NRZ5_PF01553_87 <unknown description>
+KENAIVVLNHKFEIDFLCGWSLSERFGLLGGSKVLAKKELAYVPIIGWMWYFTEMVFCSR
+KWEQDRKTVATSLQHLRDYPEKYFFLIHCEGTRFTEKKHEISMQVARAKGLPRLKHHLLP
+RTKGFAITVRSLRNVVSAVYDCTL
+>Q9NRZ5_PF16076_243 <unknown description>
+VLNGKKYHADLYVRRIPLEDIPEDDDECSAWLHKLYQEKDAFQEEYYRTGTFPETPMVPP
+RRPWTLVNWLFW
+>Q9P212_PF00617_581 <unknown description>
+ASILTTQNGEHNALEDLVMRFNEVSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGN
+YNAVMEFLAGLRSRKVLKMWQFMDQSDIETMRSLKDAMAQHESSCEYRKVVTRALHIPGC
+KVVPFCGVFLKELCEV
+>Q9P212_PF09279_1327 <unknown description>
+LQLNDFLVNCQGEHCTYDEILSIIQKFEPSISMCHQGLMSFEGFARFLMDKE
+>Q9P212_PF00388_1395 <unknown description>
+QLPLSYYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSVELDCWDGDDGMPIIYHGH
+TLTTKIPFKEVVEAIDRSAFINSDLPIIISIENHCSLPQQRKMAEIFKTVFGEKLVTKFL
+FETDFSDDPMLPSPDQLRKKVLLKNKK
+>Q9P212_PF00387_1753 <unknown description>
+KCYHISSLNENAAKRLCRRYSQKLTQHTACQLLRTYPAATRIDSSNPNPLMFWLHGIQLV
+ALNYQTDDLPLHLNAAMFEANGGCGYVLKPP
+>Q9P212_PF00168_1872 <unknown description>
+SLTIVSGQNVCPSNSMGSPCIEVDVLGMPLDSCHFRTKPIHRNTLNPMWNEQFLFHVHFE
+DLVFLRFAVVENNSSAVTAQRIIPLKALKRGYR
+>Q9P212_PF00788_2136 <unknown description>
+SFFVQVHDVSPEQPRTVIKAPRVSTAQDVIQQTLCKAKYSYSILSNPNPSDYVLLEEVVK
+DTTNKKTTTPKSSQRVLLDQECVFQAQSKWKGAGKFILKLKEQ
+>Q9NUQ2_PF01553_83 <unknown description>
+NKENIIYLANHQSTVDWIVADILAIRQNALGHVRYVLKEGLKWLPLYGCYFAQHGGIYVK
+RSAKFNEKEMRNKLQSYVDAGTPMYLVIFPEGTRYNPEQTKVLSASQAFAAQRGLAVLKH
+VLTPRIKATHVAFDCMKNYLDAIYDVTVVY
+>Q9NUQ2_PF16076_250 <unknown description>
+FLCKECPKIHIHIDRIDKKDVPEEQEHMRRWLHERFEIKDKMLIEFYESPDPERRKRFPG
+KSVNSKLSIKKTLPSML
+>P19174_PF00388_322 <unknown description>
+MNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMGCRCIELDCWDGPDGMPVIYHG
+HTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIAQQRNMAQYFKKVLGDTLLTKP
+VEISADGLPSPNQLKRKILIKHKK
+>P19174_PF00017_550 <unknown description>
+WFHGKLGAGRDGRHIAERLLTEYCIETGAPDGSFLVRESETFVGDYTLSFWRNGKVQHCR
+IHSRQDAGTPKFFLTDNLVFDSLYDLITHY
+>P19174_PF00017_668 <unknown description>
+WYHASLTRAQAEHMLMRVPRDGAFLVRKRNEPNSYAISFRAEGKIKHCRVQQEGQTVMLG
+NSEFDSLVDLISYY
+>P19174_PF00018_797 <unknown description>
+KALFDYKAQREDELTFIKSAIIQNVEKQEGGWWRGDYGGKKQLWFPS
+>P19174_PF00387_953 <unknown description>
+LSELVVYCRPVPFDEEKIGTERACYRDMSSFPETKAEKYVNKAKGKKFLQYNRLQLSRIY
+PKGQRLDSSNYDPLPMWICGSQLVALNFQTPDKPMQMNQALFMTGRHCGYVLQPS
+>P19174_PF00168_1090 <unknown description>
+ISIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSTKQKTEFVVDNGLNPVWPAKPFHFQI
+SNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGY
+>P16885_PF00388_314 <unknown description>
+MNNPLSHYWISSSHNTYLTGDQLRSESSPEAYIRCLRMGCRCIELDCWDGPDGKPVIYHG
+WTRTTKIKFDDVVQAIKDHAFVTSSFPVILSIEEHCSVEQQRHMAKAFKEVFGDLLLTKP
+TEASADQLPSPSQLREKIIIKHKK
+>P16885_PF00017_532 <unknown description>
+WFHKKVEKRTSAEKLLQEYCMETGGKDGTFLVRESETFPNDYTLSFWRSGRVQHCRIRST
+MEGGTLKYYLTDNLTFSSIYALIQHY
+>P16885_PF00017_646 <unknown description>
+WYYDSLSRGEAEDMLMRIPRDGAFLIRKREGSDSYAITFRARGKVKHCRINRDGRHFVLG
+TSAYFESLVELVSYY
+>P16885_PF00018_775 <unknown description>
+KALYDYKAKRSDELSFCRGALIHNVSKEPGGWWKGDYGTRIQQYFPS
+>P16885_PF00387_930 <unknown description>
+LSDLVVYCKPTSKTKDNLENPDFREIRSFVETKADSIIRQKPVDLLKYNQKGLTRVYPKG
+QRVDSSNYDPFRLWLCGSQMVALNFQTADKYMQMNHALFSLNGRTGYVLQPE
+>P16885_PF00168_1062 <unknown description>
+TLTVKVLGARHLPKLGRSIACPFVEVEICGAEYDNNKFKTTVVNDNGLSPIWAPTQEKVT
+FEIYDPNLAFLRFVVYEEDMFSDPNFLAHATYPIKAVKSG
+>O75038_PF16457_44 <unknown description>
+RCMGAMQEGMQMVKLRGGSKGLVRFYYLDEHRSCIRWRPSRKNEKAKISIDSIQEVSEGR
+QSEVFQRYPDGSFDPNCCFSIYHGSHRESLDLVSTSSEVARTWVTGLRYLM
+>O75038_PF09279_234 <unknown description>
+FYKMMSTRRDLYLLMLTYSNHKDHLDAASLQRFLQVEQKMAGVTLESCQDIIEQFEPCPE
+NKSKGLLGIDGFTNYTRSPAGDIFNP
+>O75038_PF00388_328 <unknown description>
+MTQPLSHYFITSSHNTYLVGDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHG
+YTLTSKILFKDVIETINKYAFIKNEYPVILSIENHCSVIQQKKMAQYLTDILGDKLDLSS
+VSSEDATTLPSPQMLKGKILVKGKK
+>O75038_PF00387_626 <unknown description>
+LSDLVKYTKSVATHDIEMEAASSWQVSSFSETKAHQILQQKPAQYLRFNQQQLSRIYPSS
+YRVDSSNYNPQPFWNAGCQMVALNYQSEGRMLQLNRAKFSANGGCGYVLKPG
+>O75038_PF00168_759 <unknown description>
+QLVLRIISGQQLPKPRDSMLGDRGEIIDPFVEVEIIGLPVDCSREQTRVVDDNGFNPTWE
+ETLVFMVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSMMP
+>Q15111_PF16457_109 <unknown description>
+NDCISFMQAGCELKKVRPNSRIYNRFFTLDTDLQALRWEPSKKDLEKAKLDISAIKEIRL
+GKNTETFRNNGLADQICEDCAFSILHGENYESLDLVANSADVANIWVSGLRYLV
+>Q15111_PF09279_310 <unknown description>
+LCTRPEVYFLLVQISKNKEYLDANDLMLFLEAEQGVTHITEDICLDIIRRYELSEEGRQK
+GFLAIDGFTQYLLSSECDIFDP
+>Q15111_PF00388_400 <unknown description>
+MTQPLSHYYINASHNTYLIEDQFRGPADINGYIRALKMGCRSVELDVSDGSDNEPILCNR
+NNMTTHVSFRSVIEVINKFAFVASEYPLILCLGNHCSLPQQKVMAQQMKKVFGNKLYTEA
+PLPSESYLPSPEKLKRMIIVKGKK
+>Q15111_PF00387_585 <unknown description>
+LSDLVSICKSVQYRDFELSMKSQNYWEMCSFSETEASRIANEYPEDFVNYNKKFLSRIYP
+SAMRIDSSNLNPQDFWNCGCQIVAMNFQTPGPMMDLHTGWFLQNGGCGYVLRPS
+>Q15111_PF00168_723 <unknown description>
+LHIKIISGQNFPKPKGACAKGDVIDPYVCIEIHGIPADCSEQRTKTVQQNSDNPIFDETF
+EFQVNLPELAMIRFVVLDDDYIGDEFIGQYTIPFECLQPG
+>Q9UPR0_PF16457_137 <unknown description>
+SDCINSMVEGSELKKVRSNSRIYHRYFLLDADMQSLRWEPSKKDSEKAKIDIKSIKEVRT
+GKNTDIFRSNGISDQISEDCAFSVIYGENYESLDLVANSADVANIWVTGLRYLI
+>Q9UPR0_PF09279_336 <unknown description>
+HELCTRPEIYFLLVQFSSNKEFLDTKDLMMFLEAEQGVAHINEEISLEIIHKYEPSKEGQ
+EKGWLSIDGFTNYLMSPDCYIFDP
+>Q9UPR0_PF00388_428 <unknown description>
+MKQPLSHYFINSSHNTYLIEDQFRGPSDITGYIRALKMGCRSVELDVWDGPDNEPVIYTG
+HTMTSQIVFRSVIDIINKYAFFASEYPLILCLENHCSIKQQKVMVQHMKKLLGDKLYTTS
+PNVEESYLPSPDVLKGKILIKAKK
+>Q9UPR0_PF00387_618 <unknown description>
+LSELVSICKSVQFKEFQVSFQVQKYWEVCSFNEVLASKYANENPGDFVNYNKRFLARVFP
+SPMRIDSSNMNPQDFWKCGCQIVAMNFQTPGLMMDLNIGWFRQNGNCGYVLRPA
+>Q9UPR0_PF00168_755 <unknown description>
+LLHIKIISGQNFPKPKGSGAKGDVVDPYVYVEIHGIPADCAEQRTKTVHQNGDAPIFDES
+FEFQINLPELAMVRFVVLDDDYIGDEFIGQYTIPFECLQTGY
+>Q9NUJ7_PF00388_85 <unknown description>
+SVTQALDVTEQLDAGVRYLDLRIAHMLEGSEKNLHFVHMVYTTALVEDTLTEISEWLERH
+PREVVILACRNFEGLSEDLHEYLVACIKNIFGDMLCPRG
+>Q86YW0_PF09279_64 <unknown description>
+YRIITHREEIIEIFNTYSENRKILLASNLAQFLTQEQYAAEMSKAIAFEIIQKYEPIEEV
+RKAHQMSLEGFTRYMDSRECLLFK
+>Q86YW0_PF00388_157 <unknown description>
+MTHPLNDYFISSSHNTYLVSDQLLGPSDLWGYVSALVKGCRCLEIDCWDGAQNEPVVYHG
+YTLTSKLLFKTVIQAIHKYAFMTSDYPVVLSLENHCSTAQQEVMADNLQATFGESLLSDM
+LDDFPDTLPSPEALKFKILVKNKK
+>Q86YW0_PF00387_349 <unknown description>
+LSDLVIYTKAEKFKSFQHSRLYQQFNENNSIGETQARKLSKLRVHEFIFHTRKFITRIYP
+KATRADSSNFNPQEFWNIGCQMVALNFQTPGLPMDLQNGKFLDNGGSGYILKPH
+>Q86YW0_PF00168_483 <unknown description>
+TLTIRLISGIQLPLTHSSSNKGDSLVIIEVFGVPNDQMKQQTRVIKKNAFSPRWNETFTF
+IIHVPELALIRFVVEGQGLIAGNEFLGQYTLPLLCMN
+>Q13393_PF00787_108 <unknown description>
+THGEFKWQVKRKFKHFQEFHRELLKYKAFIRIPIPTRRHTFRRQNVREEPREMPSLPRSS
+ENMIREEQFLGRRKQLEDYLTKILKMPMYRNYHATTEFLD
+>Q13393_PF00169_222 <unknown description>
+IEGMIMKRSGGHRIPGLNCCGQGRACYRWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKE
+FKIKVGKKETETKYGIRIDNLSRTLILKCNSYRHARWWGGAIEEFI
+>Q13393_PF00614_459 <unknown description>
+YLWAHHEKLVIIDQSVAFVGGIDLAYGR
+>Q13393_PF13091_767 <unknown description>
+VHVIENSRHYIYIENQFFISCADDKVVFNKIGDAIAQRILKAHRENQKYRVYVVIPLLPG
+FEGDISTGGGNALQAIMHFNYRTMCRGENSILGQLKAELGNQWINYISFCGLRTHAELEG
+NLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTET
+>O14939_PF00787_92 <unknown description>
+THGDFSWTTKKKYRHFQELHRDLLRHKVLMSLLPLARFAVAYSPARDAGNREMPSLPRAG
+PEGSTRHAASKQKYLENYLNRLLTMSFYRNYHAMTEFLEVS
+>O14939_PF00614_438 <unknown description>
+LWAHHEKLLVVDQVVAFLGGLDLAYGR
+>O14939_PF13091_627 <unknown description>
+LHTIRESQHFLYIENQFFISCSDGRTVLNKVGDEIVDRILKAHKQGWCYRVYVLLPLLPG
+FEGDISTGGGNSIQAILHFTYRTLCRGEYSILHRLKAAMGTAWRDYISICGLRTHGELGG
+HPVSELIYIHSKVLIADDRTVIIGSANINDRSLLGKRDSELAVLIEDTE
+>Q96N28_PF04707_15 <unknown description>
+DTVIQAAMRKYPNPMNPSVLGVDVLQRRVDGRGRLHSLRLLSTEWGLPSLVRAILGTSRT
+LTYIREHSVVDPVEKKMELCSTNITLTNLVSVNERLVYTPHPENPEMTVLTQEAIITVKG
+ISLGSYLESLMANTISSNAKKGWAAIEWIIEHSE
+>Q9Y3B1_PF04707_15 <unknown description>
+ETVTTAAMQKYPNPMNPSVVGVDVLDRHIDPSGKLHSHRLLSTEWGLPSIVKSLIGAART
+KTYVQEHSVVDPVEKTMELKSTNISFTNMVSVDERLIYKPHPQDPEKTVLTQEAIITVKG
+VSLSSYLEGLMASTISSNASKGREAMEWVIHKLNA
+>Q8IV08_PF00614_198 <unknown description>
+GVLHTKFWVVDQTHFYLGSANMDWRS
+>Q8IV08_PF13918_226 <unknown description>
+QVKELGVVMYNCSCLARDLTKIFEAYWFLGQAGSSIPSTWPRFYDTRYNQETPMEICLNG
+TPALAYLASAPPPLCPSGRTPDLKALLNVVDNARSFIYVAVMNYLPTLEFSHPHRFWPAI
+DDGLRRATYERGVKVRLLISCWGHSEPSMRAFLLSLAALRDNHTHSDIQVKLFVVPA
+>Q96BZ4_PF00614_211 <unknown description>
+GVLHSKFWVVDGRHIYMGSANMDWRS
+>Q96BZ4_PF13918_239 <unknown description>
+QVKELGAVIYNCSHLAQDLEKTFQTYWVLGVPKAVLPKTWPQNFSSHFNRFQPFHGLFDG
+VPTTAYFSASPPALCPQGRTRDLEALLAVMGSAQEFIYASVMEYFPTTRFSHPPRYWPVL
+DNALRAAAFGKGVRVRLLVGCGLNTDPTMFPYLRSLQALSNPAANVSVDVKVFIVP
+>Q8N7P1_PF13918_245 <unknown description>
+QMKELGVIFYNCSCLVLDLQRIFALYSSLKFKSRVPQTWSKRLYGVYDNEKKLQLQLNET
+KSQAFVSNSPKLFCPKNRSFDIDAIYSVIDDAKQYVYIAVMDYLPISSTSTKRTYWPDLD
+AKIREALVLRSVRVRLLLSFWKETDPLTFNFISSLKAICTEIANCSLKVKFFDLERE
+>Q8N2A8_PF13091_84 <unknown description>
+LRALLAARASLDLCLFAFSSPQLGRAVQLLHQRGVRVRVVTDCDYMALNGSQIGLLRKAG
+IQVRHDQDPGYMHHKFAIVDKRVLITGSLNWTTQAIQNNRENVLITEDDEYVRLFLEEFE
+RIW
+>Q8IUK5_PF01437_303 <unknown description>
+TCLQHRSCDACMSSDLTFNCSWCHVLQRCSSGFDRYRQEWMDYGC
+>Q15149_PF00307_43 <unknown description>
+VQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQN
+VQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQ
+>Q15149_PF00307_159 <unknown description>
+TAKEKLLLWSQRMVEGYQGLRCDNFTSSWRDGRLFNAIIHRHKPLLIDMNKVYRQTNLEN
+LDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAM
+>Q15149_PF17902_794 <unknown description>
+LKPRHPAHPMRGRLPLLAVCDYKQVEVTVHKGDECQLVGPAQPSHWKVLSSSGSEAAVPS
+VCFLVP
+>Q15149_PF18373_894 <unknown description>
+LAWQSLRRDVQLIRSWSLATFRTLKPEEQRQALHSLELHYQAFLRDSQDAGGFGPEDRLM
+AEREYGSCSHHYQQLLQS
+>Q15149_PF00681_2691 <unknown description>
+YLQGRSSIAGLLLKATNEKLSVYAALQRQLLSPGTALIL
+>Q15149_PF00681_2729 <unknown description>
+LLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKL
+>Q15149_PF00681_2805 <unknown description>
+LLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVL
+>Q15149_PF00681_3057 <unknown description>
+LLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPEFHEKL
+>Q15149_PF00681_3133 <unknown description>
+LLDAQLSTGGIVDPSKSHRVPLDVACARGCLDEETSRAL
+>Q15149_PF00681_3350 <unknown description>
+LLQGSGCLAGIYLEDTKEKVSIYEAMRRGLLRATTAALL
+>Q15149_PF00681_3388 <unknown description>
+LLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEQL
+>Q15149_PF00681_3464 <unknown description>
+LLEAQIATGGIIDPVHSHRVPVDVAYQRGYFSEEMNRVL
+>Q15149_PF00681_3685 <unknown description>
+YLYGTGSVAGVYLPGSRQTLSIYQALKKGLLSAEVARLL
+>Q15149_PF00681_3723 <unknown description>
+LLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRL
+>Q15149_PF00681_3799 <unknown description>
+LLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQL
+>Q15149_PF00681_3928 <unknown description>
+FLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAFEL
+>Q15149_PF00681_3966 <unknown description>
+LLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKL
+>Q15149_PF00681_4042 <unknown description>
+LLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEIL
+>Q15149_PF00681_4143 <unknown description>
+IVDPETGKEMSVYEAYRKGLIDHQTYLEL
+>Q15149_PF00681_4311 <unknown description>
+LLEAQACTGGIIDPSTGERFPVTDAVNKGLVDKIMVD
+>Q15149_PF00681_4387 <unknown description>
+FLEVQYLTGGLIEPDTPGRVPLDEALQRGTVDARTAQKL
+>Q9NYT0_PF00169_5 <unknown description>
+VLKEGFLVKRGHIVHNWKARWFILRQNTLVYYKLEGGRRVTPPKGRILLDGCTITCPCLE
+YENRPLLIKLKTQTSTEYFLEACSREERDAWAFEI
+>Q9NYT0_PF00610_142 <unknown description>
+GIRSSPNMEQGSTYKKTFLGSSLVDWLISNSFTASRLEAVTLASMLMEENFLRPVGVRSM
+GAIRSGDLAEQFLDDSTALYTF
+>Q9NYT0_PF00169_248 <unknown description>
+VVKQGYLAKQGHKRKNWKVRRFVLRKDPAFLHYYDPSKEENRPVGGFSLRGSLVSALEDN
+GVPTGVKGNVQGNLFKVITKDDTHYYIQASSKAERAEWIEAIKKL
+>P08567_PF00169_6 <unknown description>
+IREGYLVKKGSVFNTWKPMWVVLLEDGIEFYKKKSDNSPKGMIPLKGSTLTSPCQDFGKR
+MFVFKITTTKQQDHFFQAAFLEERDAWVRDIKKAIK
+>P08567_PF00610_140 <unknown description>
+IKELNLEKDKKIFNHCFTGNCVIDWLVSNQSVRNRQEGLMIASSLLNEGYLQPAGDMSKS
+AVDGTAENPFLDNPDAFYYF
+>P08567_PF00169_246 <unknown description>
+IKQGCLLKQGHRRKNWKVRKFILREDPAYLHYYDPAGAEDPLGAIHLRGCVVTSVESNSN
+GRKSEEENLFEIITADEVHYFLQAATPKERTEWIRAIQMASR
+>P02776_PF00048_40 <unknown description>
+QCLCVKTTSQVRPRHITSLEVIKAGPHCPTAQLIATLKNGRKICLDLQAPLYKKIIKKL
+>Q02325_PF00024_25 <unknown description>
+YVNTQGPSLFSVTKKQLGAGSREECAAKCEEDKEFTCRAFQYHSKEQQCVIMAENRKSSI
+IIRMRDAVLFEK
+>P49763_PF00341_52 <unknown description>
+CRALERLVDVVSEYPSEVEHMFSPSCVSLLRCTGCCGDENLHCVPVETANVTMQLLKIRS
+GDRPSYVELTFSQHVRCEC
+>Q6UW63_PF00630_28 <unknown description>
+SPEKSEIWGPGLKADVVLPARYFYIQAVDTSGNKFTSSPGEKVFQVKVSAPEEQFTRVGV
+QVLDRKDGSFIVRYRMYASYKNLKVEIKFQGQHVAKSPY
+>Q6UW63_PF05686_150 <unknown description>
+EMNCPETIAQIQRDLAHFPAVDPEKIAVEIPKRFGQRQSLCHYTLKDNKVYIKTHGEHVG
+FRIFMDAILLSLTRKVKMPDVELFVNLGDWPLEKKKSNSNIHPIFSWCGSTDSKDIVMPT
+YDLTDSVLETMGRVSLDMMSVQANTGPPWESKNSTAVWRGRDSRKERLELVKLSRKHPEL
+IDAAFTNFFFFKHDENLYGPIVKHISFFDFFKHKYQINIDGTVAAYRLPYLLVGDSVVLK
+QDSIYYEHFYNELQPWKHYIPVKSNLSDLLEKLKWAKDHDEEAKKIAKAGQEFARNNLMG
+DDIFCYYFKLFQEYANLQVSEPQIREGMKRVEPQT
+>Q7Z4H8_PF00630_28 <unknown description>
+SAPRSLVWGPGLQAAVVLPVRYFYLQAVNSEGQNLTRSPAGETPFKVVVKSLSPKELVRI
+HVPKPLDRNDGTFLMRYRMYETVDEGLKIEVLYGDEHVAQSPY
+>Q7Z4H8_PF05686_153 <unknown description>
+TLSCPTKEPQIAKDFASFPSINLQQMLKEVPKRFGDERGAIVHYTILNNHVYRRSLGKYT
+DFKMFSDEILLSLTRKVLLPDLEFYVNLGDWPLEHRKVNGTPSPIPIISWCGSLDSRDVV
+LPTYDITHSMLEAMRGVTNDLLSIQGNTGPSWINKTERAFFRGRDSREERLQLVQLSKEN
+PQLLDAGITGYFFFQEKEKELGKAKLMGFFDFFKYKYQVNVDGTVAAYRYPYLMLGDSLV
+LKQDSPYYEHFYMALEPWKHYVPIKRNLSDLLEKVKWAKENDEEAKKIAKEGQLMARDLL
+QPHRLYCYYYQVLQKYAERQSSKPEVRDGMELVP
+>A6NEE1_PF00169_32 <unknown description>
+YGVLWKRPFGRPSAKWSRRFFIIKESFLLYYSESEKKSFETNKYFNIHPKGVIPLGGCLV
+EPKEEPSMPYAMKISHQDFHGNILLAAESEFEQTQWLEMLQES
+>O60240_PF03036_15 <unknown description>
+PEQENVLQRVLQLPVVSGTCECFQKTYTSTKEAHPLVASVCNAYEKGVQSASSLAAWSME
+PVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKDTISTRLRSARNSISVP
+IASTSDKVLGAALAGCELAWGVARDTAEFAANTRAGRLASGGADLALGSIEKVVEYLLPP
+DKEESAPAPGHQQAQKSPKAKPSLLSRVGALTNTLSRYTVQTMARALEQGHTVAMWIPGV
+VPLSSLAQWGASVAMQAVSRRRSEVRVPWLHSLAAAQEEDHEDQTDTEGEDTEEEEELET
+EENKFSEVAALPGPRGLLGGVAHTLQKTLQTTISAVTWAPAAVLGMAGRVLHLTPAPAVS
+STKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPE
+>Q99541_PF03036_7 <unknown description>
+DPQPSVVTRVVNLPLVSSTYDLMSSAYLSTKDQYPYLKSVCEMAENGVKTITSVAMTSAL
+PIIQKLEPQIAVANTYACKGLDRIEERLPILNQPSTQIVANAKGAVTGAKDAVTTTVTGA
+KDSVASTITGVMDKTKGAVTGSVEKTKSVVSGSINTVLGSRMMQLVSSGVENALTKSELL
+VEQYLPLTEEELEKEAKKVEGFDLVQKPSYYVRLGSLSTKLHSRAYQQALSRVKEAKQKS
+QQTISQLHSTVHLIEFARKNVYSANQKIQDAQDKLYLSWVEWKRSIGYDDTDESHCAEHI
+ESRTLAIARNLTQQLQTTCHTLLSNIQGVPQNIQDQAKHMGVMAGDIYSVFRNAASFKEV
+SDSLLTSSKGQLQKMKESLDDVMDYLVNNTPLNWLVGPFYPQ
+>O60664_PF03036_20 <unknown description>
+VQQPSVVDRVASMPLISSTCDMVSAAYASTKESYPHIKTVCDAAEKGVRTLTAAAVSGAQ
+PILSKLEPQIASASEYAHRGLDKLEENLPILQQPTEKVLADTKELVSSKVSGAQEMVSSA
+KDTVATQLSEAVDATRGAVQSGVDKTKSVVTGGVQSVMGSRLGQMVLSGVDTVLGKSEEW
+ADNHLPLTDAELARIATSLDGFDVASVQQQRQEQSYFVRLGSLSERLRQHAYEHSLGKLR
+ATKQRAQEALLQLSQVLSLMETVKQGVDQKLVEGQEKLHQMWLSWNQKQLQGPEKEPPKP
+EQVESRALTMFRDIAQQLQATCTSLGSSIQGLPTNVKDQVQQARRQVEDLQATFSSIHSF
+QDLSSSILAQSRERVASAREALDHMVEYVAQNTPVTWLVGPFAPG
+>Q96Q06_PF03036_1156 <unknown description>
+LEGLGDIFHPMNAEEQAQLAASQPGPKVLSAEQGSYFVRLGDLGPSFRQRAFEHAVSHLQ
+HGQFQARDTLAQLQDCFRLIEKAQQAPEGQPRLDQGSGASAEDAAVQEERDAGVLSRVCG
+LLRQLHTAYSGLVSSLQGLPAELQQPVGRARHSLCELYGIVASAGSVEELPAERLVQSRE
+GVHQAWQGLEQLLEGLQHNPPLSWLVGPFA
+>Q00G26_PF03036_16 <unknown description>
+EQDQQNVVQRVVALPLVRATCTAVCDVYSAAKDRHPLLGSACRLAENCVCGLTTRALDHA
+QPLLEHLQPQLATMNSLACRGLDKLEEKLPFLQQPSETVVTSAKDVVASSVTGVVDLARR
+GRRWSVELKRSVSHAVDVVLEKSEELVDHFLPMTEEELAALAAEAEGPEVGSVEDQRRQQ
+GYFVRLGSLSARIRHLAYEHSVGKLRQSKHRAQDTLAQLQETLELIDHMQCGVTPTAPAC
+PGKVHELWGEWGQRPPESRRRSQAELETLVLSRSLTQELQGTVEALESSVRGLPAGAQEK
+VAEVRRSVDALQTAFADARCFRDVPAAALAEGRGRVAHAHACVDELLELVVQAVPLPWLV
+GPFAP
+>P53350_PF00069_53 <unknown description>
+YVRGRFLGKGGFAKCFEISDADTKEVFAGKIVPKSLLLKPHQREKMSMEISIHRSLAHQH
+VVGFHGFFEDNDFVFVVLELCRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHRNRV
+IHRDLKLGNLFLNEDLEVKIGDFGLATKVEYDGERKKTLCGTPNYIAPEVLSKKGHSFEV
+DVWSIGCIMYTLLVGKPPFETSCLKETYLRIKKNEYSIPKHINPVAASLIQKMLQTDPTA
+RPTINELLNDEFF
+>P53350_PF00659_419 <unknown description>
+DKYGLGYQLCDNSVGVLFNDSTRLILYNDGDSLQYIERDGTESYLTVSSHPNSLMKKI
+>P53350_PF00659_517 <unknown description>
+TRSAIILHLSNGSVQINFFQDHTKLILCPLMAAVTYIDEKRDFRTYRLSLLEEYGCCKEL
+ASRL
+>Q9NYY3_PF00069_84 <unknown description>
+RGKVLGKGGFAKCYEMTDLTNNKVYAAKIIPHSRVAKPHQREKIDKEIELHRILHHKHVV
+QFYHYFEDKENIYILLEYCSRRSMAHILKARKVLTEPEVRYYLRQIVSGLKYLHEQEILH
+RDLKLGNFFINEAMELKVGDFGLAARLEPLEHRRRTICGTPNYLSPEVLNKQGHGCESDI
+WALGCVMYTMLLGRPPFETTNLKETYRCIREARYTMPSSLLAPAKHLIASMLSKNPEDRP
+SLDDIIRHDFF
+>Q9NYY3_PF00659_512 <unknown description>
+NKYGFGYQLSDHTVGVLFNNGAHMSLLPDKKTVHYYAELGQCSVFPATDAPEQ
+>Q9NYY3_PF00659_609 <unknown description>
+DKALMMLFNDGTFQVNFYHDHTKIIICSQNEEYLLTYINEDRISTTFRLTTLLMSGCSSE
+LKNRM
+>Q9H4B4_PF00069_64 <unknown description>
+KGRLLGKGGFARCYEATDTETGSAYAVKVIPQSRVAKPHQREKILNEIELHRDLQHRHIV
+RFSHHFEDADNIYIFLELCSRKSLAHIWKARHTLLEPEVRYYLRQILSGLKYLHQRGILH
+RDLKLGNFFITENMELKVGDFGLAARLEPPEQRKKTICGTPNYVAPEVLLRQGHGPEADV
+WSLGCVMYTLLCGSPPFETADLKETYRCIKQVHYTLPASLSLPARQLLAAILRASPRDRP
+SIDQILRHDFF
+>Q9H4B4_PF00659_472 <unknown description>
+NKFGFGYQLSSRRVAVLFNDGTHMALSANRKTVHYNPTSTKHFSFSVGAVPRALQ
+>Q9H4B4_PF00659_569 <unknown description>
+TDQALLMLFSDGTVQVNFYGDHTKLILSGWEPLLVTFVARNRSACTYLASHLRQLGCSPD
+LRQRL
+>O00444_PF00069_13 <unknown description>
+KVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNEVKIHCQLKHPSI
+LELYNYFEDSNYVYLVLEMCHNGEMNRYLKNRVKPFSENEARHFMHQIITGMLYLHSHGI
+LHRDLTLSNLLLTRNMNIKIADFGLATQLKMPHEKHYTLCGTPNYISPEIATRSAHGLES
+DVWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPSFLSIEAKDLIHQLLRRNPAD
+RLSLSSVLDHPFM
+>O00444_PF18190_592 <unknown description>
+SPLVAHRLKPIRQKTKKAVVSILDSEEVCVELVKEYASQEYVKEVLQISSDGNTITIYYP
+NGGRGFPLADRPPSPTDNISRYSFDNLPEKYWRKYQYASRFVQLVR
+>O00444_PF18409_699 <unknown description>
+KSPKITYFTRYAKCILMENSPGADFEVWFYDGVKIHKTEDFIQVIEKTGKSYTLKSESEV
+NSLKEEIKMYMDHANEGHRICLALESIISEEERKTRSAPFFPIIIGRKP
+>Q9Y342_PF01284_32 <unknown description>
+FVRSRLGALMLLQLVLGLLVWALIADTPYHLYPAYGWVMFVAVFLWLVTIVLFNLYLFQL
+HMKLYMVPWPLVLMIFNISATVLYITAFIACSAAVDLTSLRGTRPYNQRAAASFFACLVM
+IAYGVSAFF
+>P00747_PF00024_25 <unknown description>
+YVNTQGASLFSVTKKQLGAGSIEECAAKCEEDEEFTCRAFQYHSKEQQCVIMAENRKSSI
+IIRMRDVVLFEK
+>P00747_PF00051_103 <unknown description>
+CKTGNGKNYRGTMSKTKNGITCQKWSSTSPHRPRFSPATHPSEGLEENYCRNPDNDPQGP
+WCYTTDPEKRYDYCDILEC
+>P00747_PF00051_185 <unknown description>
+CMHCSGENYDGKISKTMSGLECQAWDSQSPHAHGYIPSKFPNKNLKKNYCRNPDRELRPW
+CFTTDPNKRWELCDIPRC
+>P00747_PF00051_275 <unknown description>
+CLKGTGENYRGNVAVTVSGHTCQHWSAQTPHTHNRTPENFPCKNLDENYCRNPDGKRAPW
+CHTTNSQVRWEYCKIPSC
+>P00747_PF00051_377 <unknown description>
+CYHGDGQSYRGTSSTTTTGKKCQSWSSMTPHRHQKTPENYPNAGLTMNYCRNPDADKGPW
+CFTTDPSVRWEYCNLKKC
+>P00747_PF00051_481 <unknown description>
+CMFGNGKGYRGKRATTVTGTPCQDWAAQEPHRHSIFTPETNPRAGLEKNYCRNPDGDVGG
+PWCYTTNPRKLYDYCDVPQC
+>P00747_PF00089_581 <unknown description>
+VVGGCVAHPHSWPWQVSLRTRFGMHFCGGTLISPEWVLTAAHCLEKSPRPSSYKVILGAH
+QEVNLEPHVQEIEVSRLFLEPTRKDIALLKLSSPAVITDKVIPACLPSPNYVVADRTECF
+ITGWGETQGTFGAGLLKEAQLPVIENKVCNRYEFLNGRVQSTELCAGHLAGGTDSCQGDS
+GGPLVCFEKDKYILQGVTSWGLGCARPNKPGVYVRVSRFVTWI
+>O00168_PF02038_24 <unknown description>
+KEHDPFTYDYQSLQIGGLVIAGILFILGILIVLSRRCRCKFNQQQR
+>Q02809_PF03171_640 <unknown description>
+AFVVRYKPDEQPSLMPHHDASTFTINIALNRVGVDYEGGGCRFLRYNCSIRAPRKGWTLM
+HPGRLTHYHEGLPTTRGTRYIAVSFVD
+>O00469_PF03171_673 <unknown description>
+VVKYSPERQRSLRPHHDASTFTINIALNNVGEDFQGGGCKFLRYNCSIESPRKGWSFMHP
+GRLTHLHEGLPVKNGTRYIAVSFID
+>O60568_PF03171_652 <unknown description>
+FVVRYRPDEQPSLRPHHDSSTFTLNVALNHKGLDYEGGGCRFLRYDCVISSPRKGWALLH
+PGRLTHYHEGLPTTWGTRYIMVSFVD
+>Q04941_PF01284_21 <unknown description>
+RTRKGILLFAEIILCLVILICFSASTPGYSSLSVIEMILAAIFFVVYMCDLHTKIPFINW
+PWSDFFRTLIAAILYLITSIVVLVERGNHSKIVAGVLGLIATCLFGYDAY
+>O94903_PF01168_33 <unknown description>
+RDLPAIQPRLVAVSKTKPADMVIEAYGHGQRTFGENYVQELLEKASNPKILSLCPEIKWH
+FIGHLQKQNVNKLMAVPNLFMLETVDSVKLADKVNSSWQRKGSPERLKVMVQINTSGEES
+KHGLPPSETIAIVEHINAKCPNLEFVGLMTIGSFGHDLSQGPNPDFQLLLSLREELCKKL
+NIPADQVELSMGMSADFQHAVEVGSTNVRIGSTIFGER
+>Q96AD5_PF01734_11 <unknown description>
+SFAGCGFLGVYYVGVASCLREHAPFLVANATHIYGASAGALTATALVTGVCLGEAGAKFI
+EVSKEARKRFLGPLHPSFNLVKIIRSFLLKVLPADSHEHASGRLGISLTRVSDGENVIIS
+HFNSKDELIQANVCSGFIPVYCGLIPPSLQGVRYVDGGISDNLPLY
+>Q9NST1_PF01734_10 <unknown description>
+LSFAGCGFLGFYHVGATRCLSEHAPHLLRDARMLFGASAGALHCVGVLSGIPLEQTLQVL
+SDLVRKARSRNIGIFHPSFNLSKFLRQGLCKCLPANVHQLISGKIGISLTRVSDGENVLV
+SDFRSKDEVVDALVCSCFIPFYSGLIPPSFRGVRYVDGGVSDNVPFID
+>P41247_PF01734_6 <unknown description>
+LSFAACGFLGIYHLGAASALCRHGKKLVKDVKAFAGASAGSLVASVLLTAPEKIEECNQF
+TYKFAEEIRRQSFGAVTPGYDFMARLRSGMESILPPSAHELAQNRLHVSITNAKTRENHL
+VSTFSSREDLIKVLLASSFVPIYAGLKLVEYKGQKWVDGGLTNALPILP
+>Q7Z6Z6_PF01734_12 <unknown description>
+LSFSGAGYLGAHHVGATECLRQRAPRLLQGARRIYGSSSGALNAVSIVCGKSVDFCCSHL
+LGMVGQLERLSLSILHPAYAPIEHVKQQLQDALPPDAHVLASQRLGISLTRWPDGRNFLV
+TDFATCDELIQALVCTLYFPFYCGLIPPEFRGERYIDGALSNNLPFA
+>Q6ZV29_PF00027_189 <unknown description>
+VQLQEGEHVFQPREPDPSICVVQDGRLEVCIQDTDGTEVVVKEVLAGDSVHSLLSILDII
+TGHAAPYKTVSVRAAIPSTILRLPAAAFHGVFEKY
+>Q6ZV29_PF00027_504 <unknown description>
+HVPAGTVVSRQGDQDASILFVVSGLLHVYQRKIGSQEDTCLFLTRPGEMVGQLAVLTGEP
+LIFTVKANRDCSFLSISKAHFYEIMRK
+>Q6ZV29_PF00027_620 <unknown description>
+VEVEAGRAIYRQGDKSDCTYIMLSGRLRSVIRKDDGKKRLAGEYGRGDLVGVVETLTHQA
+RATTVHAVRDSELAKLPAGALTSI
+>Q6ZV29_PF01734_953 <unknown description>
+LVLGGGGARGCAQVGVLKALAECGIPVDMVGGTSIGAFVGALYSEERNYSQMRIRAKQWA
+EGMTSLMKAALDLTYPITSMFSGAGFNSSIFSVFKDQQIEDLWIPYFAITTDITASAMRV
+HTDGSLWWYVRASMSLSGYMPPLCDPKDGHLLMDGGYINNLPADVA
+>Q9NP80_PF01734_445 <unknown description>
+LSIDGGGTRGVVALQTLRKLVELTQKPVHQLFDYICGVSTGAILAFMLGLFHMPLDECEE
+LYRKLGSDVFSQNVIVGTVKMSWSHAFYDSQTWENILKDRMGSALMIETARNPTCPKVAA
+VSTIVNRGITPKAFVFRNYGHFPGINSHYLGGCQYKMWQAIRASSAAPGYFAEYALGNDL
+HQDGGLLLNNPSALA
+>O60733_PF12796_171 <unknown description>
+LVQYCHTQMDVTDYKGETVFHYAVQGDNSQVLQLLGRNAVAGLNQVNNQGLTPLHLACQL
+GKQEMVRVLLLCNARCNI
+>O60733_PF13857_303 <unknown description>
+LLKRGCNVNSTSSAGNTALHVAVMRNRFDCAIVLLTHGANADARGEHGNTPLHLA
+>O60733_PF01734_481 <unknown description>
+LCLDGGGVKGLIIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSMAYMRGM
+YFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVRKPKVMLTGTLSDRQPAELHLFR
+NYDAPETVREPRFNQNVNLRPPAQPSDQLVWRAARSSGAAPTYFRPNGRFLDGGLLANNP
+TLDA
+>O14494_PF01569_104 <unknown description>
+TFLFGAAASQSLTDIAKYSIGRLRPHFLDVCDPDWSKINCSDGYIEYYICRGNAERVKEG
+RLSFYSGHSSFSMYCMLFVALYLQARMKGDWARLLRPTLQFGLVAVSIYVGLSRVSDYKH
+HWSDVLTGLIQGALVAILVAVYVS
+>O43688_PF01569_101 <unknown description>
+TFLFGAAVSQSLTDLAKYMIGRLRPNFLAVCDPDWSRVNCSVYVQLEKVCRGNPADVTEA
+RLSFYSGHSSFGMYCMVFLALYVQARLCWKWARLLRPTVQFFLVAFALYVGYTRVSDYKH
+HWSDVLVGLLQGALVAALTVCYIS
+>O14495_PF01569_131 <unknown description>
+GCFLFGCAISQSFTDIAKVSIGRLRPHFLSVCNPDFSQINCSEGYIQNYRCRGDDSKVQE
+ARKSFFSGHASFSMYTMLYLVLYLQARFTWRGARLLRPLLQFTLIMMAFYTGLSRVSDHK
+HHPSDVLAGFAQGALVACCIVFFVSD
+>Q5VZY2_PF01569_85 <unknown description>
+LAVSLALALNGVCTNTIKLIVGRPRPDFFYRCFPDGVMNSEMHCTGDPDLVSEGRKSFPS
+IHSSFAFSGLGFTTFYLAGKLHCFTESGRGKSWRLCAAILPLYCAMMIALSRMCDYKHHW
+QDSFVGGVIGLIFAYICYRQHY
+>Q8NEB5_PF01569_91 <unknown description>
+LAASLALALNGVFTNTIKLIVGRPRPDFFYRCFPDGLAHSDLMCTGDKDVVNEGRKSFPS
+GHSSFAFAGLAFASFYLAGKLHCFTPQGRGKSWRFCAFLSPLLFAAVIALSRTCDYKHHW
+QDVLVGSMIGMTFAYVCYRQYY
+>Q8IY26_PF01569_166 <unknown description>
+LMNLLFALLLDLLLVALIKGLVRRRRPAHNQMDMFVTLSVDKYSFPSGHATRAALMSRFI
+LNHLVLAIPLRVLVVLWAFVLGLSRVMLGRHNVTDVAFGFFLGYM
+>Q8NBV4_PF01569_145 <unknown description>
+LMNLLLALLLDIMTVAGVQKLIKRRGPYETSPSLLDYLTMDIYAFPAGHASRAAMVSKFF
+LSHLVLAVPLRVLLVLWALCVGLSRVMIGRHHVTDVLSGFVIGYLQ
+>Q96GD0_PF13344_22 <unknown description>
+VLFDCDGVLWNGERAVPGAPELLERLARAGKAALFVSNNSRRARPELALRFARLGFGGLR
+AEQLFSSALCAARLLRQRLPGPPDAPGAVFVLGGEGLRAELRAAGL
+>Q96GD0_PF13242_211 <unknown description>
+VGKPSPYMFECITENFSIDPARTLMVGDRLETDILFGHRCGMTTVLTLTGVSRLEEAQAY
+LAAGQHDLVPHYYVESIAD
+>Q8TBJ4_PF01569_130 <unknown description>
+VFAFGLFATDIFVNAGQVVTGHLTPYFLTVCKPNYTSADCQAHHQFINNGNICTGDLEVI
+EKARRSFPSKHAALSIYSALYATMYITSTIKTKSSRLAKPVLCLGTLCTAFLTGLNRVSE
+YRNHCSDVIAGFILGTAVALFLGMC
+>Q6T4P5_PF01569_137 <unknown description>
+HVFGLCATALVTDVIQLATGYHTPFFLTVCKPNYTLLGTSCEVNPYITQDICSGHDIHAI
+LSARKTFPSQHATLSAFAAVYVSMYFNSVISDTTKLLKPILVFAFAIAAGVCGLTQITQY
+RSHPVDVYAGFLIGAGIAAYL
+>Q7Z2D5_PF01569_134 <unknown description>
+HVFGLCSTALITDIIQLSTGYQAPYFLTVCKPNYTSLNVSCKENSYIVEDICSGSDLTVI
+NSGRKSFPSQHATLAAFAAVYVSMYFNSTLTDSSKLLKPLLVFTFIICGIICGLTRITQY
+KNHPVDVYCGFLIGGGIALYL
+>Q32ZL2_PF01569_124 <unknown description>
+GIYTFGLFATDIFVNAGQVVTGNLAPHFLALCKPNYTALGCQQYTQFISGEEACTGNPDL
+IMRARKTFPSKEAALSVYAAMYLTMYITNTIKAKGTRLAKPVLCLGLMCLAFLTGLNRVA
+EYRNHWSDVIAGFLVGISIAVFLVVCVVNN
+>O43660_PF00400_195 <unknown description>
+KLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWD
+>O43660_PF00400_237 <unknown description>
+KLKLSLTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWD
+>O43660_PF00400_279 <unknown description>
+KVIRHYHGHLSAVYGLDLHPTIDVLVTCSRDSTARIWD
+>O43660_PF00400_323 <unknown description>
+VHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWD
+>O43660_PF00400_405 <unknown description>
+FIQNLSGHNAIINTLTVNSDGVLVSGADNGTMHLWD
+>Q9HBL7_PF10166_1 <unknown description>
+MGFIFSKSMNESMKNQKEFMLMNARLQLERQLIMQSEMRERQMAMQIAWSREFLKYFGTF
+FGLAAISLTAGAIKKKKPAFLVPIVPLSFILTYQYDLGYGTLLERMKGEAEDILETEKSK
+LQLPRGMITFESIE
+>O15162_PF03803_86 <unknown description>
+MPAPQPPLNCPPGLEYLSQIDQILIHQQIELLEVLTGFETNNKYEIKNSFGQRVYFAAED
+TDCCTRNCCGPSRPFTLRIIDNMGQEVITLERPLRCSSCCCPCCLQEIEIQAPPGVPIGY
+VIQTWHPCLPKFTIQNEKREDVLKISGPCVVCSCCGDVDFEIKSLDEQCVVGKISKHWTG
+ILREAFTDADNFGIQFPLDLDVKMKAVMIGACFLIDFMFFE
+>Q9NRY7_PF03803_2 <unknown description>
+PAPPPPLNCPPGLEYLSQIDMILIHQQIELLEVLFSFESSNMYEIKNSFGQRIYFAAEDT
+NFCIRNCCGRSRPFTLRITDNVGREVITLERPLRCNCCCCPCCLQEIEIQAPPGVPVGYV
+TQTWHPCLTKFTIKNQKREDVLKISGPCIVCSCIAGVDFEITSLDEQIVVGRISKHWSGF
+LREAFTDADNFGIQFPRDLDVKMKAVMIGACFLIDYMFFER
+>Q9NRY6_PF03803_64 <unknown description>
+APFLPLPGVPSGLEFLVQIDQILIHQKAERVETFLGWETCNRYELRSGAGQPLGQAAEES
+NCCARLCCGARRPLRVRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTTIGHV
+LQTWHPFLPKFSIQDADRQTVLRVVGPCWTCGCGTDTNFEVKTRDESRSVGRISKQWGGL
+VREALTDADDFGLQFPLDLDVRVKAVLLGATFLIDYMFFEK
+>Q9NRQ2_PF03803_100 <unknown description>
+MPGPTPMANCPPGLEYLVQLDNIHVLQHFEPLEMMTCFETNNRYDIKNNSDQMVYIVTED
+TDDFTRNAYRTLRPFVLRVTDCMGREIMTMQRPFRCTCCCFCCPSARQELEVQCPPGVTI
+GFVAEHWNLCRAVYSIQNEKKENVMRVRGPCSTYGCGSDSVFEVKSLDGISNIGSIIRKW
+NGLLSAMADADHFDIHFPLDLDVKMKAMIFGACFLIDFMYFER
+>A0PG75_PF03803_47 <unknown description>
+SFLPTVSLPPGLEYLSQLDLIIIHQQVELLGMILGTETSNKYEIKNSLGQRIYFAVEESI
+CFNRTFCSTLRSCTLRITDNSGREVITVNRPLRCNSCWCPCYLQELEIQAPPGTIVGYVT
+QKWDPFLPKFTIQNANKEDILKIVGPCVTCGCFGDVDFEVKTINEKLTIGKISKYWSGFV
+NDVFTNADNFGIHVPADLDVTVKAAMIGACFLFDFMFFE
+>Q14651_PF13499_14 <unknown description>
+EELQEAFNKIDIDNSGYVSDYELQDLFKEASLPLPGYKVREIVEKILSVADSNKDGKISF
+EEFVSLMQ
+>Q14651_PF00307_123 <unknown description>
+EEKVAFVNWINKALENDPDCKHLIPMNPNDDSLFKSLADGILLCKMINLSEPDTIDERAI
+NKKKLTPFTISENLNLALNSASAIGCTVVNIGASDLKEGKPHLVLGLLWQIIKV
+>Q14651_PF00307_267 <unknown description>
+SPEELLLRWVNYHLTNAGWHTISNFSQDIKDSRAYFHLLNQIAPKGGEDGPAIAIDLSGI
+NETNDLKRAGLMLQEADKLGCKQFVTPADVVSGNPKLNLAFVANLFNTYP
+>Q14651_PF00307_397 <unknown description>
+EERTFRNWMNSLGVNPYINHLYSDLADALVIFQLYEMIRVPVNWSHVNKPPYPALGGNMK
+KIENCNYAVELGKNKAKFSLVGIAGQDLNEGNSTLTLALVWQLMRRY
+>Q14651_PF00307_518 <unknown description>
+NDEIIIKWVNQTLKSANKKTSISSFKDKSISTSLPVLDLIDAIAPNAVRQEMIRRENLSD
+EDKLNNAKYAISVARKIGARIYALPDDLVEVKPKMVMTVFACLMGK
+>P13796_PF13499_13 <unknown description>
+ELREAFAKVDTDGNGYISFNELNDLFKAACLPLPGYRVREITENLMATGDLDQDGRISFD
+EFIKIF
+>P13796_PF00307_121 <unknown description>
+EEKYAFVNWINKALENDPDCRHVIPMNPNTNDLFNAVGDGIVLCKMINLSVPDTIDERTI
+NKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKI
+>P13796_PF00307_265 <unknown description>
+SPEELLLRWANYHLENAGCNKIGNFSTDIKDSKAYYHLLEQVAPKGDEEGVPAVVIDMSG
+LREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNPKLNLAFIANLFNRYP
+>P13796_PF00307_396 <unknown description>
+EERTFRNWMNSLGVNPRVNHLYSDLSDALVIFQLYEKIKVPVDWNRVNKPPYPKLGGNMK
+KLENCNYAVELGKNQAKFSLVGIGGQDLNEGNRTLTLALIWQLMRRY
+>P13796_PF00307_518 <unknown description>
+DDIIVNWVNETLREAKKSSSISSFKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLNDD
+EKLNNAKYAISMARKIGARVYALPEDLVEVNPKMVMTVFACLMGK
+>P13797_PF13499_15 <unknown description>
+DELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKLMLDGDRNKDGKISF
+DEFVYIFQ
+>P13797_PF00307_124 <unknown description>
+EEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDERAI
+NKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKI
+>P13797_PF00307_268 <unknown description>
+SPEELLLRWANFHLENSGWQKINNFSADIKDSKAYFHLLNQIAPKGQKEGEPRIDINMSG
+FNETDDLKRAESMLQQADKLGCRQFVTPADVVSGNPKLNLAFVANLFNKYP
+>P13797_PF00307_399 <unknown description>
+EERTFRNWMNSLGVNPHVNHLYADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMK
+KLENCNYAVELGKHPAKFSLVGIGGQDLNDGNQTLTLALVWQLMRRY
+>P13797_PF00307_521 <unknown description>
+DDIIVNWVNRTLSEAGKSTSIQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTED
+DKHNNAKYAVSMARRIGARVYALPEDLVEVKPKMVMTVFACLMGRG
+>P55058_PF01273_30 <unknown description>
+ALELVKQEGLRFLEQELETITIPDLRGKEGHFYYNISEVKVTELQLTSSELDFQPQQELM
+LQITNASLGLRFRRQLLYWFFYDGGYINASAEGVSIRTGLELSRDPAGRMKVSNVSCQAS
+VSRMHAAFGGTFKKVYDFLSTFITSGMRFLLNQQICPVLYHAGTVLLNS
+>P55058_PF02886_229 <unknown description>
+NLDMDFRGAFFPLTERNWSLPNRAVEPQLQEEERMVYVAFSEFFFDSAMESYFRAGALQL
+LLVGDKVPHDLDMLLRATYFGSIVLLSPAVIDSPLKLELRVLAPPRCTIKPSGTTISVTA
+SVTIALVPPDQPEVQLSSMTMDARLSAKMALRGKALRTQLDLRRFRIYSNHSALESLALI
+PLQAPLKTMLQIGVMPMLNERTWRGVQIPLPEGINFVHEVVTNHAGFLTIGADLHF
+>Q9BX97_PF06637_2 <unknown description>
+GLAMEHGGSYARAGGSSRGCWYYLRYFFLFVSLIQFLIILGLVLFMVYGNVHVSTESNLQ
+ATERRAEGLYSQLLGLTASQSNLTKELNFTTRAKDAIMQMWLNARRDLDRINASFRQCQG
+DRVIYTNNQRYMAAIILSEKQCRDQFKDMNKSCDALLFMLNQKVKTLEVEIAKEKTICTK
+DKESVLLNKRVAEEQLVECVKTRELQHQERQLAKEQLQKVQALCLPLDKDKFEMDLRNLW
+RDSIIPRSLDNLGYNLYHPLGSELASIRRACDHMPSLMSSKVEELARSLRADIERVAREN
+SDLQRQKLEAQQGLRASQEAKQKVEKEAQAREAKLQAECSRQTQLALEEKAVLRKERDNL
+AKELEEKKREAEQLRMELAIRNSALDTCIKTKSQPMMPVSRPMGPVPNPQPIDPASLEEF
+KRKILESQRPPAGIPVAPS
+>Q9UIW2_PF01403_53 <unknown description>
+HLVVHEQTGEVYVGAVNRIYKLSGNLTLLRAHVTGPVEDNEKCYPPPSVQSCPHGLGSTD
+NVNKLLLLDYAANRLLACGSASQGICQFLRLDDLFKLGEPHHRKEHYLSSVQEAGSMAGV
+LIAGPPGQGQAKLFVGTPIDGKSEYFPTLSSRRLMANEEDADMFGFVYQDEFVSSQLKIP
+SDTLSKFPAFDIYYVYSFRSEQFVYYLTLQLDTQLTSPDAAGEHFFTSKIVRLCVDDPKF
+YSYVEFPIGCEQAGVEYRLVQDAYLSRPGRALAHQLGLAEDEDVLFTVFAQGQKNRVKPP
+KESALCLFTLRAIKEKIKERIQSCYRGEGKLSLPWLLNKELGCINSPLQIDDDFCGQDFN
+QPLGGTVTIEGTPLFVDKDDGLTAVAAYDYRGRTVVFAGTRSGRIRKILVDLSNPGGRPA
+LAYESVVAQEGSPILRDLVL
+>Q9UIW2_PF01437_514 <unknown description>
+SCVQYTSCELCLGSRDPHCGWCVLHSICSRRDACERADEPQRFAA
+>Q9UIW2_PF17960_569 <unknown description>
+QPRNVSVTMSQVPLVLQAWNVPDLSAGVNCSFEDFTESESVLEDGRIHCRSPSAREVAPI
+TRGQGDQRVVKLYLKSKETGKKFASVDFVFY
+>Q9UIW2_PF18020_713 <unknown description>
+TQIYVPVGVVKPITLAARNLPQPQSGQRGYECLFHIPGSPARVTALRFNSSSLQCQNSSY
+SYEGNDVSDLPVNLSVVWNGNFVIDNPQNIQAHLY
+>Q9UIW2_PF01437_809 <unknown description>
+CPALRESCGLCLKADPRFECGWCVAERRCSLRHHCAADTPASWMH
+>Q9UIW2_PF01833_864 <unknown description>
+PKILKLSPETGPRQGGTRLTITGENLGLRFEDVRLGVRVGKVLCSPVESEYISAEQIVCE
+IGDASSVRAHDALVEVCVRDCSPHYRALSPKRFTF
+>Q9UIW2_PF01833_961 <unknown description>
+PTFYRVSPSRGPLSGGTWIGIEGSHLNAGSDVAVSVGGRPCSFSWRNSREIRCLTPPGQS
+PGSAPIIININRAQLTNPEVKYNY
+>Q9UIW2_PF01833_1048 <unknown description>
+PTILRIDPEWSINSGGTLLTVTGTNLATVREPRIRAKYGGIERENGCLVYNDTTMVCRAP
+SVANPVRSPPELGERPDELGFVMDNVRSLLVLNSTSF
+>Q9UIW2_PF01833_1166 <unknown description>
+SSPLILKGRNLLPPAPGNSRLNYTVLIGSTPCTLTVSETQLLCEAPNLTGQHKVTVRAGG
+FEFS
+>Q9UIW2_PF08337_1318 <unknown description>
+GIPFLDYRTYAMRVLFPGIEDHPVLKEMEVQANVEKSLTLFGQLLTKKHFLLTFIRTLEA
+QRSFSMRDRGNVASLIMTALQGEMEYATGVLKQLLSDLIEKNLESKNHPKLLLRRTESVA
+EKMLTNWFTFLLYKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQ
+IDYKTLTLNCVNPENENAPEVPVKGLDCDTVTQAKEKLLDAAYKGVPYSQRPKAADMDLE
+WRQGRMARIILQDEDVTTKIDNDWKRLNTLAHYQVTDGSSVALVPKQTSAYNISNSSTFT
+KSLSRYESMLRTASSPDSLRSRTPMITPDLESGTKLWHLVKNHDHLDQREGDRGSKMVSE
+IYLTRLLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHQIHDADVR
+HTWKSNCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHKLGKDSPS
+NKLLYAKDIPNYKSWVERYYADIAKMPAISDQDMSAYLAEQSRLHLSQFNSMSALHEIYS
+YITKYKDEI
+>O75051_PF01403_52 <unknown description>
+HLTVHQGTGAVYVGAINRVYKLTGNLTIQVAHKTGPEEDNKSCYPPLIVQPCSEVLTLTN
+NVNKLLIIDYSENRLLACGSLYQGVCKLLRLDDLFILVEPSHKKEHYLSSVNKTGTMYGV
+IVRSEGEDGKLFIGTAVDGKQDYFPTLSSRKLPRDPESSAMLDYELHSDFVSSLIKIPSD
+TLALVSHFDIFYIYGFASGGFVYFLTVQPETPEGVAINSAGDLFYTSRIVRLCKDDPKFH
+SYVSLPFGCTRAGVEYRLLQAAYLAKPGDSLAQAFNITSQDDVLFAIFSKGQKQYHHPPD
+DSALCAFPIRAINLQIKERLQSCYQGEGNLELNWLLGKDVQCTKAPVPIDDNFCGLDINQ
+PLGGSTPVEGLTLYTTSRDRMTSVASYVYNGYSVVFVGTKSGKLKKIRADGPPHGGVQYE
+MVSVLKDGSPILRDMAF
+>O75051_PF01437_510 <unknown description>
+SCEQYTTCGECLSSGDPHCGWCALHNMCSRRDKCQQAWEPNRFAASISQC
+>O75051_PF17960_565 <unknown description>
+HPSSISVSEHSRLLSLVVSDAPDLSAGIACAFGNLTEVEGQVSGSQVICISPGPKDVPVI
+PLDQDWFGLELQLRSKETGKIFVSTEFKFY
+>O75051_PF18020_708 <unknown description>
+EEILIPVGEVKPITLKARNLPQPQSGQRGYECVLNIQGAIHRVPALRFNSSSVQCQNSSY
+QYDGMDISNLAVDFAVVWNGNFIIDNPQDLKVHLY
+>O75051_PF01437_804 <unknown description>
+CAAQRESCGLCLKADRKFECGWCSGERRCTLHQHCTSPSSPWLDWSSHNVKC
+>O75051_PF01833_858 <unknown description>
+PQITEILTVSGPPEGGTRVTIHGVNLGLDFSEIAHHVQVAGVPCTPLPGEYIIAEQIVCE
+MGHALVGTTSGPVRLCIGECKPEFMTKSHQQYT
+>O75051_PF01833_954 <unknown description>
+PSVLSLNPIRGPESGGTMVTITGHYLGAGSSVAVYLGNQTCEFYGRSMSEIVCVSPPSSN
+GLGPVPVSVSVDRAHVDSNLQFEY
+>O75051_PF01833_1041 <unknown description>
+PRVQRIEPEWSIASGHTPLTITGFNLDVIQEPRIRVKFNGKESVNVCKVVNTTTLTCLAP
+SLTTDYRPGLDTVERPDEFGFVFNNVQSLLIYNDTKFIY
+>O75051_PF01833_1157 <unknown description>
+KPGSPIILKGKNLCPPASGGAKLNYTVLIGETPCAVTVSETQLLCEPPNLTGQHKVMVHV
+GGMVFSP
+>O75051_PF08337_1311 <unknown description>
+GIPYLDYRTYAMRVLFPGIEDHPVLRELEVQGNGQQHVEKALKLFAQLINNKVFLLTFIR
+TLELQRSFSMRDRGNVASLIMTGLQGRLEYATDVLKQLLSDLIDKNLENKNHPKLLLRRT
+ESVAEKMLTNWFAFLLHKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKL
+IRQQIEYKTLILNCVNPDNENSPEIPVKVLNCDTITQVKEKILDAVYKNVPYSQRPRAVD
+MDLEWRQGRIARVVLQDEDITTKIEGDWKRLNTLMHYQVSDRSVVALVPKQTSSYNIPAS
+ASISRTSISRYDSSFRYTGSPDSLRSRAPMITPDLESGVKVWHLVKNHDHGDQKEGDRGS
+KMVSEIYLTRLLATKGTLQKFVDDLFETLFSTVHRGSALPLAIKYMFDFLDEQADRHSIH
+DTDVRHTWKSNCLPLRFWVNVIKNPQFVFDIHKGSITDACLSVVAQTFMDSCSTSEHRLG
+KDSPSNKLLYAKDIPSYKSWVERYYADIAKLPAISDQDMNAYLAEQSRLHAVEFNMLSAL
+NEIYSYVSKYSEE
+>P51805_PF01403_35 <unknown description>
+HLAVHRVTGEVFVGAVNRVFKLAPNLTELRAHVTGPVEDNARCYPPPSMRVCAHRLAPVD
+NINKLLLIDYAARRLVACGSIWQGICQFLRLDDLFKLGEPHHRKEHYLSGAQEPDSMAGV
+IVEQGQGPSKLFVGTAVDGKSEYFPTLSSRKLISDEDSADMFSLVYQDEFVSSQIKIPSD
+TLSLYPAFDIYYIYGFVSASFVYFLTLQLDTQQTLLDTAGEKFFTSKIVRMCAGDSEFYS
+YVEFPIGCSWRGVEYRLVQSAHLAKPGLLLAQALGVPADEDVLFTIFSQGQKNRASPPRQ
+TILCLFTLSNINAHIRRRIQSCYRGEGTLALPWLLNKELPCINTPMQINGNFCGLVLNQP
+LGGLHVIEGLPLLADSTDGMASVAAYTYRQHSVVFIGTRSGSLKKVRVDGFQDAHLYETV
+PVVDGSPILRDLLFS
+>P51805_PF01437_490 <unknown description>
+TCEQYQSCAACLGSGDPHCGWCVLRHRCCREGACLGASAPH
+>P51805_PF17960_546 <unknown description>
+PNNVSVTSPGVQLTVTLHNVPDLSAGVSCAFEAAAENEAVLLPSGELLCPSPSLQELRAL
+TRGHGATRTVRLQLLSKETGVRFAGADFVFY
+>P51805_PF01437_637 <unknown description>
+NCSVLQSCMSCVGSPYPCHWCKYRHTCTSRPHECSFQEGRVH
+>P51805_PF18020_691 <unknown description>
+DLLIPVGVMQPLTLRAKNLPQPQSGQKNYECVVRVQGRQQRVPAVRFNSSSVQCQNASYS
+YEGDEHGDTELDFSVVWDGDFPIDKPPSFRALLY
+>P51805_PF01833_840 <unknown description>
+PRITQIHPLVGPKEGGTRVTIVGENLGLLSREVGLRVAGVRCNSIPAEYISAERIVCEME
+ESLVPSPPPGPVELCVGDCSADFRTQSE
+>P51805_PF01833_935 <unknown description>
+PTFDQVSPSRGPASGGTRLTISGSSLDAGSRVTVTVRDSECQFVRRDAKAIVCISPLSTL
+GPSQAPITLAIDRANISSPGLIYTY
+>P51805_PF01833_1023 <unknown description>
+PTVTRLEPTWSIINGSTAITVSGTHLLTVQEPRVRAKYRGIETTNTCQVINDTAMLCKAP
+GIFLGRPQPRAQGEHPDEFGFLLDHVQTARSLNRSSFTY
+>P51805_PF01833_1141 <unknown description>
+GSHVVLKGKNLIPAAAGSSRLNYTVLIGGQPCSLTVSDTQLLCDSPSQTGRQPVMVLVGG
+>P51805_PF08337_1293 <unknown description>
+QIPFLDYRTYAVRVLFPGIEAHPVLKELDTPPNVEKALRLFGQLLHSRAFVLTFIHTLEA
+QSSFSMRDRGTVASLTMVALQSRLDYATGLLKQLLADLIEKNLESKNHPKLLLRRTESVA
+EKMLTNWFTFLLHKFLKECAGEPLFLLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQ
+IDYKTLTLHCVCPENEGSAQVPVKVLNCDSITQAKDKLLDTVYKGIPYSQRPKAEDMDLE
+WRQGRMTRIILQDEDVTTKIECDWKRLNSLAHYQVTDGSLVALVPKQVSAYNMANSFTFT
+RSLSRYESLLRTASSPDSLRSRAPMITPDQETGTKLWHLVKNHDHADHREGDRGSKMVSE
+IYLTRLLATKGTLQKFVDDLFETVFSTAHRGSALPLAIKYMFDFLDEQADQRQISDPDVR
+HTWKSNCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHRLGKDSPS
+NKLLYAKDIPNYKSWVERYYRDIAKMASISDQDMDAYLVEQSRLHASDFSVLSALNELYF
+YVTKYRQEI
+>Q9HCM2_PF01403_53 <unknown description>
+HLVVDERTGHIYLGAVNRIYKLSSDLKVLVTHETGPDEDNPKCYPPRIVQTCNEPLTTTN
+NVNKMLLIDYKENRLIACGSLYQGICKLLRLEDLFKLGEPYHKKEHYLSGVNESGSVFGV
+IVSYSNLDDKLFIATAVDGKPEYFPTISSRKLTKNSEADGMFAYVFHDEFVASMIKIPSD
+TFTIIPDFDIYYVYGFSSGNFVYFLTLQPEMVSPPGSTTKEQVYTSKLVRLCKEDTAFNS
+YVEVPIGCERSGVEYRLLQAAYLSKAGAVLGRTLGVHPDDDLLFTVFSKGQKRKMKSLDE
+SALCIFILKQINDRIKERLQSCYRGEGTLDLAWLKVKDIPCSSALLTIDDNFCGLDMNAP
+LGVSDMVRGIPVFTEDRDRMTSVIAYVYKNHSLAFVGTKSGKLKKIRVDGPRGNALQYET
+VQVVDPGPVLRDMAF
+>Q9HCM2_PF01437_509 <unknown description>
+SCGQYQSCGECLGSGDPHCGWCVLHNTCTRKERCERSKEPRRFASEMKQC
+>Q9HCM2_PF17960_564 <unknown description>
+HPNNISVSQYNVLLVLETYNVPELSAGVNCTFEDLSEMDGLVVGNQIQCYSPAAKEVPRI
+ITENGDHHVVQLQLKSKETGMTFASTSFVFY
+>Q9HCM2_PF01437_655 <unknown description>
+NCSVHNSCLSCVESPYRCHWCKYRHVCTHDPKTCSFQE
+>Q9HCM2_PF18020_709 <unknown description>
+KILVPVEVIKPITLKAKNLPQPQSGQRGYECILNIQGSEQRVPALRFNSSSVQCQNTSYS
+YEGMEINNLPVELTVVWNGHFNIDNPAQNKVHLY
+>Q9HCM2_PF01833_858 <unknown description>
+PRITEIIPVTGPREGGTKVTIRGENLGLEFRDIASHVKVAGVECSPLVDGYIPAEQIVCE
+MGEAKPSQHAGFVEICVAVCRPEFM
+>Q9HCM2_PF01833_955 <unknown description>
+TLSDLKPSRGPMSGGTQVTITGTNLNAGSNVVVMFGKQPCLFHRRSPSYIVCNTTSSDEV
+LEMKVSVQVDRAKIHQDLVFQY
+>Q9HCM2_PF01833_1040 <unknown description>
+PTIVRIEPEWSIVSGNTPIAVWGTHLDLIQNPQIRAKHGGKEHINICEVLNATEMTCQAP
+ALALGPDHQSDLTERPEEFGFILDNVQSLLILNKTNFTY
+>Q9HCM2_PF01833_1156 <unknown description>
+KPGTPIILKGKNLIPPVAGGNVKLNYTVLVGEKPCTVTVSDVQLLCESPNLIGRHKVMAR
+VGG
+>Q9HCM2_PF08337_1311 <unknown description>
+GIPFLDYRTYTMRVLFPGIEDHPVLRDLEVPGYRQERVEKGLKLFAQLINNKVFLLSFIR
+TLESQRSFSMRDRGNVASLIMTVLQSKLEYATDVLKQLLADLIDKNLESKNHPKLLLRRT
+ESVAEKMLTNWFTFLLYKFLKECAGEPLFSLFCAIKQQMEKGPIDAITGEARYSLSEDKL
+IRQQIDYKTLVLSCVSPDNANSPEVPVKILNCDTITQVKEKILDAIFKNVPCSHRPKAAD
+MDLEWRQGSGARMILQDEDITTKIENDWKRLNTLAHYQVPDGSVVALVSKQVTAYNAVNN
+STVSRTSASKYENMIRYTGSPDSLRSRTPMITPDLESGVKMWHLVKNHEHGDQKEGDRGS
+KMVSEIYLTRLLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHGIH
+DPHVRHTWKSNCLPLRFWVNMIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHRLG
+KDSPSNKLLYAKDIPSYKNWVERYYSDIGKMPAISDQDMNAYLAEQSRMHMNEFNTMSAL
+SEIFSYVGKYSEEI
+>O43157_PF01403_37 <unknown description>
+HLARDPTSGTLYLGATNFLFQLSPGLQLEATVSTGPVLDSRDCLPPVMPDECPQAQPTNN
+PNQLLLVSPGALVVCGSVHQGVCEQRRLGQLEQLLLRPERPGDTQYVAANDPAVSTVGLV
+AQGLAGEPLLFVGRGYTSRGVGGGIPPITTRALWPPDPQAAFSYEETAKLAVGRLSEYSH
+HFVSAFARGASAYFLFLRRDLQAQSRAFRAYVSRVCLRDQHYYSYVELPLACEGGRYGLI
+QAAAVATSREVAHGEVLFAAFSSAAPPTVGRPPSAAAGASGASALCAFPLDEVDRLANRT
+RDACYTREGRAEDGTEVAYIEYDVNSDCAQLPVDTLDAYPCGSDHTPSPMASRVPLEATP
+ILEWPGIQLTAVAVTMEDGHTIAFLGDSQGQLHRVYLGPGSDGHPYSTQSIQQGSAVSRD
+LTF
+>O43157_PF01437_481 <unknown description>
+SCAQHLDCASCLAHRDPYCGWCVLLGRCSRRSECSRGQGPEQWLWSFQP
+>O43157_PF17960_541 <unknown description>
+PANISREETREVFLSVPDLPPLWPGESYSCHFGEHQSPALLTGSGVMCPSPDPSEAPVLP
+RGADYVSVSVELRFGAVVIAKTSLSFY
+>O43157_PF18020_922 <unknown description>
+STLMPVHVEREIRLLGRNLHLFQDGPGDNECVMELEGLEVVVEARVECEPPPDTQCHVTC
+QQHQLSYEALQPELRVGLFLRRAGRLRVDSAEGLHVVLY
+>O43157_PF01833_1070 <unknown description>
+PLIHSVEPLTGPVDGGTRVTIRGSNLGQHVQDVLGMVTVAGVPCAVDAQEYEVSSSLVCI
+TGASGEE
+>O43157_PF01833_1162 <unknown description>
+PKVHSIFPARGPRAGGTRLTLNGSKLLTGRLEDIRVVVGDQPCHLLPEQQSEQLRCETSP
+RPTPATLPVAVWFGATERRLQRGQFKY
+>O43157_PF01833_1252 <unknown description>
+PNITSAGPTKSFLSGGREICVRGQNLDVVQTPRIRVTVVSRMLQPSQGLGRRRRVVPETA
+CSLGPSCSSQQFEEPCHVNSSQLITCRTPALPGLPEDPWVRVEFILDNLVFDFATLNPTP
+FSY
+>O43157_PF08337_1562 <unknown description>
+GIPFLDYKVYAERIFFPGHRESPLHRDLGVPESRRPTVEQGLGQLSNLLNSKLFLTKFIH
+TLESQRTFSARDRAYVASLLTVALHGKLEYFTDILRTLLSDLVAQYVAKNPKLMLRRTET
+VVEKLLTNWMSICLYTFVRDSVGEPLYMLFRGIKHQVDKGPVDSVTGKAKYTLNDNRLLR
+EDVEYRPLTLNALLAVGPGAGEAQGVPVKVLDCDTISQAKEKMLDQLYKGVPLTQRPDPR
+TLDVEWRSGVAGHLILSDEDVTSEVQGLWRRLNTLQHYKVPDGATVALVPCLTKHVLREN
+QDYVPGERTPMLEDVDEGGIRPWHLVKPSDEPEPPRPRRGSLRGGERERAKAIPEIYLTR
+LLSMKGTLQKFVDDLFQVILSTSRPVPLAVKYFFDLLDEQAQQHGISDQDTIHIWKTNSL
+PLRFWINIIKNPQFVFDVQTSDNMDAVLLVIAQTFMDACTLADHKLGRDSPINKLLYARD
+IPRYKRMVERYYADIRQTVPASDQEMNSVLAELSWNYSGDLGARVALHELYKYINKYYDQ
+I
+>O15031_PF01403_36 <unknown description>
+HLAVDEASGVVYLGAVNALYQLDAKLQLEQQVATGPALDNKKCTPPIEASQCHEAEMTDN
+VNQLLLLDPPRKRLVECGSLFKGICALRALSNISLRLFYEDGSGEKSFVASNDEGVATVG
+LVSSTGPGGDRVLFVGKGNGPHDNGIIVSTRLLDRTDSREAFEAYTDHATYKAGYLSTNT
+QQFVAAFEDGPYVFFVFNQQDKHPARNRTLLARMCREDPNYYSYLEMDLQCRDPDIHAAA
+FGTCLAASVAAPGSGRVLYAVFSRDSRSSGGPGAGLCLFPLDKVHAKMEANRNACYTGTR
+EARDIFYKPFHGDIQCGGHAPGSSKSFPCGSEHLPYPLGSRDGLRGTAVLQRGGLNLTAV
+TVAAENNHTVAFLGTSDGRILKVYLTPDGTSSEYDSILVEINKRVKRDLV
+>O15031_PF01437_469 <unknown description>
+CLSYPTCTQCRDSQDPYCGWCVVEGRCTRKAECPRAEEASHWLWSRSKSC
+>O15031_PF17960_525 <unknown description>
+QPQNMSRRAQGEVQLTVSPLPALSEEDELLCLFGESPPHPARVEGEAVICNSPSSIPVTP
+PGQDHVAVTIQLLLRRGNIFLTSYQYPFY
+>O15031_PF01833_803 <unknown description>
+PVITRIQPETGPLGGGIRITILGSNLGVQAGDIQRISVAGRNCSFQPERYSVSTRIVCVI
+EAAETPFTGGVEVDVFGKLGRSPPNVQFTF
+>O15031_PF01833_898 <unknown description>
+LSVEPQQGPQAGGTTLTIHGTHLDTGSQEDVRVTLNGVPCKVTKFGAQLQCVTGPQATRG
+QMLLEVSYGG
+>O15031_PF01833_983 <unknown description>
+PVLRAFEPLRSFASGGRSINVTGQGFSLIQRFAMVVIAEPLQSWQPPREAESLQPMTVVG
+TDYVFHNDTKVVFLSPAVPEEPEAYNLTVLIEMDGHRALLRTEAGAFEY
+>O15031_PF08337_1271 <unknown description>
+GIPVLDYKTYTDRVFFLPSKDGDKDVMITGKLDIPEPRRPVVEQALYQFSNLLNSKSFLI
+NFIHTLENQREFSARAKVYFASLLTVALHGKLEYYTDIMHTLFLELLEQYVVAKNPKLML
+RRSETVVERMLSNWMSICLYQYLKDSAGEPLYKLFKAIKHQVEKGPVDAVQKKAKYTLND
+TGLLGDDVEYAPLTVSVIVQDEGVDAIPVKVLNCDTISQVKEKIIDQVYRGQPCSCWPRP
+DSVVLEWRPGSTAQILSDLDLTSQREGRWKRVNTLMHYNVRDGATLILSKVGVSQQPEDS
+QQDLPGERHALLEEENRVWHLVRPTDEVDEGKSKRGSVKEKERTKAITEIYLTRLLSVKG
+TLQQFVDNFFQSVLAPGHAVPPAVKYFFDFLDEQAEKHNIQDEDTIHIWKTNSLPLRFWV
+NILKNPHFIFDVHVHEVVDASLSVIAQTFMDACTRTEHKLSRDSPSNKLLYAKEISTYKK
+MVEDYYKGIRQMVQVSDQDMNTHLAEISRAHTDSLNTLVALHQLYQYTQKYYDEI
+>Q9ULL4_PF01403_56 <unknown description>
+HLALAPGRGTLYVGAVNRLFQLSPELQLEAVAVTGPVIDSPDCVPFRDPAECPQAQLTDN
+ANQLLLVSSRAQELVACGQVRQGVCETRRLGDVAEVLYQAEDPGDGQFVAANTPGVATVG
+LVVPLPGRDLLLVARGLAGKLSAGVPPLAIRQLAGSQPFSSEGLGRLVVGDFSDYNNSYV
+GAFADARSAYFVFRRRGARAQAEYRSYVARVCLGDTNLYSYVEVPLACQGQGLIQAAFLA
+PGTLLGVFAAGPRGTQAALCAFPMVELGASMEQARRLCYTAGGRGPSGAEEATVEYGVTS
+RCVTLPLDSPESYPCGDEHTPSPIAGRQPLEVQPLLKLGQPVSAVAALQADGHMIAFLGD
+TQGQLYKVFLHGSQGQVYHSQQVGPPGSAISPDLLL
+>Q9ULL4_PF01437_474 <unknown description>
+CPQFPDCASCLQAQDPLCGWCVLQGRCTRKGQCGRAGQLNQWLWSYEEDSHC
+>Q9ULL4_PF17960_533 <unknown description>
+PGHHPRQEQGQVTLSVPRLPILDADEYFHCAFGDYDSLAHVEGPHVACVTPPQDQVPLNP
+PGTDHVTVPLALMFEDVTVAATNFSFY
+>Q9ULL4_PF18020_690 <unknown description>
+PHLVPVGWESHLALRVRNLQHFRGLPASFHCWLELPGELRGLPATLEETAGDSGLIHCQA
+HQFYPSMSQRELPVPIYVTQGEAQRLDNTHALYVILY
+>Q9ULL4_PF01833_835 <unknown description>
+PSIDAVEPLTGPPEGGLALTILGSNLGRAFADVQYAVSVASRPCNPEPSLYRTSARIVCV
+TSPAPNGTTGPVRVAIKSQPPGISSQHFTY
+>Q9ULL4_PF01833_927 <unknown description>
+PVLLSLSPRWGPQAGGTQLTIRGQHLQTGGNTSAFVGGQPCPILEPVCPEAIVCRTRPQA
+APGEAAVLVVFGHAQRTLLASPFRY
+>Q9ULL4_PF01833_1165 <unknown description>
+KPGHVLDVEGEGLNLGISKEEVRVHIGRGECLVKTLTRTHLYCEPPAHAPQPANGSGLPQ
+FVVQMGNVQLALGPVQYE
+>Q9ULL4_PF08337_1327 <unknown description>
+GIPFLDYRTYAERAFFPGHGGCPLQPKPEGPGEDGHCATVRQGLTQLSNLLNSKLFLLTL
+IHTLEEQPSFSQRDRCHVASLLSLALHGKLEYLTDIMRTLLGDLAAHYVHRNPKLMLRRT
+ETMVEKLLTNWLSICLYAFLREVAGEPLYMLFRAIQYQVDKGPVDAVTGKAKRTLNDSRL
+LREDVEFQPLTLMVLVGPGAGGAAGSSEMQRVPARVLDTDTITQVKEKVLDQVYKGTPFS
+QRPSVHALDLEWRSGLAGHLTLSDEDLTSVTQNHWKRLNTLQHYKVPDGATVGLVPQLHR
+GSTISQSLAQRCPLGENIPTLEDGEEGGVCLWHLVKATEEPEGAKVRCSSLREREPARAK
+AIPEIYLTRLLSMKGTLQKFVDDTFQAILSVNRPIPIAVKYLFDLLDELAEKHGIEDPGT
+LHIWKTNSLLLRFWVNALKNPQLIFDVRVSDNVDAILAVIAQTFIDSCTTSEHKVGRDSP
+VNKLLYAREIPRYKQMVERYYADIRQSSPASYQEMNSALAELSGNYTSAPHCLEALQELY
+NHIHRYYDQI
+>O60486_PF01437_454 <unknown description>
+NCNKHKSCSECLTATDPHCGWCHSLQRCTFQGDCVHSENLENWLDISSGAKKCP
+>O60486_PF01833_664 <unknown description>
+IKSIEPQKVSTLGKSNVIVTGANFTRASNITMILKGTSTCDKDVIQVSHVLNDTHMKFSL
+PSSRKEMKDVCIQFDGGNCSSVG
+>O60486_PF01833_754 <unknown description>
+PHCSLIFPATTWISGGQNITMMGRNFDVIDNLIISHELKGNINVSEYCVATYCGFLAPSL
+KSSKVRTNVTVKLRVQDTYLDCGTLQY
+>O60486_PF08337_1013 <unknown description>
+VPFLDYKHFALRTFFPESGGFTHIFTEDMHNRDANDKNESLTALDALICNKSFLVTVIHT
+LEKQKNFSVKDRCLFASFLTIALQTKLVYLTSILEVLTRDLMEQCSNMQPKLMLRRTESV
+VEKLLTNWMSVCLSGFLRETVGEPFYLLVTTLNQKINKGPVDVITCKALYTLNEDWLLWQ
+VPEFSTVALNVVFEKIPENESADVCRNISVNVLDCDTIGQAKEKIFQAFLSKNGSPYGLQ
+LNEIGLELQMGTRQKELLDIDSSSVILEDGITKLNTIGHYEISNGSTIKVFKKI
+>O60486_PF08337_1311 <unknown description>
+SDVEYSDDHCHLILPDSEAFQDVQGKRHRGKHKFKVKEMYLTKLLSTKVAIHSVLEKLFR
+SIWSLPNSRAPFAIKYFFDFLDAQAENKKITDPDVVHIWKTNSLPLRFWVNILKNPQFVF
+DIKKTPHIDGCLSVIAQAFMDAFSLTEQQLGKEAPTNKLLYAKDIPTYKEEVKSYYKAIR
+DLPPLSSSEMEEFLTQESKKHENEFNEEVALTEIYKYIVKYFDEI
+>Q9Y4D7_PF01403_62 <unknown description>
+FALDGAAGTVYLAAVNRLYQLSGANLSLEAEAAVGPVPDSPLCHAPQLPQASCEHPRRLT
+DNYNKILQLDPGQGLVVVCGSIYQGFCQLRRRGNISAVAVRFPPAAPPAEPVTVFPSMLN
+VAANHPNASTVGLVLPPAAGAGGSRLLVGATYTGYGSSFFPRNRSLEDHRFENTPEIAIR
+SLDTRGDLAKLFTFDLNPSDDNILKIKQGAKEQHKLGFVSAFLHPSDPPPGAQSYAYLAL
+NSEARAGDKESQARSLLARICLPHGAGGDAKKLTESYIQLGLQCAGGAGRGDLYSRLVSV
+FPARERLFAVFERPQGSPAARAAPAALCAFRFADVRAAIRAARTACFVEPAPDVVAVLDS
+VVQGTGPACERKLNIQLQPEQLDCGAAHLQHPLSILQPLKATPVFRAPGLTSVAVASVNN
+YTAVFLGTVNGRLLKINLNESMQVVSRRVVTVAYGEPVHHVMQ
+>Q9Y4D7_PF01437_549 <unknown description>
+CNVHSTCGDCVGAADAYCGWCALETRCTLQQDCTNSSQQHFWTSASEGPSRCP
+>Q9Y4D7_PF17960_625 <unknown description>
+GSLPSLSGMEMACDYGNNIRTVARVPGPAFGHQIAYCNLLPRDQFPPFPPNQDHVTVEMS
+VRVNGRNIVKANFTIY
+>Q9Y4D7_PF01437_702 <unknown description>
+CSRTAQVYPHTACTSCLSAQWPCFWCSQQHSCVSNQSRCEASPNPTSPQDCP
+>Q9Y4D7_PF01833_891 <unknown description>
+PEIHAIEPLSGPLDGGTLLTIRGRNLGRRLSDVAHGVWIGGVACEPLPDRYTVSEEIVCV
+TGPAPGPLSGVVTVNASKEGK
+>Q9Y4D7_PF01833_981 <unknown description>
+PLVHSLEPTMGPKAGGTRITIHGNDLHVGSELQVLVNDTDPCTELMRTDTSIACTMPEGA
+LPAPVPVCVRFERRGCVHGNLTF
+>Q9Y4D7_PF01833_1069 <unknown description>
+PVITAISPRRSPVSGGRTITVAGERFHMVQNVSMAVHHIGREPTLCKVLNSTLITCPSPG
+ALSNASAPVDFFING
+>Q9Y4D7_PF08337_1345 <unknown description>
+GIPFLEYKHFVTRTFFPKCSSLYEERYVLPSQTLNSQGSSQAQETHPLLGEWKIPESCRP
+NMEEGISLFSSLLNNKHFLIVFVHALEQQKDFAVRDRCSLASLLTIALHGKLEYYTSIMK
+ELLVDLIDASAAKNPKLMLRRTESVVEKMLTNWMSICMYSCLRETVGEPFFLLLCAIKQQ
+INKGSIDAITGKARYTLSEEWLLRENIEAKPRNLNVSFQGCGMDSLSVRAMDTDTLTQVK
+EKILEAFCKNVPYSQWPRAEDVDLEWFASSTQSYILRDLDDTSVVEDGRKKLNTLAHYKI
+PEGASLAMSLIDKKDNT
+>Q9Y4D7_PF08337_1667 <unknown description>
+DLDTEKYFHLVLPTDELAEPKKSHRQSHRKKVLPEIYLTRLLSTKGTLQKFLDDLFKAIL
+SIREDKPPLAVKYFFDFLEEQAEKRGISDPDTLHIWKTNSLPLRFWVNILKNPQFVFDID
+KTDHIDACLSVIAQAFIDACSISDLQLGKDSPTNKLLYAKEIPEYRKIVQRYYKQIQDMT
+PLSEQEMNAHLAEESRKYQNEFNTNVAMAEIYKYAKRYRPQI
+>O43808_PF00153_10 <unknown description>
+LVHAVAGAVGSVTAMTVFFPLDTARLRLQVDEKRKSKTTHMVLLEIIKEEGLLAPYRGWF
+PVISSLCCSNFVYFYTFNSLKALWVK
+>O43808_PF00153_99 <unknown description>
+STTGKDLVVGFVAGVVNVLLTTPLWVVNTRLKLQGAKFRNEDIVPTNYKGIIDAFHQIIR
+DEGISALWNGTFPSLLLVFNPAIQFMFYEGLKRQLLKK
+>O43808_PF00153_201 <unknown description>
+SSLDVFIIGAVAKAIATTVTYPLQTVQSILRFGRHRLNPENRTLGSLRNILYLLHQRVRR
+FGIMGLYKGLEAKLLQTVLTAALMFLVYEKLT
+>A0A0J9YXQ4_PF14893_1 <unknown description>
+MALAMLRDWCRWMGANAERSLLILGIPDDCKEHEFQEAVRAALSPLGRYRVLTKHFRKEL
+GAKAALVEFAEYLNRSLIPHQIPGNGGPWKVIFLPQVPVIEFQDMPSFPAQPQGQAVAKA
+AGEGG
+>A0A0J9YXQ4_PF14893_290 <unknown description>
+GEAGGTNVTKAWVQPWRCTLQPVLENRAYRELRPFSRREQPGCEEESFESWVEHAKDMLQ
+LWCHASEREKKRWLLESLGGPALEVVSGLLEEDTNLSALDCLAALGQVFRNQDTRMTSRL
+KFLTCTQGPQEGLFAFVVRLEGLLQRAVEKGAVCPALANYLRLQQVLSWARPSEALQDTL
+RGMQLEKRPPGFLGL
+>A0A0J9YX94_PF14893_1 <unknown description>
+MLQDWCRRMGVNAERSLLILDIPDDCEEHEFQEAVRAALSPLGRYRVLIKVFRKELGARA
+ALVEFAEGLNQSLIPRQIAGKGGPWKVISLPQALDAEFQDIPSFPAQPQGQAVARGAGEA
+GAAGEA
+>A0A0J9YX94_PF14893_218 <unknown description>
+AGAAGEAVGAGVVEAWTQSWRQTLRPLVKTMAYRELRPFSGREQPGCVEESFESWLEDAK
+DMLQLWCHASERERRRRLLDSLDGLALDIVSGLLEEDPDFSAQDCLTALGQVFRSRDTWM
+TSRMKFLTCTQGPQEGLFAFVVRLEGLLQKAVEKGAVHPAMANHLRLRQVLSRARPSEAL
+QDTLRRMQLERRPPDFLRLL
+>P40967_PF00801_233 <unknown description>
+LRNQPLTFALQLHDPSGYLAEADLSYTWDFGDSSGTLISRALVVTHTYLEPGPVTAQVVL
+QAAIPLTS
+>Q6P1K2_PF03980_74 <unknown description>
+QQIYDKFIAQLQTSIREEISDIKEEGNLEAVLNALDKIVEEGKVRKEPAWRPSGIPEKDL
+HSVMAPYFLQQRDTLRRHVQKQEAENQQLADAVLAGRR
+>P07738_PF00300_6 <unknown description>
+LIMLRHGEGAWNKENRFCSWVDQKLNSEGMEEARNCGKQLKALNFEFDLVFTSVLNRSIH
+TAWLILEELGQEWVPVESSWRLNERHYGALIGLNREQMALNHGEEQVRLWRRSYNVTPPP
+IEES
+>P07738_PF00300_150 <unknown description>
+DQLPRSESLKDVLERLLPYWNERIAPEVLRGKTILISAHGNSSRALLKHLEGISDEDIIN
+ITLPTGVPILLEL
+>Q8WZA1_PF15711_129 <unknown description>
+RGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDEGSFHLKDT
+AKALLRSLGSQAGPALGWRDTWAFVGRKG
+>Q8WZA1_PF03071_301 <unknown description>
+NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP
+ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY
+CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRRSLYKEELEPKWPTPEKLWDWDMWMR
+MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEA
+YEVEVHRLLSEAEVLDHSKN
+>Q8NAT1_PF04577_266 <unknown description>
+QFARFMTEKLNVSHTGVPLGEEYILVFSRTQNRLILNEAELLLALAQEFQMKTVTVSLED
+HTFADVVRLVSNASMLVSMHGAQLVTTLFLPRGATVV
+>A0A1W2PS18_PF04505_68 <unknown description>
+PNYLCLTIFAILLFPPFGLAALYFSYEGSWTQKPTSMLPPLQTMKANQNSEWEEAYINSG
+RTGWFGAFVVMIGLGIIY
+>P29590_PF00643_127 <unknown description>
+AVCTRCKESADFWCFECEQLLCAKCFEAHQWFLKHEARPL
+>P29590_PF12126_240 <unknown description>
+LDAMTQALQEQDSAFGAVHAQMHAAVGQLGRARAETEELIRERVRQVVAHVRAQERELLE
+AVDARYQRDYEEMASRLGRLDAVLQRIRTGSALVQRMKCYASDQEVLDMHGFLRQALCRL
+RQEEPQSLQAAVRTDGFDEFKVRLQDLSSCITQGKDAAVSKKASPEAASTPRDPIDVDLP
+EEAERVKAQVQALGLAEAQPMAVVQSVPGAHPVPVYAFSIKGPSYGEDVSNTTTAQKRKC
+SQTQCPRKVIKMESEEGKEARLARSSPEQPRPSTSKAVSPPHLDGPPSPRSPVIGSEVFL
+PNSNHVASGAGEAEERVVVISSSEDSDAENS
+>Q92871_PF03332_36 <unknown description>
+AAFLQKLRSRVQIGVVGGSDYCKIAEQLGDGDEVIEKFDYVFAENGTVQYKHGRLLSKQT
+IQNHLGEELLQDLINFCLSYMALLRLPKKRGTFIEFRNGMLNISPIGRSCTLEERIEFSE
+LDKKEKIREKFVEALKTEFAGKGLRFSRGGMISFDVFPEGWDKRYCLDSLDQDSFDTIHF
+FGNETSPGGNDFEIFADPRTVGHSVVSPQDTVQRCREIFF
+>O15305_PF03332_28 <unknown description>
+MDDFLQKLRQKIKIGVVGGSDFEKVQEQLGNDVVEKYDYVFPENGLVAYKDGKLLCRQNI
+QSHLGEALIQDLINYCLSYIAKIKLPKKRGTFIEFRNGMLNVSPIGRSCSQEERIEFYEL
+DKKENIRQKFVADLRKEFAGKGLTFSIGGQISFDVFPDGWDKRYCLRHVENDGYKTIYFF
+GDKTMPGGNDHEIFTDPRTMGYSVTAPEDTRRICELLF
+>Q01453_PF00822_1 <unknown description>
+MLLLLLSIIVLHVAVLVLLFVSTIVSQWIVGNGHATDLWQNCSTSSSGNVHHCFSSSPNE
+WLQSVQATMILSIIFSILSLFLFFCQLFTLTKGGRFYITGIFQILAGLCVMSAAAIYTVR
+HPEWHLNSDYSYGFAYILAWVAFPLALLSGVIY
+>P54277_PF13589_20 <unknown description>
+SVVSVVKELIENSLDAGATSVDVKLENYGFDKIEVRDNGEGIKAVDAPVMAMKYYTSKIN
+SHEDLENLTTYGFRGEALGSICCIAEVLITTRTAADNF
+>P54277_PF01119_211 <unknown description>
+MSVLGTAVMNNMESFQYHSEESQIYLSGFLPKCDADHSFTSLSTPERSFIFINSRPVHQK
+DILKLIRHHYNLKCLKESTRLYPVFFLKIDVPTADVDVNLTPDKSQVLLQNKESVLIALE
+NLMTTC
+>P54277_PF00505_571 <unknown description>
+IKKPMSASALFVQDHRPQFLIENPKTSLEDATLQIEELWKTLSEEEKLKYEEKATKDLER
+YNSQMKR
+>P54278_PF13589_34 <unknown description>
+SLSTAVKELVENSLDAGATNIDLKLKDYGVDLIEVSDNGCGVEEENFEGLTLKHHTSKIQ
+EFADLTQVETFGFRGEALSSLCALSDVTISTCHASAKVGTRLMFDHN
+>P54278_PF01119_265 <unknown description>
+NLFYISGFISQCTHGVGRSSTDRQFFFINRRPCDPAKVCRLVNEVYHMYNRHQYPFVVLN
+ISVDSECVDINVTPDKRQILLQEEKLLLAVLKTSL
+>P54278_PF08676_678 <unknown description>
+IIGQFNLGFIITKLNEDIFIVDQHATDEKYNFEMLQQHTVLQGQRLIAPQTLNLTAVNEA
+VLIENLEIFRKNGFDFVIDENAPVTERAKLISLPTSKNWTFGPQDVDELIFMLSDSPGVM
+CRPSRVKQMFASRACRKSVMIGTAL
+>Q15126_PF04275_14 <unknown description>
+FSGKRKSGKDFVTEALQSRLGADVCAVLRLSGPLKEQYAQEHGLNFQRLLDTSTYKEAFR
+KDMIRWGEEKRQADPGFFCRKIVEGISQPIWLVSDTRRVSDIQWFREAYG
+>Q99640_PF00069_110 <unknown description>
+FQRLSRLGHGSYGEVFKVRSKEDGRLYAVKRSMSPFRGPKDRARKLAEVGSHEKVGQHPC
+CVRLEQAWEEGGILYLQTELCGPSLQQHCEAWGASLPEAQVWGYLRDTLLALAHLHSQGL
+VHLDVKPANIFLGPRGRCKLGDFGLLVELGTAGAGEVQEGDPRYMAPELLQGSYGTAADV
+FSLGLTILEVACNMELPHGGEGWQQLRQGYLPPEFTAGLSSELRSVLVMMLEPDPKLRAT
+AEALLALPV
+>Q6XQN6_PF17767_16 <unknown description>
+LLTDLYQATMALGYWRAGRARDAAEFELFFRRCPFGGAFALAAGLRDCVRFLRAFRLRDA
+DVQFLASVLPPDTDPAFFEHLRALDCSEVTVRALPEGSLAFPGVPLLQVSGPLLVVQLLE
+TPLLCLVS
+>Q6XQN6_PF17956_421 <unknown description>
+KAAFRLLGSDGSPLMDMLQLAEEPVPQAGQELRVWPPGAQEPCTVRPAQVEPLLRLCLQQ
+GQLCEPLPSLAESRALAQLSLSRLSPEHRRLRSPAQYQVVLSERLQAL
+>Q8NA58_PF04857_4 <unknown description>
+GADEFEESLPLLQELVQEADFVGLDIEFTGLRSNLSGPQQISLFDLPSEWYLKTRQSVQQ
+FTVCQIGLSVFSAIEGEANKYIAHSCNFYLFPTTFGILDSEFSFQASSVQFLNQYGFNYN
+KFLKNGIPYMNEEQEKKIRHDILTGNWRVRSSPDKDQIKVVIDEVTRWLELAKEGDWMTL
+PGITGFQAFEVQLVLRQALPNIWTVLKDEGVVVKKVSKQHRWYLQNTSCDRESCWKENIL
+LSARGFSVFFQMLVKAQKPLVGHNMMMDLLHLHEKFFRPLPESYDQFKQNIHSLFPVLID
+TKSVTKDIWKEMNFPRVSNLSEVYEVLNSDLNPTKNSGPEIVHASRCEKYVETKCPHEAA
+YDAFLCGSVL
+>Q8TF01_PF15996_223 <unknown description>
+QIDAVKRRTLPAWIREGLEKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRL
+PQRSKFDSDEEEEDTENVEAASSGKVTRSPSPVPQEEHSDPEMTEEEKEYQMMLLTKMLL
+TEILLDVTDEEIYYVAKDAHRKATKAPAKQLAQSSALASLTGLGGLGGY
+>Q8N490_PF00753_155 <unknown description>
+QASIEKEGVTLVAILCTHKHWDHSGGNRDLSRRHRDCRVYGSPQDGIPYLTHPLCHQDVV
+SVGRLQIRALATPGHTQGHLVYLLDGEPYKGPSCLFSGDLLFLSGCGRTFEGNAETMLSS
+LDTVLGLGDDTLLWPGH
+>Q8N490_PF16123_292 <unknown description>
+EYAEENLGFAGVVEPENLARERKMQWVQRQRLERKGTCPSTLGEERSYNPFLRTHCLALQ
+EALGPGPGPTGDDDYSRAQLLEELRRLKD
+>Q96T60_PF17913_11 <unknown description>
+WLESPPGGAPPIFLPSDGQALVLGRGPLTQVTDRKCSRTQVELVADPETRTVAVKQLGVN
+PSTTGTQELKPGLEGSLGVGDTLYLVNGLHPLTLRWE
+>Q96T60_PF08645_166 <unknown description>
+KVAGFDLDGTLITTRSGKVFPTGPSDWRILYPEIPRKLRELEAEGYKLVIFTNQMSIGRG
+KLPAEEFKAKVEAVVEKLGVPFQVLVATHAGLYRKPVTGMWDHLQEQANDGTPISIGDSI
+FVGDAAGRPANWAPGRKKKDFSCADRLFALNLGLPFATPEEFF
+>Q96T60_PF13671_367 <unknown description>
+VVVAVGFPGAGKSTFLKKHLVSAGYVHVNRDTL
+>Q96T60_PF13671_402 <unknown description>
+WQRCVTTCETALKQGKRVAIDNTNPDAASRARYVQCARAAGVPCRCFLFTATLEQARHNN
+RFREMTDSSHIPVSDMVMYGYRKQFEAP
+>P0CW24_PF14893_1 <unknown description>
+MAVTMLQDWCRWMGVNARRGLLILGIPEDCDDAEFQESLEAALRPMGHFTVLGKAFREED
+NATAALVELDREVNYALVPREIPGTGGPWNVVFVPRCSGEEFLGLGRVFHFPEQEGQMVE
+SVAGALGVGLRRVCWLRSIGQAVQPWVEAVRCQSLGVFSGRDQPAPGEESFEVWLDHTTE
+MLHVWQGVSERERRRRLLEGLRGTALQLVHALLAENPARTAQDCLAALAQVFGDNESQAT
+IRVKCLTAQQQSGERLSAFVLRLEVLLQKAMEKEALARASADRVRLRQMLTRAHLTEPLD
+EALRKLRMAGRSPSFLEML
+>Q86V59_PF14893_5 <unknown description>
+MAMNLLEDWCRGMEVDIHRSLLVTGIPEDCGQAEIEETLNGVLSPLGPYRVLNKIFVREE
+NVKAALIEVGEGVNLSTIPREFPGRGGVWRVVCRDPTQDAEFLKNLNEFLDAEGRTWEDV
+VRLLQLNHPTLSQNQHQPPENWAEALGVLLGAVVQIIFCMDAEIRSREEARAQEAAEFEE
+MAAWALAAGRKVKKEPGLAAEVGSALKAETPNNWNATEDQHEPTKPLVRRAGAKSRSRRK
+KQKKNSRQEAVPWKKPKGINSNSTANLEDPEVGDAESMAISEPIKGSRKPCVNKEELALK
+KPMAKCAWKGPREPPQDARAEAESPGGASESDQDGGHESPPKKKAVAWVSAKNPAPMRK
+>Q9ULN7_PF14893_1 <unknown description>
+MAMSLLQDWCRSLDVDAHRALLVTGIPEGLEQADVEAVLQPTLLPLGTFRLRHMKALMNE
+KAQAALVEFVEDVNHAAIPREIPGKDGVWRVLWKDRAQDTRVLRQMRRLLLDDGPTQAAE
+AGTPGEAPTPPASETQAQD
+>A0A1B0GUJ8_PF14893_8 <unknown description>
+IALLEHGCKALEVDSYKSLMILGIPEDCNHEEFEEIIRLPLKPLGKFEVAGKAYLEEDKS
+KAAIIQLTEDINYAVVPREIKGKGGVWRVVYMPRKQDIEFLTKLNLFLQSEGRTVEDMAR
+VLRQELCPP
+>Q8ND90_PF14893_1 <unknown description>
+MAMTLLEDWCRGMDVNSQRALLVWGIPVNCDEAEIEETLQAAMPQVSYRMLGRMFWREEN
+AKAALLELTGAVDYAAIPREMPGKGGVWKVLFKPPTSDAEFLERLHLFLAREGWTVQDVA
+RVLGFQNPTPTPGPEMPAEMLNYILDNVIQPLVESIWYKRLTLFSGRDIPGPGEETFDPW
+LEHTNEVLEEWQVSDVEKRRRLMESLRGPAADVIRILKSNNPAITTAECLKALEQVFGSV
+ESSRDAQIKFLNTYQNPGEKLSAYVIRLEPLLQKVVEKGAIDKDNVNQARLEQVIAGANH
+SGAIRRQLWLTGAGEGPAPNLFQL
+>Q9UL42_PF14893_1 <unknown description>
+MALALLEDWCRIMSVDEQKSLMVTGIPADFEEAEIQEVLQETLKSLGRYRLLGKIFRKQE
+NANAVLLELLEDTDVSAIPSEVQGKGGVWKVIFKTPNQDTEFLERLNLFLEKEGQTVSGM
+FRALGQEGVSPATVPCISPELLAHLLGQAMAHAPQPLLPMRYRKLRVFSGSAVPAPEEES
+FEVWLEQATEIVKEWPVTEAEKKRWLAESLRGPALDLMHIVQADNPSISVEECLEAFKQV
+FGSLESRRTAQVRYLKTYQEEGEKVSAYVLRLETLLRRAVEKRAIPRRIADQVRLEQVMA
+GATLNQMLWCRLRELKDQGPPPSFLELM
+>Q9UL41_PF14893_1 <unknown description>
+MPLTLLQDWCRGEHLNTRRCMLILGIPEDCGEDEFEETLQEACRHLGRYRVIGRMFRREE
+NAQAILLELAQDIDYALLPREIPGKGGPWEVIVKPRNSDGEFLNRLNRFLEEERRTVSDM
+NRVLGSDTNCSAPRVTISPEFWTWAQTLGAAVQPLLEQMLYRELRVFSGNTISIPGALAF
+DAWLEHTTEMLQMWQVPEGEKRRRLMECLRGPALQVVSGLRASNASITVEECLAALQQVF
+GPVESHKIAQVKLCKAYQEAGEKVSSFVLRLEPLLQRAVENNVVSRRNVNQTRLKRVLSG
+ATLPDKLRDKLKLMKQRRKPPGFLAL
+>Q96PV4_PF14893_1 <unknown description>
+MALTLLEDWCKGMDMDPRKALLIVGIPMECSEVEIQDTVKAGLQPLCAYRVLGRMFRRED
+NAKAVFIELADTVNYTTLPSHIPGKGGSWEVVVKPRNPDDEFLSRLNYFLKDEGRSMTDV
+ARALGCCSLPAESLDAEVMPQVRSPPLEPPKESMWYRKLKVFSGTASPSPGEETFEDWLE
+QVTEIMPIWQVSEVEKRRRLLESLRGPALSIMRVLQANNDSITVEQCLDALKQIFGDKED
+FRASQFRFLQTSPKIGEKVSTFLLRLEPLLQKAVHKSPLSVRSTDMIRLKHLLARVAMTP
+ALRGKLELLDQRGCPPNFLELM
+>P11086_PF01234_15 <unknown description>
+DSAPGQAAVASAYQRFEPRAYLRNNYAPPRGDLCNPNGVGPWKLRCLAQTFATGEVSGRT
+LIDIGSGPTVYQLLSACSHFEDITMTDFLEVNRQELGRWLQEEPGAFNWSMYSQHACLIE
+GKGECWQDKERQLRARVKRVLPIDVHQPQPLGAGSPAPLPADALVSAFCLEAVSPDLASF
+QRALDHITTLLRPGGHLLLIGALEESWYLAGEARLTVVPVSEEEVREALVRSGYKVRDLR
+TYIMPAHLQTGVDDVKGVFFAWAQK
+>Q13519_PF01160_20 <unknown description>
+SCQRDCLTCQEKLHPALDSFDLEVCILECEEKVFPSPLWTPCTKVMA
+>P00491_PF01048_27 <unknown description>
+VAIICGSGLGGLTDKLTQAQIFDYGEIPNFPRSTVPGHAGRLVFGFLNGRACVMMQGRFH
+MYEGYPLWKVTFPVRVFHLLGVDTLVVTNAAGGLNPKFEVGDIMLIRDHINLPGFSGQNP
+LRGPNDERFGDRFPAMSDAYDRTMRQRALSTWKQMGEQRELQEGTYVMVAGPSFETVAEC
+RVLQKLGADAVGMSTVPEVIVARHCGLRVFGFSLITNKVIMDYESLEKANHEEVLAAGKQ
+AAQKLEQFVS
+>Q9NVS9_PF01243_73 <unknown description>
+PDIGEANAMCLATCTRDGKPSARMLLLKGFGKDGFRFFTNFESRKGKELDSNPFASLVFY
+WEPLNRQVRVEGPVKKLPEEE
+>Q9NVS9_PF10590_206 <unknown description>
+WGGYVLYPQVMEFWQGQTNRLHDRIVFRRGLPTGDSPLGPMTHRGEEDWLYERLAP
+>Q8TCS8_PF01138_54 <unknown description>
+RKLEISSGKLARFADGSAVVQSGDTAVMVTAVSKTKPSPSQFMPLVVDYRQKAAAAGRIP
+TNYLRREIGTSDKEILTSRIIDRSIRPLFPAGYFYDTQVLCNLLAVDGVNEPDVLAINGA
+SVALSLSDIP
+>Q8TCS8_PF03725_186 <unknown description>
+GPVGAVRIGIIDGEYVVNPTRKEMSSSTLNLVVAGAPKSQIVMLEASAENILQQDFCHAI
+KVGVK
+>Q8TCS8_PF03726_285 <unknown description>
+KYTHKLAMERLYAVFTDYEHDKVSRDEAVNKIRLDTEEQLKEKFPEADPYEIIESFNVVA
+KEVFRSIVLNEYKRCDGRD
+>Q8TCS8_PF01138_367 <unknown description>
+LRNVSCEVDMFKTLHGSALFQRGQTQVLCTVTFDSLESGIKSDQVITAINGIKDKNFMLH
+YEFPPYATNEIGKVTGLNRRELGHGALAEKALYPVIPRDFPFTIRVTSEVLESNGSSSMA
+SACGGSLALMDSGVP
+>Q8TCS8_PF00013_608 <unknown description>
+ETVQVPLSKRAKFVGPGGYNLKKLQAETGVTISQVDEETFSVFAPTPSAMHEARDFIT
+>Q12796_PF15365_279 <unknown description>
+YAGAKFSDPPSPSVLPKPP
+>Q9NPJ4_PF15365_93 <unknown description>
+YAGAKFSEPPSPSVLPKPP
+>Q8TEM1_PF02368_1078 <unknown description>
+FPPFRLMPRKVTLLIGATMQVTSEGGPQPQSNILFSISNESVALVSAAGLVQGLAIGNGT
+VSGLVQAVDAETGK
+>P14859_PF00157_307 <unknown description>
+DLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLK
+PLLEKWLNDAE
+>P14859_PF00046_403 <unknown description>
+RKKRTSIETNIRVALEKSFLENQKPTSEEITMIADQLNMEKEVIRVWFCNRRQKEKR
+>P14859_PF19536_470 <unknown description>
+SSPIKAIFPSPTSLVATTPSLVTSSAATTLTVSPVLPLTSAAVTNLSVTGTSDTTSNNTA
+TVISTAPPASSAVTSPSLSPSPSASASTSEASSASETSTTQTTSTPLSSPLGTSQVMVTA
+SGLQTAAAAALQGAAQLPANASLAAMAAAAGLNPSLMAPSQFAAGGALLSLNPGTLSGAL
+SPALMSNSTLATIQALASGGSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQNLSLLT
+SNPVSLVSAAAASAGNSAPVASLHATSTSAESIQNSLFTVASA
+>Q9UKI9_PF00157_187 <unknown description>
+DLEELEKFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLK
+PLLEKWLNDAE
+>Q9UKI9_PF00046_282 <unknown description>
+RKKRTSIETNIRLTLEKRFQDNPKPSSEEISMIAEQLSMEKEVVRVWFCNRRQKEKR
+>Q03052_PF00157_252 <unknown description>
+SDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKP
+LLNKWLEETD
+>Q03052_PF00046_340 <unknown description>
+RKKRTSIEVGVKGALESHFLKCPKPSAHEITGLADSLQLEKEVVRVWFCNRRQKEKR
+>P20265_PF00157_267 <unknown description>
+SDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKP
+LLNKWLEEAD
+>P20265_PF00046_355 <unknown description>
+RKKRTSIEVSVKGALESHFLKCPKPSAQEITSLADSLQLEKEVVRVWFCNRRQKEKR
+>P20264_PF00157_319 <unknown description>
+SDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKP
+LLNKWLEEAD
+>P20264_PF00046_407 <unknown description>
+RKKRTSIEVSVKGALESHFLKCPKPSAQEITNLADSLQLEKEVVRVWFCNRRQKEKR
+>Q01851_PF00157_265 <unknown description>
+PRELEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRFESLTLSHNNMIA
+LKPILQAWLEEAE
+>Q01851_PF00046_356 <unknown description>
+KRKRTSIAAPEKRSLEAYFAVQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKR
+>Q12837_PF00157_255 <unknown description>
+PRDLEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRFESLTLSHNNMIA
+LKPILQAWLEEAE
+>Q12837_PF00046_346 <unknown description>
+KRKRTSIAAPEKRSLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKR
+>Q15319_PF00157_184 <unknown description>
+PRELEAFAERFKQRRIKLGVTQADVGAALANLKIPGVGSLSQSTICRFESLTLSHNNMIA
+LKPVLQAWLEEAE
+>Q15319_PF00046_275 <unknown description>
+KRKRTSIAAPEKRSLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKR
+>Q01860_PF00157_143 <unknown description>
+QKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSFKNMCKLRP
+LLQKWVEEAD
+>Q01860_PF00046_231 <unknown description>
+KRKRTSIENRVRGNLENLFLQCPKPTLQQISHIAQQLGLEKDVVRVWFCNRRQKGKR
+>Q8N7G0_PF00157_123 <unknown description>
+LKELQQLAKELRQKRLSLGYSQADVGIAVGALFGKVLSQTTICRFEAQQLSVANMWKLRP
+LLKKWLKEVE
+>Q8N7G0_PF00046_224 <unknown description>
+SLEKFFQRCPKPTPQQISHIAGCLQLQKDVVRVWFYNR
+>Q8NBT0_PF00400_12 <unknown description>
+ERHFKGHRDAVTCVDFSINTKQLASGSMDSCLMVW
+>Q8NBT0_PF00400_56 <unknown description>
+RFTGHKDAVTCVNFSPSGHLLASGSRDKTVRIW
+>Q8NBT0_PF00400_97 <unknown description>
+TVFRAHTATVRSVHFCSDGQSFVTASDDKTVKVW
+>Q8NBT0_PF00400_137 <unknown description>
+FLFSLSQHINWVRCAKFSPDGRLIVSASDDKTVKLWD
+>Q8NBT0_PF00400_178 <unknown description>
+ECVHSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWD
+>Q8NBT0_PF00400_221 <unknown description>
+LLQHYQLHSAAVNGLSFHPSGNYLITASSDSTLKI
+>Q8NBT0_PF00400_261 <unknown description>
+GRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQVMVWK
+>Q8TC44_PF00400_13 <unknown description>
+YFKGHKAAITSLDLSPNGKQLATASWDTFLMLWN
+>Q8TC44_PF00400_56 <unknown description>
+YVGHKDVVTSVQFSPHGNLLASASRDRTVRLW
+>Q8TC44_PF00400_96 <unknown description>
+SEFKAHTAPVRSVDFSADGQFLATASEDKSIKVWS
+>Q8TC44_PF00400_138 <unknown description>
+YSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWD
+>Q8TC44_PF00400_189 <unknown description>
+ANFVDFNPSGTCIASAGSDQTVKVWD
+>Q8TC44_PF00400_219 <unknown description>
+KLLQHYQVHSGGVNCISFHPSGNYLITASSDGTLKI
+>Q8TC44_PF00400_261 <unknown description>
+RLIYTLQGHTGPVFTVSFSKGGELFASGGADTQVLLW
+>Q7Z5L7_PF13306_121 <unknown description>
+RLETLNLQNNRLTSRGLPEKAFEHLTNLNYLYLANNKLTLAPRFLPNALISVDFAANYLT
+KIYGLTFGQKPNLRSVYLHNNKLADAGLPDNMFNGSSNVEVLILSSN
+>Q7Z5L7_PF13855_238 <unknown description>
+PALYKLHLKNNKLEKIPPGAFSELSSLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSS
+NNL
+>Q7Z5L7_PF13855_380 <unknown description>
+RRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSPQVHRDAFRKLRLLRSLDLSG
+NRL
+>Q7Z5L7_PF13855_451 <unknown description>
+RNVHVLKVKRNELAALARGALVGMAQLRELYLTSNRLRSRALGPRAWVDLAHLQLLDIAG
+NQL
+>Q7Z5L7_PF13855_523 <unknown description>
+SLEYLYLQNNKISAVPANAFDSTPNLKGIFLRFNKLAVGSVVDSAFRRLKHLQVLDIEGN
+>Q9NP85_PF01145_127 <unknown description>
+VVQEYERVIIFRLGHLLPGRAKGPGLFFFLPCLDTYHKVDLRLQTLEIPFHEIVTKDMFI
+MEIDAICYYRMENASLLLSSLAHVSKAVQFLVQTTMKRLLAHRSLTEILLERKSIAQDAK
+VALDSVTCIWGIKVERIEIKDVRLPAGLQHSLAVEAEAQRQAKVRMIAAEAEK
+>O00592_PF06365_358 <unknown description>
+VLNLTGNTLCAGGASDEKLISLICRAVKATFNPAQDKCGIRLASVPGSQTVVVKEITIHT
+KLPAKDVYERLKDKWDELKEAGVSDMKLGDQGPPEEAEDRFSMPLIITIVCMASFLLLVA
+ALYGCCHQRLSQRKDQQRLTEELQTVENGYHDNPTLEVMETSSEMQEKKVVSLNGELGDS
+WIVPLDNLTKDDLDEEEDTHL
+>Q9P215_PF01352_49 <unknown description>
+FDEVAIYFSDEEWEVLTEQQKALYREVMRMNYETVLSL
+>Q9P215_PF09607_195 <unknown description>
+GMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEKNVRDWRKVKPQLQNAHAM
+>Q9P215_PF03221_260 <unknown description>
+ALVDQRVAEYVRYMQAKGDPITREAMQLKALEIAQEMNIPEKGFKASLGWCRRMMRRYDL
+SLR
+>Q9P215_PF03184_396 <unknown description>
+LKITAMLGVLADGRKLPPYIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWR
+RRTGAVPKQRGMLILNGFRGHATDSVKNSMESMNTDMVIIPGGLTSQLQVLDVVVYKPLN
+DSVRAQYSNWLLAGNLALSPTGNAKKPPLGLFLEWVMVAWNSISSESIVQGF
+>Q7Z3K3_PF03221_1026 <unknown description>
+EAEEKLAEWVLTQREQQLPVNEETLFQKATKIGRSLEGGFKISYEWAVRFMLRHHLT
+>Q7Z3K3_PF03184_1160 <unknown description>
+VLAILADGTVLPTLVFYRGQMDQPANMPDSILLEAKESGYSDDEIMELWSTRVWQKHTAC
+QRSKGMLVMDCHRTHLSEEVLAMLSASSTLPAVVPAGCSSKIQPLDVCIKRTVKNFLHKK
+WKEQARE
+>Q9Y253_PF00817_12 <unknown description>
+VDMDCFFVQVEQRQNPHLRNKPCAVVQYKSWKGGGIIAVSYEARAFGVTRSMWADDAKKL
+CPDLLLAQVRESRGKANLTKYREASVEVMEIMSRFAVIERASIDEAYVDLTSAVQERLQK
+LQGQPISADLLPSTYIEGLPQGPTTAEETVQKEGMRKQGLFQWLDSLQIDNLTSPDLQLT
+VGAVIVEEMRAAIERETGFQCSAGISHNKVLAKLAC
+>Q9Y253_PF11799_316 <unknown description>
+PKTIGCSKNFPGKTALATREQVQWWLLQLAQELEERLTKDRNDNDRVATQLVVSIRVQGD
+KRLSSLRRCCALTRYDAHKMSHDAFTVIKNCNTSGIQTEWSPPLTMLFLCATKFSASAPS
+SST
+>Q9Y253_PF18439_631 <unknown description>
+DQVPCEKCGSLVPVWDMPEHMDYHFALELQK
+>Q9UNA4_PF00817_58 <unknown description>
+VDLDCFYAQVEMISNPELKDKPLGVQQKYLVVTCNYEARKLGVKKLMNVRDAKEKCPQLV
+LVNGEDLTRYREMSYKVTELLEEFSPVVERLGFDENFVDLTEMVEKRLQQLQSDELSAVT
+VSGHVYNNQSINLLDVLHIRLLVGSQIAAEMREAMYNQLGLTGCAGVASNKLLAKLVS
+>Q9UNA4_PF11799_324 <unknown description>
+PQSFSEEDSFKKCSSEVEAKNKIEELLASLLNRVCQDGRKPHTVRLIIRRYSSEKHYGRE
+SRQCPIPSHVIQKLGTGNYDVMTPMVDILMKLFRNMVNVKMPFHLTLLSVCFCNL
+>Q5K4E3_PF00089_47 <unknown description>
+IVGGSNAQPGTWPWQVSLHHGGGHICGGSLIAPSWVLSAAHCFMTNGTLEPAAEWSVLLG
+VHSQDGPLDGAHTRAVAAIVVPANYSQVELGADLALLRLASPASLGPAVWPVCLPRASHR
+FVHGTACWATGWGDVQEADPLPLPWVLQEVELRLLGEATCQCLYSQPGPFNLTLQILPGM
+LCAGYPEGRRDTCQGDSGGPLVCEEGGRWFQAGITSFGFGCGRRNRPGVFTAVATYEAWI
+>Q5K4E3_PF00089_326 <unknown description>
+GKAPRPGAWPWEAQVMVPGSRPCHGALVSESWVLAPASCFLDPNSSDSPPRDLDAWRVLL
+PSRPRAERVARLVQHENASWDNASDLALLQLRTPVNLSAASRPVCLPHPEHYFLPGSRCR
+LARWGRGEP
+>Q5K4E3_PF00089_601 <unknown description>
+WPWLAEVHVAGDRVCTGILLAPGWVLAATHCVLRPGSTTVPYIEVYLGRAGASSLPQGHQ
+VSRLVISIRLPQHLGLRPPLALLELSSRVEPSPSALPICLHPAGIPPGASCWVLGWKEPQ
+DRVPVAAAVSILTQRICDCLYQGILPPGTLCVLYAEGQENRCEMTSAPPLLCQMTEGSWI
+LVGMAVQG
+>Q9Y244_PF05348_26 <unknown description>
+ESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQDKMNFSTLRNIQGLFAPLKLQMEFKA
+VQQVQRLPFLSSSNLSLDVLRGNDETIGFEDILNDPSQSEVMGEPHLMVEYKL
+>Q9Y6A1_PF02366_20 <unknown description>
+VALTGMGLLSRLWRLTYPRAVVFDEVYYGQYISFYMKQIFFLDDSGPPFGHMVLALGGYL
+GGFDGNFLWNRIGAEYSSNVPVWSLRLLPALAGALSVPMAYQIVLELHFSHCAAMGAALL
+MLIENALITQSRLMLLESVLIFFNLLAVLSYLKFFNCQKHSPFSLSWWFWLTLTGVACSC
+AVGIKYMGVFTYVLVLGVAAVHAWHLLGDQTLSNVCVFCHLLARAVALLVIPVVLYLLFF
+YVHLILVF
+>Q9Y6A1_PF02815_319 <unknown description>
+LHSHQDTYPMIYENGRGSSHQQQVTCYPFKDVNNWWIVKDPRRHQLVVSSPPRPVRHGDM
+VQLVHGMTTRSLNTHDVAAPLSPHSQEVSCYIDYNISMPAQNLWRLEIVNRGSDTDVWKT
+ILSEVRFVHVNTSAVLKLSGAHLPDWGYRQLEIV
+>Q9Y6A1_PF16192_520 <unknown description>
+FMARFSELQWRMLALRSDDSEHKYSSSPLEWVTLDTNIAYWLHPRTSAQIHLLGNIVIWV
+SGSLALAIYALLSLWYLLRRRRNVHDLPQDAWLRWVLAGALCAGGWAVNYLPFFLMEKTL
+FLYHYLPALTFQILLLPVVLQHISDHLCRSQLQRSIFSALVVAWYSSACHVSNTLRPLTY
+GDKSLSPHELKALRWKDSW
+>Q9UKY4_PF02366_63 <unknown description>
+LVTLLSFATRFHRLDEPPHICWDETHFGKMGSYYINRTFFFDVHPPLGKMLIGLAGYLSG
+YDGTFLFQKPGDKYEHHSYMGMRGFCAFLGSWLVPFAYLTVLDLSKSLSAALLTAALLTF
+DTGCLTLSQYILLDPILMFFIMAAMLSMVKYNSCADRPFSAPWWFWLSLTGVSLAGALGV
+KFVGLFIILQVGLNTIADLWYLFGDLSLSLVTVGKHLTARVLCLIVLPLALYTATFAVHF
+MVL
+>Q9UKY4_PF02815_354 <unknown description>
+YLHSHRHLYPEGIGARQQQVTTYLHKDYNNLWIIKKHNTNSDPLDPSFPVEFVRHGDIIR
+LEHKETSRNLHSHYHEAPMTRKHYQVTGYGINGTGDSNDFWRIEVVNRKFGNRIKVLRSR
+IRFIHLVTGCVLGSSGKVLPKWGWEQLEVTCTP
+>Q9UKY4_PF16192_541 <unknown description>
+ILLESHMVMIRGNSGLKPKDNEFTSKPWHWPINYQGLRFSGVNDTDFRVYLLGNPVVWWL
+NLLSIALYLLSGSIIAVAMQRGARLPAEVAGLSQVLLRGGGQVLLGWTLHYFPFFLMGRV
+LYFHHYFPAMLFSSMLTGILWDTLLRLCAWGLASWPLARGIHVAGILSLLLGTAYSFYLF
+HPLAYGMVGPLAQDPQSPMAGLRWLDSW
+>P27169_PF01731_168 <unknown description>
+NDIVAVGPEHFYGTNDHYFLDPYLQSWEMYLGLAWSYVVYYSPSEVRVVAEGFDFANGIN
+ISPDGKYVYIAELLAHKIHVYEKHAN
+>Q15165_PF01731_167 <unknown description>
+NDITAVGPAHFYATNDHYFSDPFLKYLETYLNLHWANVVYYSPNEVKVVAEGFDSANGIN
+ISPDDKYIYVADILAHEIHVLEKHTN
+>Q15166_PF01731_167 <unknown description>
+NDIVVLGPEQFYATRDHYFTNSLLSFFEMILDLRWTYVLFYSPREVKVVAKGFCSANGIT
+VSADQKYVYVADVAAKNIHIMEKHDN
+>Q99575_PF06978_107 <unknown description>
+FAQARAAEISAMLKAVTQKSSNSLVFQTLPRHMRRRAMSHNVKRLPRRLQEIAQKEAEKA
+VHQKKEHSKNKCHKAR
+>Q99575_PF06978_167 <unknown description>
+VHQKKEHSKNKCHKARRCHMNRTLEFNRRQKKNIWLETHIWHAKRFHMVKKWGYCLGERP
+TVKSHRACYRAMTNRCLLQDLSYYCCLELKG
+>Q99575_PF08170_617 <unknown description>
+GWDVLLPKGWGMAFWIPFIYRGVRVGGLKESAVHSQYKRSPNVPGDFPDCPAGMLFAEEQ
+AKNLLEKYKRRPPAKRPNYVKLGTLAPFCCPW
+>Q969H6_PF01900_7 <unknown description>
+RYLLCELVSDDPRCRLSLDDRVLSSLVRDTIARVHGTFGAAACSIGFAVRYLNAYTGIVL
+LRCRKEFYQLVWSALPFITYLENKGHRYPCFFNTLHVGGTIRTCQKFLI
+>O75817_PF12328_33 <unknown description>
+RPNDIYVNMKTDFKAQLARCQKLLDGGARGQNACSEIYIHGLGLAINRAINIALQLQAGS
+FGSLQVAANTSTVELVDELEPETDTREPLTRIRNNSAIHIR
+>Q8NE79_PF04831_41 <unknown description>
+HHLVFHVANICFAVGLVIPTTLHLHMIFLRGMLTLGCTLYIVWATLYRCALDIMIWNSVF
+LGVNILHLSYLLYKKRPVKIEKELSGMYRRLFEPLRVPPDLFRRLTGQFCMIQTLKKGQT
+YAAEDKTSVDDRLSILLKGKMKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTII
+ADDNCRFLCWSRERLTYFLESEPFLYEIFRYLIGKDITNKLYSLND
+>Q9HBV1_PF04831_26 <unknown description>
+EGAIYHLASILFVVGFMGGSGFFGLLYVFSLLGLGFLCSAVWAWVDVCAADIFSWNFVLF
+VICFMQFVHIAYQVRSITFAREFQVLYSSLFQPLGISLPVFRTIALSSEVVTLEKEHCYA
+MQGKTSIDKLSLLVSGRIRVTVDGEFLHYIFPLQFLDSPEWDSLRPTEEGIFQVTLTAET
+DCRYVSWRRKKLYLLFAQHRYISRLFSVLIGSDIADKLYALND
+>Q9H237_PF03062_63 <unknown description>
+SLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTWHK
+MRGAQMIVAMKAVSLGFDLDRGEVGTVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAVQG
+RPLSCRWLQKVARSLALALLCLVLSTCVGPYLFPYFIPLNGDRLLRNKKRKARGTMVRWL
+RAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSKPLNVELPRSMVEVV
+TSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFI
+>Q9H8P0_PF02544_199 <unknown description>
+ARWFHILGMMMFIWSSAHQYKCHVILGNLRKNKAGVVIHCNHRIPFGDWFEYVSSPNYLA
+ELMIYVSMAVTFGFHNLTWWLVVTNVFFNQALSAFLSHQFYKSKFVSYPKHRKAFLPFLF
+>Q8N131_PF05283_102 <unknown description>
+MVSTNMTSTTLKSTPKTTSVSQNTSQISTSTMTVTHNSSVTSAASSVTITTTMHSEAKKG
+SKFDTGSFVGGIVLTLGVLSILYIGCKMYYS
+>Q15063_PF02469_111 <unknown description>
+TTTQRYSDASKLREEIEGKGSFTYFAPSNEAWDNLDSDIRRGLESNVNVELLNALHSHMI
+NKRMLTKDLKNGMIIPSMYNNLGLFINHYPNGVVTVNCARIIHGNQIATNGVVHVIDRVL
+T
+>Q15063_PF02469_246 <unknown description>
+DLSSFRAAAITSDILEALGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASEALMKYHI
+LNTLQCSESIMGGAVFETLEGNTIEIGCDGDSITVNGIKMVNKKDIVTNNGVIHLIDQVL
+IP
+>Q15063_PF02469_381 <unknown description>
+QTTFTDLVAQLGLASALRPDGEYTLLAPVNNAFSDDTLSMDQRLLKLILQNHILKVKVGL
+NELYNGQILETIGGKQLRVFVYRTAVCIENSCMEKGSKQGRNGAIHIFREIIKP
+>Q15063_PF02469_508 <unknown description>
+RFSTFLSLLEAADLKELLTQPGDWTLFVPTNDAFKGMTSEEKEILIRDKNALQNIILYHL
+TPGVFIGKGFEPGVTNILKTTQGSKIFLKEVNDTLLVNELKSKESDIMTTNGVIHVVDKL
+LYP
+>H3BUK9_PF12796_106 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECVLMLLEHGADGNIQD
+>H3BUK9_PF12796_206 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQEVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVNLLLEQNVDVSSQD
+>H3BUK9_PF14915_489 <unknown description>
+NSMLREEIAKLRLELDETKHQNQLRENKILEEIESVKEKLLKTIQLNEEALTKT
+>A0JP26_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECVLMLLEHGADGNIQD
+>A0JP26_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVNLLLEQNVDVSSQD
+>A0JP26_PF14915_526 <unknown description>
+NSMLREEIAMLRLELDETKHQNQLRENKILEEIESVKEKLLKAIQLNEEALTKT
+>Q9NUX5_PF02765_11 <unknown description>
+YTPLNQLKGGTIVNVYGVVKFFKPPYLSKGTDYCSVVTIVDQTNVKLTCLLFSGNYEALP
+IIYKNGDIVRFHRLKIQVYKKETQGITSSGFASLTFEGTLGAPIIPRTSSKYFNFTTEDH
+KMVEALRVWAS
+>Q9NUX5_PF16686_152 <unknown description>
+KLCDVQPMQYFDLTCQLLGKAEVDGASFLLKVWDGTRTPFPSWRVLIQDLVLEGDLSHIH
+RLQNLTIDILVYDNHVHVARSLKVGSFLRIYSLHTKLQSMNSENQTMLSLEFHLHGGTSY
+GRGIRVLPESNSDVDQLKKDLESAN
+>A0A0A6YYL3_PF12796_106 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECVLMLLEHGADGNIQD
+>A0A0A6YYL3_PF12796_206 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQEVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVNLLLEQNVDVSSQD
+>A0A0A6YYL3_PF14915_489 <unknown description>
+NSMLREEIAKLRLELDETKHQNQLRENKILEEIESVKEKLLKTIQLNEEALTKT
+>B2RU33_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECVLMLLEHGADQNIPD
+>B2RU33_PF12796_243 <unknown description>
+LHYAVHNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVNLLLEQNVDVSSQD
+>Q86YR6_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDMNKRDKEKRTALHLASANGNSEVVQLLLDRRCQLNVL
+DNKKRTALIKAIQCQEDECVLMLLEHGADRNIP
+>Q86YR6_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNVLD
+RYGRTALILAVCCGSASIVNLLLEQNVDVSSQD
+>Q86YR6_PF14915_526 <unknown description>
+NSVLQEEIAMLRLELDETKHQNQLRENKILEEIESVKEKTDKLLRAMQLNEEALTKT
+>Q6S8J3_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDVNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECALMLLEHGTDPNIPD
+>Q6S8J3_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>Q6S8J3_PF14915_648 <unknown description>
+NSTLREEIAMLRLELDTMKHQSQLREKKYLEDIESVKKKNDNLLKALQLNELTMD
+>Q6S8J3_PF00022_703 <unknown description>
+DDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKR
+GILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQI
+MFETFNTPAMYVAIQAVPSLYTSGRTTGIVMDSGDGVTHTVPIYEGNALPHATLRLDLAG
+RELPDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYEL
+PDGQVITIGNERFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGG
+TTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKCF
+>A5A3E0_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLRDTDVNKQDKQKRTALHLASANGNSEVVKLLLDRRCQLNVL
+DNKKRTALIKAVQCQEDECALMLLEHGTDPNIPD
+>A5A3E0_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>A5A3E0_PF14915_648 <unknown description>
+NSTLREEIAMLRLELDTMKHQSQLREKKYLEDIESVKKRNDNLLKALQLNELTMD
+>A5A3E0_PF00022_703 <unknown description>
+DDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKR
+GILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPVLLTEATLNPKANREKMTQI
+MFETFNTPAMYVAIQAVLSLYTSGRTTGIVMDSGDGVTHTVPIYEGNALPHATLRLDLAG
+RELPDYLMKILTEHGYRFTTMAEREIVRDIKEKLCYVALDFEQEMATVASSSSLEKSYEL
+PDGQVITIGNERFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGG
+TTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKC
+>Q6S5H5_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNIL
+DNKKRTALTKAVQCREDECALMLLEHGTDPNIPD
+>Q6S5H5_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>Q6S545_PF12796_180 <unknown description>
+LHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNIL
+DNKKRTALTKAVQCQEDECALMLLEHGTDPNIPD
+>Q6S545_PF12796_280 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>P0CG38_PF12796_143 <unknown description>
+LHRAAWWGKVARKDLIVMLRDTDVNKQDKQKRTALHLASANGNSGVVKLLLDRRCQLNVL
+DNKKRTALTKAVQCQEDECALMLLEHGTDPNIPD
+>P0CG38_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>P0CG38_PF14915_648 <unknown description>
+NSTLREEIAMLRLELDTMKHQSQLRKKKYLEDIESVKKKNDNLLKALQLNELTMD
+>P0CG38_PF00022_703 <unknown description>
+DDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKR
+GILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQI
+MFETFNTPAMYVAIQAMLSLYTSGRTTGIVMDSGDGVTHTVPIYDGNALPHATLRLDLAG
+RELTDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMAMAASSSSLEKSYEL
+PDGQVITIGNEWFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGG
+TTMYPGMAHRMQKEIAALAPSMLKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKCF
+>P0CG39_PF12796_106 <unknown description>
+LHRAAWWGKVARKDLIVMLRDTDVNKQDKQKRTALHLASANGNSGVVKLLLDRRCQLNVL
+DNKKRTALTKAVQCQEDECALMLLEHGTDPNIPD
+>P0CG39_PF12796_206 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTALILAVCCGSASIVSLLLEQNIDVSSQD
+>P0CG39_PF14915_611 <unknown description>
+NSMLREEIAMLRLELDTMKHQSQLRKKKYLEDIESVKKKNDNLLKALQLNELTMD
+>P0CG39_PF00022_666 <unknown description>
+DDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGCPRQQGMMGGMHQKESYVGKEAQSKR
+GILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQI
+MFETFNTPAMYVAIQAMLSLYTSGRTTGIVMDSGDGVTHTVPIYDGNALPHATLRLDLAG
+RELTDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMAMVASSSSLEKSYEL
+PDGQVITISNEWFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGG
+TTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEY
+DESGPSIVHRKCF
+>A6NI47_PF12796_143 <unknown description>
+LHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNIL
+DNKKRTALTKAVQCQEDECALMLLEHGTDPNIPD
+>A6NI47_PF12796_243 <unknown description>
+LHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALD
+RYGRTVLILAVCCGSASIVSLLLEQNIDVSSQD
+>Q6PJE2_PF00100_76 <unknown description>
+SCLVDGLTDASSAFKVPRPGPDTLQFTVDVFHFANDSRNMIYITCHLKVTLAEQDPDELN
+KACS
+>Q9BZL4_PF12796_95 <unknown description>
+DSTNADGISALHQACIDENLEVVRFLVEQGATVNQADNEGWTPLHVAASCGYLDIARYLL
+SHGANIA
+>Q9BZL4_PF12796_223 <unknown description>
+HPRTGASALHVAAAKGYIEVMRLLLQAGYDPELRDGDGWTPLHAAAHWGVEDACRLLAEH
+G
+>Q9BZL4_PF15898_683 <unknown description>
+FRTLYAELRRENERLREALTETTLRLAQLKVELERATQRQERFAERPALLELERFERRAL
+ERKAAELEEELKALSDLRADNQRLKDENAALIRVISKLSK
+>B7ZBB8_PF03370_213 <unknown description>
+LQRQRVCLERVQCSTASGAEVKGSGRVLSCPGPRAVTVRYTFTEWRSFLDVPAELQPEPL
+EPQQPEAPSGASEPGSGDAKKEPGAECFHFSLCLPPGLQPEDEEDADERGVAVHFAVCYR
+CAQGEYWDNNAGANYTL
+>Q9UHV8_PF00337_7 <unknown description>
+PYKLPVSLSVGSCVIIKGTPIHSFINDPQLQVDFYTDMDEDSDIAFRFRVHFGNHVVMNR
+REFGIWMLEETTDYVPFEDGKQFELCIYVHYNEYEIKVNGIRIYGFVHRIPPSFVKMVQV
+SRDISLTSV
+>Q96A00_PF05361_1 <unknown description>
+MAAQRLGKRVLSKLQSPSRARGPGGSPGGLQKRHARVTVKYDRRELQRRLDVEKWIDGRL
+EELYRGMEADMPDEINIDELLELESEEERSRKIQGLLKSCGKPVEDFIQELLAKLQGLHR
+QPGLRQ
+>Q96C90_PF05361_50 <unknown description>
+VRRQGKVTVKYDRKELRKRLNLEEWILEQLTRLYDCQEEEIPELEIDVDELLDMESDDAR
+AARVKELLVDCYKPTEAFISGLLDKIRGMQKLST
+>Q8TAE6_PF05361_60 <unknown description>
+QQQQQRRHQQGKVTVKYDRKELRKRLVLEEWIVEQLGQLYGCEEEEMPEVEIDIDDLLDA
+DSDEERASKLQEALVDCYKPTEEFIKELLSRIRGMRKLSPPQ
+>Q9NXH3_PF05361_27 <unknown description>
+SGRRRTSSTDSESKSHPDSSKIPRSRRPSRLTVKYDRGQLQRWLEMEQWVDAQVQELFQD
+QATPSEPEIDLEALMDLSTEEQKTQLEAILGNCPRPTEAFISELLSQLKKLRR
+>Q96I34_PF12796_77 <unknown description>
+EAAARNDLEEVRQFLGSGVSPDLANEDGLTALHQCCIDDFREMVQQLLEAGANINACDSE
+CWTPLHAAATCGHLHLVELLIASGANL
+>Q96I34_PF12796_221 <unknown description>
+AGADLHAPLDHGATLLHVAAANGFSEAAALLLEHRASLSAKDQDGWEPLHAAAYWGQVPL
+VELLVAHGADLNAK
+>Q96T49_PF12796_74 <unknown description>
+EASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLLLSHGANVNAKDNE
+LWTPLHAAATCGHINLVKILVQYGADL
+>Q96T49_PF12796_216 <unknown description>
+IAAGQDLDWIDAQGATLLHIAGANGYLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQM
+QMAELLVSHGASLSA
+>P62136_PF16891_9 <unknown description>
+LDSIIGRLLEVQGSRPGKNVQLTENEIRGLCLKSREIFLSQPILLELE
+>P62136_PF00149_60 <unknown description>
+KICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFF
+LLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPD
+LQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVQGWGENDRGVSFTFGAEVVAKFLHKH
+DLDLICRAHQV
+>P62140_PF16891_8 <unknown description>
+VDSLITRLLEVRGCRPGKIVQMTEAEVRGLCIKSREIFLSQPILLELE
+>P62140_PF00149_59 <unknown description>
+KICGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFF
+LLRGNHECASINRIYGFYDECKRRFNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPD
+LQSMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGADVVSKFLNRH
+DLDLICRAHQV
+>P36873_PF16891_9 <unknown description>
+IDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELE
+>P36873_PF00149_60 <unknown description>
+KICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFF
+LLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPD
+LQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKH
+DLDLICRAHQV
+>Q15435_PF14580_123 <unknown description>
+RELDLYDNQIKKIENLEALTELEILDISFNLLRNIEGVDKLTRLKKLFLVNNKISKIENL
+SNLHQLQMLELGSNRIRAIENIDTLTNLESL
+>Q15435_PF14580_259 <unknown description>
+LSHNGIEVIEGLENNNKLTMLDIASNRIKKIENISHLTELQEFWMNDNLLESWSDLDELK
+GARSLETVYLERNPLQKDPQYRRKVMLALPSVRQIDATF
+>Q12972_PF00498_49 <unknown description>
+YLFGRNPDLCDFTIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQ
+IPIDSTVSFG
+>Q96QC0_PF08711_93 <unknown description>
+QILLTLQHLPLTVDHLKQNNTAKLVKQLSKSSEDEELRKLASVLVSDWMAV
+>Q96QC0_PF00642_909 <unknown description>
+RPVCRHFMMKGNCRYENNCAFYHP
+>O60927_PF07491_32 <unknown description>
+LRKRKPEKKVEWTSDTVDNEHMGRRSSKCCCIYEKPRAFGESSTESDEE
+>P67775_PF00149_51 <unknown description>
+PVTVCGDVHGQFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRER
+ITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGL
+SPSIDTLDHIRALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNH
+ANGLTLVSRAHQ
+>P62714_PF00149_51 <unknown description>
+PVTVCGDVHGQFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPER
+ITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGL
+SPSIDTLDHIRALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNH
+ANGLTLVSRAHQ
+>Q08209_PF00149_84 <unknown description>
+PVTVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYVDRGYFSIECVLYLWALKILYPKT
+LFLLRGNHECRHLTEYFTFKQECKIKYSERVYDACMDAFDCLPLAALMNQQFLCVHGGLS
+PEINTLDDIRKLDRFKEPPAYGPMCDILWSDPLEDFGNEKTQEHFTHNTVRGCSYFYSYP
+AVCEFLQHNNLLSILRAHEAQ
+>P16298_PF00149_93 <unknown description>
+PITVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYVDRGYFSIECVLYLWVLKILYPST
+LFLLRGNHECRHLTEYFTFKQECKIKYSERVYEACMEAFDSLPLAALLNQQFLCVHGGLS
+PEIHTLDDIRRLDRFKEPPAFGPMCDLLWSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYP
+AVCEFLQNNNLLSIIRAHEAQ
+>P48454_PF00149_80 <unknown description>
+PITVCGDIHGQFFDLMKLFEVGGSPSNTRYLFLGDYVDRGYFSIECVLYLWSLKINHPKT
+LFLLRGNHECRHLTDYFTFKQECRIKYSEQVYDACMETFDCLPLAALLNQQFLCVHGGMS
+PEITSLDDIRKLDRFTEPPAFGPVCDLLWSDPSEDYGNEKTLEHYTHNTVRGCSYFYSYP
+AVCEFLQNNNLLSIIRAHEAQ
+>A8MPX8_PF00481_176 <unknown description>
+DRNSTWKADMNDKFTVVSNFGNKPNVCFFGLFDGHHGASAAELTSMELPVLLLHQLSKFD
+PSYQMTTDEQQIINSFYTVFREEYAAIEDLFSAINKTEAVRCEYEDTHKAFAKAFWRMDR
+LLGLGRKEVSRVQWSGCSAVTCILEGKPKSPYAHKNWKRKNTHDGLAESSPSQEMPKIIS
+GILHVANTGNVQAVLCRNGKGFCLTKEHTTRNTNERRRILQNGAVISSNEPYGLVEGQVK
+TTRGLGFHGNLKLKKSIIPAPQTISVPIDDLCQFLIVATNGLWEVLDKEEVTALAMT
+>P60510_PF00149_48 <unknown description>
+PVTVCGDIHGQFYDLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPDR
+ITLIRGNHESRQITQVYGFYDECLRKYGSVTVWRYCTEIFDYLSLSAIIDGKIFCVHGGL
+SPSIQTLDQIRTIDRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDVVAQFNA
+ANDIDMICRAHQ
+>Q86T03_PF09788_4 <unknown description>
+DGERSPLLSEPIDGGAGGNGLVGPGGSGAGPGGGLTPSAPPYGAAFPPFPEGHPAVLPGE
+DPPPYSPLTSPDSGSAPMITCRVCQSLINVEGKMHQHVVKCGVCNEATPIKNAPPGKKYV
+RCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPMGVRVICGHCKNTFLW
+TEFTDRTLARCPHCRKVSSIGRRYPRKRCICCFLLGLLLAVTATGLAFGTWKHARRYGGI
+YAAWAFVILLAVLCLGRALYWACMKVS
+>Q8N4L2_PF09788_8 <unknown description>
+ERSPLLSASHSGNVTPTAPPYLQESSPRAELPPPYTAIASPDASGIPVINCRVCQSLINL
+DGKLHQHVVKCTVCNEATPIKNPPTGKKYVRCPCNCLLICKDTSRRIGCPRPNCRRIINL
+GPVMLISEEQPAQPALPIQPEGTRVVCGHCGNTFLWMELRFNTLAKCPHCKKISSVGSAL
+PRRRCCAYITIGMICIFIGVGLTVGTPDFARRFRATYVSWAIAYLLGLICLIRACYWGAI
+RVS
+>Q8TF05_PF02985_213 <unknown description>
+ILPRFCEMCCDCRMFHVRKVCAANFGDICSV
+>Q8TF05_PF02985_253 <unknown description>
+LLPRFFQLCSDNVWGVRKACAECFMA
+>Q8TF05_PF15017_453 <unknown description>
+YNSFHFWRTPLPEIDLDIELEQNSGGKPSPEGPEEESE
+>Q9NY27_PF09184_7 <unknown description>
+QEALKDFEKRGKKEVCPVLDQFLCHVAKTGETMIQWSQFKGYFIFKLEKVMDDFRTSAPE
+PRGPPNPNVEYIPFDEMKERILKIVTGFNGIPFTIQRLCELLTDPRRNYTGTDKFLRGVE
+KNVMVVSCVYPSSEKNNSNSLNRMNGVMFPGNSPSYTERSNINGPGTPRPLNRPKVSLSA
+PMTTNGLPESTDSKEANLQQNEEKNHSDSSTSESEVSSVSPLKNKHPDEDAVEAEGHEVK
+RLRFDKEGEVRETASQTTSSEISSVMVGETEASSSSQDKDKDSRCTRQHCTEEDEEEDEE
+EEEE
+>Q9UPN7_PF04499_128 <unknown description>
+MGILINRKTDQLVSFLRKKDDFVDLLLQHIGTSAIMDLLLRLLTCVERPQLRQDVVNWLN
+EEKIVQRLIEQIHPSKDENQHSNASQSLCDIIRLSREQMIQVQDSPEPDQLLATLEKQET
+IEQLLSNMFEGEQSQSVIVSGIQVLLTLLEPRRPRSESVTVNSFFSSVDGQLELLAQGAL
+ESTVSSVGALHALRPRLSCFHQLLLEPPKLEPLQMTWGMLAPPLGNTRLHVVKLLASALS
+ANDAALTHE
+>Q9UPN7_PF04499_375 <unknown description>
+HELLALDVPNTMLDLFFHYVFNNFLHAQVEGCVSTMLSLGPPPDSSPETPIQNPVVKHLL
+QQCRLVERILTSWEENDRVQCAGGPRKGYMGHLTRVAGALVQNTEKGPNAEQLRQLLKEL
+PSEQQEQWEAFVSGPLAETNKKNM
+>O75170_PF04499_129 <unknown description>
+GNLIARKTEQVITFLKKKDKFISLVLKHIGTSALMDLLLRLVSCVEPAGLRQDVLHWLNE
+EKVIQRLVELIHPSQDEDRQSNASQTLCDIVRLGRDQGSQLQEALEPDPLLTALESQDCV
+EQLLKNMFDGDRTESCLVSGTQVLLTLLETRRVGTEGLVDSFSQGLERSYAVSSSVLHGI
+EPRLKDFHQLLLNPPKKKAILTTIGVLEEPLGNARLHGARLMAALLHTNTPSINQE
+>O75170_PF04499_364 <unknown description>
+ELCRLNTMDLLLDLFFKYTWNNFLHFQVELCIAAILSHAAREERTEASGSESRVEPPHEN
+GNRSLETPQPAASLPDNTMVTHLFQKCCLVQRILEAWEANDHTQAAGGMRRGNMGHLTRI
+ANAVVQNLERGPVQTHISEVIRGLPADCRGRWESFVEETLTETNRRNT
+>Q5H9R7_PF04499_130 <unknown description>
+ILISRKPEQIVDFLKKKHDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQDVLNWLNEE
+KIIQRLVEIVHPSQEEDRHSNASQSLCEIVRLSRDQMLQIQNSTEPDPLLATLEKQEIIE
+QLLSNIFHKEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACSVNKSVLEAI
+RGRLGSFHELLLEPPKKSVMKTTWGVLDPPVGNTRLNVIRLISSLLQTNTSSIN
+>Q5H9R7_PF04499_366 <unknown description>
+LMELNSIGVILNMFFKYTWNNFLHTQVEICIALILASPFENTENATITDQDSTGDNLLLK
+HLFQKCQLIERILEAWEMNEKKQAEGGRRHGYMGHLTRIANCIVHSTDKGPNSALVQQLI
+KDLPDEVRERWETFCTSSLGETNKRNT
+>P13686_PF00149_26 <unknown description>
+LRFVAVGDWGGVPNAPFHTAREMANAKEIARTVQILGADFILSLGDNFYFTGVQDINDKR
+FQETFEDVFSDRSLRKVPWYVLAGNHDHLGNVSAQIAYSKISKRWNFPSPFYRLHFKIPQ
+TNVSVAIFMLDTVTLCGNSDDFLSQQPERPRDVKLARTQLSWLKKQLAAAREDYVLVAGH
+YPVWSIAEHGPTHCLVKQLRPLLATYGVTAYLCGHDHN
+>Q9NPH0_PF00328_49 <unknown description>
+KLKMVQVVFRHGARSPLKPLPLEEQVEWNPQLLEVPPQTQFDYTVTNLAGGPKPYSPYDS
+QYHETTLKGGMFAGQLTKVGMQQMFALGERLRKNYVEDIPFLSPTFNPQEVFIRSTNIFR
+NLESTRCLLAGLFQCQKEGPIIIHTDEADSEVLYPNYQSCWSLRQRTRGRRQTASLQPGI
+SEDLKKVKDRMGIDSSDKVDFFILLDNVAAEQAHNLPSCPMLKRFARMIEQRAVDTSLYI
+LPKEDRESLQMAVGPFLHILESNLLKAMDSATAPDKIRKLYLYAAHDVTFIPLLMTLGIF
+DHKWPPFAVDLTMELYQHLESKEWFVQLYY
+>P24666_PF01451_9 <unknown description>
+VLFVCLGNICRSPIAEAVFRKLVTDQNISENWRVDSAATSGYEIGNPPDYRGQSCMKRHG
+IPMSHVARQITKEDFATFDYILCMDESNLRDLNRKSNQVKTCKAKIELLGSYDPQKQLII
+EDPYYGNDSDFETVYQQCVRCCRAFL
+>P11117_PF00328_33 <unknown description>
+LRFVTLLYRHGDRSPVKTYPKDPYQEEEWPQGFGQLTKEGMLQHWELGQALRQRYHGFLN
+TSYHRQEVYVRSTDFDRTLMSAEANLAGLFPPNGMQRFNPNISWQPIPVHTVPITEDRLL
+KFPLGPCPRYEQLQNETRQTPEYQNESSRNAQFLDMVANETGLTDLTLETVWNVYDTLFC
+EQTHGLRLPPWASPQTMQRLSRLKDFSFRFLFGIYQQAEKARLQGGVLLAQIRKNLTLMA
+TTSQLPKLLVYSAHDTTLVALQMALDVYNGEQAPYASCHIFELYQEDSGNFSVEMYF
+>P15309_PF00328_60 <unknown description>
+ESSWPQGFGQLTQLGMEQHYELGEYIRKRYRKFLNESYKHEQVYIRSTDVDRTLMSAMTN
+LAALFPPEGVSIWNPILLWQPIPVHTVPLSEDQLLYLPFRNCPRFQELESETLKSEEFQK
+RLHPYKDFIATLGKLSGLHGQDLFGIWSKVYDPLYCESVHNFTLPSWATEDTMTKLRELS
+ELSLLSLYGIHKQKEKSRLQGGVLVNEILNHMKRATQIPSYKKLIMYSAHDTTVSGLQMA
+LDVYNGLLPPYASCHLTELYFEKGEYFVEMYY
+>Q07869_PF00105_101 <unknown description>
+ECRICGDKASGYHYGVHACEGCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHK
+CLSVGMS
+>Q07869_PF00104_273 <unknown description>
+FHCCQCTSVETVTELTEFAKAIPGFANLDLNDQVTLLKYGVYEAIFAMLSSVMNKDGMLV
+AYGNGFITREFLKSLRKPFCDIMEPKFDFAMKFNALELDDSDISLFVAAIICCGDRPGLL
+NVGHIEKMQEGIVHVLRLHLQSNHPDDIFLFPKLLQKMADLRQLVTEHAQLVQIIK
+>Q03181_PF00105_73 <unknown description>
+ECRVCGDKASGFHYGVHACEGCKGFFRRTIRMKLEYEKCERSCKIQKKNRNKCQYCRFQK
+CLALGMS
+>Q03181_PF00104_242 <unknown description>
+SVHVFYRCQCTTVETVRELTEFAKSIPSFSSLFLNDQVTLLKYGVHEAIFAMLASIVNKD
+GLLVANGSGFVTREFLRSLRKPFSDIIEPKFEFAVKFNALELDDSDLALFIAAIILCGDR
+PGLMNVPRVEAIQDTILRALEFHLQANHPDAQYLFPKLLQKMADLRQLVTEHAQMMQRI
+>Q9BZG2_PF00328_32 <unknown description>
+LVFVALVFRHGDRAPLASYPMDPHKEVASTLWPRGLGQLTTEGVRQQLELGRFLRSRYEA
+FLSPEYRREEVYIRSTDFDRTLESAQANLAGLFPEAAPGSPEARWRPIPVHTVPVAEDKL
+LRFPMRSCPRYHELLREATEAAEYQEALEGWTGFLSRLENFTGLSLVGEPLRRAWKVLDT
+LMCQQAHGLPLPAWASPDVLRTLAQISALDIGAHVGPPRAAEKAQLTGGILLNAILANFS
+RVQRLGLPLKMVMYSAHDSTLLALQGALGLYDGHTPP
+>P05187_PF00245_55 <unknown description>
+AKNLIIFLGDGMGVSTVTAARILKGQKKDKLGPEIPLAMDRFPYVALSKTYNVDKHVPDS
+GATATAYLCGVKGNFQTIGLSAAARFNQCNTTRGNEVISVMNRAKKAGKSVGVVTTTRVQ
+HASPAGTYAHTVNRNWYSDADVPASARQEGCQDIATQLISNMDIDVILGGGRKYMFRMGT
+PDPEYPDDYSQGGTRLDGKNLVQEWLAKRQGARYVWNRTELMQASLDPSVTHLMGLFEPG
+DMKYEIHRDSTLDPSLMEMTEAALRLLSRNPRGFFLFVEGGRIDHGHHESRAYRALTETI
+MFDDAIERAGQLTSEEDTLSLVTADHSHVFSFGGYPLRGSSIFGLAPGKARDRKAYTVLL
+YGNGPGYVLKDGARPDVTESESGSPEYRQQSAVPLDEETHAGEDVAVFARGPQAHLVHGV
+QEQTFIAHVMAFAACL
+>P09923_PF00245_52 <unknown description>
+AKNLILFLGDGLGVPTVTATRILKGQKNGKLGPETPLAMDRFPYLALSKTYNVDRQVPDS
+AATATAYLCGVKANFQTIGLSAAARFNQCNTTRGNEVISVMNRAKQAGKSVGVVTTTRVQ
+HASPAGTYAHTVNRNWYSDADMPASARQEGCQDIATQLISNMDIDVILGGGRKYMFPMGT
+PDPEYPADASQNGIRLDGKNLVQEWLAKHQGAWYVWNRTELMQASLDQSVTHLMGLFEPG
+DTKYEIHRDPTLDPSLMEMTEAALRLLSRNPRGFYLFVEGGRIDHGHHEGVAYQALTEAV
+MFDDAIERAGQLTSEEDTLTLVTADHSHVFSFGGYTLRGSSIFGLAPSKAQDSKAYTSIL
+YGNGPGYVFNSGVRPDVNESESGSPDYQQQAAVPLSSETHGGEDVAVFARGPQAHLVHGV
+QEQSFVAHVMAFAACL
+>P10696_PF00245_52 <unknown description>
+AKNLIIFLGDGMGVSTVTAARILKGQKKDKLGPETFLAMDRFPYVALSKTYSVDKHVPDS
+GATATAYLCGVKGNFQTIGLSAAARFNQCNTTRGNEVISVMNRAKKAGKSVGVVTTTRVQ
+HASPAGAYAHTVNRNWYSDADVPASARQEGCQDIATQLISNMDIDVILGGGRKYMFPMGT
+PDPEYPDDYSQGGTRLDGKNLVQEWLAKHQGARYVWNRTELLQASLDPSVTHLMGLFEPG
+DMKYEIHRDSTLDPSLMEMTEAALLLLSRNPRGFFLFVEGGRIDHGHHESRAYRALTETI
+MFDDAIERAGQLTSEEDTLSLVTADHSHVFSFGGYPLRGSSIFGLAPGKARDRKAYTVLL
+YGNGPGYVLKDGARPDVTESESGSPEYRQQSAVPLDGETHAGEDVAVFARGPQAHLVHGV
+QEQTFIAHVMAFAACL
+>P05186_PF00245_51 <unknown description>
+AKNVIMFLGDGMGVSTVTAARILKGQLHHNPGEETRLEMDKFPFVALSKTYNTNAQVPDS
+AGTATAYLCGVKANEGTVGVSAATERSRCNTTQGNEVTSILRWAKDAGKSVGIVTTTRVN
+HATPSAAYAHSADRDWYSDNEMPPEALSQGCKDIAYQLMHNIRDIDVIMGGGRKYMYPKN
+KTDVEYESDEKARGTRLDGLDLVDTWKSFKPRYKHSHFIWNRTELLTLDPHNVDYLLGLF
+EPGDMQYELNRNNVTDPSLSEMVVVAIQILRKNPKGFFLLVEGGRIDHGHHEGKAKQALH
+EAVEMDRAIGQAGSLTSSEDTLTVVTADHSHVFTFGGYTPRGNSIFGLAPMLSDTDKKPF
+TAILYGNGPGYKVVGGERENVSMVDYAHNNYQAQSAVPLRHETHGGEDVAVFSKGPMAHL
+LHGVHEQNYVPHVMAYAACI
+>Q4J6C6_PF02897_23 <unknown description>
+NVEVKHGGFVYYQEGCCLVRSKDEEADNDNYEVLFNLEELKLDQPFIDCIRVAPDEKYVA
+AKIRTEDSEASTCVIIKLSDQPVMEASFPNVSSFEWVKDEEDEDVLFYTFQRNLRCHDVY
+RATFGDNKRNERFYTEKDPSYFVFLYLTKDSRFLTINIMNKTTSEVWLIDGLSPWDPPVL
+IQKRIHGVLYYVEHRDDELYILTNVGEPTEFKLMRTAADTPAIMNWDLFFTMKRNTKVID
+LDMFKDHCVLFLKHSNLLYVNVIGLADDSVRSLKLPPWACGFIMDTNSDPKNCPFQLCSP
+IRPPKYYTYKFAEGK
+>Q4J6C6_PF00326_401 <unknown description>
+FRPERRVLVDDGWILAYCHVRGGGELGLQWHADGRLTKKLNGLADLEACIKTLHGQGFSQ
+PSLTTLTAFSAGGVLAGALCNSNPELVRAVTLEAPFLDVLNTMMDTTLPLTLEELEEWGN
+PSSDEKHKNYIKRYCPYQNIKPQHYPSIHITAYENDERVPLKGIVSYTEKLKEAIAEHAK
+DTGEGYQTPNIILDIQPGGNHVIEDSHKKITAQIKF
+>P48147_PF02897_7 <unknown description>
+PDVYRDETAVQDYHGHKICDPYAWLEDPDSEQTKAFVEAQNKITVPFLEQCPIRGLYKER
+MTELYDYPKYSCHFKKGKRYFYFYNTGLQNQRVLYVQDSLEGEARVFLDPNILSDDGTVA
+LRGYAFSEDGEYFAYGLSASGSDWVTIKFMKVDGAKELPDVLERVKFSCMAWTHDGKGMF
+YNSYPQQDGKSDGTETSTNLHQKLYYHVLGTDQSEDILCAEFPDEPKWMGGAELSDDGRY
+VLLSIREGCDPVNRLWYCDLQQESSGIAGILKWVKLIDNFEGEYDYVTNEGTVFTFKTNR
+QSPNYRVINIDFRDPEESKWKVLVPEHEKDVLEWIACVRSNFLVLCYLHDVKNILQLHDL
+TTGALLKTFPLDVGSIVGYSGQKKDTEIFYQFTSFLSPGIIYHCDLTKEELEPRVF
+>P48147_PF00326_483 <unknown description>
+NYSVSRLIFVRHMGGILAVANIRGGGEYGETWHKGGILANKQNCFDDFQCAAEYLIKEGY
+TSPKRLTINGGSNGGLLVAACANQRPDLFGCVIAQVGVMDMLKFHKYTIGHAWTTDYGCS
+DSKQHFEWLVKYSPLHNVKLPEADDIQYPSMLLLTADHDDRVVPLHSLKFIATLQYIVGR
+SRKQSNPLLIHVDTKAGHGAGKPTAKVIEEVSDMFAFIARCLN
+>Q9HAB8_PF04127_36 <unknown description>
+RVVLVTSGGTKVPLEARPVRFLDNFSSGRRGATSAEAFLAAGYGVLFL
+>Q9HAB8_PF04127_160 <unknown description>
+QAAAQALNPLGPSAMFYLAAAVSDFYVPVSEMPEHKIQSSGGPLQITMKMVPKLLSPLVK
+DWAPKAFIISFKLETDPAIVINRARKALEIYQHQVVVANILESRQ
+>Q9UKL6_PF01852_13 <unknown description>
+FWEACAELQQPALAGADWQLLVETSGISIYRLLDKKTGLYEYKVFGVLEDCSPTLLADIY
+MDSDYRKQWDQYVKELYEQECNGETVVYWEVKYPFPMSNRDYVYLRQRRDLDMEGRKIHV
+ILARSTSMPQLGERSGVIRVKQYKQSLAIESDGKKGSKVFMYYFDNPGGQIPSWLINWAA
+KNGVPNFLKDMARACQNYL
+>Q9H3Y8_PF15060_1 <unknown description>
+MAAIPSSGSLVATHDYYRRRLGSTSSNSSCSSTECPGEAIPHPPGLPKADPGHWWASFFF
+GKSTLPFMATVLESAEHSEPPQASSSMTACGLARDAPRKQPGGQSSTAS
+>O14829_PF00612_18 <unknown description>
+RAALIIQNWYRGYKARLKA
+>O14829_PF08321_87 <unknown description>
+SEQDMRDRWDYVDSIDVPDSYNGPRLQFPLTCTDIDLLLEAFKEQQILHAHYVLEVLFET
+KKVLKQMPNF
+>O14829_PF00149_167 <unknown description>
+VTICGDLHGKLDDLFLIFYKNGLPSERNPYVFNGDFVDRGKNSIEILMILCVSFLVYPND
+LHLNRGNHEDFMMNLRYGFTKEILHKYKLHGKRILQILEEFYAWLPIGTIVDNEILVIHG
+GISETTDLNLLHRVERNKMKSVLIPPTETNRDHDTDSKHNKVGVTFNAHGRIKTNGSPTE
+HLTEHEWEQIIDILWSDPRGKNGCFPNTCRGGGCYFGPDVTSKILNKYQLKMLIRSHECK
+>O14829_PF13499_570 <unknown description>
+DLEIIFNAIDTDHSGLISVEEFRAMWKLFSSHYNVHIDDSQVNKLANIMDLNKDGSIDFN
+EFLKAF
+>O14830_PF08321_83 <unknown description>
+FLTRIFTEDRFAQDSEMKKCSDYESIEVPDSYTGPRLSFPLLPDHATALVEAFRLKQQLH
+ARYVLNLLYETKKHLVQLPN
+>O14830_PF00149_174 <unknown description>
+ITVCGDLHGQLDDLIFIFYKNGLPSPERSYVFNGDFVDRGKDSVEILMILFAFMLVYPKE
+FHLNRGNHEDHMVNLRYGFTKEVMNKYKVHGKEILRTLQDVFCWLPLATLIDEKVLILHG
+GVSDITDLELLDKIERS
+>O14830_PF13499_656 <unknown description>
+NLETIFRIIDSDHSGFISLDEFRQTWKLFSSHMNIDITDDCICDLARSIDFNKDGHIDIN
+EFLEAFR
+>P10619_PF00450_39 <unknown description>
+PGLAKQPSFRQYSGYLKGSGSKHLHYWFVESQKDPENSPVVLWLNGGPGCSSLDGLLTEH
+GPFLVQPDGVTLEYNPYSWNLIANVLYLESPAGVGFSYSDDKFYATNDTEVAQSNFEALQ
+DFFRLFPEYKNNKLFLTGESYAGIYIPTLAVLVMQDPSMNLQGLAVGNGLSSYEQNDNSL
+VYFAYYHGLLGNRLWSSLQTHCCSQNKCNFYDNKDLECVTNLQEVARIVGNSGLNIYNLY
+APCAGGVPSHFRYEKDTVVVQDLGNIFTRLPLKRMWHQALLRSGDKVRMDPPCTNTTAAS
+TYLNNPYVRKALNIPEQLPQWDMCNFLVNLQYRRLYRSMNSQYLKLLSSQKYQILLYNGD
+VDMACNFMGDEWFVDSLNQKMEVQRRPWLVKYGDSGEQIAGFVKEFSHIAFLTIKGAGHM
+VPTDKPLAAFTMFSRFLN
+>Q8NEY8_PF11488_280 <unknown description>
+ELFEDSQLTTRSKAIASKTKEIEQVYRQDCETFGMVVKMLIEKDPSLEKSIQFALRQNLH
+EIGERCVEELKHFI
+>P62937_PF00160_8 <unknown description>
+FDIAVDGEPLGRVSFELFADKVPKTAENFRALSTGEKGFGYKGSCFHRIIPGFMCQGGDF
+TRHNGTGGKSIYGEKFEDENFILKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHV
+VFGKVKEGMNIVEAMERFGSRNGKTSKKITIADCG
+>P23284_PF00160_48 <unknown description>
+FDLRIGDEDVGRVIFGLFGKTVPKTVDNFVALATGEKGFGYKNSKFHRVIKDFMIQGGDF
+TRGDGTGGKSIYGERFPDENFKLKHYGPGWVSMANAGKDTNGSQFFITTVKTAWLDGKHV
+VFGKVLEGMEVVRKVESTKTDSRDKPLKDVIIADCG
+>P45877_PF00160_43 <unknown description>
+DVRIGDKDVGRIVIGLFGKVVPKTVENFVALATGEKGYGYKGSKFHRVIKDFMIQGGDIT
+TGDGTGGVSIYGETFPDENFKLKHYGIGWVSMANAGPDTNGSQFFITLTKPTWLDGKHVV
+FGKVIDGMTVVHSIELQATDGHDRPLTNCSIINS
+>Q08752_PF00160_23 <unknown description>
+DIGGERVGRIVLELFADIVPKTAENFRALCTGEKGIGHTTGKPLHFKGCPFHRIIKKFMI
+QGGDFSNQNGTGGESIYGEKFEDENFHYKHDREGLLSMANAGRNTNGSQFFITTVPTPHL
+DGKHVVFGQVIKGIGVARILENVEVKGEKPAKLCVIAECG
+>Q08752_PF13176_226 <unknown description>
+LKNIGNTFFKSQNWEMAIKKYAEVLRYV
+>Q9UNP9_PF00076_8 <unknown description>
+LYVGGLAEEVDDKVLHAAFIPFGDITDIQIPLDYETEKHRGFAFVEFELAEDAAAAIDNM
+NESELFGRTIR
+>Q9UNP9_PF00160_143 <unknown description>
+YMDIKIGNKPAGRIQMLLRSDVVPMTAENFRCLCTHEKGFGFKGSSFHRIIPQFMCQGGD
+FTNHNGTGGKSIYGKKFDDENFILKHTGPGLLSMANSGPNTNGSQFFLTCDKTDWLDGKH
+VVFGEVTEGLDVLRQIEAQGSKDGKPKQKVIIADCG
+>P30405_PF00160_51 <unknown description>
+DVDANGKPLGRVVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPSFMCQAGDFT
+NHNGTGGKSIYGSRFPDENFTLKHVGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVV
+FGHVKEGMDVVKKIESFGSKSGRTSKKIVITDCG
+>Q13427_PF00160_12 <unknown description>
+FDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHYKSCLFHRVVKD
+FMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTNGSQFFITTKPT
+PHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCG
+>O43447_PF00160_16 <unknown description>
+DVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGYKGSTFHRVIKDFMIQ
+GGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTNGCQFFITCSKCDWLD
+GKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCG
+>Q9Y3C6_PF00160_14 <unknown description>
+VYLETSMGIIVLELYWKHAPKTCKNFAELARRGYYNGTKFHRIIKDFMIQGGDPTGTGRG
+GASIYGKQFEDELHPDLKFTGAGILAMANAGPDTNGSQFFVTLAPTQWLDGKHTIFGRVC
+QGIGMVNRVGMVETNSQDRPVDDVKIIKA
+>Q13356_PF00160_281 <unknown description>
+YVRLHTNKGDLNLELHCDLTPKTCENFIRLCKKHYYDGTIFHRSIRNFVIQGGDPTGTGT
+GGESYWGKPFKDEFRPNLSHTGRGILSMANSGPNSNRSQFFITFRSCAYLDKKHTIFGRV
+VGGFDVLTAMENVESDPKTDRPKEEIRIDATT
+>Q9H2H8_PF00160_3 <unknown description>
+VTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCIFHRNIKGFMVQTGDPTGTGRG
+GNSIWGKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGKVI
+DGLETLDELEKLPVNEKTYRPLNDVHIKDIT
+>Q8WUA2_PF00160_3 <unknown description>
+VLLETTLGDVVIDLYTEERPRACLNFLKLCKIKYYNYCLIHNVQRDFIIQTGDPTGTGRG
+GESIFGQLYGDQASFFEAEKVPRIKHKKKGTVSMVNNGSDQHGSQFLITTGENLDYLDGV
+HTVFGEVTEGMDIIKKINETFVDKDFVPYQDIRINHT
+>Q8WUA2_PF00076_242 <unknown description>
+LFVCKLNPVTTDEDLEIIFSRFGPIRSCEVIRDWKTGESLCYAFIEFEKEEDCEKAFFKM
+DNVLIDDRRIH
+>Q8IXY8_PF00160_152 <unknown description>
+SSPIGRLIFELYCDVCPKTCKNFQVLCTGKAGFSQRGIRLHYKNSIFHRIVQNGWIQGGD
+IVYGKGDNGESIYGPTFEDENFSVPHNKRGVLGMANKGRHSNGSQFYITLQATPYLDRKF
+VAFGQLIEGTEVLKQLELVPTQNERPIHMCRITD
+>O43586_PF00611_21 <unknown description>
+GYEVLLQRLLDGRKMCKDMEELLRQRAQAEERYGKELVQIARKAGGQTEINSLRASFDSL
+KQQMENVGSSHIQ
+>O43586_PF14604_366 <unknown description>
+ALYDYTAQNPDELDLSAGDILEVILEGEDGWWTVERNGQRGFVPGSYLE
+>Q9H939_PF00611_20 <unknown description>
+GYDNIIQHLNNGRKNCKEFEDFLKERAAIEERYGKDLLNLSRKKPCGQSEINTLKRALEV
+FKQQVDNVAQCHIQ
+>Q8TCE9_PF00337_7 <unknown description>
+PYTLPVSLPVGSCVIITGTPILTFVKDPQLEVNFYTGMDEDSDIAFQFRLHFGHPAIMNS
+CVFGIWRYEEKCYYLPFEDGKPFELCIYVRHKEYKVMVNGQRIYNFAHRFPPASVKMLQV
+FRDISLTRVL
+>P26678_PF04272_1 <unknown description>
+MEKVQYLTRSAIRRASTIEMPQQARQKLQNLFINFCLILICLLLICIIVMLL
+>P35813_PF00481_23 <unknown description>
+RYGLSSMQGWRVEMEDAHTAVIGLPSGLESWSFFAVYDGHAGSQVAKYCCEHLLDHITNN
+QDFKGSAGAPSVENVKNGIRTGFLEIDEHMRVMSEKKHGADRSGSTAVGVLISPQHTYFI
+NCGDSRGLLCRNRKVHFFTQDHKPSNPLEKERIQNAGGSVMIQRVNGSLAVSRALGDFDY
+KCVHGKGPTEQLVSPEPEVHDIERSEEDDQFIILACDGIWDVMGNEELCDFVRSRLEVTD
+DLEKVCNEVVDTCLYKGSRDNM
+>P35813_PF07830_285 <unknown description>
+SVILICFPNAPKVSPEAVKKEAELDKYLECRVEEIIKKQGEGVPDLVHVMRTLASENIPS
+LPPGGELASKRNVIEAVYN
+>O75688_PF00481_23 <unknown description>
+RYGLSSMQGWRVEMEDAHTAVVGIPHGLEDWSFFAVYDGHAGSRVANYCSTHLLEHITTN
+EDFRAAGKSGSALELSVENVKNGIRTGFLKIDEYMRNFSDLRNGMDRSGSTAVGVMISPK
+HIYFINCGDSRAVLYRNGQVCFSTQDHKPCNPREKERIQNAGGSVMIQRVNGSLAVSRAL
+GDYDYKCVDGKGPTEQLVSPEPEVYEILRAEEDEFIILACDGIWDVMSNEELCEYVKSRL
+EVSDDLENVCNWVVDTCLHKGSRDNM
+>O75688_PF07830_289 <unknown description>
+SIVLVCFSNAPKVSDEAVKKDSELDKHLESRVEEIMEKSGEEGMPDLAHVMRILSAENIP
+NLPPGGGLAGKRNVIEAVY
+>O15297_PF00481_67 <unknown description>
+GPAVAAREARDPLPDAGASPAPSRCCRRRSSVAFFAVCDGHGGREAAQFAREHLWGFIKK
+QKGFTSSEPAKVCAAIRKGFLACHLAMWKKLAEWPKTMTGLPSTSGTTASVVIIRGMKMY
+VAHVGDSGVVLGIQDDPKDDFVRAVEVTQDHKPELPKERERIEGLGGSVMNKSGVNRVVW
+KRPRLTHNGPVRRSTVIDQIPFLAVARALGDLWSYDFFSGEFVVSPEPDTSVHTLDPQKH
+KYIILGSDGLWNMIPPQDAISMCQDQEEKKYLMGEHGQSCAKMLVNRALGRWRQRMLRAD
+NT
+>Q8WY54_PF00481_232 <unknown description>
+TSIHAIKNMRRKMEDKHVCIPDFNMLFNLEDQEEQAYFAVFDGHGGVDAAIYASIHLHVN
+LVRQEMFPHDPAEALCRAFRVTDERFVQKAARESLRCGTTGVVTFIRGNMLHVAWVGDSQ
+VMLVRKGQAVELMKPHKPDREDEKQRIEALGGCVVWFGAWRVNGSLSVSRAIGDAEHKPY
+ICGDADSASTVLDGTEDYLILACDGFYDTVNPDEAVKVVSDHLKENNGDSSMVAHKLVAS
+ARDAGSSDNI
+>P49593_PF00481_157 <unknown description>
+VSIHAIRNTRRKMEDRHVSLPSFNQLFGLSDPVNRAYFAVFDGHGGVDAARYAAVHVHTN
+AARQPELPTDPEGALREAFRRTDQMFLRKAKRERLQSGTTGVCALIAGATLHVAWLGDSQ
+VILVQQGQVVKLMEPHRPERQDEKARIEALGGFVSHMDCWRVNGTLAVSRAIGDVFQKPY
+VSGEADAASRALTGSEDYLLLACDGFFDVVPHQEVVGLVQSHLTRQQGSGLRVAEELVAA
+ARERGSHDNI
+>O15355_PF00481_27 <unknown description>
+YGFSAMQGWRVSMEDAHNCIPELDSETAMFSVYDGHGGEEVALYCAKYLPDIIKDQKAYK
+EGKLQKALEDAFLAIDAKLTT
+>O15355_PF00481_288 <unknown description>
+EAENEEDEDDTEEAEEDDEEEEEEMMVPGMEGKEEPGSDSGTTAVVALIRGKQLIVANAG
+DSRCVVSEAGKALDMSYDHKPEDEVELARIKNAGGKVTMDGRVNGGLNLSRAIGDHFYKR
+NKNLPPEEQMISALPDIKVLTLTDDHEFMVIACDGIWNVMSSQEVVDFIQSKISQRDENG
+ELRLLSSIVEELLDQCLAPDTS
+>Q9ULR3_PF00481_240 <unknown description>
+LVIGALESAFKEMDLQIERERSSYNISGGCTALIVICLLGKLYVANAGDSRAIIIRNGEI
+IPMSSEFTPETERQRLQ
+>Q9ULR3_PF00481_379 <unknown description>
+KARVMATIGVTRGLGDHDLKVHDSNIYIKPFLSSAPEVRIYDLSKYDHGSDDVLILATDG
+LWDVLSNEEVAEAITQFLPNC
+>Q5JR12_PF00481_221 <unknown description>
+SSQKEVSHESLVVGAVENAFQLMDEQMARERRGHQVEGGCCALVVIYLLGKVYVANAGDS
+RAIIVRNGEIIPMSREFTPETERQRLQLL
+>Q5JR12_PF00481_370 <unknown description>
+KARVMATIGVTRGLGDHSLKVCSSTLPIKPFLSCFPEVRVYDLTQYEHCPDDVLVLGTDG
+LWDVTTDCEVAATVDRVLSAYEPN
+>Q8N3J5_PF00481_115 <unknown description>
+QLTDEVLYFAVYDGHGGPAAADFCHTHMEKCIMDLLPKEKNLETLLTLAFLEIDKAFSSH
+ARLSADATLLTSGTTATVALLRDGIELVVASVGDSRAILCRKGKPMKLTIDHTPERKDEK
+ERIKKCGGFVAWNSLGQPHVNGRLAMTRSIGDLDLKTSGVIAEPETKRIKLHHADDSFLV
+LTTDGINFMVNSQEICDFVNQCHDPNEAAHAVTEQAIQYGTEDN
+>Q5SGD2_PF00481_94 <unknown description>
+AVYSIQGRRDHMEDRFEVLTDLANKTHPSIFGIFDGHGGETAAEYVKSRLPEALKQHLQD
+YEKDKENSVLSYQTILEQQILSIDREMLEKLTVSYDEAGTTCLIALLSDKDLTVANVGDS
+RGVLCDKDGNAIPLSHDHKPYQLKERKRIKRAGGFISFNGSWRVQGILAMSRSLGDYPLK
+NLNVVIPDPDILTFDLDKLQPEFMILASDGLWDAFSNEEAVRFIKERLDEPHFGAKSIVL
+QSFYRGCPDNI
+>Q96MI6_PF00481_119 <unknown description>
+HYWALFDGHGGPAAAILAANTLHSCLRRQLEAVVEGLVATQPPMHLNGRCICPSDPQFVE
+EKGIRAEDLVIGALESAFQECDEVIGRELEASGQMGGCTALVAVSLQGKLYMANAGDSRA
+ILVRRDEIRPLSFEFTPETERQRIQQL
+>Q96MI6_PF00481_321 <unknown description>
+HGQGRQARLLGTLAVSRGLGDHQLRVLDTNIQLKPFLLSVPQVTVLDVDQLELQEDDVVV
+MATDGLWDVLSNEQVAWLVRSFLPGNQEDPHR
+>Q8N819_PF00481_66 <unknown description>
+RFGASAAQGWRARMEDAHCTWLSLPGLPPGWALFAVLDGHGGARAARFGARHLPGHVLQE
+LGPEPSEPEGVREALRRAFLSADERLRSLWPRVETGGCTAVVLLVSPRFLYLAHCGDSRA
+VLSRAGAVAFSTEDHRPLRPRERERIHAAGGTIRRRRVEGSLAVSRALGDFTYKEAPGRP
+PELQLVSAEPEVAALARQAEDEFMLLASDGVWDTVSGAALAGLVASRLRLGLAPELLCAQ
+LLDTCLCKGSLDNM
+>Q8N819_PF07830_320 <unknown description>
+TCILVCFPGAPRPSEEAIRRELALDAALGCRIAELCASAQKPPSLNTVFRTLASEDIPDL
+PPGGGLDCKATVIAEVY
+>Q9Y570_PF12697_79 <unknown description>
+LLLLHGGGHSALSWAVFTAAIISRVQCRIVALDLRSHGETKVKNPEDLSAETMAKDVGNV
+VEAMYGDLPPPIMLIGHSMGGAIAVHTASSNLVPSLLGLCMIDVVEGTAMDALNSMQNFL
+RGRPKTFKSLENAIEWSVKSGQIRNLESARVSMVGQVKQCEGITSPEGSKSIVEGIIEEE
+EEDEEGSESISKRKKEDDMETKKDHPYTWRIELAKTEKYWDGWFRGLSNLFLSCPIPKLL
+LLAGVDRLDKDLTIGQMQGKFQMQVLPQCGHAVHEDAPDKVAEA
+>O95428_PF00090_30 <unknown description>
+GPWSQWSPCSRTCGGGVSFRERPCYSQRRDGGSSCVGPARSHRSCRTESC
+>O95428_PF19236_87 <unknown description>
+RAEQCAEFDGAEFQGRRYRWLPYYSAPNKCELNCIPKGENFYYKHREAVVDGTPCEPGKR
+DVCVDGSCRVVGCDHELDSSKQEDKCLRCGGDGTTC
+>O95428_PF05986_185 <unknown description>
+VAGTFDANDLSRGYNQILIVPMGATSILIDEAAASRNFLAVKNVRGEYYLNGHWTIEAAR
+ALPAASTILHYERGAEGDLAPERLHARGPTSEPLVIELISQEPNPGVHYEYHLP
+>O95428_PF19030_308 <unknown description>
+WSHGSWSDCSAECGGGHQSRLVFCTIDHEAYPDHMCQRQPRPADRRSCNLHPC
+>O95428_PF19030_366 <unknown description>
+WKAGPWAPCSASCGGGSQSRSVYCISSDGAGIQEAVEEAECAGLPGKPPAIQACNLQRC
+>O95428_PF19030_427 <unknown description>
+WSPEPWGECSVSCGVGVRKRSVTCRGERGSLLHTAACSLEDRPPLTEPCVHEDC
+>O95428_PF19030_488 <unknown description>
+WHVGTWGLCSKSCSSGTRRRQVICAIGPPSHCGSLQHSKPVDVEPCNTQPC
+>O95428_PF00014_754 <unknown description>
+CLLPSAHGSCADWAARWYFVASVGQCNRFWYGGCHGNANNFASEQECMSSCQ
+>O95428_PF16626_814 <unknown description>
+PQPGASGRSTHTDGGGSSPAGEQEPSQHRTGAAVQRKPWPSGGLWRQDQQPGPGEAPHTQ
+AFGEWPWGQELGSRAPGLGGDAGSPAPPFHSS
+>O95428_PF07679_916 <unknown description>
+PSLVQAALGQLVRLSCSDDTAPESQAAWQKDGQPISSDRHRLQFDGSLIIHPLQAEDAGT
+YSCGSTRPGRDSQKIQL
+>O95428_PF07679_1048 <unknown description>
+NQPRVVDASPGQRIRMTCRAEGFPPPAIEWQRDGQPVSSPRHQLQPDGSLVISRVAVEDG
+GFYTCVAFNGQDRDQRWVQLRV
+>O95428_PF07679_1135 <unknown description>
+ISGLPPTVTVPEGDTARLLCVVAGESVNIRWSRNGLPVQADGHRVHQSPDGTLLIYNLRA
+RDEGSYTCSAYQGSQAVSRSTEVKV
+>O95428_PF08686_1235 <unknown description>
+CVDQPELANCDLILQAQLCGNEYYSSFCCASCS
+>P50336_PF01593_12 <unknown description>
+ISGLAASYHLSRAPCPPKVVLVESSERLGGWIRSVRGPNGAIFELGPRGIRPAGALGART
+LLLVSELGLDSEVLPVRGDHPAAQNRFLYVGGALHALPTGLRGLLRPSPPFSKPLFWAGL
+RELTKPRGKEPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSIRSCFPSLFQAE
+QTHRSILLGLLLGAGRTPQPDSALIRQALAERWSQWSLRGGLEMLPQALETHLTSRGVSV
+LRGQPVCGLSLQAEGRWKVSLRDSSLEADHVISAIPASVLSELLPAEAAPLARALSAITA
+VSVAVVNLQYQGAHLPVQGFGHLVPSSEDPGVLGIVYDSVAFPEQDGSPPGLRVTVMLGG
+SWLQTLEASGCVLSQELFQQRAQEAAATQLGLKEMPSHCLVHLHKNCIPQYTLGHWQKLE
+SARQFLTAHRLPLTLAGASYEGVAVNDCIESGRQAAVSVL
+>P53041_PF00515_29 <unknown description>
+EELKTQANDYFKAKDYENAIKFYSQAIELNPSN
+>P53041_PF08321_136 <unknown description>
+YQECNKIVKQKAFERAIAGDEHKRSVVDSLDIESMTIEDEYSGPKLEDGKVTISFMKELM
+QWYKDQKKLHRKCAYQILVQVKEVLSKLSTLVE
+>P53041_PF00149_236 <unknown description>
+KITVCGDTHGQFYDLLNIFELNGLPSETNPYIFNGDFVDRGSFSVEVILTLFGFKLLYPD
+HFHLLRGNHETDNMNQIYGFEGEVKAKYTAQMYELFSEVFEWLPLAQCINGKVLIMHGGL
+FSEDGVTLDDIRKIERNRQPPDSGPMCDLLWSDPQPQNGRSISKRGVSCQFGPDVTKAFL
+EENNLDYIIRSHE
+>O00743_PF00149_47 <unknown description>
+PVTVCGDIHGQFYDLCELFRTGGQVPDTNYIFMGDFVDRGYYSLETFTYLLALKAKWPDR
+ITLLRGNHESRQITQVYGFYDECQTKYGNANAWRYCTKVFDMLTVAALIDEQILCVHGGL
+SPDIKTLDQIRTIERNQEIPHKGAFCDLVWSDPEDVDTWAISPRGAGWLFGAKVTNEFVH
+INNLKLICRAHQ
+>Q6NYC8_PF13916_29 <unknown description>
+ERERLSQMPAWKRGLLERRRAKLGLSPGEPSPVLGTVEAGPPDPDESAVLLEAIGPVHQN
+RFIRQERQQQQQQQQRSEELLAERKPGP
+>Q6NYC8_PF13914_439 <unknown description>
+TAPQPPGDPLMSRLFYGVKAGPGVGAPRRSGHTFTVNPRRSVPPATPATPTSPATVDAAV
+PGAGKKRYPTAEEILVLGGYLRLSRSCLAKGSPERHHKQLKISFSETALETTYQYPSESS
+VL
+>Q13522_PF05395_5 <unknown description>
+NSPRKIQFTVPLLEPHLDPEAAEQIRRRRPTPATLVLTSDQSSPEIDEDRIPNPHLKSTL
+AMSPRQRKKMTRITPTMKELQMMVEHHLGQQQQGEEPEGAAESTGTQESRPPGIPDTEVE
+SRLGTSGTAKKTAECIPKTHERGSKEP
+>Q9UD71_PF05395_2 <unknown description>
+DPKDRKKIQFSVPAPPSQLDPRQVEMIRRRRPTPAMLFRLSEHSSPEEEASPHQRASGEG
+HHLKSKRPNPCAYTPPSLKAVQRIAESHLQSISNLNENQASEEEDELGELRELGYPREED
+EEEEEDDEEEEEEEDSQAEVLKVIRQSAGQ
+>Q8WVI7_PF05395_2 <unknown description>
+EPNSPKKIQFAVPVFQSQIAPEAAEQIRKRRPTPASLVILNEHNPPEIDDKRGPNTQGEL
+QNASPKQRKQSVYTPPTIKGVKHLKGQNESAFP
+>Q6ZMI0_PF10205_11 <unknown description>
+QKLAQEYSKLRAQNQVLKKGVVDEQANSAALKEQLKMKDQSLRKLQQEMDSLTFRNLQLA
+KRVELLQDELALSEPRGKKNKKSGESSSQLSQEQKSVFDED
+>Q6ZMI0_PF10212_255 <unknown description>
+IAGQALAFVQDLVTALLNFHTYTEQRIQIFPVDSAIDTISPLNQKFSQYLHENASYVRPL
+EEGMLHLFESITEDTVTVLETTVKLKTFSEHLTSYICFLRKILPYQLKSLEEECESSLCT
+SALRARNLELSQDMKKMTAVFEKLQTYIALLALPSTEPDGLLRTNYSSVLTNVGAALHGF
+HDVMKDISKHYSQKAAIEHELPTATQKLITTNDCILSSVVALTNGAGKIASFFSNNLDYF
+IASLSYGPKAASGFISPLSAECMLQYKKKAAAYMKSLRKPLLESVPYEEALANRRILLSS
+TESREGLAQQVQQSLEKISKLEQEKEHWMLEAQLAKIKLEKENQRIADKLKNTGSAQLVG
+LAQENAAVSNTAGQDEATAKAVLEPIQSTSLIGTLTRTSDSEVPDVESREDLIKNHYMAR
+IVELTSQLQLADSKSVHFYAECRALSKRLALAEKSKEALTEEMKLASQNISRLQDELTTT
+KRSYEDQLSMMSDHLCSMNETLSKQREEIDTLKMSSK
+>Q5T8A7_PF15740_1 <unknown description>
+MFLMNASPVVALQSKWEAFGPPGSCRFPRCFSEADEGVESASVSARVQMLISTLQRDGAA
+RGTSDERAAQRGHRAEGCHDARPAAKPTVHKEPPALAVCGLVADFDPMGEEETTDFGPLV
+LDSDSDDSVDRDIEEAIQEYLKAKSGAAQPGAGGAQPGAAQPSRAAGGGSRCKPEPAHGS
+APTALCPPKLVPGSGGGPGSQVGSSKDQGSASPVSVSSDDSFEQSIRAEIEQFLNEKRQH
+ETQKCDGSVEKKPDTNENSAKSLLKSHQEPPTKVVHRQGLLGVQKEFAFRKPPRLAKMNV
+QPRSLRSKVTTTQENEGSTKPATPCRPSEAAQNKGGIKRSASAARRGKRVMSAAQASEAS
+DSSSDDGIEEAIQLYQLQKTRKEADGDLPQRVQLREERAPDPPAHSTSSATKSALPETHR
+KTPSKKKLVATKTMDPGPGGLDTDHAPKLLKETKAPPPASPASRSEFVERSSCRADTSAE
+LMCAEAILDISKTILPAPVEGSDGSLSASPLFYSPNVPSRSDGDSSSVDSDDSIEQEIRT
+FLALKAQSGSLLARGESCPQAAQGPLLPPGLNSQTGGHKTPLSKTPDPLLGCKRKRRGGG
+HVRPSTPKKMQEVVKDGSQDADHSQGRAEPGHERRDLPIQGKASEALGGEGTARGPGDTR
+MSQGQGKTDEARRLDEKESSEDKSSSLDSDEDLDTAIKDLLRSKRKLKKRCREPRAACRK
+KVRFSTAQTHFLEQLGGLRRDWKDRGPPVLKSCLSKSKRDSGEGPGKKPPSVFGSTAERM
+RQEGAASQDAALAFRVRRPASASASEGNPFPRESQGPAPSPGSLSDDSSSVDSNDSIELE
+IRKFLAEKAKES
+>Q86WC6_PF13637_65 <unknown description>
+LAALHEAVLSGNLECVKLLVKYGADIHQRDEAGWTPLHIACSDGYPDIARYLI
+>Q5R3F8_PF13855_80 <unknown description>
+NLTDLNLTKNEISYIEDGAFLGQSSLQVLQLGYNKLSNLTEGMLRGMSRLQFLFVQHNLI
+>Q7Z5V6_PF15691_2 <unknown description>
+MGKLPLGVVSPYVKMSSGGYTDPLKFYATSYCTAYGREDFKPRVGSHVGTGYKSNFQPVV
+SCQASLEALDNPARGEQAQDHFQSVASQSYRPLEVPDGKHPLPWSMRQTSSGYGREKPSA
+GPPTKEVRKVHFDTQEHGPQAITGLEPREVPLLHQQQGQDPLERENFRHGPRFMTSEYNS
+KYLRDPLDQPDFLQKKSIGAKEGSGFTKQSHQSPIVFQPPSQALPGDPALLPGQSVTKSD
+FLPKTHLHGDEFLPVLARGSKRETAFSRGNERILNPRVPPPCPEPSSVSHQQFQPLHRMQ
+QTNVALLGRETVGKKEPTGFSLNNPMYVRSPCDPDRDQRYLTTYNQGYFENIPKGLDQEG
+WTRGGIQPQMPGGYALSQPVSCMEATPNPMESLRHLHPHVGRTLTSADPFYQNTP
+>Q8TAP8_PF15503_104 <unknown description>
+PVLKSSLALGLELRAAAGSHFDAAKAVEEQLRKSFQIRCGLEESVSEGLNVPRSKRLFRD
+LVSLQVPEEQVLNAALREKLALLPPQARAPHPKEPPGPGPDMTILCDPETLFYESPHLTL
+DGLPPLRLQLRPRPSEDTFLMHRT
+>Q96LQ0_PF14895_63 <unknown description>
+TPAAEVKEKGKKGKAVHFAETDGPASDRLTDKRLAAKDDKSAKAVEKRGQQGTITLDDVK
+FVTLLLLQDTEMQRICSFTTFMRNKNLDNFLMALLYYLSHYLEKNSLEKKPKSYMVGLVE
+KKEMELVLSELEAAQRYLAQKYCILVLGLAVPDKHHMCCGKEKISDTQKDWKFFESFYTF
+CTYVAWIVFRRQHLTEIEEEVGRLFRTNMFNIPRRRREDEESGGEKKRMTFVQFRRMMAK
+RPAIKKAINMRSPVMSTLLPSLREKAQNVFEKKYHQVDVRFPAEMQKHVGTLDSVPMPVV
+GILGEPRCLFNPHTLHPLDPEENTKSFGRYPSLMENNNMRIQDTLDLVMK
+>O75864_PF13516_275 <unknown description>
+NCSLQILDLRNNHVLDSGLAYIC
+>O75864_PF13516_333 <unknown description>
+QSLETLNLGHNPIGNEGVRHL
+>O75864_PF13516_388 <unknown description>
+SPRLLRLDLRENEIKTGGLMALS
+>Q16821_PF03370_124 <unknown description>
+QIQKAILESTESLLGSTSIKGIIRVLNVSFEKLVYVRMSLDDWQTHYDILAEYVPNSCDG
+ETDQFSFKIVLVPPYQKDGSKVEFCIRYETSVGTFWSNNNGTNYTF
+>Q86XI6_PF03370_128 <unknown description>
+LQADHVCLENCVLKDKAIAGTVKVQNLAFEKTVKIRMTFDTWKSYTDFPCQYVKDTYAGS
+DRDTFSFDISLPEKIQSYERMEFAVYYECNGQTYWDSNRGKNYRI
+>Q9UQK1_PF03370_153 <unknown description>
+QKNFVCLENCSLQERTVTGTVKVKNVSFEKKVQIRITFDSWKNYTDVDCVYMKNVYGGTD
+SDTFSFAIDLPPVIPTEQKIEFCISYHANGQVFWDNNDGQNYRI
+>O95685_PF03370_172 <unknown description>
+LQRQLVCLERVTCSDLGISGTVRVCNVAFEKQVAVRYTFSGWRSTHEAVARWRGPAGPEG
+TEDVFTFGFPVPPFLLELGSRVHFAVRYQVAGAEYWDNNDHRDYSL
+>Q9H7J1_PF03370_159 <unknown description>
+TQRICLERAEAGPLGVAGSARVVDLAYEKRVSVRWSADGWRSQREAPAAYAGPAPPPPRA
+DRFAFRLPAPPIGGALLFALRYRVTGHEFWDNNGGRDYAL
+>Q6ZSY5_PF03370_160 <unknown description>
+RPPVLRGLVRVLNRSFEKAVHVRASHDGWASFCDHPARYVPRSPPWAGAGGTGAGDPILD
+PGLGLGPGQASASSPDDGGRTDRFAFQLPFAEGAGDGARLDFVVRYETPEGTFWANNHGR
+NYTV
+>Q5VV67_PF00076_1545 <unknown description>
+VFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQGDNYGFVTYRYAEEAFAAIESGH
+>P50897_PF02089_34 <unknown description>
+PLVIWHGMGDSCCNPLSMGAIKKMVEKKIPGIYVLSLEIGKTLMEDVENSFFLNVNSQVT
+TVCQALAKDPKLQQGYNAMGFSQGGQFLRAVAQRCPSPPMINLISVGGQHQGVFGLPRCP
+GESSHICDFIRKTLNAGAYSKVVQERLVQAEYWHDPIKEDVYRNHSIFLADINQERGINE
+SYKKNLMALKKFVMVKFLNDSIVDPVDSEWFGFYRSGQAKETIPLQETSLYTQDRLGLKE
+MDNAGQLVFLATEGDH
+>Q9UMR5_PF02089_38 <unknown description>
+PVIVVHGLFDSSYSFRHLLEYINETHPGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPI
+MAKAPQGVHLICYSQGGLVCRALLSVMDDHNVDSFISLSSPQMGQYGDTDYLKWLFPTSM
+RSNLYRICYSPWGQEFSICNYWHDPHHDDLYLNASSFLALINGERDHPNATVWRKNFLRV
+GHLVLIGGPDDGVITPWQSSFFGFYDANETVLEMEEQLVYLRDSFGLKTLLARGAIVRCP
+MAGISH
+>Q8NI37_PF07228_74 <unknown description>
+LGVADGVGGWRDYGVDPSQFSGTLMRTCERLVKEGRFVPSNPIGILTTSYCELLQNKVPL
+LGSSTACIVVLDRTSHRLHTANLGDSGFLVVRGGEVVHRSDEQQHYFNTPFQLSIAPPEA
+EGVVLSDSPDAADSTSFDVQLGDIILTATDGLFDNMPDYMILQELKKLKNSNYESIQQTA
+RSIAEQAHELAYDPNYMSPFAQFACDNGLNVRGGKPDDITVLL
+>Q96BP3_PF00400_125 <unknown description>
+FVKHFRSHLGVIESIAVSSEGALFCSVGDDKAMKVFD
+>Q96BP3_PF00400_284 <unknown description>
+TSVCFSPDGKKIATIGSDRKVRIF
+>Q96BP3_PF00160_495 <unknown description>
+IIHTSMGDIHTKLFPVECPKTVENFCVHSRNGYYNGHTFHRIIKGFMIQTGDPTGTGMGG
+ESIWGGEFEDEFHSTLRHDRPYTLSMANAGSNTNGSQFFITVVPTPWLDNKHTVFGRVTK
+GMEVVQRISNVKVNPKTDKPYEDVSIINITV
+>Q5THK1_PF15386_2027 <unknown description>
+TPMGLPRPKRLKKKEFSLEEIYTNKNYKSPPANRCLETIFEEPKERNGTLISISQQK
+>O75807_PF10488_549 <unknown description>
+TPLKARKVRFSEKVTVHFLAVWAGPAQAARQGPWEQLARDRSRFARRITQAQEELSPCLT
+PAARARAWAR
+>Q5SWA1_PF10472_1 <unknown description>
+MEPGTGGSRKRLGPRAGFRFWPPFFPRRSQAGSSKFPTPLGPENSGNPTLLSSAQPETRV
+SYWTKLLSQLLAPLPGLLQKVLIWSQLFGGMFPTRWLDFAGVYSALRALKGREKPAAPTA
+QKSLSSLQLDSSDPSVTSPLDWLEEGIHWQYSPPDLKLELKAKGSALDPAAQAFLLEQQL
+WGVELLPSSLQSRLYSNRELGSSPSGPLNIQRIDNFSVVSYLLNPSYLDCFPRLEVSYQN
+SDGNSEVVGFQTLTPESSCLREDHCHPQPLSAELIPASWQGCPPLSTEGLPEIHHLRMKR
+LEFLQQASKGQDLPTPDQDNGYHSLEEEHSLLRMDPKHCRDNPTQFVPAAGDIPGNTQES
+TEEKIELLTTEVPLALEEESPSEGCPSSEIPMEKEPGEGRISVVDYSYLE
+>Q5SWA1_PF10488_413 <unknown description>
+LPISARPACSNKLIDYILGGASSDLETSSDPEGEDWDEEAEDDGFDSDSSLSDSDLEQDP
+EGLHLWNSFCSVDPYNPQNFTATIQTAARIVPEEPSDSEKDLSGKSDLENSSQSGSLPET
+PEHSSGEEDDWESSADEAESLKLWNSFCNSDDPYNPLNFKAPFQTSGENEKGCRDSKTPS
+ESIVAISECHTLLSCKVQLLGSQESECPDSVQRDVLSGGRHTHVKRKKVTFLEEVTEYYI
+SGDEDRKGPWEEFARDGCRFQKRIQETEDAIGYCLTFEHRERMFNRL
+>Q9BU68_PF15321_7 <unknown description>
+WWKLTFLRKKKSTPKVLYEIPDTYAQTEGDAEPPRPDAGGPNSDFNTRLEKIVDKSTKGK
+HVKVSNSGRFKEKKKVRATLAE
+>P86496_PF15708_1 <unknown description>
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRR
+QPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHG
+EPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPV
+LTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>P86481_PF15708_1 <unknown description>
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRR
+QPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHG
+EPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPV
+LTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>P86479_PF15708_1 <unknown description>
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRR
+QPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHG
+EPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPV
+LTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>P86480_PF15708_1 <unknown description>
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRR
+QPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHG
+EPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPV
+LTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>P86478_PF15708_1 <unknown description>
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRR
+QPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHG
+EPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPV
+LTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>P0DPQ3_PF15708_1 <unknown description>
+MEEPRHSKRPRFLAPNQASGGPPTEPGCSGVDREDPVDPVQPAKPTAYVKPMRREPPARA
+QPAPPAGRGQRGGGSWRAGRGRGSGAGLLRALGERVGPGMYLVHLNDHGELGYQGQLEAR
+QTPAFSFTEAALMPGIVQEGPGPHAAQPEVGLQEPPPAPGPVAVARQTMLAPSPSLSFRP
+PGGSSTLCIVQTSNSTIVQSVPVFPAHSA
+>A6NEV1_PF10630_1 <unknown description>
+MGSRPRSPSAFPAPWWGQQPGGPGPAKRLRLEEPAGPEPRVAPSLEDPAGTPAVGALTSI
+VVLAAGCALRVPLDDVDLVLELPPTSILRVSLDGHTLILIPEVLLSSVDERSGAQDDSSA
+GLEVDVFLGALREDVVVEQEVFCASVPEIAAQEEAYEEDADPEFPELQMDSAAGSAAGLY
+SSARSMFSPYREGPIPEPCALAPNPSSEGHSPGPFFDPEFRLLEPVPSSPLQPLPPSPRV
+GSPGPHAHPPLPKRPPCKARRRL
+>Q6ZRT6_PF10630_1 <unknown description>
+MVSRPRSPSAFPAPWWGQQPGGPGPAKRLRLEEPAGPEPRAAPSLEDPAGDPAVDALTSI
+VVLAAGCALRVPLDDVDLVLEPAPTSILRVSLGGHTLILIPEVLLSSVDERSGAQHDSSA
+GLEVDVFLGAVREDVVVELEFCASVPEIAAQEEAYEEDADPEFPELRMDSPTGSAAGLYP
+SSRSMFIPYREGPIPEPCALAPNPSSERRSPRPIFDLEFRLLEPVPSSPLQPLPPSPCVG
+SPGPHARSPLPERPPCKARRRL
+>Q6ZRP0_PF10630_1 <unknown description>
+MGSRPCSPSACLAPWWGQQPGGPGPAKRSRLEEPAGPESRAAPSPEDPAGTPAVDALTSM
+VVLDAGCALRVPLEDVDLVLELAPMSVLRVSLGGHTLIVIPEVLLSSVDECSGAQGDWSA
+GLEVDVFLGAHGEDVVVEQEVCASVPEIAAEEEAYEEDADSEFPELWMDSAAGSAAGLYP
+SARSMFSPYREGPIRGPCALAPNPSSERRSPRPIFDLEFHLLEPVPSSPLQPLPPSPSPG
+PHARPELPERPPCKVRRRL
+>Q8NAV1_PF03371_11 <unknown description>
+SIHGTNPQYLVEKIIRTRIYESKYWKEECFGLTAELVVDKAMELRFVGGVYGGNIKPTPF
+LCLTLKMLQIQPEKDIIVEFIKNEDFKYVRMLGALYMRLTGTAIDCYKYLEPLYNDYRKI
+KSQNRNGEFELMHVDEFIDELLHSERVCDIILPRLQKRYVLEEA
+>Q8NAV1_PF12871_179 <unknown description>
+PRVSALEEDMDDVESSEEEEEEDEKLERVPSPDHRRRSYRDLDKPRRSPTLRYRRSRSRS
+PRRRSRSPKRRSPSPRRERHRSKSPRRHRSRSRDRRHR
+>Q5VTL8_PF03371_48 <unknown description>
+PLWGNEKTMNLNPMILTNILSSPYFKVQLYELKTYHEVVDEIYFKVTHVEPWEKGSRKTA
+GQTGMCGGVRGVGTGGIVSTAFCLLYKLFTLKLTRKQVMGLITHTDSPYIRALGFMYIRY
+TQPPTDLWDWFESFLDDEEDLDVKAGGGCVMTIGEMLRSFLTKLEWFSTLFPRIPVPVQK
+NI
+>Q9UI14_PF03208_39 <unknown description>
+RATIRPWSTFVDQQRFSRPRNLGELCQRLVRNVEYYQSNYVFVFLGLILYCVVTSPMLLV
+ALAVFFGACYILYLRTLESKLVLFGREVSPAHQYALAGGISFPFFWLAGAGSAVFWVLGA
+TLVVIGSHAAFHQ
+>O60831_PF03208_5 <unknown description>
+RLPPLRALDDFVLGSARLAAPDPCDPQRWCHRVINNLLYYQTNYLLCFGIGLALAGYVRP
+LHTLLSALVVAVALGVLVWAAETRAAVRRCRRSHPAACLAAVLAVGLLVLWVAGGACTFL
+FSIAGPVLLILVHASLRLRNLKNKIEN
+>O75915_PF03208_4 <unknown description>
+NIAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISIVGFLSP
+FNMILGGIVVVLVFTGFVWAAHNKDVLRRMKKRYPTTFVMVVMLASYFLISMFGGVMVFV
+FGITFPLLLMFIHASLRLRNLKNKLEN
+>Q86YV5_PF00069_1117 <unknown description>
+AYERRVCFLLLQLCNGLEHLKEHGIIHRDLCLENLLLVHCTLQAGPGPAPAPAPAPAPAA
+AAPPCSSAAPPAGGTLSPAAGPASPEGPREKQLPRLIISNFLKAKQKPGGTPNLQQKKSQ
+ARLAPEIVSASQYRKFDEFQTGILIYELLHQPNPFEVRAQLRERDYRQEDLPPLPALSLY
+SPGLQQLAHLLLEADPIKRIRIGEAK
+>Q96QH2_PF14603_576 <unknown description>
+FRKKFKFEGEIVVHTKMMIDPNAKTRRGGGKHLGIRRGEILEVIEFTSNEEMLCRDPKGK
+YGYVPRTALLPLETEVYDDVDFCD
+>Q96NZ9_PF15314_105 <unknown description>
+PEPDHDSLYHPPPEEDQGEERPRLWVMPNHQVLLGPEEDQDHIYH
+>P02812_PF15240_1 <unknown description>
+MLLILLSVALLALSSAQNLNEDVSQEESPSLIAGNPQGAPPQGGNKPQGPPSPPGKPQGP
+PPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSRSPRSPPGKPQG
+PPPQGGNQPQGPPPP
+>P02812_PF15240_316 <unknown description>
+QGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGGSKSRSARSPPGKPQGPPQQEGN
+NPQGPPPPAGGNPQQPQAPPAGQPQGPPRPPQGGRPSRPPQ
+>O43663_PF03999_16 <unknown description>
+QKALNHLREIWELIGIPEDQRLQRTEVVKKHIKELLDMMIAEEESLKERLIKSISVCQKE
+LNTLCSELHVEPFQEEGETTILQLEKDLRTQVELMRKQKKERKQELKLLQEQDQELCEIL
+CMPHYDIDSASVPSLEELNQFRQHVTTLRETKASRREEFVSIKRQIILCMEALDHTPDTS
+FERDVVCEDEDAFCLSLENIATLQKLLRQLEMQKSQNEAVCEGLRTQIRELWDRLQIPEE
+EREAVATIMSGSKAKVRKALQLEVDRLEELKMQNMKKVIEAIRVELVQYWDQCFYSQEQR
+QAFAPFCAEDYTESLLQLHDAEIVRLKNYYEVHKELFEGVQKWEETWRLFLEFERKASDP
+NRFTNRGGNLLKEEKQRAKLQKMLPKLEEELKARIELWEQEHSKAFMVNGQKFMEYVAEQ
+WEMHRLEKERAKQERQLKNKKQTETEMLYGSAPRTPSKRR
+>P48634_PF07001_1 <unknown description>
+MSDRSGPTAKGKDGKKYSSLNLFDTYKGKSLEIQKPAVAPRHGLQSLGKVAIARRMPPPA
+NLPSLKAENKGNDPNVSLVPKDGTGWASKQEQSDPKSSDASTAQPPESQPLPASQTPASN
+QPKRPPAAPENTPLVPSGVKSWAQASVTHGAHGDGGRASSLLSRFSREEFPTLQAAGDQD
+KAAKERES
+>Q5JSZ5_PF07001_1 <unknown description>
+MSDRLGQITKGKDGKSKYSTLSLFDKYKGKSVDAIRSSVIPRHGLQSLGKVAAARRMPPP
+ANLPSLKSENKGNDPNIVIVPKDGTGWANKQDQQDPKSSSATASQPPESLPQPGLQKSVS
+NLQKPTQSISQENTNSVPGGPKSWAQLNGKPVGHEGGLRGSSRLLSFSPEEFPTLKAAGG
+QDKAGKEK
+>Q9Y520_PF07001_1 <unknown description>
+MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA
+NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKTPEVPPAQPKPGVAAPPEVAPAP
+KSWASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKETN
+>Q92733_PF10253_275 <unknown description>
+NFFSLPEKAEPPGVEPYPYPIPTVPEELPPGTEPEPAFQDDAANAPLEFKMAAGSSGAPW
+MPKPGDDYSYNQFSTYGDANAAGAYYQDYYSGGYYPAQDPALVPPQEIAPDASFIDDEAF
+KRLQGKRNRGREEINFVEIKGDDQLSGAQQWMTKSLTEEKTMKSFSKKKGEQPTGQQRRK
+HQITYLIHQAKERELELKNTWSENKLSRRQTQAKYGF
+>Q00LT1_PF15201_1 <unknown description>
+MCTTLFLLSTLAMLWRRRFANRVQPEPSDVDGAARGSSLDADPQSSGREKEPLK
+>Q9NQV6_PF12171_356 <unknown description>
+PCYECNRRFISSEQLQQHLNSH
+>Q9NQV6_PF16638_380 <unknown description>
+KLDVFSRTRGRGRGRGKRRFGPGRRPGRPPKFIRLEITSENGEKSDDGTQDLLHFPTKEQ
+FDEAEPATLNGLDQPEQTTIPIPQLPQETQSSLEHEPETHTLHLQPQHEESVVPTQSTLT
+ADDMRRAKRIR
+>Q9NQV6_PF12874_557 <unknown description>
+TCDLCNKGFISSTSLESHMK
+>Q9NQV6_PF00096_640 <unknown description>
+YQCTECDKAFCRPDKLRLHMLRH
+>Q9NQV6_PF00096_669 <unknown description>
+LCSTCGKQFKRKDKLREHMQRMH
+>Q9NQV6_PF00096_768 <unknown description>
+YFCQYCDKVYKSASKRKAHILKNH
+>Q9H4Q4_PF00096_244 <unknown description>
+RCVICHRGFNSRSNLRSHMRIH
+>Q9H4Q4_PF00096_271 <unknown description>
+FVCRFCNRRFSQSSTLRNHVRLH
+>Q9H4Q3_PF00096_137 <unknown description>
+YICWYCWRTFRYPNSLKAHLRFH
+>Q9H4Q3_PF00096_575 <unknown description>
+CLYCGKLYSRKYGLKIHMRTH
+>Q9H4Q3_PF00096_602 <unknown description>
+KCKVCLRPFGDPSNLNKHIRLH
+>Q9H4Q3_PF00096_630 <unknown description>
+YRCEFCGKVLVRRRDLERHVKSRH
+>Q9GZV8_PF00096_461 <unknown description>
+HKCSTCGKCFSQSSSLNKHMRVH
+>Q9GZV8_PF00096_489 <unknown description>
+YQCVYCTKRFTASSILRTHIRQH
+>Q9GZV8_PF00096_547 <unknown description>
+SCSICGKIFSDQETFYSHMKFH
+>P57071_PF00096_372 <unknown description>
+YQCNICSKIFQNSSNLSRHVRSH
+>P57071_PF00096_460 <unknown description>
+FQCEMCFRFFSTNSNLSKHKKKH
+>P57071_PF00096_690 <unknown description>
+HPCEICGRIFNSIGNLERHKLIH
+>P57071_PF00096_718 <unknown description>
+HACEQCGKSFARKDMLKEHMRVH
+>P57071_PF00096_831 <unknown description>
+TCSVCDKKYVTEYMLQKHVQLTH
+>Q9HAZ2_PF13912_230 <unknown description>
+FRCDECDELFQSKLDLRRHKK
+>Q9HAZ2_PF00096_281 <unknown description>
+HECKDCERMFPNKYSLEQHMVIH
+>Q9HAZ2_PF00096_309 <unknown description>
+YKCDQCPKAFNWKSNLIRHQMSH
+>Q9HAZ2_PF00096_337 <unknown description>
+FECENCVKVFTDPSNLQRHIRSQH
+>Q9HAZ2_PF00096_366 <unknown description>
+HACPDCGKTFATSSGLKQHKHIH
+>Q9HAZ2_PF00096_394 <unknown description>
+FICEVCHKSYTQFSNLCRHKRMH
+>Q9HAZ2_PF00096_424 <unknown description>
+KCKDCGQMFSTTSSLNKHRR
+>Q9HAZ2_PF00096_951 <unknown description>
+YTCRYCGKIFPRSANLTRHLRTH
+>Q9HAZ2_PF00096_979 <unknown description>
+YRCKYCDRSFSISSNLQRHVRNIH
+>Q9HAZ2_PF00096_1008 <unknown description>
+FKCHLCNRCFGQQTNLDRHLKKH
+>Q9NRG1_PF00156_47 <unknown description>
+GIIVDRIERLAKDIMKDIGYSDIMVLCVLKGGYKFCADLVEHLKNISRNSDRFVSMKVDF
+IRLKSYRNDQSMGEMQIIGGDDLSTLAGKNVLIVEDVVGTGRTMKALLSNIEKYKPNMIK
+VASLLVKRTSRSDGFRPDYAGFEIPNLFVVGYALDY
+>O75626_PF00856_107 <unknown description>
+IPKGTRFGPLIGEIYTNDTVPKNANRKYFWRIYSRGELHHFIDGFNEEKSNWMRYVNPAH
+SPREQNLAACQNGMNIYFYTIKPIPANQELLVWY
+>O75626_PF00096_575 <unknown description>
+YECNVCAKTFGQLSNLKVHLRVH
+>O75626_PF00096_603 <unknown description>
+FKCQTCNKGFTQLAHLQKHYLVH
+>O75626_PF13912_631 <unknown description>
+HECQVCHKRFSSTSNLKTHLRLHS
+>Q13029_PF00856_83 <unknown description>
+LGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRAIYYKTLKPIAPGEELLVWYN
+>Q13029_PF00096_360 <unknown description>
+FPCQHCERKFTTKQGLERHMHIH
+>Q13029_PF00096_390 <unknown description>
+FKCKYCGKAFGTQINRRRHERRH
+>Q13029_PF13912_483 <unknown description>
+HPCKYCKKVFGTHTNMRRHQRRVHE
+>Q13029_PF13912_1455 <unknown description>
+HICPYCNREFTYIGSLNKH
+>Q9UKN5_PF18445_365 <unknown description>
+NKENMATLFTIWCTLCDRAYPSDCPEHGPVTFVPDTPI
+>Q9UKN5_PF00096_618 <unknown description>
+HKCDFCSKAFSDPSNLRTHLKIH
+>Q9UKN5_PF00096_646 <unknown description>
+YRCTLCDKSFTQKAHLESHMVIH
+>Q9NQX1_PF13912_167 <unknown description>
+YACPQCESSFTSEDILAEHLQTLHQKP
+>Q9NQX1_PF00096_199 <unknown description>
+FKCKNCGKKFPVKQALQRHV
+>Q9NQX1_PF12874_234 <unknown description>
+FQCSVCNSSFSSASSFEQHQ
+>Q9NQX1_PF12874_296 <unknown description>
+ICSVCNKKCSSASSLQEH
+>Q9NQX1_PF00096_320 <unknown description>
+FDCQECMKKFISANQLKRHMITH
+>Q9NQX1_PF13912_348 <unknown description>
+YNCEICNKSFKRLDQVGAHK
+>Q9NQX1_PF12874_376 <unknown description>
+YKCKLCGKGFAHRNVYKNHKK
+>Q9NQX1_PF00096_404 <unknown description>
+FQCEECKALFRTPFSLQRHLLIH
+>Q9NQX1_PF00096_432 <unknown description>
+FKCHHCDATFKRKDTLNVHVQVVH
+>Q9NQX1_PF00096_461 <unknown description>
+YRCELCNKAFVTPSVLRSHKKTH
+>Q9NQX1_PF00096_491 <unknown description>
+CPYCGQKFASSGTLRVHIRSH
+>Q9NQX1_PF00096_517 <unknown description>
+YQCPYCEKGFSKNDGLKMHIRTH
+>Q9NQX1_PF00096_545 <unknown description>
+YKCSECSKAFSQKRGLDEHKRTH
+>Q9NQX1_PF00096_573 <unknown description>
+FQCDVCDLAFSLKKMLIRHKMTH
+>Q9NQX1_PF00096_603 <unknown description>
+ECQFCHKKFTRNDYLKVHMDNIH
+>Q9NQX0_PF00856_260 <unknown description>
+YGICAAQRIQQGTWIGPFQGVLLPPEKVQAGAVRNTQHLWEIYDQDGTLQHFIDGGEPSK
+SSWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTELLVWYN
+>Q9NQX0_PF00096_501 <unknown description>
+YQCGHCSQSFSQPSELRNHVVTH
+>Q9NQX0_PF00096_529 <unknown description>
+FKCGYCGRAFAGATTLNNHIRTH
+>Q9NQX0_PF00096_557 <unknown description>
+FKCERCERSFTQATQLSRHQRM
+>Q9NQW5_PF01352_28 <unknown description>
+FKDISIYFTKEEWAEMGDWEKTRYRNVKMNYNALITVG
+>Q9NQW5_PF09514_171 <unknown description>
+LRRKETEGKMYSLRERKGHAYKEISEPQDDD
+>Q9NQV8_PF00096_667 <unknown description>
+KCPICNESFRERHHLSRHMTSH
+>Q9NQV7_PF01352_28 <unknown description>
+FKDISIYFTKEEWAEMGDWEKTRYRNV
+>Q9NQV7_PF00856_140 <unknown description>
+LNASGSEQAQKPVSPSGEASTSGQHSRLKLELRKKETERKMYSLRERKGHAYKEVSEPQD
+DDYLYCEMCQNFFIDSCAAHGPPTFVKDSAVDKGHPNRSALSLPPGLRIGPSGIPQAGLG
+VWNEASDLPLGLHFGPYEGRITEDEEAANNGYSWLITKGRNCYEYVDGKDKSWANWMRYV
+NCARDDEEQNLVAFQYHRQIFYRTCRVIRPGCELLVWYG
+>Q9NQV7_PF09514_171 <unknown description>
+LRKKETERKMYSLRERKGHAYKEVSEPQDDD
+>Q9NQV7_PF00096_552 <unknown description>
+YVCRECGRGFSWKSHLLIHQRIH
+>Q9NQV7_PF00096_608 <unknown description>
+YVCRECGRGFSRQSVLLTHQRRH
+>Q9NQV7_PF00096_636 <unknown description>
+YVCRECGRGFSRQSVLLTHQRRH
+>Q9NQV7_PF00096_720 <unknown description>
+YVCRECGRGFSNKSHLLRHQRTH
+>Q9NQV7_PF00096_748 <unknown description>
+YVCRECGRGFRDKSHLLRHQRTH
+>Q9NQV7_PF00096_776 <unknown description>
+YVCRECGRGFRDKSNLLSHQRTH
+>Q9NQV7_PF00096_804 <unknown description>
+YVCRECGRGFSNKSHLLRHQRTH
+>Q9NQV7_PF00096_832 <unknown description>
+YVCRECGRGFRNKSHLLRHQRTH
+>Q9NQV7_PF00096_860 <unknown description>
+YVCRECGRGFSDRSSLCYHQRTH
+>Q06830_PF00578_8 <unknown description>
+IGHPAPNFKATAVMPDGQFKDISLSDYKGKYVVFFFYPLDFTFVCPTEIIAFSDRAEEFK
+KLNCQVIGASVDSHFCHLAWVNTPKKQGGLGPMNIPLVSDPKRTIAQDYGVLKADEGISF
+RGLFIIDDKGILRQ
+>Q06830_PF10417_162 <unknown description>
+AFQFTDKHGEVCPAGWKPGSDTIKPDVQKSKEYFSK
+>P32119_PF00578_8 <unknown description>
+IGKPAPDFKATAVVDGAFKEVKLSDYKGKYVVLFFYPLDFTFVCPTEIIAFSNRAEDFRK
+LGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTRRLSEDYGVLKTDEGIAYR
+GLFIIDGKGVLRQ
+>P32119_PF10417_161 <unknown description>
+AFQYTDEHGEVCPAGWKPGSDTIKPNVDDSKEYFSK
+>P30048_PF00578_65 <unknown description>
+VTQHAPYFKGTAVVNGEFKDLSLDDFKGKYLVLFFYPLDFTFVCPTEIVAFSDKANEFHD
+VNCEVVAVSVDSHFSHLAWINTPRKNGGLGHMNIALLSDLTKQISRDYGVLLEGSGLALR
+GLFIIDPNGVIKH
+>P30048_PF10417_218 <unknown description>
+AFQYVETHGEVCPANWTPDSPTIKPSPAASKEYFQK
+>Q13162_PF00578_81 <unknown description>
+ISKPAPYWEGTAVIDGEFKELKLTDYRGKYLVFFFYPLDFTFVCPTEIIAFGDRLEEFRS
+INTEVVACSVDSQFTHLAWINTPRRQGGLGPIRIPLLSDLTHQISKDYGVYLEDSGHTLR
+GLFIIDDKGILRQ
+>Q13162_PF10417_234 <unknown description>
+AFQYTDKHGEVCPAGWKPGSETIIPDPA
+>P30044_PF08534_57 <unknown description>
+KVGDAIPAVEVFEGEPGNKVNLAELFKGKKGVLFGVPGAFTPGCSKTHLPGFVEQAEALK
+AKGVQVVACLSVNDAFVTGEWGRAHKAEGKVRLLADPTGAFGKETDLLLDDSLVSIFGNR
+RLKRFSMVVQDGIVKALNVEPDGT
+>P30041_PF00578_8 <unknown description>
+GDVAPNFEANTTVGRIRFHDFLGDSWGILFSHPRDFTPVCTTELGRAAKLAPEFAKRNVK
+LIALSIDSVEDHLAWSKDINAYNCEEPTEKLPFPIIDDRNRELAILLGMLDPAEKDEKGM
+PVTARVVFVFGPDKKLK
+>P30041_PF10417_166 <unknown description>
+SLQLTAEKRVATPVDWKDGDSVMVLPTIPEEEAKKLFPKG
+>Q9HCU5_PF00400_160 <unknown description>
+VCFNHDNTLLATGGTDGYVRVWK
+>P51888_PF13855_102 <unknown description>
+PRIHYLYLQNNFITELPVESFQNATGLRWINLDNNRIRKIDQRVLEKLPGLVFLYMEKNQ
+L
+>P51888_PF13855_171 <unknown description>
+RNLEQLRLSQNHISRIPPGVFSKLENLLLLDLQHNRLSDGVFKPDTFHGLKNLMQLNLAH
+N
+>P51888_PF13855_245 <unknown description>
+HQLYLDSNKIETIPNGYFKSFPNLAFIRLNYNKLTDRGLPKNSFNISNLLVLHLSHNRI
+>P51888_PF00560_312 <unknown description>
+RLEHLYLNNNSIEKINGTQICPNDL
+>Q5JRX3_PF00675_96 <unknown description>
+PMDSTGVPHILEHTVLCGSQKYPCRDPFFKMLNRSLSTFMNAFTASDYTLYPFSTQNPKD
+FQNLLSVYLDATFFPCLRELDF
+>Q5JRX3_PF05193_245 <unknown description>
+LTWEQLKQFHATHYHPSNARFFTYGNFPLEQHLKQIHEEALSKFQKIEPSTVVPAQTPWD
+KPREFQITCGPDSFATDPSKQTTISVSFLLPDITDTFEAFTLSLLSSLLTSGPNSPFYKA
+LIESGLGTDFSPDVGYNGYTREAYFSVGLQGIAEKDIETVRSLIDRTIDEVVEKGFEDDR
+IEA
+>Q5JRX3_PF08367_504 <unknown description>
+MRPDDKYHEKQAQVEATKLKQKVEALSPGDRQQIYEKGLELRSQQSKPQDASCLPALKVS
+DIEPTIPVTELDVVLTAGDIPVQYCAQPTNGMVYFRAFSSLNTLPEELRPYVPLFCSVLT
+KLGCGLLDYREQAQQIELKTGGMSASPHVLPDDSHMDTYEQGVLFSSLCLDRNLPDMMQL
+WSEIFNNPCFEEEEHFKVLVKMTAQELANGIPDSGHLYASIRAGRTLTPAGDLQETFSGM
+DQVRLMKRI
+>Q5JRX3_PF05193_774 <unknown description>
+NGDNMRCSVNATPQQMPQTEKAVEDFLRSIGRSKKERRPVRPHTVEKPVPSSSGGDAHVP
+HGSQVIRKLVMEPTFKPWQMKTHFLMPFPVNYVGECIRTVPYTDPDHASLKILARLMTAK
+FLHTEIREKGGAYGGGAKLSHNGIFTLYSYRDPNTIETLQSFGKAVDWAKSGKFTQQDID
+EAK
+>Q8TCU6_PF00621_53 <unknown description>
+VLNEILGTERDYVGTLRFLQSAFLHRIRQNVADSVEKGLTEENVKVLFSNIEDILEVHKD
+FLAALEYCLHPEPQSQHELGNVFLKFKDKFCVYEEYCSNHEKALRLLVELNKIPTVRAFL
+LSCMLLGGRKTTDIPLEGYLLSPIQRICKYPLLLKELAKRTPGKHPDHPAVQSALQAMKT
+VCSNIN
+>Q8TCU6_PF00610_428 <unknown description>
+IKDRRRKLSTVPKCFLGNEFVAWLLEIGEISKTEEGVNLGQALLENGIIHHVSDKHQFKN
+EQVMYRF
+>Q8TCU6_PF00610_529 <unknown description>
+IKDRDYHLKTYKSVLPGSKLVDWLLAQGDCQTREEAVALGVGLCNNGFMHHVLEKSEFRD
+ESQYFR
+>Q70Z35_PF00621_27 <unknown description>
+VLSELQKTERDYVGTLEFLVSAFLHRMNQCAASKVDKNVTEETVKMLFSNIEDILAVHKE
+FLKVVEECLHPEPNAQQEVGTCFLHFKDKFRIYDEYCSNHEKAQKLLLELNKIRTIRTFL
+LNCMLLGGRKNTDVPLEGYLVTPIQRICKYPLILKELLKRTPRKHSDYAAVMEALQAMKA
+VCSNIN
+>Q70Z35_PF00169_247 <unknown description>
+LMCGVLLKISSGNIQERVFFLFDNLLVYCKRKHRRLKNSKASTDGHRYLFRGRINTEVME
+VENVDDGTADFHSSGHIVVNGWKIHNTAKNKWFVCMAKTPEEKHEWFEAIL
+>Q70Z35_PF00610_396 <unknown description>
+IKDRKRKLTTFPKCFLGSEFVSWLLEIGEIHRPEEGVHLGQALLENGIIHHVTDKHQFKP
+EQMLYRF
+>Q70Z35_PF00610_497 <unknown description>
+IRDKDYHLRTYKSVVMANKLIDWLIAQGDCRTREEAMIFGVGLCDNGFMHHVLEKSEFKD
+EPLLFRF
+>Q96I23_PF03966_51 <unknown description>
+DPALLEFLVCPLSKKPLRYEASTNELINEELGIAYPIIDG
+>P13727_PF00059_116 <unknown description>
+QTFSQAWFTCRRCYRGNLVSIHNFNINYRIQCSVSALNQGQVWIGGRITGSGRCRRFQWV
+DGSRWNFAYWAAHQPWSRGGHCVALCTRGGHWRRAHCLRRLPFICS
+>Q9Y2Y8_PF00059_118 <unknown description>
+PKTFAEAQNVCSRCYGGNLVSIHDFNFNYRIQCCTSTVNQAQVWIGGNLRGWFLWKRFCW
+TDGSHWNFAYWSPGQPGNGQGSCVALCTKGGYWRRAQCDKQLPFVCSF
+>Q92954_PF01033_29 <unknown description>
+SCAGRCGEGYSRDATCNCDYNCQHYMECCPDFKRVCTA
+>Q92954_PF01033_69 <unknown description>
+SCKGRCFESFERGRECDCDAQCKKYDKCCPDYESFCAE
+>Q92954_PF00045_1195 <unknown description>
+IDTVFTRCNCEGKTFFFKDSQYWRFTNDIKDAGYPKPIFKGFG
+>Q9UBK2_PF00076_679 <unknown description>
+IYVGKIRPDTTRTELRDRFEVFGEIEECTVNLRDDGDSYGFITYRYTCDAFAALENGYTL
+R
+>Q86YN6_PF00076_904 <unknown description>
+VYIQNLSSDMSSRELKRRFEVFGEIEECEVLTRNRRGEKYGFITYRCSEHAA
+>P06401_PF02161_1 <unknown description>
+MTELKAKGPRAPHVAGGPPSPEVGSPLLCRPAAGPFPGSQTSDTLPEVSAIPISLDGLLF
+PRPCQGQDPSDEKTQDQQSLSDVEGAYSRAEATRGAGGSSSSPPEKDSGLLDSVLDTLLA
+PSGPGQSQPSPPACEVTSSWCLFGPELPEDPPAAPATQRVLSPLMSRSGCKVGDSSGTAA
+AHKVLPRGLSPARQLLLPASESPHWSGAPVKPSPQAAAVEVEEEDGSESEESAGPLLKGK
+PRALGGAAAGGGAAAVPPGAAAGGVALVPKEDSRFSAPRVALVEQDAPMAPGRSPLATTV
+MDFIHVPILPLNHALLAARTRQLLEDESYDGGAGAASAFAPPRSSPCASSTPVAVGDFPD
+CAYPPDAEPKDDAYPLYSDFQPPALKIKEEEEGAEASARSPRSYLVAGANPAAFPDFPLG
+PPPPLPPRATPSRPGEAAVTAAPASASVSSASSSGSTLECILYKAEGAPPQQGPFAPPPC
+KAPGASGCLLPRDGLPSTSASAAAAGAAPALYPALGLNGLPQLGYQAAVLKEGLPQVYPP
+YLNYLRPDSEASQSPQYSFESLPQ
+>P06401_PF00105_566 <unknown description>
+ICLICGDEASGCHYGVLTCGSCKVFFKRAMEGQHNYLCAGRNDCIVDKIRRKNCPACRLR
+KCCQAGMV
+>P06401_PF00104_715 <unknown description>
+LTSLNQLGERQLLSVVKWSKSLPGFRNLHIDDQITLIQYSWMSLMVFGLGWRSYKHVSGQ
+MLYFAPDLILNEQRMKESSFYSLCLTMWQIPQEFVKLQVSQEEFLCMKVLLLLNTIPLEG
+LRSQTQFEEMRSSYIRELIKAIGLRQKGVVSSSQRFYQLTKLLDNLHDLVKQ
+>P49642_PF01896_108 <unknown description>
+FDIDMTDYDDVRRCCSSADICPKCWTLMTMAIRIIDRALKEDFGFKHRLWVYSGRRGVHC
+WVCDESVRKLSSAVRSGIVEYLSLVKGGQDVKKKVHLSEKIHPFIRKSINIIKKYFEEYA
+LVNQDILENKESWDKILALVPETIHDELQQSFQKSHNSLQRWEHLKKVASRYQNNIKNDK
+YGPWLEWEIMLQYCFPRLDINVSKGINHLLKSPFSVHPKTGRISVPID
+>P49643_PF04104_184 <unknown description>
+YKIPFADALDLFRGRKVYLEDGFAYVPLKDIVAIILNEFRAKLSKALALTARSLPAVQSD
+ERLQPLLNHLSHSYTGQDYSTQGNVGKISLDQIDLLSTKSFPPCMRQLHKALRENHHLRH
+GGRMQYGLFLKGIGLTLEQALQFWKQEFIKGKMDPDKFDKGYSYNIRHSFGKEGKRTDYT
+PFSCLKIILSNPPSQGDYHGCPFRHSDPELLKQKLQSYKISPGGISQILDLVKGTHYQVA
+CQKYFEMIHNVDDCGFSLNHPNQFF
+>Q96MT3_PF06297_31 <unknown description>
+EEYAWVPPGLRPEQIQLYFACLPEEKVPYVNSPGEKHRIKQLLYQLPPHDNEVRYCQSLS
+EEEKKELQVFSAQRKKEALGRGTIK
+>Q96MT3_PF00412_126 <unknown description>
+CEQCGLKINGGEVAVFASRAGPGVCWHPSCFVCFTCNELLVDLIYFYQDGKIHCGRHHAE
+>Q96MT3_PF00412_191 <unknown description>
+CSACDEIIFADECTEAEGRHWHMKHFCCLECETVLGGQRYIMKDGRPFCCGCFE
+>Q96MT3_PF00412_251 <unknown description>
+CETCGEHIGVDHAQMTYDGQHWHATEACFSCAQCKASLLGCPFLPKQGQIYCSK
+>Q7Z3G6_PF06297_35 <unknown description>
+EEYAWVPPGLKPEQVHQYYSCLPEEKVPYVNSPGEKLRIKQLLHQLPPHDNEVRYCNSLD
+EEEKRELKLFSSQRKRENLGRGNVR
+>Q7Z3G6_PF00412_130 <unknown description>
+CEQCGGQINGGDIAVFASRAGHGVCWHPPCFVCTVCNELLVDLIYFYQDGKIYCGRHHAE
+>Q7Z3G6_PF00412_195 <unknown description>
+CAACDEIIFADECTEAEGRHWHMKHFCCFECETVLGGQRYIMKEGRPYCCHCFES
+>Q7Z3G6_PF00412_255 <unknown description>
+CDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPKQGQIFCSR
+>O43900_PF06297_91 <unknown description>
+EEYAWVPPGLKPEQVYQFFSCLPEDKVPYVNSPGEKYRIKQLLHQLPPHDSEAQYCTALE
+EEEKKELRAFSQQRKRENLGRGIV
+>O43900_PF00412_186 <unknown description>
+CEECGKQIGGGDIAVFASRAGLGACWHPQCFVCTTCQELLVDLIYFYHVGKVYCGRHHAE
+>O43900_PF00412_251 <unknown description>
+CQACDEIIFSPECTEAEGRHWHMDHFCCFECEASLGGQRYVMRQSRPHCCACYEAR
+>O43900_PF00412_311 <unknown description>
+CDGCGEHIGLDQGQMAYEGQHWHASDRCFCCSRCGRALLGRPFLPRRGLIFCSR
+>Q2TBC4_PF06297_69 <unknown description>
+TLLQQLPPQDIDERYCLALGEEERAELQLFCARRKQEALGQGV
+>Q2TBC4_PF00412_124 <unknown description>
+CEKCRELLKPGEYGVFAARAGEQRCWHQPCFACQACGQALINLIYFYHDGQLYCGRHHAE
+>Q2TBC4_PF00412_189 <unknown description>
+CPACDQLIFSWRCTEAEGQRWHENHFCCQDCAGPLGGGRYALPGGSPCCPSCFENR
+>Q86XR5_PF16101_37 <unknown description>
+PQKSCSKVTDSCRHVCQCRPPPPLPPPPPPPPPPRLLSAPAPNSTSCPTEESWWSGLVII
+IAVCCASLVFLTVLVIICYKAIKRKPLRKDENGTSVAEYPMSASQSNKGVDVNNAVV
+>P04156_PF11587_1 <unknown description>
+MANLGCWMLVLFVATWSDLGLCKKRPKP
+>P04156_PF00377_134 <unknown description>
+MSRPIIHFGSDYEDRYYRENMHRYPNQVYYRPMDEYSNQNNFVHDCVNITIKQHTVTTTT
+KGENFTETDVKMMERVVEQMCITQYERESQAYYQRGSSMVLFSSPPVILLISFLIFLI
+>Q96LW4_PF01896_113 <unknown description>
+FDLEFNKPANPGADGKKMVALLIEYVCKALQELYGVNCSAEDVLNLDSSTDEKFSRHLIF
+QLHDVAFKDNIHVGNFLRKILQPALDLLGSEDDDSAPETTGHGFPHFSEAPARQGFSFNK
+MFTEKATEESWTSNSKKLE
+>Q96LW4_PF03121_402 <unknown description>
+YFFPEELLVYDICKYRWCENIGRAHKSNNIMILVDLKNEVWYQKCHDPVCKAENFKSDCF
+PLPA
+>P78527_PF08163_1816 <unknown description>
+RQSFVDRSLLTLLWHCSLDALREFFSTIVVDAIDVLKSRFTKLNESTFDTQITKKMGYYK
+ILDVMYSRLPKDDVHAKESKINQVFHGSCITEGNELTKTLIKLCYDAFTENMAGENQLLE
+RRRLYHCAAYNCAISVICCVFNELKFYQGFLFSEKPEKNLLIFENLIDLKRRYNFPVEVE
+VPMERKKKYIEIRKEAREAANGDSDGPSYMSSLSYLADSTLSEEMSQFDFSTGVQSYSYS
+SQDPRPATGRFRRREQRDPTVHDDVLELEMDELNRHECMAPLTALVKHMHRSLGPPQGEE
+DSVPRDLPSWMKFLHGKLGNPIVPLNIRLFLAKLVINTEEVFRPYAKHWLSPLLQLAASE
+NNGGEGIHYMVVEIVATILSWTGLATP
+>P78527_PF19704_2213 <unknown description>
+NRLLNFLMKHVFHPKRAVFRHNLEIIKTLVECWKDCLSIPYRLIFEKFSGKDPNSKDNSV
+GIQLLGIVMANDLPPYDPQCGIQSSEYFQALVNNMSFVRYKEVYAAAAEVLGLILRYVME
+RKNILEESLCELVAKQLKQHQNTMEDKFIVCLNKVTKSFPPLADRFMNAVFFLLPKFHGV
+LKTLCLEVVLCRVEGMTELYFQLKSKDFVQVMRHRDDERQKVCLDIIYKMMPKLKPVELR
+ELLNPVVEFVSHPSTTCREQMYNILMWIHDNYRDPESETDNDSQEIFKLAKDVLIQGLID
+ENPGLQLIIRNFWSHETRLPSNTLDRLLALNSLYSPKIEVHFLSLATNFLLEMTSMSPDY
+PNPMFEHPLSECEFQEYTIDSDWRFRSTVLTPMFVETQASQGTLQTRTQEGSLSARWPVA
+GQIRATQQQHDFTLTQTADGRSSFDWLTGSSTDPLVDHTSPSSDSLLFAHKRSERLQRAP
+LKSVGPDFGKKRLGLPGDEVDNKVKGAAGRTDLLRLRRRFMRDQEKLSLMYARKGVAEQK
+REKEIKSELKMKQDAQVVLYRSYRHGDLPDIQIKHSSLITPLQAVAQRDPIIAKQLFSSL
+FSGILKEMDKFKTLSEKNNITQKLLQDFNRFLNTTFSFFPPFVSCIQDISCQHAALLSLD
+PAAVSAGCLASLQQPVGIRLLEEALL
+>P78527_PF02259_3023 <unknown description>
+NPPDLNKIWSEPFYQETYLPYMIRSKLKLLLQGEADQSLLTFIDKAMHGELQKAILELHY
+SQELSLLYLLQDDVDRAKYYIQNGIQSFMQNYSSIDVLLHQSRLTKLQSVQALTEIQEFI
+SFISKQGNLSSQVPLKRLLNTWTNRYPDAKMDPMNIWDDIITNRCFFLSKIEEKLTPLPE
+DNSMNVDQDGDPSDRMEVQEQEEDISSLIRSCKFSMKMKMIDSARKQNNFSLAMKLLKEL
+HKESKTRDDWLVSWVQSYCRLSHCRSRSQGCSEQVLTVLKTVSLLDENNVSSYLSKNILA
+FRDQNILLGTTYRIIANALSSEPACLAEIEEDKARRILELSGSSSEDSEKVIAGLYQRAF
+QHLSEAVQAAEEEAQPPSWSCGPAAGVIDAYMTLADFCDQQLRKEEENASVIDSAELQAY
+PALVVEKMLKALKLNSNEARLKFPRLLQ
+>P78527_PF00454_3749 <unknown description>
+PFLVKGGEDLRQDQRVEQLFQVMNGILAQDSACSQRALQLRTYSVVPMTSRLGLIEWLEN
+TVTLKDLLLNTMSQEEKAAYLSDPRAPPCEYKDWLTKMSGKHDVGAYMLMYKGANRTETV
+TSFRKRESKVPADLLKRAFVRMSTSPEAFLALRSHFASSHALICISHWILGIGDRHLNNF
+MVAMETGGVIGIDFGHAFGSATQFLPVPELMPFRLTRQFINLMLPMKETGLMYSIMVHAL
+RAFRSDPGLLTNTMDVFVKEPSFDWK
+>P78527_PF02260_4098 <unknown description>
+LSEETQVKCLMDQATDPNILGRTWEGWEPWM
+>O60260_PF00240_3 <unknown description>
+VFVRFNSSHGFPVEVDSDTSIFQLKEVVAKRQGVPADQLRVIFAGKELRNDWTVQNCDLD
+QQSIVHIVQR
+>O60260_PF17976_145 <unknown description>
+SFYVYCKGPCQRVQPGKLRVQCSTCRQATLTLTQGPSCWDDVLIPNRMSGECQSPHCPGT
+SAEFFFKCGAHPT
+>O60260_PF17978_228 <unknown description>
+LIATNSRNITCITCTDVRSPVLVFQCNSRHVICLDCFHLYCVTRLNDRQFVHDPQLGYSL
+PCVAGCPNSLIKELHHFRILGEEQYNRYQQY
+>O75569_PF00035_35 <unknown description>
+PIQVLHEYGMKTKNIPVYECERSDVQIHVPTFTFRVTVGDITCTGEGTSKKLAKHRAAEA
+AINIL
+>O75569_PF00035_127 <unknown description>
+PIGSLQELAIHHGWRLPEYTLSQEGGPAHKREYTTICRLESFMETGKGASKKQAKRNAAE
+KFLAK
+>O75569_PF16482_242 <unknown description>
+IQLLSEIAKEQGFNITYLDIDELSANGQYQCLAELSTSPITVCHGSGISCGNAQSDAAHN
+ALQYL
+>P51817_PF00069_51 <unknown description>
+TLATVGTGTFGRVHLVKEKTAKHFFALKVMSIPDVIRLKQEQHVHNEKSVLKEVSHPFLI
+RLFWTWHDERFLYMLMEYVPGGELFSYLRNRGRFSSTTGLFYSAEIICAIEYLHSKEIVY
+RDLKPENILLDRDGHIKLTDFGFAKKLVDRTWTLCGTPEYLAPEVIQSKGHGRAVDWWAL
+GILIFEMLSGFPPFFDDNPFGIYQKILAGKIDFPRHLDFHVKDLIKKLLVVDRTRRLGNM
+KNGANDVKHHRWF
+>Q9Y255_PF04707_16 <unknown description>
+DQVFAAFWQRYPNPYSKHVLTEDIVHREVTPDQKLLSRRLLTKTNRMPRWAERLFPANVA
+HSVYVLEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYCVNSDNSGWTEIRREAWVSSS
+LFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQG
+>Q8N945_PF04707_16 <unknown description>
+EQVVASFLRKYPNPMDKNVISVKIMEEKRDESTGVIYRKRIAICQNVVPEILRKVSILKV
+PNIQLEEESWLNPRERNMAIRSHCLTWTQYASMKEESVFRESMENPNWTEFIQRGRISIT
+GVGFLNCVLETFASTFLRQGAQKGIRIMEMLLKEQC
+>P49683_PF00001_77 <unknown description>
+GNCLLVLVIARVRRLHNVTNFLIGNLALSDVLMCTACVPLTLAYAFEPRGWVFGGGLCHL
+VFFLQPVTVYVSVFTLTTIAVDRYVVLVHPLRRRISLRLSAYAVLAIWALSAVLALPAAV
+HTYHVELKPHDVRLCEEFWGSQERQRQLYAWGLLLVTYLLPLLVILLSYVRVSVKLRNRV
+VPGCVTQSQADWDRARRRRTFCLLVVIVVVFAVCWLPLHVFNLLRDLDPHAIDPYAFGLV
+QLLCHWLAMSSACYNPFIY
+>P16471_PF09067_25 <unknown description>
+QLPPGKPEIFKCRSPNKETFTCWWRPGTDGGLPTNYSLTYHREGETLMHECPDYITGGPN
+SCHFGKQYTSMWRTYIMMVNATNQMGSSFSDELYVD
+>P01236_PF00103_15 <unknown description>
+LLVSNLLLCQSVAPLPICPGGAARCQVTLRDLFDRAVVLSHYIHNLSSEMFSEFDKRYTH
+GRGFITKAINSCHTSSLATPEDKEQAQQMNQKDFLSLIVSILRSWNEPLYHLVTEVRGMQ
+EAPEAILSKAVEIEEQTKRLLEGMELIVSQVHPETKENEIYPVWSGLPSLQMADEESRLS
+AYYNLLHCLRRDSHKIDNYLKLLKCRIIHNNNC
+>P04554_PF00841_1 <unknown description>
+MVRYRVRSLSERSHEVYRQQLHGQEQGHHGQEEQGLSPEHVEVYERTHGQSHYRRRHCSR
+RRLHRIHRRQHRSCRRRKRRSCRHRRRHRRGCR
+>Q9UKY0_PF11466_1 <unknown description>
+MRKHLSWWWLATVCMLLFSHLSAVQTRGIK
+>Q9UKY0_PF00377_63 <unknown description>
+GRKLDIDFGAEGNRYYEANYWQFPDGIHYNGCSEANVTKEAFVTGCINATQAANQGEFQK
+PDNKLHQQVLWRLVQELCSLKHCEFWLERGAGLRVTMHQPVLLCLLALIWLTVK
+>E7EW31_PF15232_876 <unknown description>
+AAPLGKVLVDPESGRYYFVEAPRQPRLRVLFDPESGQYVEVLLPPSSPGPPHRVYTPLAL
+GLGLYP
+>P04070_PF00594_47 <unknown description>
+LEELRHSSLERECIEEICDFEEAKEIFQNVDDTLAFWSK
+>P04070_PF00008_100 <unknown description>
+PCASLCCGHGTCIDGIGSFSCDCRSGWEGR
+>P04070_PF14670_140 <unknown description>
+CSLDNGGCTHYCLEEVGWRRCSCAPGYKLGDDLLQC
+>P04070_PF00089_213 <unknown description>
+IDGKMTRRGDSPWQVVLLDSKKKLACGAVLIHPSWVLTAAHCMDESKKLLVRLGEYDLRR
+WEKWELDLDIKEVFVHPNYSKSTTDNDIALLHLAQPATLSQTIVPICLPDSGLAERELNQ
+AGQETLVTGWGYHSSREKEAKRNRTFVLNFIKIPVVPHNECSEVMSNMVSENMLCAGILG
+DRQDACEGDSGGPMVASFHGTWFLVGLVSWGEGCGLLHNYGVYTKVSRYLDWI
+>O43272_PF01619_133 <unknown description>
+YRAFGVSAILDYGVEEDLSPEEAEHKEMESCTSAAERDGSGTNKRDKQYQAHWAFGDRRN
+GVISARTYFYANEAKCDSHMETFLRCIEASGRVSDDGFIAIKLTALGRPQFLLQFSEVLA
+KWRCFFHQMAVEQGQAGLAAMDTKLEVAVLQESVAKLGIASRAEIEDWFTAETLGVSGTM
+DLLDWSSLIDSRTKLSKHLVVPNAQTGQLEPLLSRFTEEEELQMTRMLQRMDVLAKKATE
+MGVRLMVDAEQTYFQPAISRLTLEMQRKFNVEKPLIFNTYQCYLKDAYDNVTLDVELARR
+EGWCFGAKLVRGAYLAQERARAAEIGYEDPINPTYEATNAMYHRCLDYVLEELKHNAKAK
+VMVASHNEDTVRFALRRMEELGLHPADHRVYFGQLLGMCDQISFPLGQAGYPVYKYVPYG
+PVMEVLPYLSRRALENSSLMKGTH
+>P07737_PF00235_3 <unknown description>
+GWNAYIDNLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVNITPAEVGVLVGKDRSSFYVN
+GLTLGGQKCSVIRDSLLQDGEFSMDLRTKSTGGAPTFNVTVTKTDKTLVLLMGKEGVHGG
+LINKKCYEMASHLRRSQY
+>P35080_PF00235_3 <unknown description>
+GWQSYVDNLMCDGCCQEAAIVGYCDAKYVWAATAGGVFQSITPIEIDMIVGKDREGFFTN
+GLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRVLVFVMGKEGVHGG
+GLNKKAYSMAKYLRDSGF
+>P60673_PF00235_4 <unknown description>
+WKVYISAVLRDQRIDDVAIVGHADNSCVWASRPGGLLAAISPQEVGVLTGPDRHTFLQAG
+LSVGGRRCCVIRDHLLAEGDGVLDARTKGLDARAVCVGRAPRALLVLMGRRGVHGGILNK
+TVHELIRGLRMQG
+>Q8NHR9_PF00235_4 <unknown description>
+LQSLLLDTLLGTKHVDSAALIKIQERSLCVASPGFNVTPSDVRTLVNGFAKNPLQARREG
+LYFKGKDYRCVRADEYSLYAKNENTGVVVVKTHLYLLVATYTEGMYPSICVEATESLGDY
+LRKKG
+>P58294_PF06607_1 <unknown description>
+MRGATRVSIMLLLVTVSDCAVITGACERDVQCGAGTCCAISLWLRGLRMCTPLGREGEEC
+HPGSHKVPFFRKRKHHTCPCLPNLLCSRFPDGRYRCS
+>Q9HC23_PF06607_1 <unknown description>
+MRSLCCAPLLLLLLLPPLLLTPRAGDAAVITGACDKDSQCGGGMCCAVSIWVKSIRICTP
+MGKLGDSCHPLTRKNNFGNGRQERRKRKRSKRKKEVPFFGRRMHHTCPCLPGLACLRTSF
+NRFICL
+>Q99935_PF15621_1 <unknown description>
+MKLTFFLGLLALISCFTPSESQRFSRRPYLPGQLPPPPLYRPRWVPPSPPPPYDSRLNSP
+LSLPFVPGRVPPSSFSRFSQAVILSQLFPLESIRQPRLFP
+>Q16378_PF15240_1 <unknown description>
+MLLVLLSVVLLALSSAQSTDNDVNYEDFTFTIPDVEDSSQRPDQGPQRPPPEGLLPRPPG
+DSGNQDDGPQQRPPKPGGHHRHPPPPPFQNQQRPPRRGHRQLSLPRFPS
+>O43490_PF05478_19 <unknown description>
+SGGQPSSTDAPKAWNYELPATNYETQDSHKAGPIGILFELVHIFLYVVQPRDFPEDTLRK
+FLQKAYESKIDYDKPETVILGLKIVYYEAGIILCCVLGLLFIILMPLVGYFFCMCRCCNK
+CGGEMHQRQKENGPFLRKCFAISLLVICIIISIGIFYGFVANHQVRTRIKRSRKLADSNF
+KDLRTLLNETPEQIKYILAQYNTTKDKAFTDLNSINSVLGGGILDRLRPNIIPVLDEIKS
+MATAIKETKEALENMNSTLKSLHQQSTQLSSSLTSVKTSLRSSLNDPLCLVHPSSETCNS
+IRLSLSQLNSNPELRQLPPVDAELDNVNNVLRTDLDGLVQQGYQSLNDIPDRVQRQTTTV
+VAGIKRVLNSIGSDIDNVTQRLPIQDILSAFSVYVNNTESYIHRNLPTLEEYDSYWWLGG
+LVICSLLTLIVIFYYLGLLCGVCGYDRHATPTTRGCVSNTGGVFLMVGVGLSFLFCWILM
+IIVVLTFVFGANVEKLICEPYTSKELFRVLDTPYLLNEDWEYYLSGKLFNKSKMKLTFEQ
+VYSDCKKNRGTYGTLHLQNSFNISEHLNINEHTGSISSELESLKVNLNIFLLGAAGRKNL
+QDFAACGIDRMNYDSYLAQTGKSPAGVNLLSFAYDLEAKANSLPPGNLRNSLKRDAQTIK
+TIHQQRVLPIEQSLSTLYQSVKILQRTGNGLLERVTRILASLDFAQNFITNNTSSVIIEE
+TKKYGRTIIGYFEHYLQWIEFSISEKVASCKPVATALDTAVDVFLCSYIIDPLNLFWFGI
+GKATVFLLPALIFAVKLAKYYR
+>Q8N271_PF05478_27 <unknown description>
+ATDCKFLGPAEHLTFTPAARARWLAPRVRAPGLLDSLYGTVRRFLSVVQLNPFPSELVKA
+LLNELASVKVNEVVRYEAGYVVCAVIAGLYLLLVPTAGLCFCCCRCHRRCGGRVKTEHKA
+LACERAALMVFLLLTTLLLLIGVVCAFVTNQRTHEQMGPSIEAMPETLLSLWGLVSDVPQ
+ELQAVAQQFSLPQEQVSEELDGVGVSIGSAIHTQLRSSVYPLLAAVGSLGQVLQVSVHHL
+QTLNATVVELQAGQQDLEPAIREHRDRLLELLQEARCQGDCAGALSWARTLELGADFSQV
+PSVDHVLHQLKGVPEANFSSMVQEENSTFNALPALAAMQTSSVVQELKKAVAQQPEGVRT
+LAEGFPGLEAASRWAQALQEVEESSRPYLQEVQRYETYRWIVGCVLCSVVLFVVLCNLLG
+LNLGIWGLSARDDPSHPEAKGEAGARFLMAGVGLSFLFAAPLILLVFATFLVGGNVQTLV
+CQSWENGELFEFADTPGNLPPSMNLSQLLGLRKNISIHQAYQQCKEGAALWTVLQLNDSY
+DLEEHLDINQYTNKLRQELQSLKVDTQSLDLLSSAARRDLEALQSSGLQRIHYPDFLVQI
+QRPVVKTSMEQLAQELQGLAQAQDNSVLGQRLQEEAQGLRNLHQEKVVPQQSLVAKLNLS
+VRALESSAPNLQLETSDVLANVTYLKGELPAWAARILRNVSECFLAREMGYFSQYVAWVR
+EEVTQRIATCQPLSGALDNSRVILCDMMADPWNAFWFCLAWCTFFLIPSIIFAVKTSKYF
+R
+>O75360_PF00046_70 <unknown description>
+RRHRTTFSPVQLEQLESAFGRNQYPDIWARESLARDTGLSEARIQVWFQNRRAKQRK
+>P27918_PF18487_28 <unknown description>
+DPVLCFTQYEESSGKCKGLLGGGVSVEDCCLNTAFAYQKRSGGLCQPCRS
+>P27918_PF00090_81 <unknown description>
+SLWSTWAPCSVTCSEGSQLRYRRCVGWNGQCSGKVAPGTLEWQLQACEDQQCC
+>P27918_PF00090_140 <unknown description>
+SGWGPWEPCSVTCSKGTRTRRRACNHPAPKCGGHCPGQAQESEACDTQQVC
+>P27918_PF00090_198 <unknown description>
+TWGPWTPCSASCHGGPHEPKETRSRKCSAPEPSQKPPGKPCPGLAYEQRRCTGLPPC
+>P27918_PF00090_261 <unknown description>
+GPWGPVSPCPVTCGLGQTMEQRTCNHPVPQHGGPFCAGDATRTHICNTAVPC
+>P27918_PF00090_321 <unknown description>
+WGEWSPCIRRNMKSISCQEIPGQQSRGRTCRGRKFDGHRCAGQQQDIRHCYSIQHC
+>P27918_PF00090_383 <unknown description>
+SEWSTWGLCMPPCGPNPTRARQRLCT
+>P07225_PF00594_46 <unknown description>
+LEETKQGNLERECIEELCNKEEAREVFENDPETDYFYPKY
+>P07225_PF00008_121 <unknown description>
+CSPLPCNEDGYMSCKDGKASFTCTCKPGWQGE
+>P07225_PF14670_166 <unknown description>
+NINGGCSQICDNTPGSYHCSCKNGFVMLSNKKDC
+>P07225_PF07645_201 <unknown description>
+DVDECSLKPSICGTAVCKNIPGDFECECPEGYRYNLKSKSC
+>P07225_PF07645_243 <unknown description>
+DIDECSENMCAQLCVNYPGGYTCYCDGKKGFKLAQDQKSC
+>P07225_PF00054_329 <unknown description>
+FRTYDSEGVILYAESIDHSAWLLIALRGGKIEVQLKNEHTSKITTGGDVINNGLWNMVSV
+EELEHSISIKIAKEAVMDINKPGPLFKPENGLLETKVYFAGFPRKVESELIKPINPRLDG
+CIRSWN
+>P07225_PF02210_514 <unknown description>
+IRPSTGTGVMLALVSGNNTVPFAVSLVDSTSEKSQDILLSVENTVIYRIQALSLCSDQQS
+HLEFRVNRNNLELSTPLKIETISHEDLQRQLAVLDKAMKAKVATYLGGLPDVPFSATPVN
+AFYNGCMEVNING
+>Q92786_PF05044_579 <unknown description>
+GLSPNHLKKAKLMFFYTRYPSSNMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKY
+ARQAINDGVTSTEELSITRDCELYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIA
+GKDVDPSWKKAIYKVICKLDSEVPEIFKSPNCLQ
+>P22891_PF00594_46 <unknown description>
+LEELFEGNLEKECYEEICVYEEAREVFENEVVTDEFWRRYK
+>P22891_PF00008_93 <unknown description>
+SQPCLHNGSCQDSIWGYTCTCSPGYEGSN
+>P22891_PF14670_134 <unknown description>
+TDGCQHFCLPGQESYTCSCAQGYRLGEDHKQC
+>P22891_PF00089_186 <unknown description>
+QDLPWQVKLTNSEGKDFCGGVIIRENFVLTTAKCSLLHRNITVKTYFNRTSQDPLMIKIT
+HVHVHMRYDADAGENDLSLLELEWPIQCPGAGLPVCTPEKDFAEHLLIPRTRGLLSGWAR
+NGTDLGNSLTTRPVTLVEGEECGQVLNVTVTTRTYCERSSVAAMHWMDGSVVTREHRGSW
+FLTGVLGSQPVGGQAHMVLVTKVSRYSLW
+>Q92620_PF00270_536 <unknown description>
+AVQQELLTIIRDNSIVIVVGETGSGKTTQLTQYLHEDGYTDYGMIGCTQPRRVAAMSVAK
+RVSEEMGGNLGEEVGYAIRFEDCTSENTLIKYMTDGILLRESLREADLDHYSAIIMDEAH
+ERSLNTDVLFGLLREVVARRSDLKLIVTSATMD
+>Q92620_PF00271_735 <unknown description>
+HLSGAPGDILIFMPGQEDIEVTSDQIVEHLEELENAPALAVLPIYSQLPSDLQAKIFQKA
+PDGVRKCIVATNIAETSLTVDGIMFVIDSGYCKLKVFNPRIGMDALQIYPISQANANQRS
+GRAGRTG
+>Q92620_PF04408_925 <unknown description>
+YQLWILGALDNTGGLTSTGRLMVEFPLDPALSKMLIVSCDMGCSSEILLIVSMLSVPAIF
+YRPKGREEESDQIREKFAVPESDHLT
+>Q92620_PF07717_1069 <unknown description>
+VRKCICAAYFHQAAKLKGIGEYVNIRTGMPCHLHPTSSLFGMGYTPDYIVYHELVMTTKE
+YMQCVTAVDGEWLAELGP
+>O60508_PF00400_279 <unknown description>
+KQIHVWSGHTKGVSAVRLFPLSGHLLLSCSMDCKIKLW
+>O60508_PF00400_323 <unknown description>
+RCLRTFIGHSKAVRDICFNTAGTQFLSAAYDRYLKLWD
+>O60508_PF00400_409 <unknown description>
+EIVQEYDRHLGAVNTIVFVDENRRFVSTSDDKSLRVW
+>O60508_PF00400_498 <unknown description>
+KKKIFKGHMVAGYACQVDFSPDMSYVISGDGNGKLNIWD
+>O60508_PF00400_546 <unknown description>
+FKAHDKVCIGAVWHPHETSKVITCGWDGLIKLWD
+>Q99633_PF08799_84 <unknown description>
+VIRRLRERGEPIRLFGETDYDAFQRLRK
+>Q99633_PF02840_190 <unknown description>
+KFLKFLLGVWAKELNAREDYVKRSVQGKLNSATQKQTESYLRPLFRKLRKRNLPADIKES
+ITDIIKFMLQREYVKANDAYLQMAIGNAPWPIGVTMVGIHARTGREKIFSKHVAHVLNDE
+TQRKYIQGLKRLMTICQKHFPT
+>Q9UMS4_PF04564_3 <unknown description>
+LICSISNEVPEHPCVSPVSNHVYERRLIEKYIAENGTDPINNQPLSEEQLID
+>Q9UMS4_PF08606_68 <unknown description>
+ATSIPAILKALQDEWDAVMLHSFTLRQQLQTTRQELSHALYQHDAACRVIARLTKEVTAA
+REALAT
+>Q9UMS4_PF00400_255 <unknown description>
+QILATLKGHTKKVTSVVFHPSQDLVFSASPDATIRIWS
+>Q9UMS4_PF00400_297 <unknown description>
+SCVQVVRAHESAVTGLSLHATGDYLLSSSDDQ
+>Q9UMS4_PF00400_352 <unknown description>
+SLTCAQFHPDGLIFGTGTMDSQIKIWD
+>Q9UMS4_PF00400_384 <unknown description>
+NVANFPGHSGPITSIAFSENGYYLATAADDSSVKLWD
+>Q9UMS4_PF00400_467 <unknown description>
+EILHFTEHSGLTTGVAFGHHAKFIASTGMDRSLKFY
+>Q8WWY3_PF01798_100 <unknown description>
+TVEIENELNIIHKFIRDKYSKRFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQIL
+TNATIMVVSVTASTTQGQQLSEEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNL
+SIIIGASTAAKIMGVAGGLTNLSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDI
+VQSLPPDLRRKAARLVAAKCTLAARVDSFHESTEGKVGYELKDEIERKFDK
+>Q8WWY3_PF09785_338 <unknown description>
+KQVKPLPAPLDGQRKKRGGRRYRKMKERLGLTEIRKQANRMSFGEIEEDAYQEDLGFSLG
+HLGKSGSGRVRQTQVNEATKARISKTLQRTLQKQSVVYGGKSTIRDRSSGTASSVAFTPL
+QGLEIVNP
+>Q13523_PF00069_692 <unknown description>
+YTGQGVFSNVVRARDNARANQEVAVKIIRNNELMQKTGLKELEFLKKLNDADPDDKFHCL
+RLFRHFYHKQHLCLVFEPLSMNLREVLKKYGKDVGLHIKAVRSYSQQLFLALKLLKRCNI
+LHADIKPDNILVNESKTILKLCDFGSASHVADNDITPYLVSRFYRAPEIIIGKSYDYGID
+MWSVGCTLYELYTGKILFPGKTNNHMLKLAMDLKGKMPNKMIRKGVFKDQHFDQNLNFMY
+IEVDKVTEREKVTVMSTINPTKDLLADLIGCQRLPEDQRKKVHQLKDLLDQILMLDPAKR
+ISINQALQHAFI
+>O43172_PF08799_107 <unknown description>
+VKACLRALGEPITLFGEGPAERRERLRN
+>O43172_PF00400_233 <unknown description>
+ISYCHFSPNSKMLATACWSGLCKLWS
+>O43172_PF00400_263 <unknown description>
+NLLHTLRGHNTNVGAIVFHPKSTVSLDPKDVNLASCAADGSVKLWS
+>O43172_PF00400_314 <unknown description>
+PVADIEGHTVRVARVMWHPSGRFLGTTCYDRSWRLWD
+>O43172_PF00400_396 <unknown description>
+GRCIMFLEGHLKEIYGINFSPNGYHIATGSGDNTCKVWD
+>O43172_PF00400_439 <unknown description>
+RCVYTIPAHQNLVTGVKFEPIHGNFLLTGAYDNTAKIW
+>O43172_PF00400_481 <unknown description>
+WSPLKTLAGHEGKVMGLDISSDGQLIATCSYDRTFKLW
+>O94906_PF06424_13 <unknown description>
+APLGYVPGLGRGATGFTTRSDIGPARDANDPVDDRHAPPGKRTVGDQMKKNQAADDDDED
+LNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMDERRKERREQREKEEIEKYR
+MERPKIQQQFSDLKRKLAEVTEEEWLSIPEVGDARNK
+>O94906_PF13181_710 <unknown description>
+KLWMMKGQIEEQKEMMEKAREAYNQGLK
+>Q6P2Q9_PF08082_58 <unknown description>
+KEDMPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVP
+VLYHITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLD
+YADNILDVEPLEAIQLELDPEEDAPVLDWFYD
+>Q6P2Q9_PF08083_396 <unknown description>
+DNTANGIALLWAPRPFNLRSGRTRRALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYV
+LNALKHRPPKAQKKRYLFRSFKATKFFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNY
+LHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLA
+DGLQYIFAHVGQLTGMYRYKYKLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWR
+VWLFFMRGITPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILD
+MMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPTPIENMILRYVKAKADWWTNTAH
+YNRERIRRGATVDKTVCKKNLGRLTRLYLKAEQERQHNYLKDGPYI
+>Q6P2Q9_PF10598_986 <unknown description>
+EKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYKDMNHTNSYGIIRGLQFASFIVQYYG
+LVMDLLVLGLHRASEMAGPPQMPNDFLSFQD
+>Q6P2Q9_PF10597_1210 <unknown description>
+KDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIVNKWNTALIG
+LMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLS
+MGHVLIPQSDLRWS
+>Q6P2Q9_PF10596_1442 <unknown description>
+FKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEGL
+FWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIF
+MHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQE
+>Q6P2Q9_PF12134_1760 <unknown description>
+EPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFL
+KIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQIIVTRKGMLDPLEVHLLDF
+PNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLYDDWLKTISSYTAFSRLILI
+LRALHVNNDRAKVILKPDKTTITEPHHIWPTLTDEEWIKVEVQLKDLIL
+>Q6P2Q9_PF01398_2101 <unknown description>
+GYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLP
+GQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNP
+>Q6P2Q9_PF08084_2212 <unknown description>
+IIITCSFTPGSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFF
+MVPAQSSWNYNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADRE
+D
+>P02810_PF15240_1 <unknown description>
+MLLILLSVALLAFSSAQDLDEDVSQEDVPLVISDGGDSEQFIDEERQGPPLGGQQSQPSA
+GDGNQNDGPQQGPPQQGGQQQQGPPPPQGKPQGPPQQGGHPPPPQGRPQGPPQQGGHPRP
+PRGRPQGPPQQGGHQQGPPPPPPGKPQGPPPQGGRPQGPPQ
+>O43395_PF01480_9 <unknown description>
+DELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTLRFVDKLFE
+AVEEGRSSR
+>O43395_PF08572_308 <unknown description>
+NTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAARKTGIHTS
+TRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEHPAQLNPP
+VDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLMPPPEPKVRISNLMRVLGT
+EAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLT
+>O43395_PF06544_545 <unknown description>
+VYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLHRIKW
+DEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREHFKKH
+GAEHYWDLA
+>P23942_PF00335_19 <unknown description>
+GLWLMNWFSVLAGIIIFSLGLFLKIELRKRSDVMNNSESHFVPNSLIGMGVLSCVFNSLA
+GKICYDALDPAKYARWKPWLKPYLAICVLFNIILFLVALCCFLLRGSLENTLGQGLKNGM
+KYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWISNRYLDFSSKEVKDRIKS
+NVDGRYLVDGVPFSCCNPSSPRPCIQYQITNNSAHYSYDHQTEELNLWVRGCRAALLSYY
+SSLMNSMGVVTLLIWLFEVTITI
+>Q96S44_PF06293_71 <unknown description>
+ALEARLGRRRTVQEARALLRCRRAGISAPVVFFVDYASNCLYMEEIEGSVTVRDYIQSTM
+ETEKTPQGLSNLAKTIGQVLARMHDEDLIHGDLTTSNMLLKPPLEQLNIVLIDFGLSFIS
+ALPEDKGVDLYVLEKAFLSTHPNTETVFEAFLKSY
+>P60891_PF13793_4 <unknown description>
+IKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIGESVRGEDVYIVQSGCGEI
+NDNLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG
+>P60891_PF14572_204 <unknown description>
+RMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAGATRVYAILTHGIFSGPAISRINN
+ACFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAIRRTHNGESVSYLF
+>P11908_PF13793_4 <unknown description>
+IVLFSGSSHQDLSQRVADRLGLELGKVVTKKFSNQETSVEIGESVRGEDVYIIQSGCGEI
+NDNLMELLIMINACKIASSSRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG
+>P11908_PF14572_204 <unknown description>
+RMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAGATKVYAILTHGIFSGPAISRINN
+AAFEAVVVTNTIPQEDKMKHCTKIQVIDISMILAEAIRRTHNGESVSYLF
+>P21108_PF13793_4 <unknown description>
+IKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIDESVRGEDVYIVQSGCGEI
+NDSLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRSPISAKLVANMLSIAG
+>P21108_PF14572_204 <unknown description>
+CIVLVGDVNDRVAILVDDMADTCVTICLAADKLLSAGATRVYAILTHGIFSGPAISRINT
+ACFEAVVVTNTIPQDEKMKHCSKIRVIDISMILAEAIRRTHNGESVSYLF
+>Q9ULL5_PF13926_1841 <unknown description>
+LKTRAMREMYRSYVEMLVSTALDPDMIQALEDTHDELYLPPMRKIDGLLNEHKKKVLKRL
+SLSPALQDALHTFPQLQVEQSGEGSP
+>Q9BWN1_PF15386_460 <unknown description>
+TPMGLPRPIRLNKKEFSLEEIYTNKNYQSPTTRRTFETIFEEPRERNGTLIFTSSRK
+>Q8IV56_PF15321_13 <unknown description>
+WWKSLTNSRKKSKEAAVGVPPPAQPAPGEPTPPAPPSPDWTSSSRENQHPNLLGGAGEPP
+KPDKLYGDKSGSSRRNLKISRSGRFKEKRKVRATLL
+>Q8N4B5_PF15671_28 <unknown description>
+AAGDKKKRPPQRPEGLLSSSWPSATLKRPPARRGPGLDRTQPPAPPGVSPQALPSRARAP
+ATCAPPRPAGSGHSPARTTYAATSAGTGTTAAGTSSGAGPCPDSAARFCLNLTPEAVLVI
+QKRHLEKQLLARPRRPFPSPSAEPRRLLAPCLPARAAGPRRGGPASDPDAPPTAGQGRRA
+PPPGAQLLHGGLQVPQLSPRPGALRPMLKVSLLNERHRYDDVEYEEEPEAVDEGLVRKCT
+EWLRGVESAAAARGRAGALDSRRHLSTL
+>A6NJB7_PF15455_1 <unknown description>
+MDTQGPVSQPFQQPEKPGRVRRRKTRRERNKALVGSRRPLAHHDPPVAIRDPPVVPTASK
+LVVITQGRLSREHRGLFNHEVKSLDVARLLSSGTLVPGSPTLPAKPSPSPGRAQEPAPRS
+RDKENQVPGGSGPGPPSSPELSGVGQLLAELQCQLSLPQAFPRRNLIQDARDAIVHTLQA
+CHGCVPDLALVLRGCQPPLPGAKPGVSERKMTPFWINSPDQVPEQERQRKQQGTKEFTFP
+MPYTSSMPTAHRGSLAPPRGPWPPYFPSLSSPSGTAWGPPTAFDLLKSIWLVATPPPPRP
+WGVGLPQPLPQPSSPLLPRTSVLDWSPSPPSPLPSLSWVVAQSSPEAWSFPPMRLY
+>Q8IZ63_PF15776_63 <unknown description>
+PAGFQMAPCGCFFDPRIYRIEWTTPDLGQSALYKLAASSGGPAGVPSAPGSYLLEPQPYL
+KAPGLPPYPHYQQAPGGPQFLLPYFPPEGPGPEALGFVGDAGPAAFVELPLPPLEEGPAP
+LPPPPPKENKPPPVLITLPAEPTLPPDAYSHLQGHLGHFPGPEPLAFPVKELQGSGARPG
+VPLYPPGLSELKVAEVKEGALLGAGKAKAPKTARALALPDKVLLEDAMKLFDCLPGASEP
+EGTLCEVPGPALPDSSGGNSADDIRSLCLPEELLSFDYSVPEILDTVSNVDYFFNFKALD
+EEQPPHPGPPATNTPAPILSGKRKASTAKKGKPGRKARQPAGPASATPPGPREDLGATPH
+>P0C7W0_PF15248_39 <unknown description>
+QPGRVKEDLLELMMLQNAQMHQLLLSRLVAGALQPRPASPCPQVYLEVPQEEPEEEEEEM
+DVREKGPLVFHHHY
+>Q53SZ7_PF15728_1 <unknown description>
+MLPQNKDQVLPQTSVLPGRPTWGFSQLVDSSPHNLQPLSPHQGLPPSQPPFSSTQSRRPS
+SPPPASPSPGFQFGSCDSNSDFAPHPYSPSLPSSPTFFHQNYLSLPRPRASSPSNHWLYP
+SPPLTPSFSPSQPQNSSLPHSPCQSPSHPEELHSSTLTSPGPSPPSHRLHSNRQTWRWHQ
+YRDTGSGSPGVVERCVPSEKDPAQFRDPGALAQALVVQLGHRRIAHDLRLLLLQHLWLGR
+TGQAPVVEYPICLVCLRPRSPSCPLPRYRTGPRLLAFPQLLPCVQGQESGPLRIGIGFGL
+RLPQGQARALHLLPEKRPKEAGPQGKATQACGHQLPASQPPAAQARADPVPGTPSQTRSF
+RSAGLQSPNSPRCFSGPPPRAPKQVTTSLKPRPCPGPKRPVSLELILQKSS
+>B1ATL7_PF15488_1 <unknown description>
+MACIENVLGGHAPSPLVVSVDKNGNQELHHDMPLQCLSSKPEDDAEPWGQPQVPLRPSVN
+VLTDLDSKQLEWPSERTGSCIPLHSLRAHRHPYGPPPAVAEESLATAEVNSSDALAGWRQ
+EGQDAINVSWEVSGGPPALIVGGTKVNNGGTERGSNNARLHVALPQGKGFFPPRGPQVRG
+PSHIPTLRSGIVMEVPPGNTRIACRGKLAHVSFPLRGPCHPMHNWPRPIPLSSSTPGLPS
+CSTVHCFIPPRPPIFNPFLTMPLPFAPPPIFGPPLPSYFAHFHSGGMPAPASP
+>P0CG20_PF15269_18 <unknown description>
+SRKPKKPHYIPRPWGKPYNYKCFQCPFTCLEKSHLYNHMKYSLCKDSLSLLL
+>Q9H6K5_PF15363_1302 <unknown description>
+LGRWAELLSPLDESRASITSVTSFSPDDVASPQGDWTVVEVETFH
+>P79522_PF00642_157 <unknown description>
+DRPVCRHFAKKGHCRYEDLCAFYHP
+>Q6MZQ0_PF08539_49 <unknown description>
+SSSAWNSVQTAVINVFKGGGLQSNELYALNENIRRLLKSELGSFITDYFQNQLLAKGLFF
+VEEKIKLCEGENRIEVLAEVWDHFFTETLPTLQAIFYPVQG
+>P85299_PF08539_40 <unknown description>
+NATWNSIHNGVIAVFQRKGLPDQELFSLNEGVRQLLKTELGSFFTEYLQNQLLTKGMVIL
+RDKIRFYEGQKLLDSLAETWDFFFSDVLPMLQAIFYPVQGKE
+>Q96M27_PF01931_264 <unknown description>
+SNKEVKVAAVRDAFQEVFGLAVVVGEAGQSNIAPQPVGYAAGLKGAQERIDSLRRTGVIH
+EKQTAVSVENFIAELLPDKWFDIGCLVVEDPVHGIHLETFTQATPVPLEFVQQA
+>P81277_PF15172_24 <unknown description>
+RTHRHSMEIRTPDINPAWYASRGIRPVGRFGRRRATLGDVPKPGL
+>Q99946_PF04505_219 <unknown description>
+PPHDYMPIAVLTTICCFWPTGIIAIFKAVQVRTALARGDMVSAEIASREARNFSFISLAV
+GIAAMVL
+>Q7Z6L0_PF04505_264 <unknown description>
+KPRDYIILAILSCFCPMWPVNIVAFAYAVMSRNSLQQGDVDGAQRLGRVAKLLSIVALVG
+GVLIIIA
+>P54821_PF00046_95 <unknown description>
+RRNRTTFNSSQLQALERVFERTHYPDAFVREDLARRVNLTEARVQVWFQNRRAKFRR
+>P54821_PF03826_219 <unknown description>
+NMANSIANLRLKAKEYS
+>Q99811_PF00046_105 <unknown description>
+RRNRTTFNSSQLQALERVFERTHYPDAFVREELARRVNLSEARVQVWFQNRRAKFRR
+>Q99811_PF03826_227 <unknown description>
+NMANSIASLRLKAKEFS
+>P62333_PF16450_57 <unknown description>
+VGEVLKQLTEEKFIVKATNGPRYVVGCRRQLDKSKLKPGTRVALDMTTLTIMRYLP
+>P62333_PF00004_171 <unknown description>
+LLYGPPGTGKTLLARAVASQLDCNFLKVVSSSIVDKYIGESARLIREMFNYARDHQPCII
+FMDEIDAIGGRRFSEGTSADREIQRTLMELLNQMDGFDTLHRVKMIMATNRPDTLDPALL
+RPGRLDRKIHID
+>P62333_PF17862_326 <unknown description>
+IDYEAIVKLSDGFNGADLRNVCTEAGMFAIRADHDFVVQEDFM
+>O95084_PF00089_148 <unknown description>
+YPFSTSVKLSTGCTGTLVAEKHVLTAAHCIHDGKTYVKGTQKLRVGFLKPKFKDGGRGAN
+DSTSAMPEQMKFQWIRVKRTHVPKGWIKGNANDIGMDYDYALLELKKPHKRKFMKIGVSP
+PAKQLPGGRIHFSGYDNDRPGN
+>Q9BQR3_PF00089_36 <unknown description>
+VGGQDTQEGEWPWQVSIQRNGSHFCGGSLIAEQWVLTAAHCFRNTSETSLYQVLLGARQL
+VQPGPHAMYARVRQVESNPLYQGTASSADVALVELEAPVPFTNYILPVCLPDPSVIFETG
+MNCWVTGWGSPSEEDLLPEPRILQKLAVPIIDTPKCNLLYSKDTEFGYQPKTIKNDMLCA
+GFEEGKKDACKGDSGGPLVCLVGQSWLQAGVISWGEGCARQNRPGVYIRVTAHHNWI
+>Q8NF86_PF00089_37 <unknown description>
+IVGGRDGRDGEWPWQASIQHRGAHVCGGSLIAPQWVLTAAHCFPRRALPAEYRVRLGALR
+LGSTSPRTLSVPVRRVLLPPDYSEDGARGDLALLQLRRPVPLSARVQPVCLPVPGARPPP
+GTPCRVTGWGSLRPGVPLPEWRPLQGVRVPLLDSRTCDGLYHVGADVPQAERIVLPGSLC
+AGYPQGHKDACQGDSGGPLTCLQSGSWVLVGVVSWGKGCALPNRPGVYTSVATYSPWI
+>Q8N3Z0_PF00089_140 <unknown description>
+TNFPFSTAVKLSTGCSGILISPQHVLTAAHCVHDGKDYVKGSKKLRVGLLKMRNKSGGKK
+RR
+>A4D1T9_PF00089_27 <unknown description>
+APYLVYLKSHFNPCVGVLIKPSWVLAPAHCYLPNLKVMLGNFKSRVRDGTEQTINPIQIV
+RYWNYSHSAPQDDLMLIKLAKPAMLNPKVQPLTLATTNVRPGTVCLLSGLDWSQENSGRH
+PDLRQNLEAPVMSDRECQKTEQGKSHRNSLCVKFVKVFSRIFGEVAVATVICKDKLQGIE
+VGHFMGGDVGIYTNVYKYVSWI
+>A1L453_PF00089_60 <unknown description>
+ILGGVPAPERKWPWQVSVHYAGLHVCGGSILNEYWVLSAAHCFHRDKNIKIYDMYVGLVN
+LRVAGNHTQWYEVNRVILHPTYEMYHPIGGDVALVQLKTRIVFSESVLPVCLATPEVNLT
+SANCWATGWGLVSKQGETSDELQEMQLPLILEPWCHLLYGHMSYIMPDMLCAGDILNAKT
+VCEGDSGGPLVCEFNRSWLQIGIVSWGRGCSNPLYPGVYASVSYFSKWI
+>Q7RTY5_PF00089_28 <unknown description>
+VVGGQDAAAGRWPWQVSLHFDHNFICGGSLVSERLILTAAHCIQPTWTTFSYTVWLGSIT
+VGDSRKRVKYYVSKIVIHPKYQDTTADVALLKLSSQVTFTSAILPICLPSVTKQLAIPPF
+CWVTGWGKVKESSDRDYHSALQEAEVPIIDRQACEQLYNPIGIFLPALEPVIKEDKICAG
+DTQNMKDSCKGDSGGPLSCHIDGVWIQTGVVSWGLECGKSLPGVYTNVIYYQKWI
+>P62191_PF16450_109 <unknown description>
+VGTLEEIIDDNHAIVSTSVGSEHYVSILSFVDKDLLEPGCSVLLNHKVHAVIGVL
+>P62191_PF00004_222 <unknown description>
+VILYGPPGTGKTLLAKAVANQTSATFLRVVGSELIQKYLGDGPKLVRELFRVAEEHAPSI
+VFIDEIDAIGTKRYDSNSGGEREIQRTMLELLNQLDGFDSRGDVKVIMATNRIETLDPAL
+IRPGRIDRKIEFPL
+>P62191_PF17862_377 <unknown description>
+DVTLDDLIMAKDDLSGADIKAICTEAGLMALRERRMKVTNEDFKK
+>Q2L4Q9_PF00089_43 <unknown description>
+TVPGEWPWQASVRRQGAHICSGSLVADTWVLTAAHCFEKAAATELNSWSVVLGSLQREGL
+SPGAEEVGVAALQLPRAYNHYSQGSDLALLQLAHPTTHTPLCLPQPAHRFPFGASCWATG
+WDQDTSDAPGTLRNLRLRLISRPTCNCIYNQLHQRHLSNPARPGMLCGGPQPGVQGPCQG
+DSGGPVLCLEPDGHWVQAGIISFASSCAQEDAPVLLTNTAAHSSW
+>Q2L4Q9_PF00089_307 <unknown description>
+GAPSPWPWEARLMHQGQLACGGALVSEEAVLTAAHCFIGRQAPEEWSVGLGTRPEEWGLK
+QLILHGAYTHPEGGYDMALLLLAQPVTLGASLRPLCLPYPDHHLPDGERGWVLGRARPGA
+GISSLQTVPVTLLGPRACSRLHAAPGGDGSPILPGMVCTSAVGELPSCEGLSGAPLVHEV
+RGTWFLAGLHSFGDACQGPARPAVFTALPAYEDWV
+>Q6PEW0_PF00089_52 <unknown description>
+EFPWVVSLQDSQYTHLAFGCILSEFWVLSIASAIQNRKDIVVIVGISNMDPSKIAHTEYP
+VNTIIIHEDFDNNSMSNNIALLKTDTAMHFGNLVQSICFLGRMLHTPPVLQNCWVSGWNP
+TSATGNHMTMSVLRKIFVKDLDMCPLYKLQKTECGSHTKEETKTACLGDPGSPMMCQLQQ
+FDLWVLRGVLNFGGETCPGLFLYTKVEDYSKWI
+>Q6UWB4_PF00089_68 <unknown description>
+ITGGMEAEVGEFPWQVSIQARSEPFCGGSILNKWWILTAAHCLYSEELFPEELSVVLGTN
+DLTSPSMEIKEVASIILHKDFKRANMDNDIALLLLASPIKLDDLKVPICLPTQPGPATWR
+ECWVAGWGQTNAADKNSVKTDLMKAPMVIMDWEECSKMFPKLTKNMLCAGYKNESYDACK
+GDSGGPLVCTPEPGEKWYQVGIISWGKSCGEKNTPGIYTSLVNYNLWI
+>P0CW18_PF00089_105 <unknown description>
+IVGGSAAPPGAWPWLVRLQLGGQPLCGGVLVAASWVLTAAHCFVGAPNELLWTVTLAEGS
+RGEQAEEVPVNRILPHPKFDPRTFHNDLALVQLWTPVSPGGSARPVCLPQEPQEPPAGTA
+CAIAGWGALFEDGPEAEAVREARVPLLSTDTCRRALGPGLRPSTMLCAGYLAGGVDSCQG
+DSGGPLTCSEPGPRPREVLFGVTSWGDGCGEPGKPGVYTRVAVFKDWL
+>Q8IYP2_PF00089_30 <unknown description>
+YLVYLKSDYLPCAGVLIHPLWVITAAHCNLPKLRVILGVTIPADSNEKHLQVIGYEKMIH
+HPHFSVTSIDHDIMLIKLKTEAELNDYVKLANLPYQTISENTMCSVSTWSYNVCDIYKEP
+DSLQTVNISVISKPQCRDAYKTYNITENMLCVGIVPGRRQPCKEVSAAPAICNGMLQGIL
+SFADGCVLRADVGIYAKIFYYIPWI
+>P17980_PF16450_90 <unknown description>
+VSNVIELLDVDPNDQEEDGANIDLDSQRKGKCAVIKTSTRQTYFLPVIGLVDAEKLKPGD
+LVGVNKDSYLILETLP
+>P17980_PF00004_223 <unknown description>
+VLMYGPPGTGKTLLARACAAQTKATFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKAPSI
+IFIDELDAIGTKRFDSEKAGDREVQRTMLELLNQLDGFQPNTQVKVIAATNRVDILDPAL
+LRSGRLDRKIEFP
+>P17980_PF17862_378 <unknown description>
+DVNYEELARCTDDFNGAQCKAVCVEAGMIALRRGATELTHED
+>P43686_PF16450_89 <unknown description>
+IGQFLEAVDQNTAIVGSTTGSNYYVRILSTIDRELLKPNASVALHKHSNALVDVLP
+>P43686_PF00004_202 <unknown description>
+VLMYGPPGCGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAI
+IFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQNVNVKVIMATNRADTLDPAL
+LRPGRLDRKIEFPL
+>P43686_PF17862_358 <unknown description>
+VDLEDYVARPDKISGADINSICQESGMLAVRENRYIVLAKDFEK
+>P35998_PF00004_212 <unknown description>
+VLLFGPPGTGKTLCARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARTKKACL
+IFFDEIDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPAL
+MRPGRLDRKIEFS
+>P35998_PF17862_367 <unknown description>
+DIRFELLARLCPNSTGAEIRSVCTEAGMFAIRARRKIATEKDFLE
+>P62195_PF16450_73 <unknown description>
+VGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDSYTLHKILP
+>P62195_PF00004_186 <unknown description>
+VLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMAREHAPSI
+IFMDEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDSAL
+LRPGRIDRKIEFP
+>P62195_PF17862_342 <unknown description>
+INLRKIAELMPGASGAEVKGVCTEAGMYALRERRVHVTQEDFE
+>Q86XN7_PF14771_1 <unknown description>
+MDKKSFEMVLDEIRKAVLTEYKLKAIEYVHGYFSSEQVVDLLRYFSWAEPQLKAMKALQH
+K
+>Q86XN7_PF14771_34 <unknown description>
+SSEQVVDLLRYFSWAEPQLKAMKALQHKMVAVQPTEVVNILNCFTFSKDKLVALELLASN
+IIDAQNSRPIEDLFRVNMSEKKRCKRIL
+>Q86WR7_PF15385_396 <unknown description>
+RRADSLPRPQGITVQFAGRGSSEEARREALRKLGLLRE
+>Q16651_PF00089_45 <unknown description>
+ITGGSSAVAGQWPWQVSITYEGVHVCGGSLVSEQWVLSAAHCFPSEHHKEAYEVKLGAHQ
+LDSYSEDAKVSTLKDIIPHPSYLQEGSQGDIALLQLSRPITFSRYIRPICLPAANASFPN
+GLHCTVTGWGHVAPSVSLLTPKPLQQLEVPLISRETCNCLYNIDAKPEEPHFVQEDMVCA
+GYVEGGKDACQGDSGGPLSCPVEGLWYLTGIVSWGDACGARNRPGVYTLASSYASWI
+>A0A1B0GWB2_PF04505_186 <unknown description>
+PKDYMMESVLVTLFCCLLTGLIAIVYSHEARAALGRGDLAQAEEASRKARSLVLFSLLFG
+VFVST
+>Q2VWP7_PF07679_40 <unknown description>
+SFVKEPQDVTVTRKDPVVLDCQAHGEVPIKVTWLKNGAKMSENKRIEVLSNGSLYISEVE
+GRRGEQSDEGFYQCLAMNKYGA
+>Q2VWP7_PF13927_139 <unknown description>
+VQPISTEVHEGGVARFACKISSHPPAVITWEFNRTTLPMTMDRITALPTGVLQIYDVSQR
+DSGNYRCIA
+>Q2VWP7_PF13927_235 <unknown description>
+PTIIAGPQNITTSLHQTVVLECMATGNPKPIISWSRLDHKSIDVFNTRVLGNGNLMISDV
+RLQHAGVYVCRAT
+>Q2VWP7_PF07679_327 <unknown description>
+PSFVEWPESLTRPRAGTARFVCQAEGIPSPKMSWLKNGRKIHSNGRIKMYNSKLVINQII
+PEDDAIYQCMAENSQGSILSRARLTV
+>Q2VWP7_PF00041_420 <unknown description>
+SAPYNVHAETMSSSAILLAWERPLYNSDKVIAYSVHYMKAEGLNNEEYQVVIGNDTTHYI
+IDDLEPASNYTFYIVAYMPM
+>Q2VWP7_PF00041_520 <unknown description>
+PPEISLTSRSPTDILISWLPIPAKYRRGQVVLYRLSFRLSTENSIQVLELPGTTHEYLLE
+GLKPDSVYLVRITAATRVGLGESS
+>Q2VWP7_PF00041_623 <unknown description>
+ELHLEPLNCTTISVRWQQDVEDTAAIQGYKLYYKEEGQQENGPIFLDTKDLLYTLSGLDP
+RRKYHVRLLAYNNI
+>Q2VWP7_PF00041_723 <unknown description>
+PPPHHLYAKANTSSSIFLHWRRPAFTAAQIINYTIRCNPVGLQNASLVLYLQTSETHMLV
+QGLEPNTKYEFAVRLHVDQLSSPWS
+>Q2VWP7_PF00041_822 <unknown description>
+PPVGVKVTLIEDDTALVSWKPPDGPETVVTRYTILYASRKAWIAGEWQVLHREGAITMAL
+LENLVAGNVYIVKISASNEVGEGPFS
+>P24158_PF00089_28 <unknown description>
+IVGGHEAQPHSRPYMASLQMRGNPGSHFCGGTLIHPSFVLTAAHCLRDIPQRLVNVVLGA
+HNVRTQEPTQQHFSVAQVFLNNYDAENKLNDVLLIQLSSPANLSASVATVQLPQQDQPVP
+HGTQCLAMGWGRVGAHDPPAQVLQELNVTVVTFFCRPHNICTFVPRRKAGICFGDSGGPL
+ICDGIIQGIDSFVIWGCATRLFPDFFTRVALYVDWI
+>Q86TP1_PF01368_20 <unknown description>
+VVLGNEACDLDSTVSALALAFYLAKTTEAEEVFVPVLNIKRSELPLRGDIVFFLQKVHIP
+ESILIFRDEIDLHALYQAGQLTLILVDHHILSKSDTALEEAVAEVLDHRPIEPKHCPPCH
+VSVELVGSCATLVTERILQGAPEILDRQTAALL
+>Q86TP1_PF02833_217 <unknown description>
+SLQKAKFDVSGLTTEQMLRKDQKTIYRQGVKVAISAIYMDLEAFLQRSNLLADLHAFCQA
+HSYDVLVAMTIFFNTHNEPVRQLAIFCPHVALQTTICEVLERSHSPPLKLTPASSTHPNL
+HAYLQGNTQVSRKKLLPLLQEA
+>Q8WUY3_PF02833_212 <unknown description>
+LQETQFSAQGLSIEQTMLKDLKELSDGEIKVAISTVSMNLENCLFHSNITSDLKAFTDKF
+GFDVLILFSSYLSEEQQPRRQIAVYSENMELCSQICCELEECQNPCLELEPFDCGCDEIL
+VYQQEDPSVTCDQVV
+>Q8WUY3_PF12496_2800 <unknown description>
+RIKLTAPNINLSLDQSEGSILSDDNLDSPDEIDINVDELDTPDEADSFEYTGHDPTANKD
+SGQESESIPEYTAEEEREDNRLWRTVVIGEQEQRIDMKVIEPYRRVISHGGYYGDG
+>Q8WUY3_PF13716_2918 <unknown description>
+AIIVFAACFLPDSSRADYHYVMENLFLYVISTLELMVAEDYMIVYLNGATPRRRMPGLGW
+MKKCYQMIDRRLRKNLKSFIIVHPSWFIRTILAVTRPFISSKFSSKIKYVNSLSELSGLI
+PMDCIHIPESIIKLDEE
+>P20472_PF13499_43 <unknown description>
+DVKKVFHMLDKDKSGFIEEDELGFILKGFSPDARDLSAKETKMLMAAGDKDGDGKIGVDE
+FSTL
+>Q9UIG5_PF15357_4 <unknown description>
+TDQKSHSQRALGTQTPALQGPQLLNTDPSSEETRPPHVNPDRLCHMEPANHFWHAGDLQA
+MISKEFHLAATQDDCRKGRTQEDILVPSSHPELFASVLPMAPEEAARLQQPQPLPPPSGI
+HLSASRTLAPTLLYSSPPSHSPFGLSSLI
+>Q9UIG4_PF15356_23 <unknown description>
+SEGHPSHPPAEDREEAGSPTLPQGPPVPGDPWPGAPPLFEDPPPTRPSRPWRDLPETGVW
+LPEPPRTDPPQPPRPDDPWPAGPQPPENPWPPAPEVDNRPQEEPDLDPPREEYR
+>P25786_PF10584_6 <unknown description>
+YDNDVTVWSPQGRIHQIEYAMEA
+>P25786_PF00227_29 <unknown description>
+VKQGSATVGLKSKTHAVLVALKRAQSELAAHQKKILHVDNHIGISIAGLTADARLLCNFM
+RQECLDSRFVFDRPLPVSRLVSLIGSKTQIPTQRYGRRPYGVGLLIAGYDDMGPHIFQTC
+PSANYFDCRAMSIGARSQSARTYLERHMSEFMECNLNELVKHGLRALRETLPAEQDLTTK
+NVSIGIV
+>P25787_PF10584_6 <unknown description>
+YSFSLTTFSPSGKLVQIEYALAA
+>P25787_PF00227_31 <unknown description>
+GGAPSVGIKAANGVVLATEKKQKSILYDERSVHKVEPITKHIGLVYSGMGPDYRVLVHRA
+RKLAQQYYLVYQEPIPTAQLVQRVASVMQEYTQSGGVRPFGVSLLICGWNEGRPYLFQSD
+PSGAYFAWKATAMGKNYVNGKTFLEKRYNEDLELEDAIHTAILTLKESFEGQMTEDNIEV
+GI
+>P25788_PF10584_8 <unknown description>
+YDLSASTFSPDGRVFQVEYAMKA
+>P25788_PF00227_31 <unknown description>
+VENSSTAIGIRCKDGVVFGVEKLVLSKLYEEGSNKRLFNVDRHVGMAVAGLLADARSLAD
+IAREEASNFRSNFGYNIPLKHLADRVAMYVHAYTLYSAVRPFGCSFMLGSYSVNDGAQLY
+MIDPSGVSYGYWGCAIGKARQAAKTEIEKLQMKEMTCRDIVKEVAKIIYIVHDEVKDKAF
+ELELSWV
+>P25789_PF10584_5 <unknown description>
+YDSRTTIFSPEGRLYQVEYAMEA
+>P25789_PF00227_30 <unknown description>
+HAGTCLGILANDGVLLAAERRNIHKLLDEVFFSEKIYKLNEDMACSVAGITSDANVLTNE
+LRLIAQRYLLQYQEPIPCEQLVTALCDIKQAYTQFGGKRPFGVSLLYIGWDKHYGFQLYQ
+SDPSGNYGGWKATCIGNNSAAAVSMLKQDYKEGEMTLKSALALAIKVLNKTMDVSKLSAE
+KVEIAT
+>P28066_PF10584_8 <unknown description>
+YDRGVNTFSPEGRLFQVEYAIEA
+>P28066_PF00227_31 <unknown description>
+IKLGSTAIGIQTSEGVCLAVEKRITSPLMEPSSIEKIVEIDAHIGCAMSGLIADAKTLID
+KARVETQNHWFTYNETMTVESVTQAVSNLALQFGEEDADPGAMSRPFGVALLFGGVDEKG
+PQLFHMDPSGTFVQCDARAIGSASEGAQSSLQEVYHKSMTLKEAIKSSLIILKQVMEEKL
+NATNIELATV
+>P60900_PF10584_9 <unknown description>
+FDRHITIFSPEGRLYQVEYAFKA
+>P60900_PF00227_34 <unknown description>
+QGGLTSVAVRGKDCAVIVTQKKVPDKLLDSSTVTHLFKITENIGCVMTGMTADSRSQVQR
+ARYEAANWKYKYGYEIPVDMLCKRIADISQVYTQNAEMRPLGCCMILIGIDEEQGPQVYK
+CDPAGYYCGFKATAAGVKQTESTSFLEKKVKKKFDWTFEQTVETAITCLSTVLSIDFKPS
+EIEVGVV
+>O14818_PF10584_3 <unknown description>
+YDRAITVFSPDGHLFQVEYAQEA
+>O14818_PF00227_26 <unknown description>
+VKKGSTAVGVRGRDIVVLGVEKKSVAKLQDERTVRKICALDDNVCMAFAGLTADARIVIN
+RARVECQSHRLTVEDPVTVEYITRYIASLKQRYTQSNGRRPFGISALIVGFDFDGTPRLY
+QTDPSGTYHAWKANAIGRGAKSVREFLEKNYTDEAIETDDLTIKLVIKALLEVVQSGGKN
+IELAVM
+>P55786_PF17900_60 <unknown description>
+PINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATG
+FNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSKYTTPSGEVRYAAVTQF
+EATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTP
+VMSTYL
+>P55786_PF01433_280 <unknown description>
+FALEVAAKTLPFYKDYFNVPYPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSC
+SSSRQWVALVVGHELAHQWFGNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQF
+VSADYTRAQELDALDNSHPIEVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKK
+GMNMYLTKFQQKNAATEDLWESLENASGKPIAAVMNTW
+>P55786_PF11838_578 <unknown description>
+WVKLNLGTVGFYRTQYSSAMLESLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVL
+KVMEAFVNEPNYTVWSDLSCNLGILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPG
+EGHLDALLRGLVLGKLGKAGHKATLEEARRRFKDHVEGKQILSADLRSPVYLTVLKHGDG
+TTLDIMLKLHKQADMQEEKNRIERVLGATLLPDLIQKVLTFALSEEVRPQDTVSVIGGVA
+GGSKHGRKAAWKFIKDNWEELYNRYQGGFLISRLIKLSVEGFAVDKMAGEVKAFFESHPA
+PSAERTIQQCCENI
+>P40306_PF00227_37 <unknown description>
+KTGTTIAGLVFQDGVILGADTRATNDSVVADKSCEKIHFIAPKIYCCGAGVAADAEMTTR
+MVASKMELHALSTGREPRVATVTRILRQTLFRYQGHVGASLIVGGVDLTGPQLYGVHPHG
+SYSRLPFTALGSGQDAALAVLEDRFQPNMTLEAAQGLLVEAVTAGILGDLGSGGNVDACV
+I
+>P40306_PF12465_231 <unknown description>
+PTEPVKRSGRYHFVPGTTAVLTQTVKPLTLELVEETV
+>A5LHX3_PF00227_47 <unknown description>
+AHGTTTLAFRFRHGVIAAADTRSSCGSYVACPASCKVIPVHQHLLGTTSGTSADCATWYR
+VLQRELRLRELREGQLPSVASAAKLLSAMMSQYRGLDLCVATALCGWDRSGPELFYVYSD
+GTRLQGDIFSVGSGSPYAYGVLDRGYRYDMSTQEAYALARCAVAHATHRDAYSGGSVDLF
+H
+>P20618_PF00227_34 <unknown description>
+VFNGGTILAIAGEDFAIVASDTRLSEGFSIHTRDSPKCYKLTDKTVIGCSGFHGDCLTLT
+KIIEARLKMYKHSNNKAMTTGAIAAMLSTILYSRRFFPYYVYNIIGGLDEEGKGAVYSFD
+PVGSYQRDSFKAGGSASAMLQPLLDNQVGFKNMQNVEHVPLSLDRAMRLVKDVFISAAER
+DVYTGDALRICIV
+>P49721_PF00227_5 <unknown description>
+IGIQGPDYVLVASDRVAASNIVQMKDDHDKMFKMSEKILLLCVGEAGDTVQFAEYIQKNV
+QLYKMRNGYELSPTAAANFTRRNLADCLRSRTPYHVNLLLAGYDEHEGPALYYMDYLAAL
+AKAPFAAHGYGAFLTLSILDRYYTPTISRERAVELLRKCLEELQKRFILNLPTFSVRII
+>P49720_PF00227_7 <unknown description>
+NGGAVMAMKGKNCVAIAADRRFGIQAQMVTTDFQKIFPMGDRLYIGLAGLATDVQTVAQR
+LKFRLNLYELKEGRQIKPYTLMSMVANLLYEKRFGPYYTEPVIAGLDPKTFKPFICSLDL
+IGCPMVTDDFVVSGTCAEQMYGMCESLWEPNMDPDHLFETISQAMLNAVDRDAVSGMGVI
+VHII
+>P28070_PF00227_50 <unknown description>
+MVTGTSVLGVKFEGGVVIAADMLGSYGSLARFRNISRIMRVNNSTMLGASGDYADFQYLK
+QVLGQMVIDEELLGDGHSYSPRAIHSWLTRAMYSRRSKMNPLWNTMVIGGYADGESFLGY
+VDMLGVAYEAPSLATGYGAYLAQPLLREVLEKQPVLSQTEARDLVERCMRVLYYRDARSY
+NRFQIATV
+>P28074_PF00227_57 <unknown description>
+LHGTTTLAFKFRHGVIVAADSRATAGAYIASQTVKKVIEINPYLLGTMAGGAADCSFWER
+LLARQCRIYELRNKERISVAAASKLLANMVYQYKGMGLSMGTMICGWDKRGPGLYYVDSE
+GNRISGATFSVGSGSVYAYGVMDRGYSYDLEVEQAYDLARRAIYQATYRDAYSGGAVNLY
+HV
+>P28072_PF00227_31 <unknown description>
+VSTGTTIMAVQFDGGVVLGADSRTTTGSYIANRVTDKLTPIHDRIFCCRSGSAADTQAVA
+DAVTYQLGFHSIELNEPPLVHTAASLFKEMCYRYREDLMAGIIIAGWDPQEGGQVYSVPM
+GGMMVRQSFAIGGSGSSYIYGYVDATYREGMTKEECLQFTANALALAMERDGSSGGVIRL
+AA
+>Q99436_PF00227_41 <unknown description>
+KTGTTIAGVVYKDGIVLGADTRATEGMVVADKNCSKIHFISPNIYCCGAGTAADTDMTTQ
+LISSNLELHSLSTGRLPRVVTANRMLKQMLFRYQGYIGAALVLGGVDVTGPHLYSIYPHG
+STDKLPYVTMGSGSLAAMAVFEDKFRPDMEEEEAKNLVSEAIAAGIFNDLGSGSNIDLCV
+I
+>Q99436_PF12465_235 <unknown description>
+PNKKGTRLGRYRCEKGTTAVLTEKITPLEIEVLEETV
+>P28062_PF00227_69 <unknown description>
+MAHGTTTLAFKFQHGVIAAVDSRASAGSYISALRVNKVIEINPYLLGTMSGCAADCQYWE
+RLLAKECRLYYLRNGERISVSAASKLLSNMMCQYRGMGLSMGSMICGWDKKGPGLYYVDE
+HGTRLSGNMFSTGSGNTYAYGVMDSGYRPNLSPEEAYDLGRRAIAYATHRDSYSGGVVNM
+YH
+>P28065_PF00227_17 <unknown description>
+VHTGTTIMAVEFDGGVVMGSDSRVSAGEAVVNRVFDKLSPLHERIYCALSGSAADAQAVA
+DMAAYQLELHGIELEEPPLVLAAANVVRNISYKYREDLSAHLMVAGWDQREGGQVYGTLG
+GMLTRQPFAIGGSGSTFIYGYVDAAYKPGMSPEECRRFTTDAIALAMSRDGSSGGVIYLV
+TI
+>O43653_PF00021_14 <unknown description>
+CYSCKAQVSNEDCLQVENCTQLGEQCWTARIRAVGLLTVISKGCSLNCVDDSQDYYVGKK
+NITCCDTDLCN
+>O75832_PF12796_27 <unknown description>
+LADKSLATRTDQDSRTALHWACSAGHTEIVEFLLQLGVPVNDKDDAGWSPLHIAASAGRD
+EIVKALLGKGAQVNA
+>O75832_PF12796_103 <unknown description>
+NQNGCTPLHYAASKNRHEIAVMLLEGGANPDAKDHYEATAMHRAAAKGNLKMIHILLYYK
+ASTNIQD
+>O75832_PF00023_172 <unknown description>
+GNTPLHLACDEERVEEAKLLVSQGASIYIEN
+>O00231_PF18055_12 <unknown description>
+AQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAELGGLLK
+YVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSEKRTFLRQAL
+>O00231_PF01399_289 <unknown description>
+CVAQASKNRSLADFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIE
+HISSLIKLSKADVERKLSQMILDKKFHGILDQGEGVLII
+>O00231_PF18503_393 <unknown description>
+VDKTYEAALETIQNMSKVVDSLYNKAK
+>O00232_PF01399_300 <unknown description>
+PKYKDLLKLFTTMELMRWSTLVEDYGMELRKGSLESPATDVFGSTEEGEKRWKDLKNRVV
+EHNIRIMAKYYTRITMKRMAQLLDLSVDESEAFLSNLVVNKTIFAKVDRLAGIINFQ
+>O00232_PF18098_422 <unknown description>
+NNLLNDWSQKLNSLMSLVNKTTHLIAKEEMIH
+>Q9UNM6_PF01399_232 <unknown description>
+WLIDTLYAFNSGNVERFQTLKTAWGQQPDLAANEAQLLRKIQLLCLMEMTFTRPANHRQL
+TFEEIAKSAKITVNEVELLVMKALSVGLVKGSIDEVDKRVHMT
+>A5PKW4_PF01369_557 <unknown description>
+AAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFFVFTGMTLDQALRVFLKEL
+ALMGETQERERVLAHFSQRYFQCNPEALSSEDGAHTLTCALMLLNTDLHGHNIGKRMTCG
+DFIGNLEGLNDGGDFPRELLKALYSSIKNEK
+>A5PKW4_PF15410_758 <unknown description>
+YKHGALVRKVHADPDCRKTPRGKRGWKSFHGILKGMILYLQKEEYKPGKALSETELKNAI
+SIHHALATRASDYSKRPHVFYLRTADWRVFLFQAPSLEQMQSWITRINVVAA
+>Q9BQI7_PF01369_310 <unknown description>
+VSEAAHRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDGALRTFL
+KAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGHNIGKKM
+SCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEK
+>Q9BQI7_PF15410_514 <unknown description>
+YKHGVLTRKTHADMDGKRTPRGRRGWKKFYAVLKGTILYLQKDEYRPDKALSEGDLKNAI
+RVHHALATRASDYSKKSNVLKLKTADWRVFLFQAPSKEEMLSWILRINLVAA
+>Q9NYI0_PF01369_583 <unknown description>
+EAAKRLAKRLYQLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFFKA
+FSLVGETQERERVLIHFSNRYFYCNPDTIASQDGVHCLTCAIMLLNTDLHGHNIGKKMTC
+QEFIANLQGVNEGVDFSKDLLKALYNSIKNEK
+>Q9NYI0_PF15410_786 <unknown description>
+YKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDEYKPEKALSEEDLKNAV
+SVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSPEEMQGWINKINCVAA
+>Q8NDX1_PF01369_588 <unknown description>
+AWNLASRLYRLEGFRKSEVAAYLQKNNDFSRAVAEEYLSFFQFGGQSLDRALRSFLQALV
+LSGETQERERILYQFSRRFHHCNPGIFPSVDSVHTLTCAIMLLNTDLHGQNIGKSMSCQE
+FITNLNGLRDGGNFPKELLKALYWSIRSEK
+>Q8NDX1_PF15410_778 <unknown description>
+YKQGILARKMHQDADGKKTPWGKRGWKMFHTLLRGMVLYFLKQGEDHCLEGESLVGQMVD
+EPVGVHHSLATPATHYTKKPHVFQLRTADWRLYLFQAPTAKEMSSWIARINLAAA
+>O00487_PF01398_28 <unknown description>
+AEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVIDVFAMPQSGTGVSVEAV
+DPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALSE
+>O00487_PF13012_173 <unknown description>
+EPRQTTSNLGHLNKPSIQALIHGLNRHYYSITINYRKNELEQKMLLNLHKKSWMEGLTLQ
+DYSEHCKHNESVVKEMLELAKNYNKAVEEEDKMTPEQLAIKNVGKQDPKRHLEEHVDVLM
+TSNI
+>Q9Y248_PF05916_42 <unknown description>
+VEVPLWLAINLKQRQKCRLLPPEWMDVEKLEKMRDHERKEETFTPMPSPYYMELTKLLLN
+HASDNIPKADEIRTLVKDMWDTRIAKLRVSADSFVRQQEAHAKLDNLTLMEI
+>Q9BRX5_PF05916_67 <unknown description>
+ELPLWLAKGLFDNKRRILSVELPKIYQEGWRTVFSADPNVVDLHKMGPHFYGFGSQLLHF
+DSPENADISQSLLQTFIGRFRRIMDSSQNAYNEDTSALVARLDEMER
+>Q9UQ72_PF07686_41 <unknown description>
+QPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRET
+VYSNASLLIQNVTREDAGSYTLHIIKRGDG
+>Q9UQ72_PF13927_148 <unknown description>
+KPSISSSNLNPREAMETVILTCNPETPDASYLWWMNGQSLPMTHRMQLSETNRTLFLFGV
+TKYTAGPYECEIWN
+>Q9UQ72_PF13895_242 <unknown description>
+PRIFPSVTSYYSGENLDLSCFANSNPPAQYSWTINGKFQLSGQKLFIPQITPKHNGLYAC
+SARNSATGEESSTSLTIR
+>P11464_PF07686_40 <unknown description>
+AEPTKVSEGKDVLLLVHNLPQNLTGYIWYKGQMRDLYHYITSYVVDGEIIIYGPAYSGRE
+TAYSNASLLIQNVTREDAGSYTLHIIKGDDG
+>P11464_PF13927_148 <unknown description>
+KPSISSSNLNPRETMEAVSLTCDPETPDASYLWWMNGQSLPMTHSLKLSETNRTLFLLGV
+TKYTAGPYECEIRN
+>P11464_PF13895_254 <unknown description>
+NKDVLNFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIR
+DRYGGIRSDPVTLNV
+>P11464_PF13895_335 <unknown description>
+PRIYPSFTYYRSGEVLYLSCSADSNPPAQYSWTINEKFQLPGQKLFIRHITTKHSGLYVC
+SVRNSATGKESSKSMTVEV
+>P11465_PF07686_41 <unknown description>
+QPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRET
+AYSNASLLIQNVTREDAGSYTLHIIKRGDG
+>P11465_PF13927_148 <unknown description>
+KPSISSSNLNPREAMETVILTCDPETPDTSYQWWMNGQSLPMTHRFQLSETNRTLFLFGV
+TKYTAGPYECEIRN
+>P11465_PF13895_242 <unknown description>
+PRIHPSYTNYRSGDNLYLSCFANSNPPAQYSWTINGKFQQSGQNLFIPQITTKHSGLYVC
+SVRNSATGEESSTSLTVKV
+>Q16557_PF07686_40 <unknown description>
+AEPTKVSKGKDVLLLVHNLPQNLAGYIWYKGQMKDLYHYITSYVVDGQIIIYGPAYSGRE
+TVYSNASLLIQNVTREDAGSYTLHIVKRGDGTRGETGHFT
+>Q16557_PF13895_335 <unknown description>
+PRIYPSFTYYHSGENLYLSCFADSNPPAEYSWTINGKFQLSGQKLFIPQITTKHSGLYAC
+SVRNSATGMESSKSMTVKV
+>Q00888_PF07686_41 <unknown description>
+QPPKVSEGKDVLLLVHNLPQNLAGYIWYKGQMTYLYHYITSYVVDGQRIIYGPAYSGRER
+VYSNASLLIQNVTQEDAGSYTLHIIKRRDG
+>Q00888_PF13927_148 <unknown description>
+KPSISSSNLNPREAMEAVILTCDPATPAASYQWWMNGQSLPMTHRLQLSKTNRTLFIFGV
+TKYIAGPYECEIRN
+>Q00888_PF13895_253 <unknown description>
+ENKDVLTFTCEPKSKNYTYIWWLNGQSLPVSPRVKRPIENRILILPNVTRNETGPYQCEI
+RDRYGGIRSDPVTLNV
+>Q00888_PF13895_335 <unknown description>
+PSIYPSFTYYRSGENLYLSCFAESNPRAQYSWTINGKFQLSGQKLSIPQITTKHSGLYAC
+SVRNSATGKESSKSITVKV
+>Q15238_PF07686_42 <unknown description>
+PPKVSEGKDVLLLVHNLPQNLAGYIWYKGQLMDLYHYITSYVVDGQINIYGPAYTGRETV
+YSNASLLIQNVTREDAGSYTLHIIKRG
+>Q15238_PF13895_160 <unknown description>
+ENKDVLAFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYECEI
+RDRDGGMRSDPVTLNV
+>Q15238_PF13895_242 <unknown description>
+PSIYPSFTYYRSGENLYLSCFAESNPPAEYFWTINGKFQQSGQKLSIPQITTKHRGLYTC
+SVRNSATGKESSKSMTVEV
+>Q00889_PF07686_40 <unknown description>
+AKPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQMTDLYHYITSYVVHGQIIYGPAYSGRET
+VYSNASLLIQNVTQEDAGSYTLHIIKRGDGT
+>Q00889_PF13895_253 <unknown description>
+KKDVLAFTCEPKSRNYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIR
+DRYGGIRSNPVTLNV
+>Q00889_PF13895_334 <unknown description>
+PRIYPSFTYYRSGENLDLSCFADSNPPAEYSWTINGKFQLSGQKLFIPQITTNHSGLYAC
+SVRNSATGKEISKSMIVKV
+>Q13046_PF07686_41 <unknown description>
+QPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYVTSYIVDGQIIKYGPAYSGRET
+VYSNASLLIQNVTQEDTGSYTLHIIKRGDGT
+>Q13046_PF13895_252 <unknown description>
+RENKDVSTFTCEPKSENYTYIWWLNGQSLPVSPRVKRRIENRILILPSVTRNETGPYQCE
+IRDRYGGIRSDPVTLNV
+>Q13046_PF13895_335 <unknown description>
+PRIYPSFTYYHSGQNLYLSCFADSNPPAQYSWTINGKFQLSGQKLSIPQITTKHSGLYAC
+SVRNSATGKESSKSVTVRV
+>Q9UQ74_PF07686_40 <unknown description>
+AQPTKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRE
+TIYSNASLLIQNVTQEDAGSYTLHIIMGGDENR
+>Q9UQ74_PF13927_148 <unknown description>
+KPSISSSKLNPREAMEAVSLTCDPETPDASYLWWMNGQSLPMSHRLQLSETNRTLFLLGV
+TKYTAGPYECEIRN
+>Q9UQ74_PF13895_335 <unknown description>
+PRIYPSFTYYRSGEVLYLSCSADSNPPAQYSWTINGKFQLSGQKLFIPQITTKHSGLYAC
+SVRNSATGKESSKSMTVKV
+>Q00887_PF07686_41 <unknown description>
+QPPKVSEGKDVLLLVHNLPQNLPGYFWYKGEMTDLYHYIISYIVDGKIIIYGPAYSGRET
+VYSNASLLIQNVTRKDAGTYTLHIIKRGDETR
+>Q00887_PF13895_335 <unknown description>
+PRIYPSFTYYRSGENLDLSCFTESNPPAEYFWTINGKFQQSGQKLFIPQITRNHSGLYAC
+SVHNSATGKEISKSMTVKV
+>O75475_PF00855_8 <unknown description>
+GDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFPYSENKEK
+YGKPNKRKGFNEGLWEIDN
+>O75475_PF11467_349 <unknown description>
+DSRLQRIHAEIKNSLKIDNLDVNRCIEALDELASLQVTMQQAQKHTEMITTLKKIRRFKV
+SQVIMEKSTMLYNKFKNMFLVGEGDSVITQVLNKSLAEQRQ
+>Q8TAA3_PF10584_5 <unknown description>
+YDRAITVFSPDGHLFQVEYAQEA
+>Q8TAA3_PF00227_28 <unknown description>
+VKKGSTAVGIRGTNIVVLGVEKKSVAKLQDERTVRKICALDDHVCMAFAGLTADARVVIN
+RARVECQSHKLTVEDPVTVEYITRFIATLKQKYTQSNGRRPFGISALIVGFDDDGISRLY
+QTDPSGTYHAWKANAIGRSAKTVREFLEKNYTEDAIASDSEAIKLAIKALLEVVQSGGKN
+IELAII
+>Q99460_PF01851_441 <unknown description>
+GGLYALGLIHANHGGDIIDYLLNQLKNASNDIV
+>Q99460_PF01851_476 <unknown description>
+GGSLGLGLAAMGTARQDVYDLLKTNLYQDDAVT
+>Q99460_PF01851_511 <unknown description>
+AAGLALGLVMLGSKNAQAIEDMVGYAQETQHEKI
+>Q99460_PF13646_601 <unknown description>
+RLLHVAVSDVNDDVRRAAVESLGFILFRTPEQCPSVVSLLSESYNPHVRYGAAMALGICC
+AGTGNKEAINLLEPMTNDPVNYVRQGALIA
+>Q99460_PF18004_786 <unknown description>
+TCVIGLNKDLKMPKVQYKSNCKPSTFAYPAPLEVPKEKEKEKVSTAVLSITAKAKKKEKE
+KEKKEEEKMEVDEAEKKEEKEKKKEPEPNFQLLDNPARVMPAQLKVLTMPETCRYQPFKP
+LSIGGIIILKDTSEDI
+>Q13200_PF17781_56 <unknown description>
+LEMLVERLGEKDTSLYRPALEELRRQIRSSTTSMTSVPKPLKFLRPHYGKLKEIYENMAP
+GENKRFAADIISVLAMTMSGERECLKYRLVGSQEELASWGHEYVRHLAGEVAKEWQELDD
+AEKVQREPLLTLVKEIVPYNMAHNAEHEACDLLMEIEQVDMLEKDIDENAYAKVCLYLTS
+CVNYVPEPENSALLRCALGVFRKFSRFPEALRLALMLNDMELVEDIFTSCKDVVVQKQMA
+FMLGRHGVFLELSEDVEEYEDLTEIMSNVQLNSNFLALARELDIMEPKVPDDIYKTHLE
+>Q13200_PF01851_443 <unknown description>
+GALLACGIVNSGVRNECDPALALLSDYVLHNSNTMR
+>Q13200_PF01851_480 <unknown description>
+GSIFGLGLAYAGSNREDVLTLLLPVMGDSKSSM
+>Q13200_PF18051_853 <unknown description>
+VGQAGKPKTITGFQTHTTPVLLAHGERAELATEEFLPVTPILEGFVILRKNPNY
+>O43242_PF01399_359 <unknown description>
+PYFLLTQAVRTGNLAKFNQVLDQFGEKFQADGTYTLIIRLRHNVIKTGVRMISLSYSRIS
+LADIAQKLQLDSPEDAEFIVAKAIRDGVIEASINHEKGYVQSK
+>O43242_PF08375_465 <unknown description>
+DIYSTREPQLAFHQRISFCLDIHNMSVKAMRFPPKSYNKDLESAEERREREQQDLEFAKE
+MAEDDDD
+>P55036_PF13519_6 <unknown description>
+TMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEVLTTLT
+PDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFV
+>P55036_PF02809_212 <unknown description>
+ADPELALALRVSMEEQ
+>P55036_PF02809_282 <unknown description>
+TEEEQIAYAMQMSLQG
+>Q16401_PF10508_1 <unknown description>
+MAAQALALLREVARLEAPLEELRALHSVLQAVPLNELRQQAAELRLGPLFSLLNENHREK
+TTLCVSILERLLQAMEPVHVARNLRVDLQRGLIHPDDSVKILTLSQIGRIVENSDAVTEI
+LNNAELLKQIVYCIGGENLSVAKAAIKSLSRISLTQAGLEALFESNLLDDLKSVMKTNDI
+VRYRVYELIIEISSVSPESLNYCTTSGLVTQLLRELTGEDVLVRATCIEMVTSLAYTHHG
+RQYLAQEGVIDQISNIIVGADSDPFSSFYLPGFVKFFGNLAVMDSPQQICERYPIFVEKV
+FEMIESQDPTMIGVAVDTVGILGSNVEGKQVLQKTGTRFERLLMRIGHQSKNAPVELKIR
+CLDAISSLLYLPPEQQTDDLLRMTESWFSSLSRDPLELFRGISSQPFPELHCAALKVFTA
+IANQPWAQKLMFNSPGFVEYVVDRSVEHDKASKDAKYELVKALANSKTIAEIFGNPNYLR
+LRTYLSEGPYYVKPVSTTAVEGAE
+>Q15008_PF10602_66 <unknown description>
+DVDLLNKMKKANEDELKRLDEELEDAEKNLGESEIRDAMMAKAEYLCRIGDKEGALTAFR
+KTYDKTVALGHRLDIVFYLLRIGLFYMDNDLITRNTEKAKSLIEEGGDWDRRNRLKVYQG
+LYCVAIRDFKQAAELFLDTVSTFTSYELMDYKTFVTYTVYVSMIALERPDLREK
+>Q15008_PF01399_254 <unknown description>
+PAVRQYLFSLYECRYSVFFQSLAVVEQEMKKDWLFAPHYRYYVREMRIHAYSQLLESYRS
+LTLGYMAEAFGVGVEFIDQELSRFIAAGRLHCKIDKVNEIVETN
+>P51665_PF01398_5 <unknown description>
+AVQKVVVHPLVLLSVVDHFNRIGKVGNQKRVVGVLLGSWQKKVLDVSNSFAVPFDEDDKD
+DSVWFLDHDYLENMYGMFKKVNARERIVGWYHTGPKLHKNDIAINELMKRYCPN
+>P51665_PF13012_166 <unknown description>
+EAEEVGVEHLLRDIKDTTVGTLSQRITNQVHGLKGLNSKLLDIRSYLEKVATGKLPINHQ
+IIYQLQDVFNLLPDVSLQEFVKAFYLKTNDQMVVVYLASLIRSVVALHNLIN
+>P48556_PF10075_188 <unknown description>
+MHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSYNKVFLA
+KGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFTEATRILFFNTPKKMTDYAKKRG
+WVLGPNNYYSFASQQQKPE
+>O00233_PF18265_23 <unknown description>
+VQELMRRKEEIEAQIKANYDVLESQKGIGMNEPLVDCEGYPRSDVDLYQVRTARHNIICL
+QNDHKAVMKQVEEALHQLHA
+>O00233_PF17820_139 <unknown description>
+VNSISPGSPASIAGLQVDDEIVEFGSVNTQNFQSLHNIGSVVQHSEGKPLNVTVIR
+>Q06323_PF02251_9 <unknown description>
+EAQAKVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAPLDIPVPDP
+>Q06323_PF02252_104 <unknown description>
+VNCNEKIVVLLQRLKPEIKDVIEQLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTSL
+HTKLEGFHTQISKYFSERGDAVTKAAKQPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAY
+AVLYDIILKNFEKLKKPRGETK
+>Q9UL46_PF02251_12 <unknown description>
+EARKQVEVFRQNLFQEAEEFLYRFLPQKIIYLNQLLQEDSLNVADLTSLRAPLDIPIPDP
+>Q9UL46_PF02252_94 <unknown description>
+LPGNEKVLSLLALVKPEVWTLKEKCILVITWIQHLIPKIEDGNDFGVAIQEKVLERVNAV
+KTKVEAFQTTISKYFSERGDAVAKASKETHVMDYRALVHERDEAAYGELRAMVLDLRAFY
+AELYHIISSNLEKIVNPKGEEK
+>P61289_PF02251_10 <unknown description>
+EVKLKVDSFRERITSEAEDLVANFFPKKLLELDSFLKEPILNIHDLTQIHSDMNLPVPDP
+>P61289_PF02252_109 <unknown description>
+LKSNQQLVDIIEKVKPEIRLLIEKCNTVKMWVQLLIPRIEDGNNFGVSIQEETVAELRTV
+ESEAASYLDQISRYYITRAKLVSKIAKYPHVEDYRRTVTEIDEKEYISLRLIISELRNQY
+VTLHDMILKNIEKIKRPRSSNAE
+>Q14997_PF16507_330 <unknown description>
+LAGLFNSITSFYHPSNNGRWLNKLMKLLQRLPNSVVRRLHRERYKKPSWLTPVPDSHKLT
+DQDVTDFVQCIIQPVLLAMFSKTGSLEAAQALQNLALMRPELVIPPVLERTYPALETLTE
+PHQLTATLSCVIGVARSLVSGGRWFPEGPTHMLPLLMRALPGVDPNDFSKCMITFQFIAT
+FSTLVPLVDCSSVLQERNDLTEVERELCSATAEFEDFVLQFMDRCFGLIESSTLEQTREE
+TETEKMTHLESLVELGLSSTFSTILTQCSKEIFMVALQKVFNFSTSHIFETRVAGRMVAD
+MCRAAVKCCPEESLKLFVPHCCSVITQLTMNDDVLNDEELDKELLWNLQLLSEITRVDGR
+KLLLYREQLVKILQRTLHLTCKQGYTLSCNLLHHLLRSTTLIYPTEYCSVPGGFDKPPSE
+YFPIKDWGKPGDLWNLGIQWHVPSSEEVSFAFYLLDSFLQPELVKLQHCGDGKLEMSRDD
+ILQSLTIVHNCLIGSGNLL
+>Q14997_PF11919_1757 <unknown description>
+VKRHAGVLGLGACVLSSPYDVPTWMPQLLMNLSAHLNDPQPIEMTVKKTLSNFRRTHHDN
+WQEHKQQFTDDQLLVLTDLLVSPCYYA
+>Q92530_PF11566_13 <unknown description>
+PAITCRQDALVCFLHWEVVTHGYFGLGVGDQPGPNDKKSELLPAGWNNNKDLYVLRYEYK
+DGSRKLLVKAITVESSMILNVLEYGSQQVADLTLNLDDYIDAEHLGDFHRTYKNSEELRS
+RIVSGIITPIHEQWEK
+>Q92530_PF08577_177 <unknown description>
+HTSRQPPWCDPLGPFVVGGEDLDPFGPRRGGMIVDPLRSGFPRALIDPSSGLPNRLPPGA
+VPPGARFDPFGP
+>O95456_PF16094_2 <unknown description>
+AATFFGEVVKAPCRAGTEDEEEEEEGRRETPEDREVRLQLARKREVRLLRRQTKTSLEVS
+LLEKYPCSKFIIAIGNNAVAFLSSFVMNSGVWEEVGCAKLWNEWCRTTDTTHLSSTEAFC
+VFYHLKSNPSVFLCQCSCYVAEDQQYQWLEKVFGSCPRKNMQITILTCRHVTDYKTSEST
+GSLPSPFLRALKTQNFKDSACCPLLEQPNIVHDLPAAVLSYCQVWKIPAILYLCYTDVMK
+LDLITVEAFKPILSTRSLKGLVKNIPQSTEILKKLMTTNEIQSNIYT
+>Q969U7_PF09754_17 <unknown description>
+LLMPAVSVGNVGQLAMDLIISTLNMSKIGYFYTDCLVPMVGNNPYATTEGNSTELSINAE
+VYSLPSRKLVALQLRSIFIKYKSKPFCEKLLSWVKSSGCARVIVLSSSHSYQRNDLQLRS
+TPFRYLLTPSMQKSVQNKIKSLNWEEMEKSRCIPEIDDSEFCIRIPGGGITKTLYDESCS
+KEIQMAVLLKFVSEGDNIPDALGLVEYLNEWL
+>Q9BT73_PF10178_34 <unknown description>
+VVVTQFGKMGTLVSLEPSSVASDVSKPVLTTKVLLGQDEPLIHVFAKNLVAFVSQEAGNR
+AVLLAVAVKDKSMEGLKALREVIR
+>Q5JS54_PF16093_26 <unknown description>
+VHFHVMRLTDSLFLWVGATPHLRNLAVAMCSRYDSIPVSTSLLGDTSDTTSTGLAQRLAR
+KTNKQVFVSYNL
+>P49768_PF01080_76 <unknown description>
+KYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFTEDTETVGQRALHSILN
+AAIMISVIVVMTILLVVLYKYRCYKVIHAWLIISSLLLLFFFSFIYLGEVFKTYNVAVDY
+ITVALLIWNFGVVGMISIHWKGPLRLQQAYLIMISALMALVFIKYLPEWTAWLILAVISV
+YDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMAEGDPEAQRRVSKNSKY
+NAESTERESQDTVAENDDGGFSEEWEAQRDSHLGPHRSTPESRAAVQELSSSILAGEDPE
+ERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLCLTLLLLAIFKKALPAL
+PISITFGLVFYFATDYLVQPFM
+>P49810_PF01080_82 <unknown description>
+KYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLIYTPFTEDTPSVGQRLLNSVLN
+TLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLLFLFTYIYLGEVLKTYNVAMDY
+PTLLLTVWNFGAVGMVCIHWKGPLVLQQAYLIMISALMALVFIKYLPEWSAWVILGAISV
+YDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVWTVGMAK
+>P49810_PF01080_352 <unknown description>
+LEEEEERGVKLGLGDFIFYSVLVGKAAATGSGDWNTTLACFVAILIGLCLTLLLLAVFKK
+ALPALPISITFGLIFYFSTDNLVRPFM
+>P07988_PF02199_31 <unknown description>
+ACAQGPEFWCQSLEQALQCRALGHCLQEVW
+>P07988_PF05184_67 <unknown description>
+DLCQECEDIVHILNKMAKEAIFQDTMRKFLEQECNVLP
+>P07988_PF03489_111 <unknown description>
+QCNQVLDDYFPLVIDYFQNQTDSNGICMHLGLC
+>P07988_PF03489_334 <unknown description>
+KCKQFVEQHTPQLLTLVPRGWDAHTTCQALGVC
+>Q8WXF1_PF00076_84 <unknown description>
+LFVGNLPTDITEEDFKRLFERYGEPSEVFINRDRGFGFIRLESRTLAEIAKAELDGTILK
+SRPLR
+>Q8WXF1_PF00076_158 <unknown description>
+LTVKNLSPVVSNELLEQAFSQFGPVEKAVVVVDDRGRATGKGFVEFAAKPPARKALERC
+>Q8WXF1_PF08075_229 <unknown description>
+PVIVEPMEQFDDEDGLPEKLMQKTQQYHKEREQPPRFAQPGTFEFEYASRWK
+>O60542_PF00019_66 <unknown description>
+CQLWSLTLSVAELGLGYASEEKVIFRYCAGSCPRGARTQHGLALARLQGQGRAHGGPCCR
+PTRYTDVAFLDDRHRWQRLPQLSAAACGC
+>Q6PGN9_PF15259_9 <unknown description>
+RFIVDETLDFGGLSPSDSREEEDITVLVTPEKPLRRGLSHRSDPNAVAPAPQGVRLSLGP
+LSPEKLEEILDEANRLAAQLEQCALQDRESA
+>Q8IYL9_PF00001_32 <unknown description>
+NIGSLCVSFLQAKKESELGIYLFSLSLSDLLYALTLPLWIDYTWNKDNWTFSPALCKGSA
+FLMYMNFYSSTAFLTCIAVDRYLAVVYPLKFFFLRTRRFALMVSLSIWILETIFNAVMLW
+EDETVVEYCDAEKSNFTLCYDKYPLEKWQINLNLFRTCTGYAIPLVTILICNRKVYQAVR
+HNKATENKEKKRIIKLLVSITVTFVLCFTPFHVMLLIRCILEHAVNFEDHSNSGKRTYTM
+YRITVALTSLNCVADPILY
+>P0DJ07_PF09803_1 <unknown description>
+MGVKLEIFRMIIYLTFPVAMFWVSNQAEWFEDDVIQRKRELWPPEKLQEIEEFKERLRKR
+REEKLLR
+>Q6UWS5_PF15786_5 <unknown description>
+SKVVLGLSVLLTAATVAGVHVKQQWDQQRLRDGVIRDIERQIRKKENIRLLGEQIILTEQ
+LEAE
+>P25105_PF00001_33 <unknown description>
+NGYVLWVFARLYPCKKFNEIKIFMVNLTMADMLFLITLPLWIVYYQNQGNWILPKFLCNV
+AGCLFFINTYCSVAFLGVITYNRFQAVTRPIKTAQANTRKRGISLSLVIWVAIVGAASYF
+LILDSTNTVPDSAGSGNVTRCFEHYEKGSVPVLIIHIFIVFSFFLVFLIILFCNLVIIRT
+LLMQPVQQQRNAEVKRRALWMVCTVLAVFIICFVPHHVVQLPWTLAELGFQDSKFHQAIN
+DAHQVTLCLLSTNCVLDPVIY
+>Q7Z6K3_PF01239_127 <unknown description>
+KLALTKFPKSPETWIHRRWVLQQL
+>Q7Z6K3_PF01239_177 <unknown description>
+IQEEMEVCGEAAGRYPSNYNAWSHRIWVLQHL
+>Q7Z6K3_PF01239_217 <unknown description>
+LDELSSTKHWASMHVSDHSGFHYRQFLLKS
+>Q7Z6K3_PF01239_292 <unknown description>
+LEEEVEFSTDLIDSYPGHETLWCHRRHIFY
+>P26599_PF13893_81 <unknown description>
+PFGKVTNLLMLKGKNQAFIEMNTEEAANTMVNYYTSVTPVLRGQPIYIQFSNHKELKTDS
+SPNQ
+>P26599_PF11835_178 <unknown description>
+AGQSPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHA
+KLSLDGQNIYNACCTLRIDFS
+>P26599_PF13893_338 <unknown description>
+AIPSAAAAAAAAGRIAIPGLAGAGNSVLLVSNLNPERVTPQSLFILFGVYGDVQRVKILF
+NKKENALVQMADGNQAQLAMSHLNGHKLHGKPIRITLSKHQNVQLPREGQEDQGLTKDYG
+NS
+>P26599_PF00076_484 <unknown description>
+LSNIPPSVSEEDLKVLFSSNGGVVKGFKFFQKDRKMALIQMGSVEEAVQALIDLHNHDLG
+E
+>Q9UKA9_PF11835_171 <unknown description>
+SAVTPAQSPVLRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVN
+AQQAKLALDGQNIYNACCTLRIDFS
+>Q9UKA9_PF13893_321 <unknown description>
+AAAAGRVGMPGVSAGGNTVLLVSNLNEEMVTPQSLFTLFGVYGDVQRVKILYNKKDSALI
+QMADGNQSQLAMNHLNGQKMYGKIIRVTLSKHQTVQLPREGLDDQGLTKDFGNS
+>Q9UKA9_PF00076_459 <unknown description>
+LSNIPPSVAEEDLRTLFANTGGTVKAFKFFQDHKMALLQMATVEEAIQALIDLHNYNLGE
+>O95758_PF11835_149 <unknown description>
+GQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVNAHYAK
+MALDGQNIYNACCTLRIDFS
+>O95758_PF13893_302 <unknown description>
+GALGPLTITSSAVTGRMAIPGASGIPGNSVLLVTNLNPDLITPHGLFILFGVYGDVHRVK
+IMFNKKENALVQMADANQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTK
+DFSNS
+>O95758_PF13893_457 <unknown description>
+SVTVDDLKNLFIEAGCSVKAFKFFQKDRKMALIQLGSVEEAIQALIELHNHDLGENHHLR
+VSFSKS
+>Q13635_PF12349_464 <unknown description>
+KSQGAVGLAGVLLVALSVAAGLGLCSLIGISFNAATTQVLPFLALGVGVDDVFLLAHAFS
+ETGQNKRIPFEDRTGECLKRTGASVALTSISNVTAFFMAALIPIPALRAFSLQAAVVVVF
+NFAMVLLIFPAILSMDLYRREDRRLDIFCCFT
+>Q13635_PF02460_978 <unknown description>
+LNGLRDTSDFVEAIEKVRTICSNYTSLGLSSYPNGYPFLFWEQYIGLRHWLLLFISVVLA
+CTFLVCAVFLLNPWTAGIIVMVLALMTVELFGMMGLIGIKLSAVPVVILIASVGIGVEFT
+VHVALAFLTAIGDKNRRAVLALEHMFAPVLDGAVSTLLGVLMLAGSEFDFIVRYFFAVLA
+ILTILGVLNGLVLLPVLLSFF
+>Q9Y6C5_PF12349_419 <unknown description>
+AQSQGSVGLAGVLLVALAVASGLGLCALLGITFNAATTQVLPFLALGIGVDDVFLLAHAF
+TEALPGTPLQERMGECLQRTGTSVVLTSINNMAAFLMAALVPIPALRAFSLQAAIVVGCT
+FVAVMLVFPAILSLDLRRRHCQRLDVLCCFS
+>Q9Y6C5_PF02460_913 <unknown description>
+LLRGLQKTADFVEAIEGARAACAEAGQAGVHAYPSGSPFLFWEQYLGLRRCFLLAVCILL
+VCTFLVCALLLLNPWTAGLIVLVLAMMTVELFGIMGFLGIKLSAIPVVILVASVGIGVEF
+TVHVALGFLTTQGSRNLRAAHALEHTFAPVTDGAISTLLGLLMLAGSHFDFIVRYFFAAL
+TVLTLLGLLHGLVLLPVLLSIL
+>Q14761_PF15713_58 <unknown description>
+SRDSGGYYHPARLGAALWGRTRRLLWASPPGRWLQARAELGSTDNDLERQEDEQDTDYDH
+VADGGLQADPGEGEQQCGEASSPEQVPVRAEEARDSDTEGDLVLGSPGPASAGGSAEALL
+SDLHAFAGSAAWDDSARAAGGQGLHVTAL
+>O75127_PF17177_167 <unknown description>
+ERLQPMESNYTVLIGGCGRVGYLKKAFNLYNQMKKRDLEPSDATYTALFNVCAESPWKDS
+ALQSALKLRQQLQAKNFELNLKTYHALLKMAAKCADLRMCLDVFKEIIHKGHVVTEETFS
+FLLMGCIQDKKTGFRYALQVWRLMLSLGLQPSRDSYNLLLVAARDCGLGD
+>O75127_PF13812_574 <unknown description>
+LLTDMKKSQVTPNTHIYSALINAAIRKLNYTYLISILKDMKQNRV
+>Q8WV60_PF10037_39 <unknown description>
+CPLGAKRYLLTDNVVKLKEFQQKKVAVACNLSGTKETYFRNLKKKLTQNKLILKGELITL
+LHLCESRDHVELAKNVIYRYHAENKNFTLGEYKFGPLFVRLCYELDLEESAVELMKDQHL
+RGFFSDSTSFNILMDMLFIKGKYKSALQVLIEMKNQDVKFTKDTYVLAFAICYKLNSP
+>Q96EY7_PF13041_254 <unknown description>
+KNEHSYCTMIRGMVKHRAYEQALNLYTELLNNRLHADVYTFNALIEA
+>Q96EY7_PF13812_353 <unknown description>
+ALQVLREMKAIGIEPSLATYHHIIRLF
+>Q6ISU1_PF15028_20 <unknown description>
+GVGGTPFPSLAPPIMLLVDGKQQMVVVCLVLDVAPPGLDSPIWFSAGNGSALDAFTYGPS
+PATDGTWTNLAHLSLPSEELASWEPLVCHTGPGAEGHSRSTQPMHLSGEASTARTCPQEP
+LRGTP
+>P60484_PF10409_188 <unknown description>
+YRPVALLFHKMMFETIPMFSGGTCNPQFVVCQLKVKIYSSNSGPTRREDKFMYFEFPQPL
+PVCGDIKVEFFHKQNKMLKKDKMFHFWVNTFFIPGPEETSEKVENGSLCDQEIDSICSIE
+RADNDKEYLVLTLTKNDLDKANKDKANRYFSPNFKVKLYFTK
+>Q96BW5_PF02126_15 <unknown description>
+VEPSKLGRTLTHEHLAMTFDCCYCPPPPCQEAISKEPIVMKNLYWIQKNAYSHKENLQLN
+QETEAIKEELLYFKANGGGALVENTTTGISRDTQTLKRLAEETGVHIISGAGFYVDATHS
+SETRAMSVEQLTDVLMNEILHGADGTSIKCGIIGEIGCSWPLTESERKVLQATAHAQAQL
+GCPVIIHPGRSSRAPFQIIRILQEAGADISKTVMSHLDRTILDKKELLEFAQLGCYLEYD
+LFGTELLHYQLGPDIDMPDDNKRIRRVRLLVEEGCEDRILVAHDIHTKTRLMKYGGHGYS
+HILTNVVPKMLLRGITENVLDKILIENPKQWLT
+>Q7RTS3_PF00010_165 <unknown description>
+RQAANVRERRRMQSINDAFEGLRSHIPTLPYEKRLSKVDTLRLAIGYINFL
+>P41222_PF00061_41 <unknown description>
+GRWFSAGLASNSSWLREKKAALSMCKSVVAPATDGGLNLTSTFLRKNQCETRTMLLQPAG
+SLGSYSYRSPHWGSTYSVSVVETDYDQYALLYSQGSKGPGEDFRMATLYSRTQTPRAELK
+EKFTAFCKAQGFTEDTIVFLPQTD
+>O14684_PF01124_17 <unknown description>
+LLCSTLLVIKMYVVAIITGQVRLRKKAFANPEDALRHGGPQYCRSDPDVERCLRAHRNDM
+ETIYPFLFLGFVYSFLGPNPFVAWMHFLVFLVGRVAHTVAYLGKLRAPIRSVTYTLAQLP
+CASMALQILW
+>Q16647_PF00067_36 <unknown description>
+SIPWLGYALDFGKDAASFLTRMKEKHGDIFTILVGGRYVTVLLDPHSYDAVVWEPRTRLD
+FHAYAIFLMERIFDVQLPHYSPSDEKARMKLTLLHRELQALTEAMYTNLHAVLLGDATEA
+GSGWHEMGLLDFSYSFLLRAGYLTLYGIEALPRTHESQAQDRVHSADVFHTFRQLDRLLP
+KLARGSLSVGDKDHMCSVKSRLWKLLSPARLARRAHRSKWLESYLLHLEEMGVSEEMQAR
+ALVLQLWATQGNMGPAAFWLLLFLLKNPEALAAVRGELESILWQAEQPVSQTTTLPQKVL
+DSTPVLDSVLSESLRLTAAPFITREVVVDLAMPMADGREFNLRRGDRLLLFPFLSPQRDP
+EIYTDPEVFKYNRFLNPDGSEKKDFYKDGKRLKNYNMPWGAGHNHCLGRSYAVNSIKQFV
+FLVLVHLDLELINADVEIPEFDLSRYGFGLMQPEHD
+>Q14914_PF16884_5 <unknown description>
+KTWTLKKHFVGYPTNSDFELKTAELPPLKNGEVLLEALFLTVDPYMRVAAKRLKEGDTMM
+GQQVAKVVESKNVALPKGTIVLASPGWTTHSISDGKDLEKL
+>Q14914_PF00107_153 <unknown description>
+AVGSVVGQIAKLKGCKVVGAVGSDEKVAYLQKLGFDVVFNYKTVESLEETLKKASPDGYD
+CYFDNVGGEFSNTVIGQMKKFGRIAICGAISTYNRTGPLPPGPPPEIVIYQELRMEAFVV
+YRWQGDARQKALK
+>Q8N8N7_PF16884_5 <unknown description>
+RVVLNSRPGKNGNPVAENFRMEEVYLPDNINEGQVQVRTLYLSVDPYMRCRMNEDTGTDY
+ITPWQLSQVVDGGGIGIIEESKHTNLTKGDFVTSFYWPWQTKVILDGNSLEKV
+>Q8N8N7_PF00107_168 <unknown description>
+GSVAGQIGHFLGCSRVVGICGTHEKCILLTSELGFDAAINYKKDNVAEQLRESCPAGVDV
+YFDNVGGNISDTVISQMNENSHIILCGQISQYNKDVPYPPPLSPAIEAIQKERNI
+>Q8N4Q0_PF08240_63 <unknown description>
+GDLLVRNRFVGVNASDINYSAGRYDPSVKPPFDIGFEGIGEVVALGLSASARYTVGQAVA
+Y
+>Q8N4Q0_PF00107_184 <unknown description>
+GTGQFAMQLSKKAKCHVIGTCSSDEKSAFLKSLGCDRPINYKTEPVGTVLKQEYPEGVDV
+VYESVGGAMFDLAVDALATKGRLIVIGFISGYQTPTGLSPVKAGTLPAKLLKKSASVQGF
+FLNHYLSKYQAAMSH
+>Q03431_PF02793_107 <unknown description>
+PCLPEWDHILCWPLGAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWELVPGHNRTWAN
+YSECVK
+>Q03431_PF00002_186 <unknown description>
+RLGMIYTVGYSVSLASLTVAVLILAYFRRLHCTRNYIHMHLFLSFMLRAVSIFVKDAVLY
+SGATLDEAERLTEEELRAIAQAPPPPATAAAGYAGCRVAVTFFLYFLATNYYWILVEGLY
+LHSLIFMAFFSEKKYLWGFTVFGWGLPAVFVAVWVSVRATLANTGCWDLSSGNKKWIIQV
+PILASIVLNFILFINIVRVLATKLRETNAGRCDTRQQYRKLLKSTLVLMPLFGVHYIVFM
+ATPYTEVSGTLWQVQMHYEMLFNSFQGFFV
+>P49190_PF02793_62 <unknown description>
+NCFPEWDGLICWPRGTVGKISAVPCPPYIYDFNHKGVAFRHCNPNGTWDFMHSLNKTWAN
+YSDC
+>P49190_PF00002_142 <unknown description>
+ERLYVMYTVGYSISFGSLAVAILIIGYFRRLHCTRNYIHMHLFVSFMLRATSIFVKDRVV
+HAHIGVKELESLIMQDDPQNSIEATSVDKSQYIGCKIAVVMFIYFLATNYYWILVEGLYL
+HNLIFVAFFSDTKYLWGFILIGWGFPAAFVAAWAVARATLADARCWELSAGDIKWIYQAP
+ILAAIGLNFILFLNTVRVLATKIWETNAVGHDTRKQYRKLAKSTLVLVLVFGVHYIVFVC
+LPHSFTGLGWEIRMHCELFFNSFQGFFV
+>Q9Y3E5_PF01981_65 <unknown description>
+YKMILVVRNDLKMGKGKVAAQCSHAAVSAYKQIQRRNPEMLKQWEYCGQPKVVVKAPDEE
+TLIALLAHAKMLGLTVSLIQDAGRTQIAPGSQTVLGIGPGPADLIDKVTGHLKLY
+>Q3SYG4_PF14727_1 <unknown description>
+MSLFKARDWWSTILGDKEEFDQGCLCLANVDNSGNGQDKIIVGSFMGYLRIFSPHPAKTG
+DGAQAEDLLLEVDLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ
+CQMKLMYEHNLQRTACNMTYGSFGGVKGRDLICIQSMDGMLMVFEQESYAFGRFLPGFLL
+PGPLAYSSRTDSFLTVSSCQQVESYKYQVLAFATDADKRQETEQQKLGSGKRLVVDWTLN
+IGEQALDICIVSFNQSASSVFVLGERNFFCLKDNGQIRFMKKLDWSPSCFLPYCSVSEGT
+INTLIGNHNNMLHIYQDVTLKWATQLPHIPVAVRVGCLHDLKGVIVTLSDDGHLQCSYLG
+TDPSLFQAPNVQSRELNYDELDVEMKELQKIIKDVNKSQGVWPMTEREDDLNVSVVV
+>Q3SYG4_PF14728_441 <unknown description>
+TVKVTLQNRVILQKAKLSVYVQPPLELTCDQFTFEFMTPDLTRTVSFSVYLKRSYTPSEL
+EGNAVVSYSRPTDRNPDGIPRVIQCKFRLPLKLICLPGQPSKTASHKITIDTNKSPVSLL
+SLFPGFASQSDDDQVNVMGFHFLGGARITVLASKTSQRYRIQSEQFEDLWLITNELILRL
+QEYFEKQGVKDFACSFSGSIPLQEYFELIDHHFELRINGEKLEELLSERAVQFRAIQRRL
+LARFKDKTPAPLQHLDTLLDGTYKQVIALADAVEENQGNLFQSFTRLKSATHLVILLIAL
+WQKLSADQVAILEAAFLPLQEDTQELGWEETVDAAISHLLKTCLSKSSKEQALNLNSQLN
+IPKDTSQLKKHITLLCDRL
+>Q96NR3_PF02460_88 <unknown description>
+QTPGRYGRVIVTSFQKANMLDQHHTDLILKLHAAVTKIQVPRPGFNYTFAHICILNNDKT
+CIVDDIVHVLEELKNARATNRTNFAITYPITHLKDGRAVYNGHQLGGVTVHSKDRVKSAE
+AIQLTYYLQSINSLNDMVAERWESSFCDTVRLFQKSNSKVKMYPYTSSSLREDFQKTSRV
+SERYLVTSLILVVTMAILCCSMQDCVRSKPWLGLLGLVTISLATLTAAGIINLTGGKYNS
+TFLGVPFVMLGHGLYGTFEMLSSWRKTREDQHVKERTAAVYADSMLSFSLTTAMYLVTFG
+IGASPFTNIEAARIFCCNSCIAIFFNYLYVLSFYGSSLVFTGYIENNYQHSIFCRKVPKP
+EALQEKPAWYRFLLTARFSEDTAEGEEANTYESHLLVCFLKRYYCDWITNTYVKPFVVLF
+YLIYISFALMGYLQVSEGSDLSNIVATATQTIEYTTAQQKYFSNYSPVIGFYIYESIEYW
+NTSVQEDVLEYTKGFVRISWFESYLNYLRKLNVSTGLPKKNFTDMLRNSFLKAPQFSHFQ
+EDIIFSKKYNDEVDVVASRMFLVAKTMETNREELYDLLETLRRLSVTSKVKFIVFNPSFV
+YMDRYASSLGAPLHNSCISALFLLFFSAFLVADSLINVWITLTVVSVEFGVIGFMTLWKV
+ELDCISVLCLIYGINYTIDNCAPMLSTFVLGKDFTRTKWVKNALEVHGVAILQSYLCYIV
+GLIPLAAVPSNLTCTLFRCLFLIAFVTFFHCFAILPVILTFL
+>Q6ZW05_PF02460_141 <unknown description>
+GRNSFIGHQLGGVVEVPNSKDQRVKSARAIQITYYLQTYGSATQDLIGEKWENEFCKLIR
+KLQEEHQELQLYSLASFSLWRDFHKTSILARSKVLVSLVLILTTATLSSSMKDCLRSKPF
+LGLLGVLTVCISIITAAGIFFITDGKYNSTLLGIPFFAMGHGTKGVFELLSGWRRTKENL
+PFKDRIADAYSDVMVTYTMTSSLYFITFGMGASPFTNIEAVKVFCQNMCVSILLNYFYIF
+SFFGSCLVFAGQLEQNRYHSIFCCKIPSAEYLDRKPVWFQTVMSDGHQQTSHHETNPYQH
+HFIQHFLREHYNEWITNIYVKPFVVILYLIYASFSFMGCLQISDGANIINLLASDSPSVS
+YAMVQQKYFSNYSPVIGFYVYEPLEYWNSSVQDDLRRLCSGFTAVSWVEQYYQFLKVSNV
+SANNKSDFISVLQSSFLKKPEFQHFRNDIIFSKAGDESNIIASRLYLVARTSRDKQKEIT
+EVLEKLRPLSLSKSIRFIVFNPSFVFMDHYSLSVTVPVLIAGFGVLLVLILTFFLVIHPL
+GNFWLILSVTSIELGVLGLMTLWNVDMDCISILCLIYTLNFAIDHCAPLLFTFVLATEHT
+RTQCIKSSLQDHGTAILQNVTSFLIGLVPLLFVPSNLTFTLFKCLLLTGGCTLLHCFVIL
+PVFLTFFP
+>P12272_PF01279_35 <unknown description>
+KRAVSEHQLLHDKGKSIQDLRRRFFLHHLIAEIHTAEIRATSEVSPNSKPSPNTKNHPVR
+FGSDDEGRYLTQETNKVETYKEQPLKTPGKKKKG
+>P01270_PF01279_30 <unknown description>
+KRSVSEIQLMHNLGKHLNSMERVEWLRKKLQDVHN
+>Q86Y79_PF01195_32 <unknown description>
+MVAGLGNPGLPGTRHSVGMAVLGQLARRLGVAESWTRDRHCAADLALAPLGDAQLVLLRP
+RRLMNANGRSVARAAELFGLTAEEVYLVHDELDKPLGRLALKLGGSARGHNGVRSCISCL
+NSNAMPRLRVGIGRPAHPEAVQAHVLGCFSPAEQELLPLLLDRATDLILDHI
+>Q13882_PF00018_16 <unknown description>
+LWDFKSRTDEELSFRAGDVFHVARKEEQWWWATLLDEAGGAVAQGYVPH
+>Q13882_PF00017_78 <unknown description>
+WFFGCISRSEAVRRLQAEGNATGAFLIRVSEKPSADYVLSVRDTQAVRHYKIWRRAGGRL
+HLNEAVSFLSLPELVNYH
+>Q13882_PF07714_192 <unknown description>
+TLCRKLGSGYFGEVFEGLWKDRVQVAIKVISRDNLLHQQMLQSEIQAMKKLRHKHILALY
+AVVSVGDPVYIITELMAKGSLLELLRDSDEKVLPVSELLDIAWQVAEGMCYLESQNYIHR
+DLAARNILVGENTLCKVGDFGLARLIKEDVYLSHDHNIPYKWTAPEALSRGHYSTKSDVW
+SFGILLHEMFSRGQVPYPGMSNHEAFLRVDAGYRMPCPLECPPSVHKLMLTCWCRDPEQR
+PCFKALRERL
+>Q13308_PF13927_36 <unknown description>
+KQPSSQDALQGRRALLRCEVEAPGPVHVYWLLDGAPVQDTERRFAQGSSLSFAAVDRLQD
+SGTFQCVAR
+>Q13308_PF13927_128 <unknown description>
+PVVLKHPASEAEIQPQTQVTLRCHIDGHPRPTYQWFRDGTPLSDGQSNHTVSSKERNLTL
+RPAGPEHSGLYSCCAH
+>Q13308_PF13927_227 <unknown description>
+VVLAPQDVVVARYEEAMFHCQFSAQPPPSLQWLFEDETPITNRSRPPHLRRATVFANGSL
+LLTQVRPRNAGIYRCI
+>Q13308_PF07679_347 <unknown description>
+KGLPEPSVWWEHAGVRLPTHGRVYQKGHELVLANIAESDAGVYTCHAANLAGQRRQDVNI
+TV
+>Q13308_PF07679_415 <unknown description>
+LKKPQDSQLEEGKPGYLDCLTQATPKPTVVWYRNQMLISEDSRFEVFKNGTLRINSVEVY
+DGTWYRCMSSTPAGSIEAQARVQV
+>Q13308_PF13927_507 <unknown description>
+PPQPQQCMEFDKEATVPCSATGREKPTIKWERADGSSLPEWVTDNAGTLHFARVTRDDAG
+NYTCIASN
+>Q13308_PF07679_593 <unknown description>
+TFKVEPERTTVYQGHTALLQCEAQGDPKPLIQWKGKDRILDPTKLGPRMHIFQNGSLVIH
+DVAPEDSGRYTCIAGNSCNIKHTEAPL
+>Q13308_PF07714_799 <unknown description>
+ITTLGKSEFGEVFLAKAQGLEEGVAETLVLVKSLQSKDEQQQLDFRRELEMFGKLNHANV
+VRLLGLCREAEPHYMVLEYVDLGDLKQFLRISKSKDEKLKSQPLSTKQKVALCTQVALGM
+EHLSNNRFVHKDLAARNCLVSAQRQVKVSALGLSKDVYNSEYYHFRQAWVPLRWMSPEAI
+LEGDFSTKSDVWAFGVLMWEVFTHGEMPHGGQADDEVLADLQAGKARLPQPEGCPSKLYR
+LMQRCWALSPKDRPSFSEIASAL
+>P06454_PF03247_2 <unknown description>
+SDAAVDTSSEITTKDLKEKKEVVEEAENGRDAPANGNANEENGEQEADNEVDEEEEEGGE
+EEEEEEEGDGEEEDGDEDEEAESATGKRAAEDDEDDDVDTKKQKTDED
+>P20962_PF03247_2 <unknown description>
+SEKSVEAAAELSAKDLKEKKEKVEEKASRKERKKEVVEEEENGAEEEEEETAEDGEEEDE
+GEEEDEEEEEEDDEGPALKRAAEEEDEADPKRQKTEN
+>Q06124_PF00017_6 <unknown description>
+WFHPNITGVEAENLLLTRGVDGSFLARPSKSNPGDFTLSVRRNGAVTHIKIQNTGDYYDL
+YGGEKFATLAELVQYY
+>Q06124_PF00017_112 <unknown description>
+WFHGHLSGKEAEKLLTEKGKHGSFLVRESQSHPGDFVLSVRTGDDKGESNDGKSKVTHVM
+IRCQELKYDVGGGERFDSLTDLVEHY
+>Q06124_PF00102_273 <unknown description>
+NKNKNRYKNILPFDHTRVVLHDGDPNEPVSDYINANIIMPEFETKCNNSKPKKSYIATQG
+CLQNTVNDFWRMVFQENSRVIVMTTKEVERGKSKCVKYWPDEYALKEYGVMRVRNVKESA
+AHDYTLRELKLSKVGQGNTERTVWQYHFRTWPDHGVPSDPGGVLDFLEEVHHKQESIMDA
+GPVVVHCSAGIGRTGTFIVIDILIDIIREKGVDCDIDVPKTIQMVRSQRSGMVQTEAQYR
+FIYMAVQ
+>Q05209_PF00102_58 <unknown description>
+NVKKNRYKDILPFDHSRVKLTLKTPSQDSDYINANFIKGVYGPKAYVATQGPLANTVIDF
+WRMIWEYNVVIIVMACREFEMGRKKCERYWPLYGEDPITFAPFKISCEDEQARTDYFIRT
+LLLEFQNESRRLYQFHYVNWPDHDVPSSFDSILDMISLMRKYQEHEDVPICIHCSAGCGR
+TGAICAIDYTWNLLKAGKIPEEFNVFNLIQEMRTQRHSAVQTKEQYELVHRAIA
+>Q12923_PF09379_576 <unknown description>
+IMLLNGQRLELTCDTKTICKDVFDMVVAHIGLVEHHLFALATLKDNEYFFVDPDLKLTKV
+AP
+>Q12923_PF00373_666 <unknown description>
+DVSLIQHTLTCHQYYLQLRKDILEERMHCDDETSLLLASLALQAEYGDYQPEVHGVSYFR
+MEHYLPARVMEKLDLSYIKEELPKLHNTYVGASEKETELEFLKVCQRLTEYGVHFH
+>Q12923_PF09380_788 <unknown description>
+KSQTGILLGVCSKGVLVFEVHNGVRTLVLRFPWRETKKISFSKKKITLQNTSDGIKHGFQ
+TDNSKICQYLLHLCSYQHKFQLQMRARQ
+>Q12923_PF00595_1094 <unknown description>
+VNLKKDAKYGLGFQIIGGEKMGRLDLGIFISSVAPGGPADLDGCLKPGDRLISVNSVSLE
+GVSHHAAIEILQNAPEDVTLVI
+>Q12923_PF16599_1177 <unknown description>
+QPKEKISKVPSTPVHLTNEMKNYMKKSSYMQDSAIDSSSKDHHWSRGTLRHISENSFGPS
+GGLREGSLSSQDSRTESASLSQSQVNGFFASHLGDQTWQESQHGSPSPSVISKATEKETF
+TDSNQSKTKKPGISDVTDYSDRGDSDMDEATYSSSQDHQTPKQESSSSVNTSNKMNFKTF
+SSSPPKPGDIF
+>Q12923_PF00595_1369 <unknown description>
+VELAKNDNSLGISVTGGVNTSVRHGGIYVKAVIPQGAAESDGRIHKGDRVLAVNGVSLEG
+ATHKQAVETLRNTGQVVHLLL
+>Q12923_PF00595_1502 <unknown description>
+VKLFKNSSGLGFSFSREDNLIPEQINASIVRVKKLFPGQPAAESGKIDVGDVILKVNGAS
+LKGLSQQEVISALRGTAPEVFL
+>Q12923_PF00595_1789 <unknown description>
+ITLIKSEKGSLGFTVTKGNQRIGCYVHDVIQDPAKSDGRLKPGDRLIKVNDTDVTNMTHT
+DAVNLLRAASKTVRLVI
+>Q12923_PF00595_1889 <unknown description>
+NKEELGFSLCGGHDSLYQVVYISDINPRSVAAIEGNLQLLDVIHYVNGVSTQGMTLEEVN
+RALDMS
+>Q12923_PF00102_2237 <unknown description>
+NRRKNRYKNILPYDATRVPLGDEGGYINASFIKIPVGKEEFVYIACQGPLPTTVGDFWQM
+IWEQKSTVIAMMTQEVEGEKIKCQRYWPNILGKTTMVSNRLRLALVRMQQLKGFVVRAMT
+LEDIQTREVRHISHLNFTAWPDHDTPSQPDDLLTFISYMRHIHRSGPIITHCSAGIGRSG
+TLICIDVVLGLISQDLDFDISDLVRCMRLQRHGMVQTEDQYIFCYQVIL
+>Q15678_PF09379_25 <unknown description>
+IRLLDSNVIECTLSVESTGQECLEAVAQRLELRETHYFGLWFLSKSQQARWVELEKPLKK
+HLD
+>Q15678_PF00373_109 <unknown description>
+LQQEATRYQYYLQVKKDVLEGRLRCTLDQVIRLAGLAVQADFGDYNQFDSQDFLREYVLF
+PMDLALEEAVLEELTQKVAQEHKAHSGILPAEAELMYINEVERLDGFGQEIF
+>Q15678_PF09380_224 <unknown description>
+DNHGNCVHLGIFFMGIFVRNRIGRQAVIYRWNDMGNITHNKSTILVELINKEETALFHTD
+DIENAKYISRLFATRHKFYKQNK
+>Q15678_PF00102_933 <unknown description>
+NAERSRIREVVPYEENRVELIPTKENNTGYINASHIKVVVGGAEWHYIATQGPLPHTCHD
+FWQMVWEQGVNVIAMVTAEEEGGRTKSHRYWPKLGSKHSSATYGKFKVTTKFRTDSVCYA
+TTGLKVKHLLSGQERTVWHLQYTDWPDHGCPEDVQGFLSYLEEIQSVRRHTNSMLEGTKN
+RHPPIVVHCSAGVGRTGVLILSELMIYCLEHNEKVEVPMMLRLLREQRMFMIQTIAQYKF
+VYQVLI
+>Q99952_PF00102_56 <unknown description>
+NVRKNRYKDVLPYDQTRVILSLLQEEGHSDYINGNFIRGVDGSLAYIATQGPLPHTLLDF
+WRLVWEFGVKVILMACREIENGRKRCERYWAQEQEPLQTGLFCITLIKEKWLNEDIMLRT
+LKVTFQKESRSVYQLQYMSWPDRGVPSSPDHMLAMVEEARRLQGSGPEPLCVHCSAGCGR
+TGVLCTVDYVRQLLLTQMIPPDFSLFDVVLKMRKQRPAAVQTEEQYRFLYHTVA
+>P18031_PF00102_40 <unknown description>
+NKNRNRYRDVSPFDHSRIKLHQEDNDYINASLIKMEEAQRSYILTQGPLPNTCGHFWEMV
+WEQKSRGVVMLNRVMEKGSLKCAQYWPQKEEKEMIFEDTNLKLTLISEDIKSYYTVRQLE
+LENLTTQETREILHFHYTTWPDFGVPESPASFLNFLFKVRESGSLSPEHGPVVVHCSAGI
+GRSGTFCLADTCLLLMDKRKDPSSVDIKKVLLEMRKFRMGLIQTADQLRFSYLAVI
+>Q4JDL3_PF00102_183 <unknown description>
+NREKNRYRDILPYDSTRVPLGKSKDYINASYIRIVNCGEEYFYIATQGPLLSTIDDFWQM
+VLENNSNVIAMITREIEGGIIKCYHYWPISLKKPLELKHFRVFLENYQILQYFIIRMFQV
+VEKSTGTSHSVKQLQFTKWPDHGTPASADSFIKYIRYARKSHLTGPMVVHCSAGIGRTGV
+FLCVDVVFCAIVKNCSFNIMDIVAQMREQRSGMVQTKEQYHFCYDIVLE
+>Q16825_PF09379_27 <unknown description>
+IQLLNNEFVEFTLSVESTGQESLEAVAQRLELREVTYFSLWYYNKQNQRRWVDLEKPLKK
+QLD
+>Q16825_PF00373_108 <unknown description>
+VSQLQQEITRYQYYLQLKKDILEGSIPCTLEQAIQLAGLAVQADFGDFDQYESQDFLQKF
+ALFPVGWLQDEKVLEEATQKVALLHQKYRGLTAPDAEMLYMQEVERMDGYGEES
+>Q16825_PF09380_226 <unknown description>
+DSQGSDISIGACLEGIFVKHKNGRHPVVFRWHDIANMSHNKSFFALELANKEETIQFQTE
+DMETAKYIWRLCVARHKFYRLNQC
+>Q16825_PF00102_921 <unknown description>
+NAERNRFQDVLPYDDVRVELVPTKENNTGYINASHIKVSVSGIEWDYIATQGPLQNTCQD
+FWQMVWEQGIAIIAMVTAEEEGGREKSFRYWPRLGSRHNTVTYGRFKITTRFRTDSGCYA
+TTGLKMKHLLTGQERTVWHLQYTDWPEHGCPEDLKGFLSYLEEIQSVRRHTNSTSDPQSP
+NPPLLVHCSAGVGRTGVVILSEIMIACLEHNEVLDIPRVLDMLRQQRMMLVQTLCQYTFV
+YRVLI
+>Q9H3S7_PF03097_9 <unknown description>
+MIWLDLKEAGDFHFQPAVKKFVLKNYGENPEAYNEELKKLELLRQNAVRVPRDFEGCSVL
+RKYLGQLHYLQSRVPMGSGQEAAVPVTWTEIFSGKSVAHEDIKYEQACILYNLGALHSML
+GAMDKRVSEEGMKVSCTHFQCAAGAFAYLREHFPQAYSVDMSRQILTLNVNLMLGQAQEC
+LLEKSMLDNRKSFLVARISAQVVDYYKEACRALENPDTASLLGRIQKDWKKLVQMKIYYF
+AAVAHLHMGKQAEEQQKFGERVAYFQSALDKLNEAIKLAKGQPDTVQDALRFTMDVIGGK
+YNSAKKDNDFIYHEAVPALDTLQPVKGAPLVKPLPVNPTDPAVTGPDIFAKLVPMAAHEA
+SSLYSEEKAKLL
+>Q9H3S7_PF13949_417 <unknown description>
+IPPQLMEKCAALSVRPDTVRNLVQSMQVLSGVFTDVEASLKDIRDLLEEDELLEQKFQEA
+VGQAGAISITSKAELAEVRREWAKYMEVHEKASFTNSELHRAMNLHVGNLRLLSGPLDQV
+RAALPTPALSPEDKAVLQNLKRILAKVQEMRDQRVSLEQQLRELIQKDDITASLVTTDHS
+EMKKLFEEQLKKYDQLKVYLEQNLAAQDRVLCALTEANVQYAAVRRVLSDLDQKWNSTLQ
+TLVASYEAYEDLMKKSQEGRDFYADLESKVAALLERTQSTCQARE
+>Q9H3S7_PF00102_1220 <unknown description>
+KNRHQDVMPYDSNRVVLRSGKDDYINASCVEGLSPYCPPLVATQAPLPGTAADFWLMVHE
+QKVSVIVMLVSEAEMEKQKVARYFPTERGQPMVHGALSLALSSVRSTETHVERVLSLQFR
+DQSLKRSLVHLHFPTWPELGLPDSPSNLLRFIQEVHAHYLHQRPLHTPIIVHCSSGVGRT
+GAFALLYAAVQEVEAGNGIPELPQLVRRMRQQRKHMLQEKLHLRFCYEAVV
+>P17706_PF00102_42 <unknown description>
+NRNRNRYRDVSPYDHSRVKLQNAENDYINASLVDIEEAQRSYILTQGPLPNTCCHFWLMV
+WQQKTKAVVMLNRIVEKESVKCAQYWPTDDQEMLFKETGFSVKLLSEDVKSYYTVHLLQL
+ENINSGETRTISHFHYTTWPDFGVPESPASFLNFLFKVRESGSLNPDHGPAVIHCSAGIG
+RSGTFSLVDTCLVLMEKGDDINIKQVLLNMRKYRMGLIQTPDQLRFSYMAIIE
+>P26045_PF09379_33 <unknown description>
+IHFLDGVVQTFKVTKQDTGQVLLDMVHNHLGVTEKEYFGLQHDDDSVDSPRWLEASKAIR
+KQLK
+>P26045_PF00373_113 <unknown description>
+DPNTLQQEQTRHLYFLQLKMDICEGRLTCPLNSAVVLASYAVQSHFGDYNSSIHHPGYLS
+DSHFIPDQNEDFLTKVESLHEQHSGLKQSEAESCYINIARTLDFYGVELH
+>P26045_PF09380_228 <unknown description>
+HNLDLMIGIASAGVAVYRKYICTSFYPWVNILKISFKRKKFFIHQRQKQAESREHIVAFN
+MLNYRSCKNLWKSCVEHHTFFQAKK
+>P26045_PF00595_511 <unknown description>
+IRITPDEDGKFGFNLKGGVDQKMPLVVSRINPESPADTCIPKLNEGDQIVLINGRDISEH
+THDQVVMFIKASRESHSRELALVI
+>P26045_PF00102_670 <unknown description>
+NLDKNRYKDVLPYDTTRVLLQGNEDYINASYVNMEIPAANLVNKYIATQGPLPHTCAQFW
+QVVWDQKLSLIVMLTTLTERGRTKCHQYWPDPPDVMNHGGFHIQCQSEDCTIAYVSREML
+VTNTQTGEEHTVTHLQYVAWPDHGVPDDSSDFLEFVNYVRSLRVDSEPVLVHCSAGIGRT
+GVLVTMETAMCLTERNLPIYPLDIVRKMRDQRAMMVQTSSQYKFVCEAIL
+>P29074_PF09379_33 <unknown description>
+ILLLDNTVQAFKVNKHDQGQVLLDVVFKHLDLTEQDYFGLQLADDSTDNPRWLDPNKPIR
+KQLK
+>P29074_PF00373_113 <unknown description>
+DPNKLQEEYTRYQYFLQIKQDILTGRLPCPSNTAALLASFAVQSELGDYDQSENLSGYLS
+DYSFIPNQPQDFEKEIAKLHQQHIGLSPAEAEFNYLNTARTLELYGVEFH
+>P29074_PF09380_226 <unknown description>
+DQSNNEIMIGVMSGGILIYKNRVRMNTFPWLKIVKISFKCKQFFIQLRKELHESRETLLG
+FNMVNYRACKNLWKACVEHHTFFRLDRPL
+>P29074_PF08736_324 <unknown description>
+YFTLGSKFRYCGRTEVQSVQYGKEKANKDRVFARSPSKPLAR
+>P29074_PF00595_518 <unknown description>
+IRMKPDENGRFGFNVKGGYDQKMPVIVSRVAPGTPADLCVPRLNEGDQVVLINGRDIAEH
+THDQVVLFIKASCERHSGELMLLV
+>P29074_PF00102_679 <unknown description>
+NISKNRYRDISPYDATRVILKGNEDYINANYINMEIPSSSIINQYIACQGPLPHTCTDFW
+QMTWEQGSSMVVMLTTQVERGRVKCHQYWPEPTGSSSYGCYQVTCHSEEGNTAYIFRKMT
+LFNQEKNESRPLTQIQYIAWPDHGVPDDSSDFLDFVCHVRNKRAGKEEPVVVHCSAGIGR
+TGVLITMETAMCLIECNQPVYPLDIVRTMRDQRAMMIQTPSQYRFVCEAILK
+>P54829_PF00102_324 <unknown description>
+RKNRYKTILPNPHSRVCLTSPDPDDPLSSYINANYIRGYGGEEKVYIATQGPIVSTVADF
+WRMVWQEHTPIIVMITNIEEMNEKCTEYWPEEQVAYDGVEITVQKVIHTEDYRLRLISLK
+SGTEERGLKHYWFTSWPDQKTPDRAPPLLHLVREVEEAAQQEGPHCAPIIVHCSAGIGRT
+GCFIATSICCQQLRQEGVVDILKTTCQLRQDRGGMIQTCEQYQFVHHVM
+>P29350_PF00017_4 <unknown description>
+WFHRDLSGLDAETLLKGRGVHGSFLARPSRKNQGDFSLSVRVGDQVTHIRIQNSGDFYDL
+YGGEKFATLTELVEYY
+>P29350_PF00017_110 <unknown description>
+WYHGHMSGGQAETLLQAKGEPWTFLVRESLSQPGDFVLSVLSDQPKAGPGSPLRVTHIKV
+MCEGGRYTVGGLETFDSLTDLVEHF
+>P29350_PF00102_270 <unknown description>
+NKGKNRYKNILPFDHSRVILQGRDSNIPGSDYINANYIKNQLLGPDENAKTYIASQGCLE
+ATVNDFWQMAWQENSRVIVMTTREVEKGRNKCVPYWPEVGMQRAYGPYSVTNCGEHDTTE
+YKLRTLQVSPLDNGDLIREIWHYQYLSWPDHGVPSEPGGVLSFLDQINQRQESLPHAGPI
+IVHCSAGIGRTGTIIVIDMLMENISTKGLDCDIDIQKTIQMVRAQRSGMVQTEAQYKFIY
+VAIA
+>P35236_PF00102_120 <unknown description>
+ASKDRYKTILPNPQSRVCLGRAQSQEDGDYINANYIRGYDGKEKVYIATQGPMPNTVSDF
+WEMVWQEEVSLIVMLTQLREGKEKCVHYWPTEEETYGPFQIRIQDMKECPEYTVRQLTIQ
+YQEERRSVKHILFSAWPDHQTPESAGPLLRLVAEVEESPETAAHPGPIVVHCSAGIGRTG
+CFIATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTL
+>P43378_PF00650_94 <unknown description>
+GKFTILNVRDPTGASIALFTARLHHPHKSVQHVVLQALFYLLDRAVDSFETQRNGLVFIY
+DMCGSNYANFELDLGKKVLNLLKGAFPARLKKVLIVGAPIWFRVPYSIISLLLKDKVRER
+IQILKTSEVTQHLPRECLPENLGG
+>P43378_PF00102_327 <unknown description>
+NLEKNRYGDVPCLDQTRVKLTKRSGHTQTDYINASFMDGYKQKNAYIGTQGPLENTYRDF
+WLMVWEQKVLVIVMTTRFEEGGRRKCGQYWPLEKDSRIRFGFLTVTNLGVENMNHYKKTT
+LEIHNTEERQKRQVTHFQFLSWPDYGVPSSAASLIDFLRVVRNQQSLAVSNMGARSKGQC
+PEPPIVVHCSAGIGRTGTFCSLDICLAQLEELGTLNVFQTVSRMRTQRAFSIQTPEQYYF
+CYKAILE
+>P21246_PF05196_34 <unknown description>
+KKEKPEKKVKKSDCGEWQWSVCVPTSGDCGLGTREGTRTGAECKQTMKTQRCKIPCNWKK
+QF
+>P21246_PF01091_96 <unknown description>
+GAECKYQFQAWGECDLNTALKTRTGSLKRALHNAECQKTVTISKPCGKLTKPKPQAESKK
+KKK
+>Q15257_PF03095_26 <unknown description>
+PKKEIHTVPDMGKWKRSQAYADYIGFILTLNEGVKGKKLTFEYRVSEAIEKLVALLNTLD
+RWIDETPPVDQPSRFGNKAYRTWYAKLDEEAENLVATVVPTHLAAAVPEVAVYLKESVGN
+STRIDYGTGHEAAFAAFLCCLCKIGVLRVDDQIAIVFKVFNRYLEVMRKLQKTYRMEPAG
+SQGVWGLDDFQFLPFIWGSSQLIDHPYLEPRHFVDEKAVNENHKDYMFLECILFITEMKT
+GPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLP
+>Q8WUK0_PF00782_67 <unknown description>
+GVITMNEEYETRFLCNSSQEWKRLGVEQLRLSTVDMTGIPTLDNLQKGVQFALKYQSLGQ
+CVYVHCKAGRSRSATMVAAYLIQVHKWSPEEAVRAIAKIRSYIHIRPGQLDVLKEFHK
+>Q92932_PF14948_78 <unknown description>
+ALQRLRVALQKLSGTGFTWQDDYTQYVMDQELADLPKTYLRRPE
+>Q92932_PF11548_510 <unknown description>
+ARGYIVTDRDPLRPEEGRRLVEDVARLLQVPSSAFADVEVLGPAVTFKVSANVQNVTTED
+VEKATVDNKDKLEETSGLKILQTGVGSK
+>Q92932_PF00102_770 <unknown description>
+NVPKNRSLAVLTYDHSRVLLKAENSHSHSDYINASPIMDHDPRNPAYIATQGPLPATVAD
+FWQMVWESGCVVIVMLTPLAENGVRQCYHYWPDEGSNLYHIYEVNLVSEHIWCEDFLVRS
+FYLKNLQTNETRTVTQFHFLSWYDRGVPSSSRSLLDFRRKVNKCYRGRSCPIIVHCSDGA
+GRSGTYVLIDMVLNKMAKGAKEIDIAATLEHLRDQRPGMVQTKEQFEFALTAVA
+>P18433_PF00102_265 <unknown description>
+NKEKNRYVNILPYDHSRVHLTPVEGVPDSDYINASFINGYQEKNKFIAAQGPKEETVNDF
+WRMIWEQNTATIVMVTNLKERKECKCAQYWPDQGCWTYGNIRVSVEDVTVLVDYTVRKFC
+IQQVGDMTNRKPQRLITQFHFTSWPDFGVPFTPIGMLKFLKKVKACNPQYAGAIVVHCSA
+GVGRTGTFVVIDAMLDMMHTERKVDVYGFVSRIRAQRCQMVQTDMQYVFIYQALLE
+>P18433_PF00102_558 <unknown description>
+NMKKNRVLQIIPYEFNRVIIPVKRGEENTDYVNASFIDGYRQKDSYIASQGPLLHTIEDF
+WRMIWEWKSCSIVMLTELEERGQEKCAQYWPSDGLVSYGDITVELKKEEECESYTVRDLL
+VTNTRENKSRQIRQFHFHGWPEVGIPSDGKGMISIIAAVQKQQQQSGNHPITVHCSAGAG
+RTGTFCALSTVLERVKAEGILDVFQTVKSLRLQRPHMVQTLEQYEFCYKVVQ
+>P23467_PF00041_252 <unknown description>
+SKASSHSVSIQWRILGSPCNFSLIYSSDTLGAALCPTFRIDNTTYGCNLQDLQAGTIYNF
+RIISLD
+>P23467_PF00041_334 <unknown description>
+FGVSKEKTTSTSLHVWWTPSSGKVTSYEVQLFDENNQKIQGVQIQESTSWNEYTFFNLTA
+GSKYNIAITAVSGG
+>P23467_PF00041_510 <unknown description>
+VSNLKVTNDGSLTSLKVKWQRPPGNVDSYNITLSHKGTIKESRVLAPWITETHFKELVPG
+RLYQVTVSCVSGE
+>P23467_PF00041_597 <unknown description>
+KVANLEANNNGRMRSLVVSWSPPAGDWEQYRILLFNDSVVLLNITVGKEETQYVMDDTGL
+VPGRQYEVEVIVESGN
+>P23467_PF00041_688 <unknown description>
+VLQLRVKHANETSLSIMWQTPVAEWEKYIISLADRDLLLIHKSLSKDAKEFTFTDLVPGR
+KYMATVTSISGD
+>P23467_PF00041_774 <unknown description>
+QVTDLHVANQGMTSSLFTNWTQAQGDVEFYQVLLIHENVVIKNESISSETSRYSFHSLKS
+GSLYSVVVTTVSGG
+>P23467_PF00041_863 <unknown description>
+SVSGVTVNNSGRNDYLSVSWLLAPGDVDNYEVTLSHDGKVVQSLVIAKSVRECSFSSLTP
+GRLYTVTITTRSGKY
+>P23467_PF00041_951 <unknown description>
+KVQGVSVSNSARSDYLRVSWVHATGDFDHYEVTIKNKNNFIQTKSIPKSENECVFVQLVP
+GRLYSVTVTTKSGQY
+>P23467_PF00041_1039 <unknown description>
+PVKDLTLRNRSTEDLHVTWSGANGDVDQYEIQLLFNDMKVFPPFHLVNTATEYRFTSLTP
+GRQYKILVLTISGD
+>P23467_PF00041_1126 <unknown description>
+SAVKNIHISPNGATDSLTVNWTPGGGDVDSYTVSAFRHSQKVDSQTIPKHVFEHTFHRLE
+AGEQYQIMIASVSGS
+>P23467_PF00041_1216 <unknown description>
+VQGVIADNAYSSYSLIVSWQKAAGVAERYDILLLTENGILLRNTSEPATTKQHKFEDLTP
+GKKYKIQILTVSGGL
+>P23467_PF00041_1305 <unknown description>
+AVTDLRITENSTRHLSFRWTASEGELSWYNIFLYNPDGNLQERAQVDPLVQSFSFQNLLQ
+GRMYKMVIVTHSGE
+>P23467_PF00041_1394 <unknown description>
+VSHLRGSNRNTTDSLWFNWSPASGDFDFYELILYNPNGTKKENWKDKDLTEWRFQGLVPG
+RKYVLWVVTHSGD
+>P23467_PF00041_1480 <unknown description>
+SPPSLMSFADIANTSLAITWKGPPDWTDYNDFELQWLPRDALTVFNPYNNRKSEGRIVYG
+LRPGRSYQFNVKTVSGDSWKT
+>P23467_PF00041_1575 <unknown description>
+KIQNLHCRPQNSTAIACSWIPPDSDFDGYSIECRKMDTQEVEFSRKLEKEKSLLNIMMLV
+PHKRYLVSIKVQSAG
+>P23467_PF18861_1691 <unknown description>
+VNCSWFSDTNGAVKYFTVVVREADGSDELKPEQQHPLPSYLEYRHNASIRVYQTNYFASK
+CAENPNSNSKSFNIKLGAEMESLGGKCDPTQQKFCDGPLKPHTAYRISIRAFTQLFDEDL
+KEFTKPLYSDTFFSLPI
+>P23467_PF00102_1945 <unknown description>
+NRGKNRYNNILPYDATRVKLSNVDDDPCSDYINASYIPGNNFRREYIVTQGPLPGTKDDF
+WKMVWEQNVHNIVMVTQCVEKGRVKCDHYWPADQDSLYYGDLILQMLSESVLPEWTIREF
+KICGEEQLDAHRLIRHFHYTVWPDHGVPETTQSLIQFVRTVRDYINRSPGAGPTVVHCSA
+GVGRTGTFIALDRILQQLDSKDSVDIYGAVHDLRLHRVHMVQTECQYVYLHQCV
+>P08575_PF12453_7 <unknown description>
+LKLLAFGFAFLDTEVFVTGQSPTPSP
+>P08575_PF12567_237 <unknown description>
+VDYLYNKETKLFTAKLNVNENVECGNNTCTNNEVHNLTECKNASVSISHNSCTAPDKTL
+>P08575_PF00041_395 <unknown description>
+PQIIFCRSEAAHQGVITWNPPQRSFHNFTLCYIKETEKDCLNLDKNLIKYDLQNLKPYTK
+YVLSLHAY
+>P08575_PF00041_486 <unknown description>
+SQVWNMTVSMTSDNSMHVKCRPPRDRNGPHERYHLEVEAGNTLVRNESHKNCDFRVKDLQ
+YSTDYTFKAYFHNGDYPGE
+>P08575_PF00102_677 <unknown description>
+NQNKNRYVDILPYDYNRVELSEINGDAGSNYINASYIDGFKEPRKYIAAQGPRDETVDDF
+WRMIWEQKATVIVMVTRCEEGNRNKCAEYWPSMEEGTRAFGDVVVKINQHKRCPDYIIQK
+LNIVNKKEKATGREVTHIQFTSWPDHGVPEDPHLLLKLRRRVNAFSNFFSGPIVVHCSAG
+VGRTGTYIGIDAMLEGLEAENKVDVYGYVVKLRRQRCLMVQVEAQYILIHQALVE
+>P08575_PF00102_968 <unknown description>
+NKSKNRNSNVIPYDYNRVPLKHELEMSKESEHDSDESSDDDSDSEEPSKYINASFIMSYW
+KPEVMIAAQGPLKETIGDFWQMIFQRKVKVIVMLTELKHGDQEICAQYWGEGKQTYGDIE
+VDLKDTDKSSTYTLRVFELRHSKRKDSRTVYQYQYTNWSVEQLPAEPKELISMIQVVKQK
+LPQKNSSEGNKHHKSTPLLIHCRDGSQQTGIFCALLNLLESAETEEVVDIFQVVKALRKA
+RPGMVSTFEQYQFLYDVIA
+>P23468_PF07679_24 <unknown description>
+PRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKKGKKVSNQRFEVIEFDDGSGSVLR
+IQPLRTPRDEAIYECVASNNVGEISVSTRLTV
+>P23468_PF07679_127 <unknown description>
+TIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRSESIGGT
+PIRGALQIEQSEESDQGKYECVATNSAGTR
+>P23468_PF13927_235 <unknown description>
+PPRFSIPPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDV
+RQSANYTCVA
+>P23468_PF00041_325 <unknown description>
+PPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEELYKEIDGVATTRYSVAGLS
+PYSDYEFRVVAVNNIGRGPPS
+>P23468_PF00041_419 <unknown description>
+SAPRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITT
+IGNLVPQKTYSVKVLAFTSIGDGPLS
+>P23468_PF00041_518 <unknown description>
+QPLNFKAEPESETSILLSWTPPRSDTIANYELVYKDGEHGEEQRITIEPGTSYRLQGLKP
+NSLYYFRLAARSPQGLGA
+>P23468_PF00041_612 <unknown description>
+PPQDISCTSPSSTSILVSWQPPPVEKQNGIITEYSIKYTAVDGEDDKPHEILGIPSDTTK
+YLLEQLEKWTEYRITVTAHTDVGPGPES
+>P23468_PF00041_714 <unknown description>
+PPRKVEVEAVNSTSVKVSWRSPVPNKQHGQIRGYQVHYVRMENGEPKGQPMLKDVMLADA
+QWEFDDTTEHDMIISGLQPETSYSLTVTAYTTKGDGARS
+>P23468_PF00041_831 <unknown description>
+INHTQMNTALIQWHPPVDTFGPLQGYRLKFGRKDMEPLTTLEFSEKEDHFTATDIHKGAS
+YVFRLSARNKVGFGEE
+>P23468_PF00041_922 <unknown description>
+PQNLHSEGTTSTSVQLSWQPPVLAERNGIITKYTLLYRDINIPLLPMEQLIVPADTTMTL
+TGLKPDTTYDVKVRAHTSKGPGPYS
+>P23468_PF00102_1380 <unknown description>
+NKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGDF
+WRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTFA
+LYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVGR
+TGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLE
+>P23468_PF00102_1669 <unknown description>
+NKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDF
+WRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFK
+VTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGV
+GRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFSYRAALE
+>P23469_PF00102_159 <unknown description>
+NREKNRYPNILPNDHSRVILSQLDGIPCSDYINASYIDGYKEKNKFIAAQGPKQETVNDF
+WRMVWEQKSATIVMLTNLKERKEEKCHQYWPDQGCWTYGNIRVCVEDCVVLVDYTIRKFC
+IQPQLPDGCKAPRLVSQLHFTSWPDFGVPFTPIGMLKFLKKVKTLNPVHAGPIVVHCSAG
+VGRTGTFIVIDAMMAMMHAEQKVDVFEFVSRIRNQRPQMVQTDMQYTFIYQALLE
+>P23469_PF00102_451 <unknown description>
+NMKKARVIQIIPYDFNRVILSMKRGQEYTDYINASFIDGYRQKDYFIATQGPLAHTVEDF
+WRMIWEWKSHTIVMLTEVQEREQDKCYQYWPTEGSVTHGEITIEIKNDTLSEAISIRDFL
+VTLNQPQARQEEQVRVVRQFHFHGWPEIGIPAEGKGMIDLIAAVQKQQQQTGNHPITVHC
+SAGAGRTGTFIALSNILERVKAEGLLDVFQAVKSLRLQRPHMVQTLEQYEFCYKVVQ
+>P10586_PF07679_33 <unknown description>
+PVFIKVPEDQTGLSGGVASFVCQATGEPKPRITWMKKGKKVSSQRFEVIEFDDGAGSVLR
+IQPLRVQRDEAIYECTATNSLGEINTSAKLSV
+>P10586_PF07679_135 <unknown description>
+PSIDMGPQLKVVEKARTATMLCAAGGNPDPEISWFKDFLPVDPATSNGRIKQLRSGALQI
+ESSEESDQGKYECVATNSAGTR
+>P10586_PF07679_232 <unknown description>
+PRFSIPPSSQEVMPGGSVNLTCVAVGAPMPYVKWMMGAEELTKEDEMPVGRNVLELSNVV
+RSANYTCVAISSLGMIEATAQVTV
+>P10586_PF00041_321 <unknown description>
+PPIDLVVTETTATSVTLTWDSGNSEPVTYYGIQYRAAGTEGPFQEVDGVATTRYSIGGLS
+PFSEYAFRVLAVNSIGRGPPS
+>P10586_PF00041_415 <unknown description>
+SPPRRVQARMLSASTMLVQWEPPEEPNGLVRGYRVYYTPDSRRPPNAWHKHNTDAGLLTT
+VGSLLPGITYSLRVLAFTAVGDGPPS
+>P10586_PF00041_514 <unknown description>
+QPADFQAEVESDTRIQLSWLLPPQERIIMYELVYWAAEDEDQQHKVTFDPTSSYTLEDLK
+PDTLYRFQLAARSDMGVGV
+>P10586_PF00041_609 <unknown description>
+PPQKVMCVSMGSTTVRVSWVPPPADSRNGVITQYSVAYEAVDGEDRGRHVVDGISREHSS
+WDLVGLEKWTEYRVWVRAHTDVGPGPES
+>P10586_PF00041_711 <unknown description>
+PPRKVEVEPLNSTAVHVYWKLPVPSKQHGQIRGYQVTYVRLENGEPRGLPIIQDVMLAEA
+QWRPEESEDYETTISGLTPETTYSVTVAAYTTKGDGARS
+>P10586_PF00041_831 <unknown description>
+TAMNTALLQWHPPKELPGELLGYRLQYCRADEARPNTIDFGKDDQHFTVTGLHKGTTYIF
+RLAAKNRAGLGE
+>P10586_PF00041_919 <unknown description>
+PQNLHVTGLTTSTTELAWDPPVLAERNGRIISYTVVFRDINSQQELQNITTDTRFTLTGL
+KPDTTYDIKVRAWTSKGSGPLS
+>P10586_PF00102_1375 <unknown description>
+NKPKNRYANVIAYDHSRVILTSIDGVPGSDYINANYIDGYRKQNAYIATQGPLPETMGDF
+WRMVWEQRTATVVMMTRLEEKSRVKCDQYWPARGTETCGLIQVTLLDTVELATYTVRTFA
+LHKSGSSEKRELRQFQFMAWPDHGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAGVGR
+TGCFIVIDAMLERMKHEKTVDIYGHVTCMRSQRNYMVQTEDQYVFIHEALLE
+>P10586_PF00102_1664 <unknown description>
+NKFKNRLVNIMPYELTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAESTEDF
+WRMLWEHNSTIIVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFK
+VTDARDGQSRTIRQFQFTDWPEQGVPKTGEGFIDFIGQVHKTKEQFGQDGPITVHCSAGV
+GRTGVFITLSIVLERMRYEGVVDMFQTVKTLRTQRPAMVQTEDQYQLCYRAALE
+>P23470_PF00194_67 <unknown description>
+GPEHWVTSSVSCGGRHQSPIDILDQYARVGEEYQELQLDGFDNESSNKTWMKNTGKTVAI
+LLKDDYFVSGAGLPGRFKAEKVEFHWGHSNGSAGSEHSINGRRFPVEMQIFFYNPDDFDS
+FQTAISENRIIGAMAIFFQVSPRDNSALDPIIHGLKGVVHHEKETFLDPFVLRDLLPASL
+GSYYRYTGSLTTPPCSEIVEWIVFRRPVPISYHQLEAFYSIFTTEQQDHVKSVEYLRNNF
+RPQQRLHDRVVSKS
+>P23470_PF00041_348 <unknown description>
+SPPIHMKVQPLNQTALQVSWSQPETIYHPPIMNYMISYSWTKNEDEKEKTFTKDSDKDLK
+ATISHVSPDSLYLFRVQAVCRN
+>P23470_PF00102_874 <unknown description>
+NKHKNRYINILAYDHSRVKLRPLPGKDSKHSDYINANYVDGYNKAKAYIATQGPLKSTFE
+DFWRMIWEQNTGIIVMITNLVEKGRRKCDQYWPTENSEEYGNIIVTLKSTKIHACYTVRR
+FSIRNTKVKKGQKGNPKGRQNERVVIQYHYTQWPDMGVPEYALPVLTFVRRSSAARMPET
+GPVLVHCSAGVGRTGTYIVIDSMLQQIKDKSTVNVLGFLKHIRTQRNYLVQTEEQYIFIH
+DALLE
+>P23470_PF00102_1175 <unknown description>
+NKEKNRNSSVVPSERARVGLAPLPGMKGTDYINASYIMGYYRSNEFIITQHPLPHTTKDF
+WRMIWDHNAQIIVMLPDNQSLAEDEFVYWPSREESMNCEAFTVTLISKDRLCLSNEEQII
+IHDFILEATQDDYVLEVRHFQCPKWPNPDAPISSTFELINVIKEEALTRDGPTIVHDEYG
+AVSAGMLCALTTLSQQLENENAVDVFQVAKMINLMRPGVFTDIEQYQFIYKAML
+>Q9HD43_PF00041_31 <unknown description>
+NPGRNLTVETQTTSSISLSWEVPDGLDSQNSNYWVQCTGDGGTTETRNTTATNVTVDGLG
+PGSLYTCSVW
+>Q9HD43_PF00041_121 <unknown description>
+PVRNLRVEAQTNSSIALTWEVPDGPDPQNSTYGVEYTGDGGRAGTRSTAHTNITVDGLEP
+GCLYAFS
+>Q9HD43_PF00041_210 <unknown description>
+PVRNLRVEAQTTSSISLSWEVPDGTDPQNSTYCVQCTGDGGRTETRNTTDTRVTVDGLGP
+GSLYTCSVWV
+>Q9HD43_PF00041_299 <unknown description>
+PVRNLTVEAQTNSSIALTWEVPDGPDPQNSTYGVEYTGDGGRAGTRSTAHTNITVDRLEP
+GCLYVFSV
+>Q9HD43_PF00041_388 <unknown description>
+PVRNLHMETQTNSSIALCWEVPDGPYPQDYTYWVEYTGDGGGTETRNTTNTSVTAERLEP
+GTLYTFSVWAEKNGA
+>Q9HD43_PF00041_568 <unknown description>
+VTDLQNETQTKNSVMLWWKAPGDPHSQLYVYWVQWASKGHPRRGQDPQANWVNQTSRTNE
+TWYKVEALEPGTLYNFTVWAERN
+>Q9HD43_PF00102_844 <unknown description>
+NNAKNRYRNVLPYDWSRVPLKPIHEEPGSDYINASFMPGLWSPQEFIATQGPLPQTVGDF
+WRLVWEQQSHTLVMLTNCMEAGRVKCEHYWPLDSQPCTHGHLRVTLVGEEVMENWTVREL
+LLLQVEEQKTLSVRQFHYQAWPDHGVPSSPDTLLAFWRMLRQWLDQTMEGGPPIVHCSAG
+VGRTGTLIALDVLLRQLQSEGLLGPFSFVRKMRESRPLMVQTEAQYVFLHQCIL
+>Q12913_PF00041_120 <unknown description>
+SPVFDIKAVSISPTNVILTWKSNDTAASEYKYVVKHKMENEKTITVVHQPWCNITGLRPA
+TSYVFSIT
+>Q12913_PF00041_369 <unknown description>
+VFDVTAVNISATSLTLIWKVSDNESSSNYTYKIHVAGETDSSNLNVSEPRAVIPGLRSST
+FYNITVCPVL
+>Q12913_PF18861_835 <unknown description>
+VKFSGFEASHGPIKAYAVILTTGEAGHPSADVLKYTYEDFKKGASDTYVTYLIRTEEKGR
+SQSLSEVLKYEIDVGNESTTLGYYNGKLEPLGSYRACVAGFTNITFHPQNKGLIDGAESY
+VSFSRYSDAV
+>Q12913_PF00102_1065 <unknown description>
+NRGKNRYNNVLPYDISRVKLSVQTHSTDDYINANYMPGYHSKKDFIATQGPLPNTLKDFW
+RMVWEKNVYAIIMLTKCVEQGRTKCEEYWPSKQAQDYGDITVAMTSEIVLPEWTIRDFTV
+KNIQTSESHPLRQFHFTSWPDHGVPDTTDLLINFRYLVRDYMKQSPPESPILVHCSAGVG
+RTGTFIAIDRLIYQIENENTVDVYGIVYDLRMHRPLMVQTEDQYVFLNQCVL
+>Q15262_PF00629_36 <unknown description>
+CTFDDGPGACDYHQDLYDDFEWVHVSAQEPHYLPPEMPQGSYMIVDSSDHDPGEKARLQL
+PTMKENDTHCIDFSYLLYSQKGLNPGTLNILVRVNKGPLANPIWNVTGFTGRDWLRAELA
+VSTFWPNEYQVIFEAEVSGGRSGYIAIDDIQVLSYPC
+>Q15262_PF07679_201 <unknown description>
+LGDVEVNAGQNATFQCIATGRDAVHNKLWLQRRNGEDIPVAQTKNINHRRFAASFRLQEV
+TKTDQDLYRCVTQSERGS
+>Q15262_PF00041_296 <unknown description>
+APPQLLGVGPTYLLIQLNANSIIGDGPIILKEVEYRMTSGSWTETHAVNAPTYKLWHLDP
+DTEYEIRVLLTRPGEG
+>Q15262_PF00041_504 <unknown description>
+ENKIFLNWKEPLDPNGIITQYEISYSSIRSFDPAVPVAGPPQTVSNLWNSTHHVFMHLHP
+GTTYQFFIRASTVKGFGPA
+>Q15262_PF00102_911 <unknown description>
+NRAKNRYGNIIAYDHSRVILQPVEDDPSSDYINANYIDGYQRPSHYIATQGPVHETVYDF
+WRMIWQEQSACIVMVTNLVEVGRVKCYKYWPDDTEVYGDFKVTCVEMEPLAEYVVRTFTL
+ERRGYNEIREVKQFHFTGWPDHGVPYHATGLLSFIRRVKLSNPPSAGPIVVHCSAGAGRT
+GCYIVIDIMLDMAEREGVVDIYNCVKALRSRRINMVQTEEQYIFIHDAILE
+>Q15262_PF00102_1201 <unknown description>
+NHDKNRFMDMLPPDRCLPFLITIDGESSNYINAALMDSYRQPAAFIVTQYPLPNTVKDFW
+RLVYDYGCTSIVMLNEVDLSQGCPQYWPEEGMLRYGPIQVECMSCSMDCDVINRIFRICN
+LTRPQEGYLMVQQFQYLGWASHREVPGSKRSFLKLILQVEKWQEECEEGEGRTIIHCLNG
+GGRSGMFCAIGIVVEMVKRQNVVDVFHAVKTLRNSKPNMVEAPEQYRFCYDVALE
+>P28827_PF00629_27 <unknown description>
+CLFDEPYSTCGYSQSEGDDFNWEQVNTLTKPTSDPWMPSGSFMLVNASGRPEGQRAHLLL
+PQLKENDTHCIDFHYFVSSKSNSPPGLLNVYVKVNNGPLGNPIWNISGDPTRTWNRAELA
+ISTFWPNFYQVIFEVITSGHQGYLAIDEVKVLGHPCT
+>P28827_PF00047_192 <unknown description>
+QNVEVNAGQFATFQCSAIGRTVAGDRLWLQGIDVRDAPLKEIKVTSSRRFIASFNVVNTT
+KRDAGKYRCMIRTEGGV
+>P28827_PF00041_287 <unknown description>
+PPQLASVGATYLWIQLNANSINGDGPIVAREVEYCTASGSWNDRQPVDSTSYKIGHLDPD
+TEYEISVLLTRPGEG
+>P28827_PF00041_498 <unknown description>
+KIFLQWREPTQTYGVITLYEITYKAVSSFDPEIDLSNQSGRVSKLGNETHFLFFGLYPGT
+TYSFTIRASTAKGFGPP
+>P28827_PF00102_936 <unknown description>
+NRMKNRYGNIIAYDHSRVRLQTIEGDTNSDYINGNYIDGYHRPNHYIATQGPMQETIYDF
+WRMVWHENTASIIMVTNLVEVGRVKCCKYWPDDTEIYKDIKVTLIETELLAEYVIRTFAV
+EKRGVHEIREIRQFHFTGWPDHGVPYHATGLLGFVRQVKSKSPPSAGPLVVHCSAGAGRT
+GCFIVIDIMLDMAEREGVVDIYNCVRELRSRRVNMVQTEEQYVFIHDAILE
+>P28827_PF00102_1226 <unknown description>
+NHEKNRCMDILPPDRCLPFLITIDGESSNYINAALMDSYKQPSAFIVTQHPLPNTVKDFW
+RLVLDYHCTSVVMLNDVDPAQLCPQYWPENGVHRHGPIQVEFVSADLEEDIISRIFRIYN
+AARPQDGYRMVQQFQFLGWPMYRDTPVSKRSFLKLIRQVDKWQEEYNGGEGRTVVHCLNG
+GGRSGTFCAISIVCEMLRHQRTVDVFHAVKTLRNNKPNMVDLLDQYKFCYEVALE
+>Q16849_PF14948_60 <unknown description>
+GQCQVGVGQARPLLQVTSPVLQRLQGVLRQLMSQGLSWHDDLTQYVISQEMERIPRLRPP
+EPRPRDRSGLAPKRPGPAGELLLQDIPTGSAPAAQHRLPQP
+>Q16849_PF11548_469 <unknown description>
+EEYGYIVTDQKPLSLAAGVKLLEILAEHVHMSSGSFINISVVGPALTFRIRHNEQNLSLA
+DVTQQAGLVKSELEAQTGLQILQTGVGQR
+>Q16849_PF00102_734 <unknown description>
+NIKKNRHPDFLPYDHARIKLKVESSPSRSDYINASPIIEHDPRMPAYIATQGPLSHTIAD
+FWQMVWESGCTVIVMLTPLVEDGVKQCDRYWPDEGASLYHVYEVNLVSEHIWCEDFLVRS
+FYLKNVQTQETRTLTQFHFLSWPAEGTPASTRPLLDFRRKVNKCYRGRSCPIIVHCSDGA
+GRTGTYILIDMVLNRMAKGVKEIDIAATLEHVRDQRPGLVRSKDQFEFALTAVA
+>Q16827_PF00041_435 <unknown description>
+KPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSLTCQKQKESQRLEKQYCTQVNSSKP
+IIENLVPGAQYQVVIYLRKGPLIGPPS
+>Q16827_PF00041_725 <unknown description>
+PPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQVGSSQKTKLQEPVAVSSHVVTISSLL
+PATAYNCSVTSFSHD
+>Q16827_PF00102_962 <unknown description>
+NRCKNRYTNILPYDFSRVRLVSMNEEEGADYINANYIPGYNSPQEYIATQGPLPETRNDF
+WKMVLQQKSQIIVMLTQCNEKRRVKCDHYWPFTEEPIAYGDITVEMISEEEQDDWACRHF
+RINYADEMQDVMHFNYTAWPDHGVPTANAAESILQFVHMVRQQATKSKGPMIIHCSAGVG
+RTGTFIALDRLLQHIRDHEFVDILGLVSEMRSYRMSMVQTEEQYIFIHQCV
+>Q15256_PF00102_417 <unknown description>
+TKNRYKTILPNPLSRVCLRPKNVTDSLSTYINANYIRGYSGKEKAFIATQGPMINTVDDF
+WQMVWQEDSPVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVISVNECDNYTIRNLVLK
+QGSHTQHVKHYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASQGRGPVVVHCSAGIGRTG
+CFIATSIGCQQLKEEGVVDALSIVCQLRMDRGGMVQTSEQYEFVHHAL
+>Q13332_PF07679_33 <unknown description>
+PRFIKEPKDQIGVSGGVASFVCQATGDPKPRVTWNKKGKKVNSQRFETIEFDESAGAVLR
+IQPLRTPRDENVYECVAQNSVGEITVHAKLTV
+>Q13332_PF13927_135 <unknown description>
+PNIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDPSASNGRIKQLRSETFES
+TPIRGALQIESSEETDQGKYECVATN
+>Q13332_PF07679_245 <unknown description>
+PRFSILPMSHEIMPGGNVNITCVAVGSPMPYVKWMQGAEDLTPEDDMPVGRNVLELTDVK
+DSANYTCVAMSSLGVIEAVAQITV
+>Q13332_PF00041_334 <unknown description>
+APGTPMVTENTATSITITWDSGNPDPVSYYVIEYKSKSQDGPYQIKEDITTTRYSIGGLS
+PNSEYEIWVSAVNSIGQGPPS
+>Q13332_PF00041_428 <unknown description>
+SAPRNVQARMLSATTMIVQWEEPVEPNGLIRGYRVYYTMEPEHPVGNWQKHNVDDSLLTT
+VGSLLEDETYTVRVLAFTSVGDGPLS
+>Q13332_PF00041_527 <unknown description>
+QPMNLRAEARSETSITLSWSPPRQESIIKYELLFREGDHGREVGRTFDPTTSYVVEDLKP
+NTEYAFRLAARSPQGLGA
+>Q13332_PF00041_621 <unknown description>
+PPQDVKCVSVRSTAILVSWRPPPPETHNGALVGYSVRYRPLGSEDPEPKEVNGIPPTTTQ
+ILLEALEKWTQYRITTVAHTEVGPGPES
+>Q13332_PF00041_723 <unknown description>
+PPRKVEAEALNATAIRVLWRSPAPGRQHGQIRGYQVHYVRMEGAEARGPPRIKDVMLADA
+QWETDDTAEYEMVITNLQPETAYSITVAAYTMKGDGARS
+>Q13332_PF00041_838 <unknown description>
+LSVQQTPEGSLLARWEPPAGTAEDQVLGYRLQFGREDSTPLATLEFPPSEDRYTASGVHK
+GATYVFRLAARSRGGLGEE
+>Q13332_PF00041_932 <unknown description>
+PQILEAAGNASAGTVLLRWLPPVPAERNGAIVKYTVAVREAGALGPARETELPAAAEPGA
+ENALTLQGLKPDTAYDLQVRAHTRRGPGPFS
+>Q13332_PF00102_1416 <unknown description>
+NKPKNRYANVIAYDHSRVILQPIEGIMGSDYINANYVDGYRCQNAYIATQGPLPETFGDF
+WRMVWEQRSATIVMMTRLEEKSRIKCDQYWPNRGTETYGFIQVTLLDTIELATFCVRTFS
+LHKNGSSEKREVRQFQFTAWPDHGVPEYPTPFLAFLRRVKTCNPPDAGPIVVHCSAGVGR
+TGCFIVIDAMLERIKPEKTVDVYGHVTLMRSQRNYMVQTEDQYSFIHEALLE
+>Q13332_PF00102_1705 <unknown description>
+NKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDF
+WRMLWENNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFK
+VTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGV
+GRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDEYQFCYQAALE
+>O14522_PF00629_32 <unknown description>
+CSFDEHYSNCGYSVALGTNGFTWEQINTWEKPMLDQAVPTGSFMMVNSSGRASGQKAHLL
+LPTLKENDTHCIDFHYYFSSRDRSSPGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAEL
+AISTFWPHFYQVIFESVSLKGHPGYIAVDEVRVLAHPCR
+>O14522_PF00041_295 <unknown description>
+PELLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDV
+EYEIRVLLTRPGEGGTGP
+>O14522_PF00041_501 <unknown description>
+KIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLSSQRGKVFKLRNETHHLFVGLYPGT
+TYSFTIKASTAKGFGPP
+>O14522_PF00102_912 <unknown description>
+NRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRHYIATQGPMQETVKDF
+WRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEVYGDIKVTLIETEPLAEYVIRTFTV
+QKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVRQVKFLNPPEAGPIVVHCSAGAGRT
+GCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLVQTEEQYVFVHDAILE
+>O14522_PF00102_1202 <unknown description>
+NHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFVVTQHPLPNTVADFW
+RLVFDYNCSSVVMLNEMDTAQFCMQYWPEKTSGCYGPIQVEFVSADIDEDIIHRIFRICN
+MARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQYDGREGRTVVHCLNG
+GGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQYKFVYEVALE
+>Q92729_PF00629_27 <unknown description>
+CTFEEASDPAVPCEYSQAQYDDFQWEQVRIHPGTRAPADLPHGSYLMVNTSQHAPGQRAH
+VIFQSLSENDTHCVQFSYFLYSRDGHSPGTLGVYVRVNGGPLGSAVWNMTGSHGRQWHQA
+ELAVSTFWPNEYQVLFEALISPDRRGYMGLDDILLLSYPCA
+>Q92729_PF00041_291 <unknown description>
+PPQLLRAGPTYLIIQLNTNSIIGDGPIVRKEIEYRMARGPWAEVHAVSLQTYKLWHLDPD
+TEYEISVLLTRPGDG
+>Q92729_PF00041_494 <unknown description>
+LTFTPLEDMIFLKWEEPQEPNGLITQYEISYQSIESSDPAVNVPGPRRTISKLRNETYHV
+FSNLHPGTTYLFSVRARTGKGFGQ
+>Q92729_PF00102_907 <unknown description>
+SRQEPMPAYDRHRVKLHPMLGDPNADYINANYIDGYHRSNHFIATQGPKPEMVYDFWRMV
+WQEHCSSIVMITKLVEVGRVKCSRYWPEDSDTYGDIKIMLVKTETLAEYVVRTFALERRG
+YSARHEVRQFHFTAWPEHGVPYHATGLLAFIRRVKASTPPDAGPIVIHCSAGTGRTGCYI
+VLDVMLDMAECEGVVDIYNCVKTLCSRRVNMIQTEEQYIFIHDAILE
+>Q92729_PF00102_1193 <unknown description>
+NRDKNRSMDVLPPDRCLPFLISTDGDSNNYINAALTDSYTRSAAFIVTLHPLQSTTPDFW
+RLVYDYGCTSIVMLNQLNQSNSAWPCLQYWPEPGRQQYGLMEVEFMSGTADEDLVARVFR
+VQNISRLQEGHLLVRHFQFLRWSAYRDTPDSKKAFLHLLAEVDKWQAESGDGRTIVHCLN
+GGGRSGTFCACATVLEMIRCHNLVDVFFAAKTLRNYKPNMVETMDQYHFCYDVALE
+>P23471_PF00194_45 <unknown description>
+NQKNWGKKYPTCNSPKQSPINIDEDLTQVNVNLKKLKFQGWDKTSLENTFIHNTGKTVEI
+NLTNDYRVSGGVSEMVFKASKITFHWGKCNMSSDGSEHSLEGQKFPLEMQIYCFDADRFS
+SFEEAVKGKGKLRALSILFEVGTEENLDFKAIIDGVESVSRFGKQAALDPFILLNLLPNS
+TDKYYIYNGSLTSPPCTDTVDWIVFKDTVSISESQLAVFCEVLTMQQSGYVMLMDYLQNN
+FREQQYKFSRQVFSS
+>P23471_PF00041_313 <unknown description>
+SEPENVQADPENYTSLLVTWERPRVVYDTMIEKFAVLYQQLDGEDQTKHEFLTDGYQDLG
+AILNNLLPNMSYVLQIVAICTNGLYGKYS
+>P23471_PF00102_1750 <unknown description>
+NKHKNRYINIVAYDHSRVKLAQLAEKDGKLTDYINANYVDGYNRPKAYIAAQGPLKSTAE
+DFWRMIWEHNVEVIVMITNLVEKGRRKCDQYWPADGSEEYGNFLVTQKSVQVLAYYTVRN
+FTLRNTKIKKGSQKGRPSGRVVTQYHYTQWPDMGVPEYSLPVLTFVRKAAYAKRHAVGPV
+VVHCSAGVGRTGTYIVLDSMLQQIQHEGTVNIFGFLKHIRSQRNYLVQTEEQYVFIHDTL
+V
+>P23471_PF00102_2048 <unknown description>
+NREKNRTSSIIPVERSRVGISSLSGEGTDYINASYIMGYYQSNEFIITQHPLLHTIKDFW
+RMIWDHNAQLVVMIPDGQNMAEDEFVYWPNKDEPINCESFKVTLMAEEHKCLSNEEKLII
+QDFILEATQDDYVLEVRHFQCPKWPNPDSPISKTFELISVIKEEAANRDGPMIVHDEHGG
+VTAGTFCALTTLMHQLEKENSVDVYQVAKMINLMRPGVFADIEQYQFLYKVIL
+>Q03393_PF01242_13 <unknown description>
+QVSRRISFSASHRLYSKFLSDEENLKLFGKCNNPNGHGHNYKVVVTVHGEIDPATGMVMN
+LADLKKYMEEAIMQPLDHKNLDMDVPYFADVVSTTENVAVYIWDNLQKVLPVGVLYKVKV
+YETDNNIVVYKGE
+>Q6GMV3_PF01981_25 <unknown description>
+LVQYLVLRKDLSQAPFSWPAGALVAQACHAATAALHTHRDHPHTAAYLQELGRMRKVVLE
+APDETTLKELAETLQQKNIDHMLWLEQPENIATCIALRPYPKEEVGQYLKKFRLF
+>P48651_PF03034_96 <unknown description>
+PHPALWRMVFGLSVLYFLFLVFLLFLNFEQVKSLMYWLDPNLRYATREADVMEYAVNCHV
+ITWERIISHFDIFAFGHFWGWAMKALLIRSYGLCWTISITWELTELFFMHLLPNFAECWW
+DQVILDILLCNGGGIWLGMVVCRFLEMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYV
+RWFDPKSSFQRVAGVYLFMIIWQLTELNTFFLKHIFVFQASHPLSWGRILFIGGITAPTV
+RQYYAYLTDTQCKRVGTQCWVFGVIGFLEAIVCIKF
+>Q9BVG9_PF03034_120 <unknown description>
+PHPAYWRFWLCVSVVYELFLIFILFQTVQDGRQFLKYVDPKLGVPLPERDYGGNCLIYDP
+DNETDPFHNIWDKLDGFVPAHFLGWYLKTLMIRDWWMCMIISVMFEFLEYSLEHQLPNFS
+ECWWDHWIMDVLVCNGLGIYCGMKTLEWLSLKTYKWQGLWNIPTYKGKMKRIAFQFTPYS
+WVRFEWKPASSLRRWLAVCGIILVFLLAELNTFYLKFVLWMPPEHYLVLLRLVFFVNVGG
+VAMREIYDFMDDPKPHKKLGPQAWLVAAITATELLIVVKY
+>O95997_PF04856_1 <unknown description>
+MATLIYVDKENGEPGTRVVAKDGLKLGSGPSIKALDGRSQVSTPRFGKTFDAPPALPKAT
+RKALGTVNRATEKSVKTKGPLKQKQPSFSAKKMTEKTVKAKSSVPASDDAYPEIEKFFPF
+NPLDFESFDLPEEHQIAHLPLSGVPLMILDEERELEKLFQLGPPSPVKMPSPPWESN
+>Q9NZH5_PF04856_1 <unknown description>
+MATLIYVDKEIGEPGTRVAAKDVLKLESRPSIKALDGISQVLTRRFGKTYDAPSALPKAT
+RKALGTVNRATEKSVKTNGPRKQKQPSFSAKKMTEKTVKTKSSVPASDDAYPEIEKFFPF
+NLLDFESFDLPEERQIAHLPLSGVPLMILDEEGELEKLFQLGPPSPVKMPSPPWECN
+>P26022_PF00354_183 <unknown description>
+ILFPMRSKKIFGSVHPVRPMRLESFSACIWVKATDVLNKTILFSYGTKRNPYEIQLYLSY
+QSIVFVVGGEENKLVAEAMVSLGRWTHLCGTWNSEEGLTSLWVNGELAATTVEMATGHIV
+PEGGILQIGQEKNGCCVGGGFDETLAFSGRLTGFNIWDSVLSNEEIRETGGAESCHIRGN
+IVGWGVTEIQPHG
+>Q96A99_PF00354_273 <unknown description>
+LVFPNASTRNVVFLSPGFVTALRALSFCSWVRTASGRLGTLLSYATEDNDNKLVLHGRDS
+LLPGSIHFVIGDPAFRELPLQLLLDGQWHHICVIWTSTQGRYWLHVDRRLVATGSRFREG
+YEIPPGGSLVLGQEQDSVGGGFDSSEAFVGSMSGLAIWDRALVPGEVANLAIGKEFP
+>Q9UHX1_PF00076_131 <unknown description>
+VYVGSIYYELGEDTIRQAFAPFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQM
+NSVMLGGRNIK
+>Q9UHX1_PF00076_228 <unknown description>
+IYVASVHQDLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGYGFIEYEKAQSSQDAVSSM
+NLFDLGGQYL
+>Q14671_PF00806_852 <unknown description>
+HIMEFSQDQHGSRFIQLKLERATPAERQLVFNEIL
+>Q14671_PF00806_890 <unknown description>
+YQLMVDVFGNYVIQKFFEFGSLEQKLALAE
+>Q14671_PF00806_924 <unknown description>
+HVLSLALQMYGCRVIQKALEFIPSDQQ
+>Q14671_PF00806_962 <unknown description>
+HVLKCVKDQNGNHVVQKCIECVQPQSLQFIID
+>Q14671_PF00806_998 <unknown description>
+QVFALSTHPYGCRVIQRILEHCLPDQTLPILEELH
+>Q14671_PF00806_1037 <unknown description>
+QLVQDQYGNYVIQHVLEHGRPEDKSKIVAEI
+>Q14671_PF00806_1070 <unknown description>
+NVLVLSQHKFASNVVEKCVTHASRTERAVLIDEVC
+>Q14671_PF00806_1115 <unknown description>
+YTMMKDQYANYVVQKMIDVAEPGQRKIVMHKI
+>Q8TB72_PF00806_730 <unknown description>
+HIVEFSQDQHGSRFIQQKLERATPAERQMVFNEIL
+>Q8TB72_PF00806_768 <unknown description>
+YQLMTDVFGNYVIQKFFEFGSLDQKLAL
+>Q8TB72_PF00806_802 <unknown description>
+HVLPLALQMYGCRVIQKALESISSDQQSEMVKEL
+>Q8TB72_PF00806_838 <unknown description>
+HVLKCVKDQNGNHVVQKCIECVQPQSLQFIID
+>Q8TB72_PF00806_874 <unknown description>
+QVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELH
+>Q8TB72_PF00806_913 <unknown description>
+QLVQDQYGNYVIQHVLEHGRPEDKSKIVSEI
+>Q8TB72_PF00806_947 <unknown description>
+VLALSQHKFASNVVEKCVTHASRAERALLIDEVC
+>Q8TB72_PF00806_991 <unknown description>
+YTMMKDQYANYVVQKMIDMAEPAQRKIIMHKI
+>Q15397_PF08144_434 <unknown description>
+NDKYGRKVLLYLLSPRDPAHTVREIIEVLQKGDGNAHSKKDTEVRRRELLESISPALLSY
+LQEHAQEVVLDKSACVLVSDILGSATGDVQPTMNAIASLAATGLHPGGKDGELHIAEHPA
+GHLVLKWLIEQDKKMKENGR
+>Q06203_PF13522_85 <unknown description>
+SNLGIGHTRYATTGKCELENCQPFVVETLHGKIAVAHNGELVNAARLRKKLLRHGIGLST
+SSDSEMITQLLAYTPPQEQDDTPDWVARIKNLMKEAPTAYSLLIMHRDVIYAVRDPYGNR
+PLCIG
+>Q06203_PF00156_375 <unknown description>
+VLSDNFKGKRIVLVDDSIVRGNTISPIIKLLKESGAKEVHIRV
+>P22102_PF02844_4 <unknown description>
+RVLIIGSGGREHTLAWKLAQSHHVKQVLVAPGNAGTACSEKISNTAISISDHTALAQFCK
+EKKIEFVVVGPEAPLAAGIVGNLRSAGVQCFGPTAEAAQLE
+>P22102_PF01071_105 <unknown description>
+SSKRFAKEFMDRHGIPTAQWKAFTKPEEACSFILSADFPALVVKASGLAAGKGVIVAKSK
+EEACKAVQEIMQEKAFGAAGETIVIEELLDGEEVSCLCFTDGKTVAPMPPAQDHKRLLEG
+DGGPNTGGMGAYCPAPQVSNDLLLKIKDTVLQRTVDGMQQEGTPYTGILYAGIMLTKNGP
+KVLEFNCRFGDPEC
+>P22102_PF02843_334 <unknown description>
+ALTVVMASKGYPGDYTKGVEITGFPEAQALGLEVFHAGTALKNGKVVTHGGRVLAVTAIR
+ENLISALEEAKKGLAAIKFEGAIYRKDVGFR
+>P22102_PF00586_489 <unknown description>
+LASGTDGVGTKLKIAQLCNKHDTIGQDLVAMCVNDILAQGAEPLFFLDYFSCGKLDLSVT
+EAVVAGIAKACGKAGCALLGGETAEMPDMYPPGEYDLAGFAVGA
+>P22102_PF02769_607 <unknown description>
+EGDVVVGIASSGLHSNGFSLVRKIVAKSSLQYSSPAPDGCGDQTLGDLLLTPTRIYSHSL
+LPVLRSGHVKAFAHITGGGLLENIPRVLPEKLGVDLDAQTWRIPRVFSWLQQEGHLSEEE
+MARTFNCGVGAVLVVSKEQTEQILRDIQQHKEEAWVIGSVVARAEGSPRV
+>P22102_PF00551_809 <unknown description>
+RVAVLISGTGSNLQALIDSTREPNSSAQIDIVISNKAAVAGLDKAERAGIPTRVINHKLY
+KNRVEFDSAIDLVLEEFSIDIVCLAGFMRILSGPFVQKWNGKMLNIHPSLLPSFKGSNAH
+EQALETGVTVTGCTVHFVAEDVDAGQIILQEAVPVKRGDTVATLSERVKLAEHKIFPAAL
+>O15067_PF18076_83 <unknown description>
+SNDLLLEVGPRLNFSTPTSTNIVSVCRATGLGPVDRVETTRRYRLSFAHPPSAEVEAIAL
+ATLHDRMTEQHFP
+>O15067_PF18072_184 <unknown description>
+LEKANQELGLALDSWDLDFYTKRFQELQRNPSTVEAFDLAQSNSEHSRH
+>O15067_PF02769_444 <unknown description>
+EPGMEVVKVGGPVYRIGVGGGAASSVQVQGDNTSDLDFGAVQRGDPEMEQKMNRVIRACV
+EAPKGNPICSLHDQGAGGNGNVLKELSDPAGAIIYTSRFQLGDPTLNALEIWGAEYQESN
+ALLLRSPNRDFLTHVSARERCPACFVGTITGDRRIVL
+>O15067_PF02769_879 <unknown description>
+PPDLDLPENLVRAFSITQGLLKDRLLCSGHDVSDGGLVTCLLEMAFAGNCGLQVDVPVPR
+VDVLSVLFAEEPGLVLEVQEPDLAQVLKRYRDAGLHCLELGHTGEA
+>O15067_PF13507_1063 <unknown description>
+PRVAILREEGSNGDREMADAFHLAGFEVWDVTMQDLCSGAIGLDTFRGVAFVGGFSYADV
+LGSAKGWAAAVTFHPRAGAELRRFRKRPDTFSLGVCNGCQLLALLGWVGGDPNEDAAEMG
+PDSQPARPGLLLRHNLSGRYESRWASVRVGPGPALMLRGMEGAVLPVWSAHGEGYVAFSS
+PELQAQIEARGLAPLHWADDDGNPTEQYPLNPNGSPGGVAGICSCDGRHLAVMPHPERAV
+RPWQWAWRPPPFDTLTTSPWLQLFINARNW
+>P22234_PF01259_12 <unknown description>
+KLYEGKTKEVYELLDSPGKVLLQSKDQITAGNAARKNHLEGKAAISNKITSCIFQLLQEA
+GIKTAFTRKCGETAFIAPQCEMIPIEWVCRRIATGSFLKRNPGVKEGYKFYPPKVELFFK
+DDANNDPQWSEEQLIAAKFCFAGLLIGQTEVDIMSHATQAIFEILEKSWLPQNCTLVDMK
+IEFGVDVTTKEIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKE
+>P22234_PF00731_267 <unknown description>
+RVVVLMGSTSDLGHCEKIKKACGNFGIPCELRVTSAHKGPDETLRIKAEYEGDGIPTVFV
+AVAGRSNGLGPVMSGNTAYPVISCPPLTPDWGVQDVWSSLRLPSGLGCSTVLSPEGSAQF
+AAQIFGLSNHLVWSKLRA
+>P30566_PF00206_98 <unknown description>
+CCPKAAGIIHLGATSCYVGDNTDLIILRNALDLLLPKLARVISRLADFAKERASLPTLGF
+THFQPAQLTTVGKRCCLWIQDLCMDLQNLKRVRDDLRFRGVKGTTGTQASFLQLFEGDDH
+KVEQLDKMVTEKAGFKRAFIITGQTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKE
+MEEPFEKQQIGSSAMPYKRNPMRSERCCSLA
+>P30566_PF10397_378 <unknown description>
+PFMATENIIMAMVKAGGSRQDCHEKIRVLSQQAASVVKQEGGDNDLIERIQVDAYFSPIH
+SQLDHLLDPSSFTGRASQQVQRF
+>P31939_PF02142_16 <unknown description>
+GLVEFARNLTALGLNLVASGGTAKALRDAGLAVRDVSELTGFPEMLGGRVKTLHPAVHAG
+ILARNIPEDNADMARLDFNLIRVVACNLYPFVKTVASPGVTVEEAVEQIDIGGVT
+>P31939_PF01808_135 <unknown description>
+AAKNHARVTVVCEPEDYVVVSTEMQSSESKDTSLETRRQLALKAFTHTAQYDEAISDYFR
+KQYSKGVSQMPLRYGMNPHQTPAQLYTLQPKLPITVLNGAPGFINLCDALNAWQLVKELK
+EALGIPAAASFKHVSPAGAAVGIPLSEDEAKVCMVYDLYKTLTPISAAYARARGADRMSS
+FGDFVALSDVCDVPTAKIISREVSDGIIAPGYEEEALTILSKKKNGNYCVLQMDQSYKPD
+ENEVRTLFGLHLSQKRNNGVVDKSLFSNVVTKNKDLPESALRDLIVATIAVKYTQSNSVC
+YAKNGQVIGIGAGQQSRIHCTRLAGDK
+>Q8N142_PF00709_35 <unknown description>
+VVLGAQWGDEGKGKVVDLLATDADIISRCQGGNNAGHTVVVDGKEYDFHLLPSGIINTKA
+VSFIGNGVVIHLPGLFEEAEKNEKKGLKDWEKRLIISDRAHLVFDFHQAVDGLQEVQRQA
+QEGKNIGTTKKGIGPTYSSKAARTGLRICDLLSDFDEFSSRFKNLAHQHQSMFPTLEIDI
+EGQLKRLKGFAERIRPMVRDGVYFMYEALHGPPKKILVEGANAALLDIDFGTYPFVTSSN
+CTVGGVCTGLGIPPQNIGDVYGVVKAYTTRVGIGAFPTEQINEIGGLLQTRGHEWGVTTG
+RKRRCGWLDLMILRYAHMVNGFTALALTKLDILDVLGEVKVGVSYKLNGKRIPYFPANQE
+MLQKVEVEYETLPGWKADTTGARRWEDLPPQAQNYIRFVENHVGVAVKWVGVGKSRESMI
+>P30520_PF00709_32 <unknown description>
+VVLGAQWGDEGKGKVVDLLAQDADIVCRCQGGNNAGHTVVVDSVEYDFHLLPSGIINPNV
+TAFIGNGVVIHLPGLFEEAEKNVQKGKGLEGWEKRLIISDRAHIVFDFHQAADGIQEQQR
+QEQAGKNLGTTKKGIGPVYSSKAARSGLRMCDLVSDFDGFSERFKVLANQYKSIYPTLEI
+DIEGELQKLKGYMEKIKPMVRDGVYFLYEALHGPPKKILVEGANAALLDIDFGTYPFVTS
+SNCTVGGVCTGLGMPPQNVGEVYGVVKAYTTRVGIGAFPTEQDNEIGELLQTRGREFGVT
+TGRKRRCGWLDLVLLKYAHMINGFTALALTKLDILDMFTEIKVGVAYKLDGEIIPHIPAN
+QEVLNKVEVQYKTLPGWNTDISNARAFKELPVNAQNYVRFIEDELQIPVKWIGVGKSRES
+MI
+>Q00577_PF04845_58 <unknown description>
+TQELASKRVDIQNKRFYLDVKQNAKGRFLKIAEVGAGGNKSRLTLSMSVAVEFRDYLGDF
+IEHYAQLGPSQPPDLAQAQDEPRRALKSEFLVRENRKYYMDLKENQRGRFLRIRQTVNRG
+PGLGSTQGQTIALPAQGLIEFRDALAKLIDDYGVEEEPAELPEGTSLTVDNKRFFFDVGS
+NKYGVFMRVSEVKPTYRNSITVPYKVWAKFGHTFCKYSEEM
+>Q96QR8_PF04845_31 <unknown description>
+TQELASKRLDIQNKRFYLDVKQNAKGRFLKIAEVGAGGSKSRLTLSMAVAAEFRDSLGDF
+IEHYAQLGPSSPEQLAAGAEEGGGPRRALKSEFLVRENRKYYLDLKENQRGRFLRIRQTV
+NRGGGGFGAGPGPGGLQSGQTIALPAQGLIEFRDALAKLIDDYGGEDDELAGGPGGGAGG
+PGGGLYGELPEGTSITVDSKRFFFDVGCNKYGVFLRVSEVKPSYRNAITVPFKAWGKFGG
+AFCRYADEM
+>Q9UJV8_PF04845_57 <unknown description>
+IQELASKRVDIQKKRFYLDVKQSSRGRFLKIAEVWIGRGRQDNIRKSKLTLSLSVAAELK
+DCLGDFIEHYAHLGLKGHRQEHGHSKEQGSRRRQKHSAPSPPVSVGSEEHPHSVLKTDYI
+ERDNRKYYLDLKENQRGRFLRIRQTMMRGTGMIGYFGHSLGQEQTIVLPAQGMIEFRDAL
+VQLIEDYGEGDIEERRGGDDDPLELPEGTSFRVDNKRFYFDVGSNKYGIFLKVSEVRPPY
+RNTITVPFKAWTRFGENFIKYEEEM
+>Q9Y606_PF01416_239 <unknown description>
+YKGTHNFHNFTSQKGPQDPSACRYILEMYCEEPFVREGLEFAVIRVKGQSFMMHQIRKMV
+GLVVAIVKGYAPESVLERSWGTEKVDVPKAPGLGLVLERVHF
+>Q9BZE2_PF01416_212 <unknown description>
+AAQKYVGTHDFRNLCKMDVANGVINFQRTILSAQVQLVGQSPGEGRWQEPFQLCQFEVTG
+QAFLYHQVRCMMAILFLIGQGMEKPEIIDELLNIEKNPQKPQYSMAVEFPLVLYDCKF
+>Q9H0K6_PF01142_296 <unknown description>
+QEGKVIYTAFTLRKENLEMFEAIGFLAIKLGVIPSDFSYAGLKDKKAITYQAMVVRKVTP
+ERLKNIEKEIEKKRMNVFNIRSVDDSLRLGQLKGNHFDIVIRNLKKQINDSANLRERIME
+AIENVKKKGFVNYYGPQRFGKGRKVHTDQIGLALLKNEMMKAIKLFLTPEDLDDPVNRAK
+KYFLQTEDAKGTLSLMPEFKVRERALLEALHRFGMTEEGCIQAWFSLPHSMRIFYVHAYT
+SKIWNEAVSYRLETYGARVVQGDLVCLDEDIDDENFPNSKIHLVTEEEGSANMYAIHQVV
+LPVLGYNIQYPKNKVGQWYHDILSRDGLQTCRFKVPTLKLNIPGCYRQILKHPCNLSYQL
+MEDHDIDVKTKGSHIDETALSLLISFDLDASCYATVCLKEI
+>Q96PZ0_PF01142_252 <unknown description>
+KSRGSYCHFVLYKENKDTMDAINVLSKYLRVKPNIFSYMGTKDKRAITVQEIAVLKITAQ
+RLAHLNKCLMNFKLGNFSYQKNPLKLGELQGNHFTVVLRNITGTDDQVQQAMNSLKEIGF
+INYYGMQRFGTTAVPTYQVGRAILQNSWTEVMDLILKPRSGAEKGYLVKCREEWAKTKDP
+TAALRKLPVKRCVEGQLLRGLSKYGMKNIVSAFGIIPRNNRLMYIHSYQSYVWNNMVSKR
+IEDYGLKPVPGDLVLKGATATYIEEDDVNNYSIHDVVMPLPGFDVIYPKHKIQEAYREML
+TADNLDIDNMRHKIRDYSLSGAYRKIIIRPQNVSWEVVAYDDPKIPLFNTDVDNLEGKTP
+PVFASEGKYRALKMDFSLPPSTYATMAIREV
+>Q8N0Z8_PF01416_19 <unknown description>
+QYVGTDFNGVAAVRGTQRAVGVQNYLEEAAERLNSVEPVRFTISSRTDAGVHALSNAAHL
+DVQRRSGRPPFPPEVLAEALNTHLRHPAIRVLRAFRVPSDFHA
+>Q8N0Z8_PF01416_168 <unknown description>
+AAQHLLGTHDFSAFQSAGSPVPSPVRTLRRVSVSPGQASPLVTPEESRKLRFWNLEFESQ
+SFLYRQVRRMTAVLVAVGLGALAPAQVKTILESQDPLGKHQTRVAPAHGLFLKSVLY
+>A0A1B0GWK0_PF13499_58 <unknown description>
+DAIHTAFQSLDKDKSGFIEWNEIKYILSIIPSSGPTTPLTDEEAEAMIQAADTHGDGRIN
+YEEFSELI
+>Q13610_PF00400_252 <unknown description>
+AEGHTDAVLDLSWNKLIRNVLASASADNTVILWD
+>Q13610_PF00400_376 <unknown description>
+KPIFTLNAHNDEISGLDLSSQIKGCLVTASADKYVKIWD
+>Q96N64_PF00855_656 <unknown description>
+GDIVWAKIYGFPWWPARILTITVSRKDNGLLVRQEARISWFGSPTTSFLALSQLSPFLEN
+FQSRFNKKRKGLYRKAITEAAKAA
+>Q6NUJ5_PF00855_491 <unknown description>
+GDIVWGKIHGFPWWPARVLDISLGQKEDGEPSWREAKVSWFGSPTTSFLSISKLSPFSEF
+FKLRFNRKKKGMYRKAITEAANAA
+>Q15269_PF00400_370 <unknown description>
+QGHFNSMVALAYSPDGQYIVTGGDDGKVKVWN
+>Q15269_PF00400_407 <unknown description>
+CFVTFTEHSSGVTGVTFTATGYVVVTSSMDGTVRAF
+>Q15269_PF00400_492 <unknown description>
+RLLDVLSGHEGPISGLCFNPMKSVLASASWDKTVRLWD
+>Q15269_PF04003_770 <unknown description>
+NESKLVQEALEAVPRGEIEVVTSSLPELYVEKVLEFLASSFEVSRHLEFYLLWTHKLLML
+HGQKLKSRAGTLLPVIQFLQKSIQRHLDDLSKLCSWNHYNMQYAL
+>O75192_PF05648_1 <unknown description>
+MDAFTRFTNQTQGRDRLFRATQYTCMLLRYLLEPKAGKEKVVMKLKKLESSVSTGRKWFR
+LGNVVHAIQATEQSIHATDLVPRLCLTLANLNRVIYFICDTILWVRSVGLTSGINKEKWR
+TRAAHHYYYSLLLSLVRDLYEISLQMKRVTCDRAKKEKSASQDPLWFSVAEEETEWLQSF
+LLLLFRSLKQHPPLLLDTVKNLCDILNPLDQLGIYKSNPGIIGLGGLVSSIAGMITV
+>O96011_PF05648_1 <unknown description>
+MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLESHLSLGRKLLR
+LGNSADALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWA
+QRSFRYYLFSLIMNLSRDAYEIRLLMEQESSACSRRLKGSGGGVPGGSETGGLGGPGTPG
+GGLPQLALKLRLQVLLLARVLRGHPPLLLDVVRNACDLFIPLDKLGLWRCGPGIVGLCGL
+VSSILSILTL
+>Q96HA9_PF05648_7 <unknown description>
+LASALESYRGRDRLIRVLGYCCQLVGGVLVEQCPARSEVGTRLLVVSTQLSHCRTILRLF
+DDLAMFVYTKQYGLGAQEEDAFVRCVSVLGNLADQLYYPCEHVAWAADARVLHVDSSRWW
+TLSTTLWALSLLLGVARSLWMLLKLRQRLRSPTAPFTSPLPRGKRRAMEAQMQSEALSLL
+SNLADLANAVHWLPRGVLWAGRFPPWLVGLMGTISSILSMYQA
+>Q6UX71_PF01437_327 <unknown description>
+TCLQFNRCGPCVSSQIGFNCSWCSKLQRCSSGFDRHRQDWVDSGCP
+>A1KZ92_PF13855_52 <unknown description>
+TTVLDLRFNRIREIPGSAFKKLKNLNTLLLNNNHIRKISRNAFEGLENLLYLYLYKNEI
+>A1KZ92_PF13855_123 <unknown description>
+SLEHLYIHFNQLEMLQPETFGDLLRLERLFLHNNKLSKIPAGSFSNLDSLKRLRLDSNAL
+>A1KZ92_PF07679_234 <unknown description>
+PRITFEPQDVEVPSGNTVYFTCRAEGNPKPEIIWIHNNHSLDLEDDTRLNVFDDGTLMIR
+NTRESDQGVYQCMARNSAGEAKTQ
+>A1KZ92_PF13927_329 <unknown description>
+KPSFVIQPQDTEVLIGTSTTLECMATGHPHPLITWTRDNGLELDGSRHVATSSGLYLQNI
+TQRDHGRFTCHANN
+>A1KZ92_PF07679_419 <unknown description>
+PQFTVTPKDQVVLEEHAVEWLCEADGNPPPVIVWTKTGGQLPVEGQHTVLSSGTLRIDRA
+AQHDQGQYECQAVSSLGVKKVSVQLTV
+>A1KZ92_PF07679_512 <unknown description>
+VFTQLPQDTSVEVGKNINISCHAQGEPQPIITWNKEGVQITESGKFHVDDEGTLTIYDAG
+FPDQGRYECVARNSFGLAVTNMFLTV
+>A1KZ92_PF03098_727 <unknown description>
+YRAHDGTCNNLQQPTWGAALTAFARLLQPAYRDGIRAPRGLGLPVGSRQPLPPPRLVATV
+WARAAAVTPDHSYTRMLMHWGWFLEHDLDHTVPALSTARFSDGRPCSSVCTNDPPCFPMN
+TRHADPRGTHAPCMLFARSSPACASGRPSATVDSVYAREQINQQTAYIDGSNVYGSSERE
+SQALRDPSVPRGLLKTGFPWPPSGKPLLPFSTGPPTECARQEQESPCFLAGDHRANEHLA
+LAAMHTLWFREHNRMATELSALNPHWEGNTVYQEARKIVGAELQHITYSHWLPKVLGDPG
+TRMLRGYRGYNPNVNAGIINSFATAAFRFGHTLINPILYRLNATLGEISEGHLPFHKALF
+SPSRIIKEGGIDPVLRGLFGVAAKWRAPSYLLSPELTQRLFSAAYSAAVDSAATIIQRGR
+DHGIPPYVDFRVFCNLTSVKNFEDLQNEIKDSEIRQKLRKLYGSPGDIDLWPALMVEDLI
+PGTRVGPTLMCLFVTQFQRLRDGDRFWYENPGVFTPAQLTQLKQASLSRVLCDNGDSIQQ
+VQADVF
+>A1KZ92_PF00093_1398 <unknown description>
+VRGVPRKAEERWMKEDCTHCICESGQVTCVVEICPPAPCPSPELVKGTCCPVC
+>Q92626_PF13855_86 <unknown description>
+RNLNTLLLNNNQIKRIPSGAFEDLENLKYLYLYKNEIQSIDRQAFKGLASLEQLYLHFNQ
+I
+>Q92626_PF07679_246 <unknown description>
+PRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLMIQ
+NTQETDQGIYQCMAKNVAGEVKT
+>Q92626_PF07679_342 <unknown description>
+PTFVIQPQNTEVLVGESVTLECSATGHPPPRISWTRGDRTPLPVDPRVNITPSGGLYIQN
+VVQGDSGEYACSATNNIDSVHATAFIIV
+>Q92626_PF07679_433 <unknown description>
+PQFTVTPQDRVVIEGQTVDFQCEAKGNPPPVIAWTKGGSQLSVDRRHLVLSSGTLRISGV
+ALHDQGQYECQAVNIIGSQKVVAHLTV
+>Q92626_PF07679_525 <unknown description>
+PVFASIPSDTTVEVGANVQLPCSSQGEPEPAITWNKDGVQVTESGKFHISPEGFLTINDV
+GPADAGRYECVARNTIGSASVSMVLSV
+>Q92626_PF03098_741 <unknown description>
+YRTHDGTCNNLQHPMWGASLTAFERLLKSVYENGFNTPRGINPHRLYNGHALPMPRLVST
+TLIGTETVTPDEQFTHMLMQWGQFLDHDLDSTVVALSQARFSDGQHCSNVCSNDPPCFSV
+MIPPNDSRARSGARCMFFVRSSPVCGSGMTSLLMNSVYPREQINQLTSYIDASNVYGSTE
+HEARSIRDLASHRGLLRQGIVQRSGKPLLPFATGPPTECMRDENESPIPCFLAGDHRANE
+QLGLTSMHTLWFREHNRIATELLKLNPHWDGDTIYYETRKIVGAEIQHITYQHWLPKILG
+EVGMRTLGEYHGYDPGINAGIFNAFATAAFRFGHTLVNPLLYRLDENFQPIAQDHLPLHK
+AFFSPFRIVNEGGIDPLLRGLFGVAGKMRVPSQLLNTELTERLFSMAHTVALDLAAINIQ
+RGRDHGIPPYHDYRVYCNLSAAHTFEDLKNEIKNPEIREKLKRLYGSTLNIDLFPALVVE
+DLVPGSRLGPTLMCLLSTQFKRLRDGDRLWYENPGVFSPAQLTQIKQTSLARILCDNADN
+ITRVQSDVF
+>Q92626_PF00093_1418 <unknown description>
+AGGESHANNTKWKKDACTICECKDGQVTCFVEACPPATCAVPVNIPGACCPVC
+>Q7Z7A4_PF00787_46 <unknown description>
+VENSWQIVRRYSDFDLLNNSLQIAGLSLPLPPKKLIGNMDREFIAERQKGLQNYLNVITT
+NHILSNCELVKKFLDP
+>Q7Z7A4_PF02205_546 <unknown description>
+GMSRGALLSSIQNFQKGTLRKAKTCDHS
+>Q9BRX8_PF13911_95 <unknown description>
+LSSLKSMLDQLGVPLYAVVKEHIRTEVKDFQPYFKGEIFLDEKKKFYGPQRRKMMFMGFI
+RLGVWYNFFRAWNGGFSGNLEGEGFILGGVFVVGSGKQGILLEHREK
+>Q8TBF2_PF13911_54 <unknown description>
+LSSLAGLLDQHGVRLVGVGPEALGLQEFLDGDYFAGELYLDESKQLYKELGFKRYNSLSI
+LPAALGKPVRDVAAKAKAVGIQGNLSGDLLQSGGLLVVSKGGDKVLLHFVQ
+>Q7RTV5_PF13911_86 <unknown description>
+LQEANVTLIVIGQSSYHHIEPFCKLTGYSHEIYVDPEREIYKRLGMKRGEEIASSGQSPH
+IKSNLLSGSLQSLWRAVTGPLFDFQGDPAQQGGTLILGPGNNIHFIHRDRN
+>Q9NR77_PF04117_131 <unknown description>
+FLEGKDASAFAAKMRGGFWPALRMNWRVWTPLQFININYVPLKFRVLFANLAALFWYAYL
+>Q9Y6I8_PF02466_25 <unknown description>
+AALAVLKGFRNGAVYGAKIRAPHALVMTFLFRNGSLQEKLWAILQATYIHSWNLARFVFT
+YKGLRALQSYIQGKTYPAHAFLAAFLGGILVFGENNNINSQINMYLLS
+>Q8NFP0_PF15214_84 <unknown description>
+MQLRHIGDNIDHRMVREDLQQDGRDALDHFVFFFFRRVQVLLHFFWNNHLL
+>Q8TE99_PF00328_88 <unknown description>
+LVSVHVFIRHGDRYPLYVIPKTKRPEIDCTLVANRKPYHPKLEAFISHMSKGSGASFESP
+LNSLPLYPNHPLCEMGELTQTGVVQHLQNGQLLRDIYLKKHKLLPNDWSADQLYLETTGK
+SRTLQSGLALLYGFLPDFDWKKIYFRHQPSALFCSGSCYCPVRNQYLEKEQRRQYLLRLK
+NSQLEKTYGEMAKIVDVPTKQLRAANPIDSMLCHFCHNVSFPCTRNGCVDMEHFKVIKTH
+QIEDERERREKKLYFGYSLLGAHPILNQTIGRMQRATEGRKEELFALYSAHDVTLSPVLS
+ALGLSEARFPRFAARLIFELWQDREKPSEHSVRILYN
+>P11498_PF00289_37 <unknown description>
+IKKVMVANRGEIAIRVFRACTELGIRTVAIYSEQDTGQMHRQKADEAYLIGRGLAPVQAY
+LHIPDIIKVAKENNVDAVHPGYGFLSERADFAQACQDAGVRFIGPSPEV
+>P11498_PF02786_151 <unknown description>
+DKVEARAIAIAAGVPVVPGTDAPITSLHEAHEFSNTYGFPIIFKAAYGGGGRGMRVVHSY
+EELEENYTRAYSEALAAFGNGALFVEKFIEKPRHIEVQILGDQYGNILHLYERDCSIQRR
+HQKVVEIAPAAHLDPQLRTRLTSDSVKLAKQVGYENAGTVEFLVDRHGKHYFIEVNSRLQ
+VEHTVTEEITDVDLVHAQIHVAEGRSLP
+>P11498_PF02785_375 <unknown description>
+QCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVISPHYDSLLVKVIAHGK
+DHPTAATKMSRALAEFRVRGVKTNIAFLQNVLNNQQFLAGTVDTQFIDE
+>P11498_PF00682_565 <unknown description>
+LMDTTFRDAHQSLLATRVRTHDLKKIAPYVAHNFSKLFSMENWGGATFDVAMRFLYECPW
+RRLQELRELIPNIPFQMLLRGANAVGYTNYPDNVVFKFCEVAKENGMDVFRVFDSLNYLP
+NMLLGMEAAGSAGGVVEAAISYTGDVADPSRTKYSLQYYMGLAEELVRAGTHILCIKDMA
+GLLKPTACTMLVSSLRDRFPDLPLHIHTHDTSGAGVAAMLACAQAGADVVDVAADSMSGM
+TSQPSMGALVACTRGTPLDTEVPMERVFDYS
+>P11498_PF02436_861 <unknown description>
+VYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQMLGDLIKVTPSSKIVGDLAQF
+MVQNGLSRAEAEAQAEELSFPRSVVEFLQGYIGVPHGGFPEPFRSKVLKDLPRVEGRPGA
+SLPPLDLQALEKELVDRHGEEVTPEDVLSAAMYPDVFAHFKDFTATFGPLDSLNTRLFLQ
+GPKIAEEFEVELERGKTLHIK
+>P11498_PF00364_1111 <unknown description>
+QIGAPMPGKVIDIKVVAGAKVAKGQPLCVLSAMKMETVVTSPMEGTVRKVHVTKDMTLEG
+DDLILEI
+>Q8WXC3_PF02758_8 <unknown description>
+ILKVLENLTPEELKKFKMKLGTVPLREGFERIPRGALGQLDIVDLTDKLVASYYEDYAAE
+LVVAVLRDMRMLEE
+>Q56P42_PF02758_10 <unknown description>
+NLQALLEQLSQDELSKFKSLIRTISLGKELQTVPQTEVDKANGKQLVEIFTSHSCSYWAG
+MAAIQVFEKMNQTHLS
+>P11216_PF00343_114 <unknown description>
+YQLGLDLEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKIVN
+GWQVEEADDWLRYGNPWEKARPEYMLPVHFYGRVEHTPDGVKWLDTQVVLAMPYDTPVPG
+YKNNTVNTMRLWSAKAPNDFKLQDFNVGDYIEAVLDRNLAENISRVLYPNDNFFEGKELR
+LKQEYFVVAATLQDIIRRFKSSKFGCRDPVRTCFETFPDKVAIQLNDTHPALSIPELMRI
+LVDVEKVDWDKAWEITKKTCAYTNHTVLPEALERWPVSMFEKLLPRHLEIIYAINQRHLD
+HVAALFPGDVDRLRRMSVIEEGDCKRINMAHLCVIGSHAVNGVARIHSEIVKQSVFKDFY
+ELEPEKFQNKTNGITPRRWLLLCNPGLADTIVEKIGEEFLTDLSQLKKLLPLVSDEVFIR
+DVAKVKQENKLKFSAFLEKEYKVKINPSSMFDVHVKRIHEYKRQLLNCLHVVTLYNRIKR
+DPAKAFVPRTVMIGGKAAPGYHMAKLIIKLVTSIGDVVNHDPVVGDRLKVIFLENYRVSL
+AEKVIPAADLSQQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGAENLFIFG
+LRVEDVEALDRKGYNAREYYDHLPELKQAVDQISSGFFSPKEPDCFKDIVNMLMHHDRFK
+VFADYEAYMQCQAQVDQLYRNPKEWTKKVIRNIACSGKFSSDRTITEYAREIWGV
+>P06737_PF00343_114 <unknown description>
+YQLGLDIEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIRD
+GWQVEEADDWLRYGNPWEKSRPEFMLPVHFYGKVEHTNTGTKWIDTQVVLALPYDTPVPG
+YMNNTVNTMRLWSARAPNDFNLRDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELR
+LKQEYFVVAATLQDIIRRFKASKFGSTRGAGTVFDAFPDQVAIQLNDTHPALAIPELMRI
+FVDIEKLPWSKAWELTQKTFAYTNHTVLPEALERWPVDLVEKLLPRHLEIIYEINQKHLD
+RIVALFPKDVDRLRRMSLIEEEGSKRINMAHLCIVGSHAVNGVAKIHSDIVKTKVFKDFS
+ELEPDKFQNKTNGITPRRWLLLCNPGLAELIAEKIGEDYVKDLSQLTKLHSFLGDDVFLR
+ELAKVKQENKLKFSQFLETEYKVKINPSSMFDVQVKRIHEYKRQLLNCLHVITMYNRIKK
+DPKKLFVPRTVIIGGKAAPGYHMAKMIIKLITSVADVVNNDPMVGSKLKVIFLENYRVSL
+AEKVIPATDLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFG
+MRIDDVAALDKKGYEAKEYYEALPELKLVIDQIDNGFFSPKQPDLFKDIINMLFYHDRFK
+VFADYEAYVKCQDKVSQLYMNPKAWNTMVLKNIAASGKFSSDRTIKEYAQNIWNV
+>P11217_PF00343_115 <unknown description>
+QLGLDMEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKISGG
+WQMEEADDWLRYGNPWEKARPEFTLPVHFYGHVEHTSQGAKWVDTQVVLAMPYDTPVPGY
+RNNVVNTMRLWSAKAPNDFNLKDFNVGGYIQAVLDRNLAENISRVLYPNDNFFEGKELRL
+KQEYFVVAATLQDIIRRFKSSKFGCRDPVRTNFDAFPDKVAIQLNDTHPSLAIPELMRIL
+VDLERMDWDKAWDVTVRTCAYTNHTVLPEALERWPVHLLETLLPRHLQIIYEINQRFLNR
+VAAAFPGDVDRLRRMSLVEEGAVKRINMAHLCIAGSHAVNGVARIHSEILKKTIFKDFYE
+LEPHKFQNKTNGITPRRWLVLCNPGLAEVIAERIGEDFISDLDQLRKLLSFVDDEAFIRD
+VAKVKQENKLKFAAYLEREYKVHINPNSLFDIQVKRIHEYKRQLLNCLHVITLYNRIKRE
+PNKFFVPRTVMIGGKAAPGYHMAKMIIRLVTAIGDVVNHDPAVGDRLRVIFLENYRVSLA
+EKVIPAADLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENFFIFGM
+RVEDVDKLDQRGYNAQEYYDRIPELRQVIEQLSSGFFSPKQPDLFKDIVNMLMHHDRFKV
+FADYEDYIKCQEKVSALYKNPREWTRMVIRNIATSGKFSSDRTIAQYAREIWGV
+>Q9Y3Y4_PF00628_343 <unknown description>
+CGICTNEVNDDQDAILCEASCQKWFHRICTGMTETAYGLLTAEASAVWGCDTCMA
+>Q9BRQ0_PF00628_330 <unknown description>
+CGACRSEVNDDQDAILCEASCQKWFHRECTGMTESAYGLLTTEASAVWACDLCL
+>Q9BRP8_PF09282_14 <unknown description>
+KYIASTQRPDGTWRKQRRVKEGYVP
+>P27708_PF00988_4 <unknown description>
+LVLEDGSVLRGQPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNYGIP
+PDEMDEFGLCKWFESSGIHVAALVVGECCPTPSHWSATRTLHEWLQQHGIPGLQGVDTRE
+LTKKLREQGSLLGK
+>P27708_PF00117_180 <unknown description>
+ALDCGLKYNQIRCLCQRGAEVTVVPWDHALDSQEYEGLFLSNGPGDPASYPSVVSTLSRV
+LSEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGSGRCFLTSQNHGFAV
+ETDSLPADWAPLFTNANDGSNEGIVHNSLPFFSVQFHPEHQAGPSDMELLFDIFLE
+>P27708_PF02786_514 <unknown description>
+DRRAFAARMAEIGEHVAPSEAANSLEQAQAAAERLGYPVLVRAAFALGGLGSGFASNREE
+LSALVAPAFAHTSQVLVDKSLKGWKEIEYEVVRDAYGNCVTVCNMENLDPLGIHTGESIV
+VAPSQTLNDREYQLLRQTAIKVTQHLGIVGECNVQYALNPESEQYYIIEVNARLSRSSAL
+ASKATGYPLAYVAAKLALGIPLPE
+>P27708_PF02787_801 <unknown description>
+ELETPTDKRIFVVAAALWAGYSVDRLYELTRIDRWFLHRMKRIIAHAQLLEQHRGQPLPP
+DLLQQAKCLGFSDKQIA
+>P27708_PF02786_1050 <unknown description>
+KFSRLLDTIGISQPQWRELSDLESARQFCQTVGYPCVVRPSYVLSGAAMNVAYTDGDLER
+FLSSAAAVSKEHPVVISKFIQEAKEIDVDAVASDGVVAAIAISEHVENAGVHSGDATLVT
+PPQDITAKTLERIKAIVHAVGQELQVTGPFNLQLIAKDDQLKVIECNVRVSRSFPFVSKT
+LGVDLVALATRVIMGEEV
+>P27708_PF02142_1327 <unknown description>
+ELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSILEQLAE
+KNFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLI
+>P27708_PF01979_1464 <unknown description>
+LPGLIDVHVHLREPGGTHKEDFASGTAAALAGGITMVCAMPNTRPPIIDAPALALAQKLA
+E
+>P27708_PF02729_1924 <unknown description>
+QHILSVQQFTKDQMSHLFNVAHTLRMMVQKERSLDILKGKVMASMFYEVSTRTSSSFAAA
+MARLGGAVLSFSEATSSVQKGESLADSVQTMSCYADVVVLRHPQPGAVELAAKHCRRPVI
+NAGDGVGEHPTQALLDIFTIRE
+>P27708_PF00185_2072 <unknown description>
+GMTITMVGDLKHGRTVHSLACLLTQYRVSLRYVAPPSLRMPPTVRAFVASRGTKQEEFES
+IEEALPDTDVLYMTRIQKERFGSTQEYEACFGQFILTPHIMTRAKKKMVVMHPMPRVNEI
+SVEVDSDPRAAYFRQAENGMYIRMALLAT
+>Q8WU10_PF07992_12 <unknown description>
+KFVVVGGGIAGVTCAEQLATHFPSEDILLVTASPVIKAVTNFKQISKILEEFDVEEQSST
+MLGKRFPNIKVIESGVKQLKSEEHCIVTEDGNQHVYKKLCLCAGAKPKLICEGNPYVLGI
+RDTDSAQEFQKQLTKAKRIMIIGNGGIALELVYEIEGCEVIWAIKDKAIGNTFFDAGAAE
+FLTSKLIAEKSEAKIAHKRTRYTTEGRKKEARSKSKADNVGSALGPDWHEGLNLKGTKEF
+SHKIHLETMCEVKKIYLQDEFRILKKKSFTFPRDHKSVTADTEMWPVYVELTNEKIYGCD
+FIVSATGVTPNVEPFLHGNSFDLGEDGGLKVDDHMHTSLPDIYAAGDICTTSWQLSPVWQ
+QMRLWTQARQMG
+>Q8WU10_PF18267_411 <unknown description>
+VTKFFNYKVVLLGKYNAQGLGSDHELMLRCTKGREYIKVVMQNGRMMGAVLIGETDLEET
+FENLILNQ
+>Q8N2H3_PF13450_39 <unknown description>
+VIGAGHNGLVAAAYLQRLGVNTAVFERRHVIGGAAVTEEIIPGFKFS
+>Q8N2H3_PF01593_274 <unknown description>
+EGMQGAWGYVQGGMGALSDAIASSATTHGASIFTEKTVAKVQVNSEGCVQGVVLEDGTEV
+RSKMVLSNTSPQITFLKLTPQEWLPEEFLERISQLDTRSPVTKINVAVDRLPSF
+>Q02127_PF01180_77 <unknown description>
+MLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKMGFGFVEIGSVTPKPQEGNPRPRVFRL
+PEDQAVINRYGFNSHGLSVVEHRLRARQQKQAKLTEDGLPLGVNLGKNKTSVDAAEDYAE
+GVRVLGPLADYLVVNVSSPNTAGLRSLQGKAELRRLLTKVLQERDGLRRVHRPAVLVKIA
+PDLTSQDKEDIASVVKELGIDGLIVTNTTVSRPAGLQGALRSETGGLSGKPLRDLSTQTI
+REMYALTQGRVPIIGVGGVSSGQDALEKIRAGASLVQLYTALTFWGPPVVGKVKRELEAL
+L
+>P17812_PF06418_2 <unknown description>
+KYILVTGGVISGIGKGIIASSVGTILKSCGLHVTSIKIDPYINIDAGTFSPYEHGEVFVL
+DDGGEVDLDLGNYERFLDIRLTKDNNLTTGKIYQYVINKERKGDYLGKTVQVVPHITDAI
+QEWVMRQALIPVDEDGLEPQVCVIELGGTVGDIESMPFIEAFRQFQFKVKRENFCNIHVS
+LVPQPSSTGEQKTKPTQNSVRELRGLGLSPDLVVCRCSNPLDTSVKEKISMFCHVEPEQV
+ICVHDVSSIYRVPLLLEEQGVVDYFLRRLDL
+>P17812_PF00117_311 <unknown description>
+SDSYASVIKALEHSALAINHKLEIKYIDSADLEPITSQEEPVRYHEAWQKLCSAHGVLVP
+GGFGVRGTEGKIQAIAWARNQKKPFLGVCLGMQLAVVEFSRNVLGWQDANSTEFDPTTSH
+PVVVDMPEHNPGQMGGTMRLGKRRTLFQTKNSVMRKLYGDADYLEERHRHRFEVNPVWKK
+CLEEQGLKFVGQDVEGERMEIVELEDHPFFVGVQYHPEFLSRPIKPSPPYFG
+>Q9NRF8_PF06418_2 <unknown description>
+KYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFVL
+NDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDAV
+QEWVMNQAKVPVDGNKEEPQICVIELGGTIGDIEGMPFVEAFRQFQFKAKRENFCNIHVS
+LVPQLSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQV
+ICIHDVSSTYRVPVLLEEQSIVKYFKERLHL
+>Q9NRF8_PF00117_310 <unknown description>
+LRDCYASVFKALEHSALAINHKLNLMYIDSIDLEKITETEDPVKFHEAWQKLCKADGILV
+PGGFGIRGTLGKLQAISWARTKKIPFLGVCLGMQLAVIEFARNCLNLKDADSTEFRPNAP
+VPLVIDMPEHNPGNLGGTMRLGIRRTVFKTENSILRKLYGDVPFIEERHRHRFEVNPNLI
+KQFEQNDLSFVGQDVDGDRMEIIELANHPYFVGVQFHPEFSSRPMKPSPPYLGL
+>P10082_PF00159_30 <unknown description>
+PIKPEAPREDASPEELNRYYASLRHYLNLVTRQRYG
+>P20742_PF01835_128 <unknown description>
+VFVQTDKPMYKPGQTVRFRVVSVDENFRPRNELIPLIYLENPRRNRIAQWQSLKLEAGIN
+QLSFPLSSEPIQGSYRVVVQTESGGRIQHPFTV
+>P20742_PF17791_222 <unknown description>
+EFVLPKFEVKVQVPKIISIMDEKVNITVCGEYTYGKPVPGLATVSLCRKLSRVLNCDKQE
+VCEEFSQQLNSNGCITQQVHTKMLQIT
+>P20742_PF17789_346 <unknown description>
+LKFVKVDSHFRQGIPFFAQVLLVDGKGVPIPNKLFFISVNDANYYSNATTNEQGLAQFSI
+NTTSISVNKLFVRVFTVHPNLCFHYSWVAEDHQ
+>P20742_PF07703_455 <unknown description>
+IHLEPVAGTLPCGHTETITAHYTLNRQAMGELSELSFHYLIMAKGVIVRSGTHTLPVESG
+DMKGSFALSFPVESDVAPIARMFIFAILPDGEVVGDSEKFEIENCLANKVDLSFSPAQSP
+PASHAHLQVAAAPQSLCALRAVDQSVLLM
+>P20742_PF00207_744 <unknown description>
+TWIWELVAVNSSGVAEVGVTVPDTITEWKAGAFCLSEDAGLGISSTASLRAFQPFFVELT
+MPYSVIRGEVFTLKATVLNYLPKCIRVSVQL
+>P20742_PF07678_954 <unknown description>
+FSVLGDILGSAMQNIQNLLQMPYGCGEQNMVLFAPNIYVLNYLNETQQLTQEIKAKAVGY
+LITGYQRQLNYKHQDGSYSTFGERYGRNQGNTWLTAFVLKTFAQARSYIFIDEAHITQSL
+TWLSQMQKDNGCFRSSGSLLNNAIKGGVEDEATLSAYVTIALLEIPLPVTNPIVRNALFC
+LESAWNVAKEGTHGSHVYTKALLAYAFSLLGKQNQNREILNSLDKEAVKEDNLVHWERPQ
+RPKAPVGHLYQTQAPSAEVEMTSYVLLAYLTAQPAPTSGDLTSATNIVKWIMKQQNAQGG
+FSSTQDTVVALHALSRY
+>P20742_PF07677_1381 <unknown description>
+ASNMVIVDVKMVSGFIPLKPTVKMLERSSSVSRTEVSNNHVLIYVEQVTNQTLSFSFMVL
+QDIPVGDLKPAIVKVYDYYETDESVVAEY
+>Q9UPQ7_PF13923_17 <unknown description>
+KCALCHKVLEDPLTTPCGHVFCAGCVLPWVVQEGSCP
+>Q9UPQ7_PF00595_252 <unknown description>
+LHRDSGSLGFNIIGGRPSVDNHDGSSSEGIFVSKIVDSGPAAKEGGLQIHDRIIEVNGRD
+LSRATHDQAVEAFKTAKEPIVVQV
+>Q9UPQ7_PF00595_424 <unknown description>
+RMNSQDKLGLTVCYRTDDEDDIGIYISEIDPNSIAAKDGRIREGDRIIQINGIEVQNREE
+AVALLTSEENKNFSL
+>Q6ZMN7_PF13923_17 <unknown description>
+ECKLCGQVLEEPLCTPCGHVFCASCLLPWAVRRRRCPL
+>Q6ZMN7_PF00595_225 <unknown description>
+IVLERENDTLGFNIIGGRPNQNNQEGTSTEGIYVSKILENGPADRADGLEIHDKIMEVNG
+KDLSKATHEEAVEAFRNAKEPIVVQV
+>Q6ZMN7_PF00595_408 <unknown description>
+VSSQEKLGLTVCYRTDDEEDTGIYVSEVDPNSIAAKDGRIREGDRILQINGEDVQNREEA
+VALLSNDECKRIV
+>Q04671_PF03600_342 <unknown description>
+YALIIFEIVHRTLAAMLGSLAALAALAVIGDRPSLTHVVEWIDFETLALLFGMMILVAIF
+SETGFFDYCAVKAYRLSRGRVWAMIIMLCLIAAVLSAFLDNVTTMLLFTPVTIRLCEVLN
+LDPRQVLIAEVIFTNIGGAATAIGDPPNVIIVSNQELRKMGLDFAGFTAHMFIGICLVLL
+VCFPLLRLLYWNRKLYNKEPSEIVELKHEIHVWRLTAQRISPASREETAVRRLLLGKVLA
+LEHLLARRLHTFHRQISQEDKNWETNIQELQKKHRISDGILLAKCLTVLGFVIFMFFLNS
+FVPGIHLDLGWIAILGAIWLLILADIHDFEIILHRVEWATLLFFAALFVLMEALAHLHLI
+EYVGEQTALLIKMVPEEQRLIAAIVLVVWVSALASSLIDNIPFTATMIPVLLNLSHDPEV
+GLPAPPLMYALAFG
+>O14957_PF08997_1 <unknown description>
+MVTRFLGPRYRELVKNWVPTAYTWGAVGAVGLVWATDWRLILDWVPYINGKFKKD
+>P31930_PF00675_58 <unknown description>
+RVASEQSSQPTCTVGVWIDVGSRFETEKNNGAGYFLEHLAFKGTKNRPGSALEKEVESMG
+AHLNAYSTREHTAYYIKALSKDLPKAVELLGDIVQNCSLEDSQIEKERDVILREMQENDA
+SMRDVVFNYLHATAFQGTPLAQAVEGP
+>P31930_PF05193_210 <unknown description>
+KLSRADLTEYLSTHYKAPRMVLAAAGGVEHQQLLDLAQKHLGGIPWTYAEDAVPTLTPCR
+FTGSEIRHRDDALPFAHVAIAVEGPGWASPDNVALQVANAIIGHYDCTYGGGVHLSSPLA
+SGAVANKLCQSFQTFSICYAETGLLGAHFVCDRMKIDDMMFVLQGQWMRLCTSATESEVA
+RGKNIL
+>P22695_PF00675_49 <unknown description>
+IASLENYSPVSRIGLFIKAGSRYEDFSNLGTTHLLRLTSSLTTKGASSFKITRGIEAVGG
+KLSVTATRENMAYTVECLRGDVDILMEFLLNVTTAPEFRRWEVADLQPQLKIDKAVAFQN
+PQTHVIENLHAAAYRNALANPLYCPD
+>P22695_PF05193_199 <unknown description>
+KVTSEELHYFVQNHFTSARMALIGLGVSHPVLKQVAEQFLNMRGGLGLSGAKANYRGGEI
+REQNGDSLVHAAFVAESAVAGSAEANAFSVLQHVLGAGPHVKRGSNTTSHLHQAVAKATQ
+QPFDVSAFNASYSDSGLFGIYTISQATAAGDVIKAAYNQVKTIAQGNLSNTDVQAAKNKL
+>A0A096LP55_PF02320_28 <unknown description>
+DPLTTVREQCEQLEKCVKARERLELYDEHVSSRSHTEEDCTEELFDFLHAKDHCVAHKLF
+NNLK
+>P07919_PF02320_28 <unknown description>
+DPLTTVREQCEQLEKCVKARERLELCDERVSSRSHTEEDCTEELFDFLHARDHCVAHKLF
+NNLK
+>P14927_PF02271_10 <unknown description>
+SGKWLDGIRKWYYNAAGFNKLGLMRDDTIYEDEDVKEAIRRLPENLYNDRMFRIKRALDL
+NLKHQILPKEQWTKYEEENFYLEPYLKEVIRERKERE
+>O14949_PF02939_4 <unknown description>
+EFGNLTRMRHVISYSLSPFEQRAYPHVFTKGIPNVLRRIRESFFRVVPQFVVFYLIYTWG
+TEEFERSKRKNPAAYE
+>Q9UDW1_PF05365_9 <unknown description>
+KLYSLLFRRTSTFALTIIVGVMFFERAFDQGADAIYDHINEGKLWKHIKHKY
+>Q96PU8_PF16544_10 <unknown description>
+KPKPTPDYLMQLMNDKKLMSSLPNFCGIFNHLERLLDEEISRVRKDMYNDTLNG
+>Q96PU8_PF00013_97 <unknown description>
+NFVGRILGPRGLTAKQLEAETGCKIMVRGKGSMRDKK
+>Q96PU8_PF16551_312 <unknown description>
+GAVATKVRRHDMRVHPYQRIVTADRAATGN
+>Q53FA7_PF08240_28 <unknown description>
+GEVLLKVAASALNRADLMQRQGQYDPPPGASNILGLEASGHVAELGPGCQGHWKIGDTAM
+>Q53FA7_PF00107_152 <unknown description>
+GVGTAAIQLTRMAGAIPLVTAGSQKKLQMAEKLGAAAGFNYKKEDFSEATLKFTKGAGVN
+LILDCIGGSYWEKNVNCLALDGRWVLYGLMGGGDINGPLFSKLLFKRGSLITSLLRSRDN
+KYKQML
+>Q08257_PF08240_36 <unknown description>
+HQVLIKVHACGVNPVETYIRSGTYSRKPLLPYTPGSDVAGVIEAVGDNASAFKKGDRVFT
+SSTISGGYAEYALA
+>Q08257_PF00107_160 <unknown description>
+GVGLAACQIARAYGLKILGTAGTEEGQKIVLQNGAHEVFNHREVNYIDKIKKYVGEKGID
+IIIEMLANVNLSKDLSLLSHGGRVIVVGSRGTIEINPRDTMAKESSIIGVTLFSSTKEEF
+QQYAA
+>Q9NXS2_PF04389_150 <unknown description>
+NVVATLDPRAARHLTLACHYDSKLFPPGSTPFVGATDSAVPCALLLELAQALDLELSRAK
+KQAAPVTLQLLFLDGEEALKEWGPKDSLYGSRHLAQLMESIPHSPGPTRIQAIELFMLLD
+LLGAPNPTFYSHFPRTVRWFHRLRSIEKRLHRLNLLQSHPQEVMYFQPGEPFGSVEDDHI
+PFLRRGVPVLHLISTPFPAVWHTPADTEVNLHPPTVHNLCRILAVF
+>Q16769_PF04389_122 <unknown description>
+NIISTLNPTAKRHLVLACHYDSKYFSHWNNRVFVGATDSAVPCAMMLELARALDKKLLSL
+KTVSDSKPDLSLQLIFFDGEEAFLHWSPQDSLYGSRHLAAKMASTPHPPGARGTSQLHGM
+DLLVLLDLIGAPNPTFPNFFPNSARWFERLQAIEHELHELGLLKDHSLEGRYFQNYSYGG
+VIQDDHIPFLRRGVPVLHLIPSPFPEVWHTMDDNEENLDESTIDNLNKILQVF
+>Q96P65_PF00001_62 <unknown description>
+GNALVFYVVTRSKAMRTVTNIFICSLALSDLLITFFCIPVTMLQNISDNWLGGAFICKMV
+PFVQSTAVVTEILTMTCIAVERHQGLVHPFKMKWQYTNRRAFTMLGVVWLVAVIVGSPMW
+HVQQLEIKYDFLYEKEHICCLEEWTSPVHQKIYTTFILVILFLLPLMVMLILYSKIGYEL
+WIKKRVGDGSVLRTIHGKEMSKIARKKKRAVIMMVTVVALFAVCWAPFHVVHMMIEYSNF
+EKEYDDVTIKMIFAIVQIIGFSNSICNPIVY
+>Q2TAL8_PF12012_600 <unknown description>
+PSHVTEEMLWECKQLGAHSPSTLLTTLMFFNTKYFLLKTVDQHMKLAFSKVLRQTKKNPS
+NPKDKSTSIRYLKALGIHQTGQKVTDDMYAEQTENPENPLRCPIKLYDFYLFKCPQSVKG
+RNDTFYLTPEPVVAPNSPIWYSVQPISREQMGQMLTRIL
+>O00391_PF00085_53 <unknown description>
+AVLGSRSAWAVEFFASWCGHCIAFAPTWKALAEDVKAWRPALYLAALDCAEETNSAVCRD
+FNIPGFPTVRFFKA
+>O00391_PF18108_162 <unknown description>
+PPACPPLEPAKLEEIDGFFARNNEEYLALIFEKGGSYLGREVALDLSQHKGVAVRRVLNT
+EANVVRKFGVTDFPSCYLLFRNGSVSRVPVLMESRSFYTAYLQRLSGL
+>O00391_PF18371_295 <unknown description>
+DRSKIYMADLESALHYILRIEVGRFPVLEGQRLVALKKFVAVLAKYFPGRPLVQNFLHSV
+NEWLKRQKRNKIPYSFFKTALDDRKEGAVLAKKVNWIGCQGS
+>O00391_PF04777_405 <unknown description>
+CSLWVLFHFLTVQAARQNVDHSQEAAKAKEVLPAIRGYVHYFFGCRDCASHFEQMAAASM
+HRVGSPNAAVLWLWSSHNRVNARLAGAPSEDPQFPKVQWP
+>Q6ZRP7_PF00085_76 <unknown description>
+ANSSAAWLVQFYSSWCGHCIGYAPTWRALAGDVRDWASAIRVAALDCMEEKNQAVCHDYD
+IHFYPTFRYFKAFT
+>Q6ZRP7_PF18108_185 <unknown description>
+PPACPRLDPIQPSDVLSLLDNRGSHYVAIVFESNSSYLGREVILDLIPYESIVVTRALDG
+DKAFLEKLGVSSVPSCYLIYPNGSHGLINVVKPLRAFFSSYLKSLPDV
+>Q6ZRP7_PF18371_318 <unknown description>
+DKSKLYTVDLESGLHYLLRVELAAHKSLAGAELKTLKDFVTVLAKLFPGRPPVKKLLEML
+QEWLASLPLDRIPYNAVLDLVNNKMRISGIFLTNHIKWVGCQGS
+>Q6ZRP7_PF04777_430 <unknown description>
+CSLWKLFHTLTVEASTHPDALVGTGFEDDPQAVLQTMRRYVHTFFGCKECGEHFEEMAKE
+SMDSVKTPDQAILWLWKKHNMVNGRLAGHLSEDPRFPKLQWP
+>Q5T6V5_PF10343_56 <unknown description>
+NPRAADEAAVNWVFVTDTLNFSFWSEQDEHKCVVRYRGKTYSGYWSLCAAVNRALDEGIP
+ITSASYYATVTLDQVRNILRSDTDVSMPLVEERHRILNETGKILLEKFGGSFLNCVRESE
+NSAQKLMHLVVESFPSYRDVTLFEGKRVSFYKRAQILVADTWSVLEGKGDGCFKDISSIT
+MFADYRLPQVLAHLGALKYSDDLLKKLLKGEMLSYGDRQEVEIRGCSLWCVELIRDCLLE
+LIEQKGEKPNGEINSILLDYYLWDYAHDHREDMKGIPFHRIRCIYY
+>Q9H974_PF01702_13 <unknown description>
+RLGKIKNLGKTGDHTMDIPGCLLYTKTGSAPHLTHHTLHNIHGVPAMAQLTLSSLAEHHE
+VLTEYKEGVGKFIGMPESLLYCSLHDPVSPCPAGYVTNKSVSVWSVAGRVEMTVSKFMAI
+QKALQPDWFQCLSDGEVSCKEATSIKRVRKSVDRSLLFLDNCLRLQEESEVLQKSVIIGV
+IEGGDVMEERLRSARETAKRPVGGFLLDGFQGNPTTLEARLRLLSSVTAELPEDKPRLIS
+GVSRPDEVLECIERGVDLFESFFPYQVTERGCALTFSFDYQPNPEETLLQQNGTQEEIKC
+MDQIKKIETTGCNQEITSFEINLKEKKYQEDFNPLVRGCSCYCCKNHTRAYIHHLLVTNE
+LLAGVLLMMHNFEHYFGFFHYIREALKSDKLAQLKELIHRQ
+>P0C881_PF02493_86 <unknown description>
+YEGEKVRGLYEGEGFAAFQGGC
+>P0C881_PF02493_109 <unknown description>
+YRGMFSEGLMHGQGTYIWADG
+>P0C881_PF02493_132 <unknown description>
+YEGDFVKNVPMNHGVYTWPDGSM
+>P0C881_PF02493_155 <unknown description>
+YEGEVVNGMRNGFGMFKCS
+>P0C881_PF02493_179 <unknown description>
+YIGHWCNGKRHGKGSIYY
+>P0C881_PF02493_204 <unknown description>
+YEGDWVQNIKKGWGIRCYKSGN
+>P0C881_PF02493_227 <unknown description>
+YEGQWEDNMRHGEGRMRW
+>P0C881_PF02493_251 <unknown description>
+YTGRWERGIQNGFGTHTW
+>P0C881_PF02493_284 <unknown description>
+YIGEFVNGYRHGRGKFYYASGA
+>P0C881_PF02493_307 <unknown description>
+YDGEWVSNKKHGMGRLTFKNG
+>B2RC85_PF02493_86 <unknown description>
+YEGEKVRGLYEGEGFAAFQGGC
+>B2RC85_PF02493_109 <unknown description>
+YRGMFSEGLMHGQGTYIWADG
+>B2RC85_PF02493_132 <unknown description>
+YEGDFVKNVPMNHGVYTWPDGSM
+>B2RC85_PF02493_155 <unknown description>
+YEGEVVNGMRNGFGMFKCS
+>B2RC85_PF02493_179 <unknown description>
+YIGHWCNGKRHGKGSIYY
+>B2RC85_PF02493_204 <unknown description>
+YEGDWVQNIKKGWGIRCYKSGN
+>B2RC85_PF02493_227 <unknown description>
+YEGQWEDNMRHGEGRMRW
+>B2RC85_PF02493_251 <unknown description>
+YTGRWERGIQNGFGTHTW
+>B2RC85_PF02493_284 <unknown description>
+YIGEFVNGYRHGRGKFYYASGA
+>B2RC85_PF02493_307 <unknown description>
+YDGEWVSNKKHGMGRLTFKNG
+>O15541_PF00642_197 <unknown description>
+YQPDICKDYKETGFCGFGDSCKFLHD
+>O15541_PF13920_261 <unknown description>
+KCFICRQSFQNPVVTKCRHYFCESCALQHFRTTPRCYVCDQQTN
+>Q8IZP6_PF00642_191 <unknown description>
+YQPDICKDYKETGFCGFGDSCKFLHD
+>Q8IZP6_PF13920_255 <unknown description>
+RCFICRQAFQNPVVTKCRHYFCESCALEHFRATPRCYICDQPT
+>P50876_PF01485_91 <unknown description>
+QRYKKLQFEREVLFDPCRTWCPASTCQAVCQLQDVGLQTPQPVQCKACRMEFCSTCKASW
+HPGQGC
+>P50876_PF01485_175 <unknown description>
+MEEDDAPIKRCPKCKVYIERDEGCAQMMCKNCKHAFCWYCLESL
+>Q7Z419_PF01485_102 <unknown description>
+LYQRLKFEREVHLDPYRTWCPVADCQTVCPVASSDPGQPVLVECPSCHLKFCSCCKDAWH
+AEVSC
+>Q7Z419_PF01485_187 <unknown description>
+EAPIKQCPVCRVYIERNEGCAQMMCKNCKHTFCWYCLQNL
+>Q8TBN0_PF06428_93 <unknown description>
+KDEECERLSKVREQLEQELEELTASLFEEAHKMVREANMKQAASEKQLKEARGKIDMLQA
+EVTALKTL
+>Q9Y3T6_PF01424_18 <unknown description>
+FVHRIQEELDRFLLQKQLSKVLLFPPLSSRLRYLIHRTAENFDLLSSFSVGEGWKRRTVI
+C
+>Q96D70_PF13902_46 <unknown description>
+RKQHFINQAVRNSDLVPKAKGRKSLQRLENTQYLLTLLETDGGLPGLEDGDLAPPASPGI
+FAEACNNATYVEVWNDFMNRSGEEQERVLRYLEDEGRSKARRRGPGRGEDRRREDPAYTP
+RECFQRISRRLRAVLKR
+>Q96D70_PF01424_188 <unknown description>
+ETLETWEERLLRFFSVSPQAVYTAMLDNSFERLLLHAVCQYMDLISASADLEGKRQMKV
+>Q86UN2_PF13855_101 <unknown description>
+HLEELDLGDNRQLRTLAPETFQGLVKLHALYLYKCGLSALPAGVFGGLHSLQYLYLQDNH
+I
+>Q86UN2_PF13855_174 <unknown description>
+NLSHLFLHGNKLWSLGPGTFRGLVNLDRLLLHENQLQWVHHKAFHDLRRLTTLFLFNNSL
+>Q86UN3_PF13855_107 <unknown description>
+ALEELDLGDNRHLRSLEPDTFQGLERLQSLHLYRCQLSSLPGNIFRGLVSLQYLYLQENS
+L
+>Q86UN3_PF13855_204 <unknown description>
+SLDRLLLHGNRLQGVHRAAFRGLSRLTILYLFNNSLASLPGEALADLPSLEFLRLNAN
+>Q96B01_PF15696_294 <unknown description>
+GGSRSSSSPLVVVSVKSPNQSLRLGLSRLARVKPLHPNA
+>Q09MP3_PF15696_1109 <unknown description>
+GGSHFPHGISRVRPLKTCSRPIRIGLSRKARIKQLHPYL
+>Q32P51_PF00076_16 <unknown description>
+LFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFVTYATVEEVDAAMNTT
+PHKVDG
+>Q32P51_PF00076_107 <unknown description>
+IFVGGIKEDTEEHHLRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQK
+YHT
+>Q32P51_PF11627_255 <unknown description>
+GGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGG
+>O15315_PF08423_79 <unknown description>
+SPAFLSTTLSALDEALHGGVACGSLTEITGPPGCGKTQFCIMMSILATLPTNMGGLEGAV
+VYIDTESAFSAERLVEIAESRFPRYFNTEEKLLLTSSKVHLYRELTCDEVLQRIESLEEE
+IISKGIKLVILDSVASVVRKEFDAQLQGNLKERNKFLAREASSLKYLAEEFSIPVILTNQ
+ITTHLSGALASQADLVSPADDLSLSEGTSGSSCVIAALGNTWSHSVNTRLILQYLDSERR
+QILIAKSPLAPFTSFVYTIKEEGL
+>O43502_PF08423_86 <unknown description>
+TALELLEQEHTQGFIITFCSALDDILGGGVPLMKTTEICGAPGVGKTQLCMQLAVDVQIP
+ECFGGVAGEAVFIDTEGSFMVDRVVDLATACIQHLQLIAEKHKGEEHRKALEDFTLDNIL
+SHIYYFRCRDYTELLAQVYLLPDFLSEHSKVRLVIVDGIAFPFRHDLDDLSLRTRLLNGL
+AQQMISLANNHRLAVILTNQMTTKIDRNQALLVPALGESWGHAATIRLIFHWDRKQRLAT
+LYKSPSQKECTVLFQIKPQGFRD
+>O75771_PF08423_75 <unknown description>
+LKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQVCLCMAANVAHGLQQNVLY
+VDSNGGLTASRLLQLLQAKTQDEEEQAEALRRIQVVHAFDIFQMLDVLQELRGTVAQQVT
+GSSGTVKVVVVDSVTAVVSPLLGGQQREGLALMMQLARELKTLARDLGMAVVVTNHITRD
+RDSGRLKPALGRSWSFVPSTRILLDTIEG
+>Q9Y620_PF00176_318 <unknown description>
+GRCGAILADEMGLGKTLQCISLIWTLQCQGPYGGKPVIKKTLIVTPGSLVNNWKKEFQKW
+LGSERIKIFTVDQDHKVEEFIKSIFYSVLIISYEMLLRSLDQIKNIKFDLLICDEGHRLK
+NSAIKTTTALISLSCEKRIILTGTPIQNDLQEFFALIDFVNPGILGSLSSYRKIYEEPII
+LSREPSASEEEKELGERRAAELTCLTGLFILRRTQEIINKYLPPKIENVVFCRPGALQIE
+LYRKLLNSQVVRFCLQGLLENSPHLICIGALKKLCNHPCL
+>Q9Y620_PF00271_648 <unknown description>
+SKLLAVIHELRPTEKVVLVSNYTQTLNILQEVCKRHGYAYTRLDGQTPISQRQQIVDGFN
+SQHSSFFIFLLSSKAGGVGLNLIGGSHLILYDIDWNPATDIQAMSRVWRD
+>P61026_PF00071_11 <unknown description>
+KLLLIGDSGVGKTCVLFRFSDDAFNTTFISTIGIDFKIKTVELQGKKIKLQIWDTAGQER
+FHTITTSYYRGAMGIMLVYDITNGKSFENISKWLRNIDEHANEDVERMLLGNKCDMDDKR
+VVPKGKGEQIAREHGIRFFETSAKANINIEKAFLTLAEDIL
+>P51153_PF00071_10 <unknown description>
+KLLLIGDSGVGKTCLIIRFAEDNFNNTYISTIGIDFKIRTVDIEGKKIKLQVWDTAGQER
+FKTITTAYYRGAMGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLGNKCDMEAKR
+KVQKEQADKLAREHGIRFFETSAKSSMNVDEAFSSLARDIL
+>P61106_PF00071_13 <unknown description>
+KYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVSGQKIKLQIWDTAGQER
+FRAVTRSYYRGAAGALMVYDITRRSTYNHLSSWLTDARNLTNPNTVIILIGNKADLEAQR
+DVTYEEAKQFAEENGLLFLEASAKTGENVEDAFLEAAKKIY
+>P59190_PF00071_10 <unknown description>
+RLLLIGDSGVGKTCLLCRFTDNEFHSSHISTIGVDFKMKTIEVDGIKVRIQIWDTAGQER
+YQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDVDEYAPEGVQKILIGNKADEEQKR
+QVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLTELVL
+>Q9H0T7_PF00071_21 <unknown description>
+KLVLLGSGSVGKSSLALRYVKNDFKSILPTVGCAFFTKVVDVGATSLKLEIWDTAGQEKY
+HSVCHLYFRGANAALLVYDITRKDSFLKAQQWLKDLEEELHPGEVLVMLVGNKTDLSQER
+EVTFQEGKEFADSQKLLFMETSAKLNHQVSEVFNTVAQELL
+>Q9NP72_PF00071_10 <unknown description>
+KILIIGESGVGKSSLLLRFTDDTFDPELAATIGVDFKVKTISVDGNKAKLAIWDTAGQER
+FRTLTPSYYRGAQGVILVYDVTRRDTFVKLDNWLNELETYCTRNDIVNMLVGNKIDKENR
+EVDRNEGLKFARKHSMLFIEASAKTCDGVQCAFEELVEKII
+>A4D1S5_PF00071_19 <unknown description>
+KIILIGDSNVGKTCVVQHFKSGVYTETQQNTIGVDFTVRSLDIDGKKVKMQVWDTAGQER
+FRTITQSYYRSAHAAIIAYDLTRRSTFESIPHWIHEIEKYGAANVVIMLIGNKCDLWEKR
+HVLFEDACTLAEKYGLLAVLETSAKESKNIEEVFVLMAKEL
+>P62820_PF00071_13 <unknown description>
+KLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQER
+FRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLQEIDRYASENVNKLLVGNKCDLTTKK
+VVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIK
+>Q9H0U4_PF00071_10 <unknown description>
+KLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQER
+FRTITSSYYRGAHGIIVVYDVTDQESYANVKQWLQEIDRYASENVNKLLVGNKSDLTTKK
+VVDNTTAKEFADSLGIPFLETSAKNATNVEQAFMTMAAEIK
+>Q9NX57_PF00071_7 <unknown description>
+KIVLLGDMNVGKTSLLQRYMERRFPDTVSTVGGAFYLKQWRSYNISIWDTAGREQFHGLG
+SMYCRGAAAIILTYDVNHRQSLVELEDRFLGLTDTASKDCLFAIVGNKVDLTEEGALAGQ
+EKEECSPNMDAGDRVSPRAPKQVQLEDAVALYKKILKYKMLDEQDVPAAEQMCFETSAKT
+GYNVDLLFETLFD
+>Q9UL25_PF00071_21 <unknown description>
+KVVLLGEGCVGKTSLVLRYCENKFNDKHITTLQASFLTKKLNIGGKRVNLAIWDTAGQER
+FHALGPIYYRDSNGAILVYDITDEDSFQKVKNWVKELRKMLGNEICLCIVGNKIDLEKER
+HVSIQEAESYAESVGAKHYHTSAKQNKGIEELFLDLCKRM
+>Q9ULC3_PF00071_11 <unknown description>
+KMVVVGNGAVGKSSMIQRYCKGIFTKDYKKTIGVDFLERQIQVNDEDVRLMLWDTAGQEE
+FDAITKAYYRGAQACVLVFSTTDRESFEAVSSWREKVVAEVGDIPTVLVQNKIDLLDDSC
+IKNEEAEALAKRLKLRFYRTSVKEDLNVNEVFKYLAEKY
+>Q969Q5_PF00071_9 <unknown description>
+KVVMLGKEYVGKTSLVERYVHDRFLVGPYQNTIGAAFVAKVMSVGDRTVTLGIWDTAGSE
+RYEAMSRIYYRGAKAAIVCYDLTDSSSFERAKFWVKELRSLEEGCQIYLCGTKSDLLEED
+RRRRRVDFHDVQDYADNIKAQLFETSSKTGQSVDELFQKVAED
+>P57735_PF00071_14 <unknown description>
+KVVLIGESGVGKTNLLSRFTRNEFSHDSRTTIGVEFSTRTVMLGTAAVKAQIWDTAGLER
+YRAITSAYYRGAVGALLVFDLTKHQTYAVVERWLKELYDHAEATIVVMLVGNKSDLSQAR
+EVPTEEARMFAENNGLLFLETSALDSTNVELAFETVLKEI
+>Q9ULW5_PF00071_65 <unknown description>
+KVMLVGDSGVGKTCLLVRFKDGAFLAGTFISTVGIDFRNKVLDVDGVKVKLQMWDTAGQE
+RFRSVTHAYYRDAHALLLLYDVTNKASFDNIQAWLTEIHEYAQHDVALMLLGNKVDSAHE
+RVVKREDGEKLAKEYGLPFMETSAKTGLNVDLAFTAIAKELK
+>P51157_PF00071_14 <unknown description>
+KIVVLGDGASGKTSLTTCFAQETFGKQYKQTIGLDFFLRRITLPGNLNVTLQIWDIGGQT
+IGGKMLDKYIYGAQGVLLVYDITNYQSFENLEDWYTVVKKVSEESETQPLVALVGNKIDL
+EHMRTIKPEKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEIL
+>P61019_PF00071_8 <unknown description>
+KYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDGKQIKLQIWDTAGQES
+FRSITRSYYRGAAGALLVYDITRRDTFNHLTTWLEDARQHSNSNMVIMLIGNKSDLESRR
+EVKKEEGEAFAREHGLIFMETSAKTASNVEEAFINTAKEIY
+>Q8WUD1_PF00071_8 <unknown description>
+KYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIWDTAGQES
+FRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNKSDLESRR
+DVKREEGEAFAREHGLIFMETSAKTACNVEEAFINTAKEIY
+>Q15771_PF00071_11 <unknown description>
+KIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKLQIWDTAGQER
+FRSITQSYYRSANALILTYDITCEESFRCLPEWLREIEQYASNKVITVLVGNKIDLAERR
+EVSQQRAEEFSEAQDMYYLETSAKESDNVEKLFLDLAC
+>Q13636_PF00071_8 <unknown description>
+KVCLLGDTGVGKSSIVCRFVQDHFDHNISPTIGASFMTKTVPCGNELHKFLIWDTAGQER
+FHSLAPMYYRGSAAAVIVYDITKQDSFYTLKKWVKELKEHGPENIVMAIAGNKCDLSDIR
+EVPLKDAKEYAESIGAIVVETSAKNAINIEELFQGISRQI
+>Q13637_PF00071_27 <unknown description>
+KVLVIGELGVGKTSIIKRYVHQLFSQHYRATIGVDFALKVLNWDSRTLVRLQLWDIAGQE
+RFGNMTRVYYKEAVGAFVVFDISRSSTFEAVLKWKSDLDSKVHLPNGSPIPAVLLANKCD
+QNKDSSQSPSQVDQFCKEHGFAGWFETSAKDNINIEEAARFLVEKI
+>Q9BZG1_PF00071_54 <unknown description>
+KVIVVGDLSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGIPFSLQLWDTAGQER
+FKCIASTYYRGAQAIIIVFNLNDVASLEHTKQWLADALKENDPSSVLLFLVGSKKDLSTP
+AQYALMEKDALQVAQEMKAEYWAVSSLTGENVREFFFRVA
+>Q15286_PF00071_10 <unknown description>
+KLLIIGDSGVGKSSLLLRFADNTFSGSYITTIGVDFKIRTVEINGEKVKLQIWDTAGQER
+FRTITSTYYRGTHGVIVVYDVTSAESFVNVKRWLHEINQNCDDVCRILVGNKNDDPERKV
+VETEDAYKFAGQMGIQLFETSAKENVNVEEMFNCITELV
+>O95755_PF00071_59 <unknown description>
+KVVVVGDLYVGKTSLIHRFCKNVFDRDYKATIGVDFEIERFEIAGIPYSLQIWDTAGQEK
+FKCIASAYYRGAQVIITAFDLTDVQTLEHTRQWLEDALRENEAGSCFIFLVGTKKDLLSG
+AACEQAEADAVHLAREMQAEYWSVSAKTGENVKAFFSRVAA
+>Q96AX2_PF00071_31 <unknown description>
+KVMLLGDTGVGKTCFLIQFKDGAFLSGTFIATVGIDFRNKVVTVDGVRVKLQIWDTAGQE
+RFRSVTHAYYRDAQALLLLYDITNKSSFDNIRAWLTEIHEYAQRDVVIMLLGNKADMSSE
+RVIRSEDGETLAREYGVPFLETSAKTGMNVELAFLAIAKEL
+>P57729_PF00071_11 <unknown description>
+KLLVIGDLGVGKTSIIKRYVHQNFSSHYRATIGVDFALKVLHWDPETVVRLQLWDIAGQE
+RFGNMTRVYYREAMGAFIVFDVTRPATFEAVAKWKNDLDSKLSLPNGKPVSVVLLANKCD
+QGKDVLMNNGLKMDQFCKEHGFVGWFETSAKENINIDEASRCLVKHIL
+>P20336_PF00071_24 <unknown description>
+KILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFKVKTIYRNDKRIKLQIWDTAGQER
+YRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQIKTYSWDNAQVLLVGNKCDMEDER
+VVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLVDVI
+>P20337_PF00071_24 <unknown description>
+KLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFKVKTVYRHEKRVKLQIWDTAGQER
+YRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQIKTYSWDNAQVILVGNKCDMEEER
+VVPTEKGQLLAEQLGFDFFEASAKENISVRQAFERLVDAI
+>Q96E17_PF00071_32 <unknown description>
+KLLIIGNSSVGKTSFLFRYADDSFTSAFVSTVGIDFKVKTVFKNEKRIKLQIWDTAGQER
+YRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQIKTYSWDNAQVILVGNKCDMEDER
+VISTERGQHLGEQLGFEFFETSAKDNINVKQTFERLVDII
+>O95716_PF00071_24 <unknown description>
+KLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFKVKTVYRHDKRIKLQIWDTAGQER
+YRTITTAYYRGAMGFLLMYDIANQESFAAVQDWATQIKTYSWDNAQVILVGNKCDLEDER
+VVPAEDGRRLADDLGFEFFEASAKENINVKQVFERLVDVI
+>Q96QF0_PF06428_175 <unknown description>
+KDEECERLSKVRDQLGQELEELTASLFEEAHKMVREANIKQATAEKQLKEAQGKIDVLQA
+EVAALKTLVL
+>Q5JT25_PF00071_33 <unknown description>
+KLLFLGEQSVGKTSIISRFMYNSFGCACQATVGIDFLSKTMYLEDQIVQLQLWDTAGQER
+FHSLIPSYIRDSTIAVVVYDITNINSFKETDKWVEHVRAERGDDVVIMLLGNKIDLDNKR
+QVTAEQGEEKSRNLNVMFIETSAKTGYNVKKLFRRVASAL
+>Q8N4Z0_PF00071_12 <unknown description>
+VALLGDAAVGKTSLLRSYVAGAPGAPEPEPEPEPTVGAECYRRALQLRAGPRVKLQLWDT
+AGHERFRCITRSFYRNVVGVLLVFDVTNRKSFEHIQDWHQEVMATQGPDKVIFLLVGHKS
+DLQSTRCVSAQEAEELAASLGMAFVETSVKNNCNVDLAFDTLADAIQ
+>Q86YS6_PF00071_20 <unknown description>
+KLVLVGDASVGKTCVVQRFKTGAFSERQGSTIGVDFTMKTLEIQGKRVKLQIWDTAGQER
+FRTITQSYYRSANGAILAYDITKRSSFLSVPHWIEDVRKYAGSNIVQLLIGNKSDLSELR
+EVSLAEAQSLAEHYDILCAIETSAKDSSNVEEAFLRVATEL
+>Q7Z6P3_PF00071_836 <unknown description>
+VIFLGDSNVGKTSFLHLLHQNSFATGLTATVGVDFRVKTLLVDNKCFVLQLWDTAGQERY
+HSMTRQLLRKADGVVLMYDITSQESFAHVRYWLDCLQDAGSDGVVILLLGNKMDCEEERQ
+VSVEAGQQLAQELGVYFGECSAALGHNILEPVVNLARSL
+>P20338_PF00071_15 <unknown description>
+KFLVIGNAGTGKSCLLHQFIEKKFKDDSNHTIGVEFGSKIINVGGKYVKLQIWDTAGQER
+FRSVTRSYYRGAAGALLVYDITSRETYNALTNWLTDARMLASQNIVIILCGNKKDLDADR
+EVTFLEASRFAQENELMFLETSALTGENVEEAFVQCARKIL
+>P61018_PF00071_10 <unknown description>
+KFLVIGSAGTGKSCLLHQFIENKFKQDSNHTIGVEFGSRVVNVGGKTVKLQIWDTAGQER
+FRSVTRSYYRGAAGALLVYDITSRETYNSLAAWLTDARTLASPNIVVILCGNKKDLDPER
+EVTFLEASRFAQENELMFLETSALTGENVEEAFLKCARTIL
+>P20339_PF00071_22 <unknown description>
+KLVLLGESAVGKSSLVLRFVKGQFHEFQESTIGAAFLTQTVCLDDTTVKFEIWDTAGQER
+YHSLAPMYYRGAQAAIVVYDITNEESFARAKNWVKELQRQASPNIVIALSGNKADLANKR
+AVDFQEAQSYADDNSLLFMETSAKTSMNVNEIFMAIAKKL
+>P61020_PF00071_22 <unknown description>
+KLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQSVCLDDTTVKFEIWDTAGQER
+YHSLAPMYYRGAQAAIVVYDITNQETFARAKTWVKELQRQASPSIVIALAGNKADLANKR
+MVEYEEAQAYADDNSLLFMETSAKTAMNVNDLFLAIAKKL
+>P51148_PF00071_23 <unknown description>
+KLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQTVCLDDTTVKFEIWDTAGQER
+YHSLAPMYYRGAQAAIVVYDITNTDTFARAKNWVKELQRQASPNIVIALAGNKADLASKR
+AVEFQEAQAYADDNSLLFMETSAKTAMNVNEIFMAIAKKL
+>P20340_PF00071_15 <unknown description>
+KLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQER
+FRSLIPSYIRDSTVAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIIMLVGNKTDLADKR
+QVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAA
+>Q9NRW1_PF00071_15 <unknown description>
+KLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQER
+FRSLIPSYIRDSTVAVVVYDITNLNSFQQTSKWIDDVRTERGSDVIIMLVGNKTDLADKR
+QITIEEGEQRAKELSVMFIETSAKTGYNVKQLFRRVASA
+>Q9H0N0_PF00071_15 <unknown description>
+KLVFLGEQSVAKTSLITRFRYDSFDNTYQAIIGIDFLSKTMYLEDGTIGLRLWDTAGQER
+LRSLIPRYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIITLVGNRTDLADKR
+QVSVEEGERKAKGLNVTFIETRAKAGYNVKQLFRRVAAA
+>Q53S08_PF00071_15 <unknown description>
+KLVFLGEQSVAKTSLITRFRYDSFDNTYQAIIGIDFLSKTMYLEDGTIGLRLWDTAGQER
+LRSLIPRYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTEGGSDVIITLVGNKTDLADKR
+QVSIEEGERKAKGLNVTFIETRAKAGYNVKQLFRRVAAA
+>P51149_PF00071_10 <unknown description>
+KVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQIWDTAGQER
+FQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPFVVLGNKIDL
+ENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNAL
+>Q96AH8_PF00071_10 <unknown description>
+KLIIVGAIGVGKTSLLHQYVHKTFYEEYQTTLGASILSKIIILGDTTLKLQIWDTGGQER
+FRSMVSTFYKGSDGCILAFDVTDLESFEALDIWRGDVLAKIVPMEQSYPMVLLGNKIDLA
+DRKVPQEVAQGWCREKDIPYFEVSAKNDINVVQAFEMLASRA
+>O14966_PF00071_9 <unknown description>
+KVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGVDFALKVLQWSDYEIVRLQLWDIAGQE
+RFTSMTRLYYRDASACVIMFDVTNATTFSNSQRWKQDLDSKLTLPNGEPVPCLLLANKCD
+LSPWAVSRDQIDRFSKENGFTGWTETSVKENKNINEAMRVLIEKMM
+>P61006_PF00071_10 <unknown description>
+KLLLIGDSGVGKTCVLFRFSEDAFNSTFISTIGIDFKIRTIELDGKRIKLQIWDTAGQER
+FRTITTAYYRGAMGIMLVYDITNEKSFDNIRNWIRNIEEHASADVEKMILGNKCDVNDKR
+QVSKERGEKLALDYGIKFMETSAKANINVENAFFTLARDIK
+>Q92930_PF00071_10 <unknown description>
+KLLLIGDSGVGKTCLLFRFSEDAFNTTFISTIGIDFKIRTIELDGKKIKLQIWDTAGQER
+FRTITTAYYRGAMGIMLVYDITNEKSFDNIKNWIRNIEEHASSDVERMILGNKCDMNDKR
+QVSKERGEKLAIDYGIKFLETSAKSSANVEEAFFTLARDIM
+>P51151_PF00071_9 <unknown description>
+KVILLGDGGVGKSSLMNRYVTNKFDTQLFHTIGVEFLNKDLEVDGHFVTMQIWDTAGQER
+FRSLRTPFYRGSDCCLLTFSVDDSQSFQNLSNWKKEFIYYADVKEPESFPFVILGNKIDI
+SERQVSTEEAQAWCRDNGDYPYFETSAKDATNVAAAFEEAVRRVL
+>Q9NP90_PF00071_9 <unknown description>
+KVILLGDGGVGKSSLMNRYVTNKFDSQAFHTIGVEFLNRDLEVDGRFVTLQIWDTAGQER
+FKSLRTPFYRGADCCLLTFSVDDRQSFENLGNWQKEFIYYADVKDPEHFPFVVLGNKVDK
+EDRQVTTEEAQTWCMENGDYPYLETSAKDDTNVTVAFEEAVRQVL
+>Q15276_PF03528_9 <unknown description>
+QPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQNAVLQAAQ
+DDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAVMKETVRDY
+EHQFHLRLEQERTQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQEDAEKLRSVV
+MPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAVLNTQKSVL
+QEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQRMEIVLTSE
+QLRQVEELKKKDQEDDEQQRLNKRKDHKKADVEEEIKIPVVCALTQEESSAQLSNEEEHL
+DSTRGSVHSLDAGLLLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSGSLQSKALGYNYKA
+KSAGNLDESDFGPLVGADSVSENFDTASLGSLQMPSGFMLTKDQERAIKAMTPEQEETAS
+LLSSVTQ
+>Q15276_PF09311_533 <unknown description>
+CDMCSNYEKQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKDKQELEDFIKQSSEDS
+SHQISALVLRAQASEILLEELQQGLSQAKRDVQEQMAVLMQSREQVSEELVRLQKDNDSL
+QGKHSLHVSLQQAEDFILPDTTEALRELVLKYREDIINVRTAADHVEEKLKAEILFLKEQ
+IQAEQCLKENLEETLQLEIENCKEEIASISSLKAELERIKVEKGQLESTLREKSQQLESL
+QEIKISLEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRDFVKLSQTLQVQL
+ERIRQAD
+>Q9H5N1_PF03528_24 <unknown description>
+DSRSQEGANGEAESGELSRLRAELAGALAEMETMKAVAEVSESTKAEAVAAVQRQCQEEV
+ASLQAILKDSISSYEAQITALKQERQQQQQDCEEKERELGRLKQLLSRAYPLDSLEKQME
+KAHEDSEKLREIVLPMEKEIEELKAKLLRAEELIQEIQ
+>Q9H5N1_PF09311_293 <unknown description>
+EQLQTEGRQLQKDLESVSRERDELQEGLRRSNEDCAKQMQVLLAQVQNSEQLLRTLQGTV
+SQAQERVQLQMAELVTTHKCLHHEVKRLNEENQGLRAEQLPSSAPQGSQQEQGEEESLPS
+SVPELQQLLCCTRQEARARLQAQEHGAERLRIEIVTLREALEEETVARASLEGQLRVQRE
+ETEVLEASLCSLRTEMERVQQEQSK
+>Q9H5N1_PF09311_493 <unknown description>
+QEQSKAQLPDLLSEQRAKVLRLQAELETSEQVQRDFVRLSQALQVRLERIRQAE
+>Q7Z6M1_PF07646_30 <unknown description>
+ARVGHSCSYLPPVGNAKRGKVFIVGGANPNRSFSDVHTMDLGKHQWDLDTCKG
+>Q7Z6M1_PF13415_99 <unknown description>
+DRIWVFGGANQSGNRNCLQVLNPETRTWTTPEVTSPPPSPRTFHTSSAA
+>Q7Z6M1_PF13415_149 <unknown description>
+GNQLYVFGGGERGAQPVQDTKLHVFDANTLTWSQPETLGNPPSPRHGHVMVA
+>Q7Z6M1_PF01344_243 <unknown description>
+CAAHSAVAMGKHVYIFGGMTPAGALDTMYQYHTEEQHWTLL
+>Q5HYI8_PF08477_8 <unknown description>
+KVLVLGDSGVGKSSLVHLLCQNQVLGNPSWTVGCSVDVRVHDYKEGTPEEKTYYIELWDV
+GGSVGSASSVKSTRAVFYNSVNGIIFVHDLTNKKSSQNLRRWSLEAL
+>Q3YEC7_PF00071_45 <unknown description>
+KIVIRGDRNTGKTALWHRLQGRPFVEEYIPTQEIQVTSIHWSYKTTDDIVKVEVWD
+>P29762_PF00061_5 <unknown description>
+AGTWKMRSSENFDELLKALGVNAMLRKVAVAAASKPHVEIRQDGDQFYIKTSTTVRTTEI
+NFKVGEGFEEETVDGRKCRSLATWENENKIHCTQTLLEGDGPKTYWTRELANDELILTFG
+ADDVVCTRIYVRE
+>P29373_PF00061_5 <unknown description>
+SGNWKIIRSENFEELLKVLGVNVMLRKIAVAAASKPAVEIKQEGDTFYIKTSTTVRTTEI
+NFKVGEEFEEQTVDGRPCKSLVKWESENKMVCEQKLLKGEGPKTSWTRELTNDGELILTM
+TADDVVCTRVYVRE
+>Q9UJ41_PF01754_17 <unknown description>
+LLCKKGCGYYGNPAWQGFCSKCWR
+>Q9UJ41_PF18151_158 <unknown description>
+KQTKLFLEGMHYKRDLSIEEQSECAQDFYHNVAERMQTRGKVPPERVEKIMDQIEKYIMT
+RLY
+>Q9UJ41_PF02204_270 <unknown description>
+KAITDIIEMDSKRVPRDKLACITKCSKHIFNAIKITKNEPASADDFLPTLIYIVLKGNPP
+RLQSNIQYITRFCNPSRLMTGEDGYYFTNLCCAVAFIEKLD
+>P63000_PF00071_5 <unknown description>
+KCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAGQEDY
+DRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPNTPIILVGTKLDLRDDKD
+TIEKLKEKKLTPITYPQGLAMAKEIGAVKYLECSALTQRGLKTVFDEAIRAVL
+>P15153_PF00071_5 <unknown description>
+KCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDSKPVNLGLWDTAGQEDY
+DRLRPLSYPQTDVFLICFSLVSPASYENVRAKWFPEVRHHCPSTPIILVGTKLDLRDDKD
+TIEKLKEKKLAPITYPQGLALAKEIDSVKYLECSALTQRGLKTVFDEAIRAVL
+>P60763_PF00071_5 <unknown description>
+KCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAGQEDY
+DRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPHTPILLVGTKLDLRDDKD
+TIERLRDKKLAPITYPQGLAMAREIGSVKYLECSALTQRGLKTVFDEAIRAVL
+>P63244_PF00400_9 <unknown description>
+GTLKGHNGWVTQIATTPQFPDMILSASRDKTIIMWK
+>P63244_PF00400_55 <unknown description>
+PQRALRGHSHFVSDVVISSDGQFALSGSWDGTLRLWD
+>P63244_PF00400_97 <unknown description>
+TTRRFVGHTKDVLSVAFSSDNRQIVSGSRDKTIKLWN
+>P63244_PF00400_146 <unknown description>
+SHSEWVSCVRFSPNSSNPIIVSCGWDKLVKVWN
+>P63244_PF00400_188 <unknown description>
+HIGHTGYLNTVTVSPDGSLCASGGKDGQAMLWD
+>P63244_PF00400_225 <unknown description>
+KHLYTLDGGDIINALCFSPNRYWLCAATGPSIKIWD
+>P63244_PF00400_286 <unknown description>
+CTSLAWSADGQTLFAGYTDNLVRVW
+>O75943_PF03215_73 <unknown description>
+YLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAQVLERQPKQGGSILLITGPPGCGK
+TTTLKILSKEHGIQVQEWINPVLPDFQKDDFKGMFNTESSFHMFPYQSQIAVFKEFLLRA
+TKYNKLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLHEVLRKYVRIGRCPLIFIISDSLS
+GDNNQR
+>Q9NS91_PF13923_24 <unknown description>
+RCGICFEYFNIAMIIPQCSHNYCSLCIRKFLSYKTQCPTC
+>Q9NS91_PF02037_248 <unknown description>
+YNLLSDRDLKKKLKEHGLSIQGNKQQLIKRHQEF
+>O60671_PF02144_17 <unknown description>
+LVASLDNVRNLSTILKAIHFREHATCFATKNGIKVTVENAKCVQANAFIQAGIFQEFKVQ
+EESVTFRINLTVLLDCLSIFGSSPMPGTLTALRMCYQGYGYPLMLFLEEGGVVTVCKINT
+QEPEETLDFDFCSTNVINKIILQSEGLREAFSELDMTSEVLQITMSPDKPYFRLSTFGNA
+GSSHLDYPKDSDLMEAFHCNQTQVNRYKISLLKPSTKALVLSCKVSIRTDNRGFLSLQYM
+I
+>O60216_PF04825_1 <unknown description>
+MFYAHFVLSKRGPLAKIWLAAHWDKKLTKAHVFECNLESSVESIISPKVKMALRTSGHLL
+LGVVRIYHRKAKYLLADCNEAFIKIKMAFRPGVVDLPEENREA
+>O60216_PF04824_575 <unknown description>
+GAESISLLELCRNTNRKQAAAKFYSFLVLKKQQAIELTQEEPYSDIIATPGPRF
+>Q92878_PF13476_6 <unknown description>
+KMSILGVRSFGIEDKDKQIITFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPGTKGNT
+FVHDPKVAQETDVRAQIRLQFRDVNGELIAVQRSMVCTQKSKKTEFKTLEGVITRTKHGE
+KVSLSSKCAEIDREMISSLGVSKAVLNNVIFCHQEDSNWPLSEGKALKQKFDEIFSATRY
+IKALETLRQVRQTQGQKVKEYQMELKYLKQYKEKACEIRDQITSKEAQ
+>Q92878_PF04423_660 <unknown description>
+AGATAVYSQFITQLTDENQSCCPVCQRVFQTEAELQEVISDLQSKLRLAPDKL
+>Q92878_PF13558_1176 <unknown description>
+SDKRRNYNYRVVMLKGDTALDMRGRCSAGQKVLASLIIRLALAETFCLNCGIIALDEPTT
+NLDRENIESLAHAL
+>Q06609_PF14520_32 <unknown description>
+GINANDVKKLEEAGFHTVEAVAYAPKKELINIKGISEAKADKILAEAA
+>Q06609_PF08423_84 <unknown description>
+MGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETGSITEMFGEFRTGKTQICHTLAVT
+CQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGLSGSDVLDNVAYARAFNTDHQTQL
+LYQASAMMVESRYALLIVDSATALYRTDYSGRGELSARQMHLARFLRMLLRLADEFGVAV
+VITNQVVAQVDGAAMFAADPKKPIGGNIIAHASTTRLYLRKGRGETRICKIYDSPCLPEA
+EAMFAINADGVGD
+>P43351_PF04098_36 <unknown description>
+YQAIQKALRQRLGPEYISSRMAGGGQKVCYIEGHRVINLANEMFGYNGWAHSITQQNVDF
+VDLNNGKFYVGVCAFVRVQLKDGSYHEDVGYGVSEGLKSKALSLEKARKEAVTDGLKRAL
+RSFGNALGNCILDKDYLRSLNKL
+>Q92698_PF00176_157 <unknown description>
+QREGVKFLWECVTSRRIPGSHGCIMADEMGLGKTLQCITLMWTLLRQSPECKPEIDKAVV
+VSPSSLVKNWYNEVGKWLGGRIQPLAIDGGSKDEIDQKLEGFMNQRGARVSSPILIISYE
+TFRLHVGVLQKGSVGLVICDEGHRLKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYF
+SLVHFVNSGILGTAHEFKKHFELPILKGRDAAASEADRQLGEERLRELTSIVNRCLIRRT
+SDILSKYLPVKIEQVVCCRLTPLQTELYKRFLRQAKPAEELLEGKMSVSSLSSITSLKKL
+CNHPALI
+>Q92698_PF00271_500 <unknown description>
+VLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRARRYLYVRLDGTMSIKKRAKVVERF
+NSPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPANDEQAMARVWRD
+>Q99638_PF04139_13 <unknown description>
+LGKAVHSLSRIGDELYLEPLEDGLSLRTVNSSRSAYACFLFAPLFFQQYQAATPGQDLLR
+CKILMKSFLSVFRSLAMLEKTVEKCCISLNGRSSRLVVQLHCKFGVRKTHNLSFQDCESL
+QAVFDPASCPHMLRAPARVLGEAVLPFSPALAEVTLGIGRGRRVILRSYHEEEADSTAKA
+MVTEMCLGEEDFQQLQAQEGVAITFCLKEFRGLLSFAESANLNLSIHFDAPGRPAIFTIK
+DSLLDGHFVLATL
+>Q96JH8_PF00788_62 <unknown description>
+PGVLKVFGDSVCTGTHYKSVLATGTSSARELVKEALERYALDPRQAGQYVLCDVVGQAGD
+AGQRWQARCFRVFGDSEKPLLIQELWKPREGLSRRFELRKRSD
+>Q96JH8_PF01843_635 <unknown description>
+QMLAYLFFFSGTLLLNQLLDRGPSLSCFHWPRGVQACARLQQLLEWMRSAGFGAAGEHFF
+QKLSCTLNLLATPRAQLIQMSWTALRAAFPALSPAQLHRLLTHYQLA
+>Q96JH8_PF00595_977 <unknown description>
+VELERGPSGLGMGLIDGMHTHLGAPGLYIQTLLPGSPAAADGRLSLGDRILEVNGSSLLG
+LGYLRAVDLIRHGGKKMRFLV
+>P35241_PF09379_9 <unknown description>
+VTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLKLNKKVTQQ
+DV
+>P35241_PF00373_91 <unknown description>
+EELIQEITQRLFFLQVKEAILNDEIYCPPETAVLLASYAVQAKYGDYNKEIHKPGYLAND
+RLLPQRVLEQHKLTKEQWEERIQNWHEEHRGMLREDSMMEYLKIAQDLEMYGVNYF
+>P35241_PF09380_210 <unknown description>
+NKKGTELWLGVDALGLNIYEHDDKLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVF
+YAPRLRINKRILALCMGNHELYMRRRKPD
+>P35241_PF00769_337 <unknown description>
+KERIEREKEELMERLKQIEEQTIKAQKELEEQTRKALELDQERKRAKEEAERLEKERRAA
+EEAKSAIAKQAADQMKNQEQLAAELAEFTAKIALLEEAKKKKEEEATEWQHKAFAAQEDL
+EKTKEELKTVMSAPPPPPPPPVIPPTENEHDEHDENNAEASAELSNEGVMNHRSEEERVT
+ETQKNERVKKQLQALSSELAQARDETKKTQNDVLHAENVKAGRDKYKTLRQIRQGNTKQR
+IDEFEAM
+>Q6NSI4_PF17659_2 <unknown description>
+SGESGQPEAGPSHAGLDWPNPERNRAGVPGGVIRRAGSQGPRSWIQKVLEQIMDSPRQCV
+TPSEVVPVTVLAVQRYLLEDEPRDTVPKPPLYCYDVTISDGVYQEKCYLDPSLNSLVYQN
+ILKVGIQMRISRVSCLYNEKRIGQGILCIDNVHCGETSDSISLETPFRNRAHQEKPERPL
+RGGKSHYLALWNNEDPYGDIWLTDKQPEEHNFSDTKIISLSHLEMTWTNRRNFPALLVRI
+LHKSKLRYYGKPDKKMIEPYQTFLEVADSSGTVSVIMWNALCPEWYKSLRVGLVLLLQDY
+SVKKSYPFRIQPVPVDPQIKLISTMEICLNLRDPPTNIIIIPEKQVKPEWRLPKLNHRFT
+TRSELDDMPENCICDVIGLLVFVGRVQRSKKKENREDFWSYRWIHIADGTSEQPFIVELF
+STSQPEIFENIYPMAYFVCTQLKVVRNDNQVPKLLYLTTTNESGVFITGHRGQPYTYDAK
+VKNFIQWIRTKSDSGEQKNMVIGGYYPYPPVPETFSKYSSSIKVESLLTAISEVRKEIED
+LQYREQKRIAIQGIITAIKYIPHSSATESASASETLRNANRPSTSQAARVEIQERNGKRH
+QDDEPVNSQYFQTTSTNLSLSNKIRILQGPHANPVAVPQPGASVQTKGIKPGMPSIFNRR
+ANINANLQGKARKTISDRWESQLWREKKFGLIDHLHYSRVYPESIPRKFMFEHRKFLSDQ
+YNSQPAKYVPPEGRPPKLDDFKSARSLGHFEVTILGLNHEIAIDVAFLPMYCPEDIRTSQ
+IDTLLTSMNYSCAYPQDTTGNDRLPGPRAVAGDIIKAATELDRVHIVGILDICNLGNNKV
+EVYLHKIY
+>P55042_PF00071_93 <unknown description>
+KVLLLGAPGVGKSALARIFGGVEDGPEAEAAGHTYDRSIVVDGEEASLMVYDIWEQDGGR
+WLPGHCMAMGDAYVIVYSVTDKGSFEKASELRVQLRRARQTDDVPIILVGNKSDLVRSRE
+VSVDEGRACAVVFDCKFIETSAALHHNVQALFEGVVRQIR
+>Q8TD07_PF00129_31 <unknown description>
+HSLCFNFTIKSLSRPGQPWCEAQVFLNKNLFLQYNSDNNMVKPLGLLGKKVYATSTWGEL
+TQTLGEVGRDLRMLLCDIKPQIKTSDPSTLQVEMFCQREAERCTGASWQFATNGEKSLLF
+DAMNMTWTVINHEASKIKETWKKDRGLEKYFRKLSKGDCDHWLREFL
+>P78406_PF00400_85 <unknown description>
+HTGPVLDVCWSDDGSKVFTASCDKTAKMWD
+>P78406_PF00400_138 <unknown description>
+APNYSCVMTGSWDKTLKFWD
+>P24386_PF00996_7 <unknown description>
+SEFDVIVIGTGLPESIIAAACSRSGRRVLHVDSRSYYGGNWASFSFSGLLSWLKEYQENS
+>P24386_PF00996_221 <unknown description>
+IKEGRRFNIDLVSKLLYSRGLLIDLLIKSNVSRYAEFKNITRILAFREGRVEQVPCSRAD
+VFNSKQLTMVEKRMLMKFLTFCMEYEKYPDEYKGYEEITFYEYLKTQKLTPNLQYIVMHS
+IAMTSETASSTIDGLKATKNFLHCLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCL
+RHSVQCLVVDKESRKCKAIIDQFGQRIISEHFLVEDSYFPENMCSRVQYRQISRAVLITD
+RSVLKTDSDQQISILTVPAEEPGTFAVRVIELCSSTMTCMKGTYLVHLTCTSSKTA
+>P26374_PF00996_7 <unknown description>
+TEFDVVIIGTGLPESILAAACSRSGQRVLHIDSRSYYGGNWASFSFSGLLSWLKEYQQNN
+DIGE
+>P26374_PF00996_224 <unknown description>
+KEGRRFNIDLVSKLLYSQGLLIDLLIKSDVSRYVEFKNVTRILAFREGKVEQVPCSRADV
+FNSKELTMVEKRMLMKFLTFCLEYEQHPDEYQAFRQCSFSEYLKTKKLTPNLQHFVLHSI
+AMTSESSCTTIDGLNATKNFLQCLGRFGNTPFLFPLYGQGEIPQGFCRMCAVFGGIYCLR
+HKVQCFVVDKESGRCKAIIDHFGQRINAKYFIVEDSYLSEETCSNVQYKQISRAVLITDQ
+SILKTDLDQQTSILIVPPAEPGACAVRVTELCSSTMTCMKDTYLVHLT
+>P04049_PF02196_57 <unknown description>
+TIRVFLPNKQRTVVNVRNGMSLHDCLMKALKVRGLQPECCAVFRLLHEHKGKKARLDWNT
+DAASLIGEELQV
+>P04049_PF00130_139 <unknown description>
+HNFARKTFLKLAFCDICQKFLLNGFRCQTCGYKFHEHCSTKVPTMCVD
+>P04049_PF07714_351 <unknown description>
+LSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPEQFQAFRNEVAVLRKTRHVNILLFMG
+YMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMK
+SNNIFLHEGLTVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEVIRMQDNNPFSFQSD
+VYSYGIVLYELMTGELPYSHINNRDQIIFMVGRGYASPDLSKLYKNCPKAMKRLVADCVK
+KVKEERPLFPQILSS
+>P15918_PF12560_12 <unknown description>
+SSAPDEIQHPHIKFSEWKFKLFRVRSFEKTPEEAQKEKKDSFEGKPSLEQSPAVLDKADG
+QKPVPTQPLLKAHPKFSKKFHDNEKARGKAIHQANLRHLCRICGNSFRADEHNRRYPVHG
+PVDGKTLGLLRKKEKRATSWPDLIAKVFRIDVKADVDSIHPTEFCHNCWSIMHRKFSSAP
+CEVYFPRNVTMEWHPHTPSCDICNTARRGLKRKSLQPNLQLSKKLKTVLDQARQARQHKR
+RAQARISSKDVMKKIANCSKIHLSTKLLAVDFPEHFVKSI
+>P15918_PF13923_293 <unknown description>
+CQICEHILADPVETNCKHVFCRVCILRCLKVMGSYCPSC
+>P15918_PF10426_354 <unknown description>
+LMVKCPAKECNEEVSLEKYNHHISSHKESK
+>P15918_PF12940_386 <unknown description>
+FVHINKGGRPRQHLLSLTRRAQKHRLRELKLQVKAFADKEEGGDVKSVCMTLFLLALRAR
+NEHRQADELEAIMQGKGSGLQPAVCLAIRVNTFLSCSQYHKMYRTVKAITGRQIFQPLHA
+LRNAEKVLLPGYHHFEWQPPLKNVSSSTDVGIIDGLSGLSSSVDDYPVDTIAKRFRYDSA
+LVSALMDMEEDILEGMRSQDLDDYLNGPFTVVVKESCDGMGDVSEKHGSGPVVPEKAVRF
+SFTIMKITIAHSSQNVKVFEEAKPNSELCCKPLCLMLADESDHETLTAILSPLIAEREAM
+KSSELMLELGGILRTFKFIFRGTGYDEKLVREVEGLEASGSVYICTLCDATRLEASQNLV
+FHSITRSHAENLERYEVWRSNPYHESVEELRDRVKGVSAKPFIETVPSIDALHCDIGNAA
+EFYKIFQLEIGEVYKNPNASKEERKRWQATLDKHLRKKMNLKPIMRMNGNFARKLMTKET
+VDAVCELIPSEERHEALRELMDLYLKMKPVWRSSCPAKECPESLCQYSFNSQRFAELLST
+KFKYRYEGKITNYFHKTLAHVPEIIERDGSIGAWASEGNESGNKLFRRFRKMNARQSKCY
+EMEDVLKHHWLYTSKYLQKFMNAHNALKTSGFTMNP
+>P55895_PF03089_51 <unknown description>
+KHNHVKLKPTIFSKDSCYLPPLRYPATCTFKGSLESEKHQYIIHGGKTPNNEVSDKIYVM
+SIVCKNNKKVTFRCTEKDLVGDVPEARYGHSINVVYSRGKSMGVLFGGRSYMPSTHRTTE
+KWNSVADCLPCVFLVDFEFGCATSYILPELQDGLSFHVSIAKNDTIYILGGHSLANNIRP
+ANLYRIRVDLPLGSPAVNCTVLPGGISVSSAILTQTNNDEFVIVGGYQLENQKRMICNII
+SLEDNKIEIREMETPDWTPDIKHSKIWFGSNMGNGTVFLGIPGDNKQVVSEGFYFYMLKC
+AEDDTNEEQTTFTNSQTSTEDPGDSTPFEDSEEFCFSAE
+>P55895_PF13341_414 <unknown description>
+GYWITCCPTCDVDINTWVPFYSTELNKPAMIYCSHGDGHWVHAQCMDLAERTLIHLSAGS
+NKYYCNEHVEIARALHTP
+>Q15109_PF00047_23 <unknown description>
+AQNITARIGEPLVLKCKGAPKKPPQRLEWKLNTGRTEAWKVLSPQGGGPWDSVARVLPNG
+SLFLPAVGIQDEGIFRCQAMNRNGKETK
+>Q15109_PF08205_124 <unknown description>
+PEIVDSASELTAGVPNKVGTCVSEGSYPAGTLSWHLDGKPLVPNEKGVSVKEQTRRHPET
+GLFTLQSELMVTPARGGDPRPTFSCSFSPGLPRHR
+>Q15109_PF13895_242 <unknown description>
+VEPEGGAVAPGGTVTLTCEVPAQPSPQIHWMKDGVPLPLPPSPVLILPEIGPQDQGTYSC
+VATHSSHGPQESRAVSI
+>P46060_PF13516_114 <unknown description>
+LVELDLSDNAFGPDGVQ
+>P46060_PF13516_235 <unknown description>
+NPLLRVINLNDNTFTEKGAVAMA
+>P46060_PF13516_293 <unknown description>
+PKLKELNLSFCEIKRD
+>P46060_PF13516_323 <unknown description>
+LEKLDLNGNTLGEEGCEQL
+>P46060_PF07834_409 <unknown description>
+TPSRKILDPNTGEPAPVLSSPPPADVSTFLAFPSPEKLLRLGPKSSVLIAQQTDTSDPEK
+VVSAFLKVSSVFKDEATVRMAVQDAVDALMQKAFNSSSFNSNTFLTRLLVHMGLLKSEDK
+VKAIANLYGPLMALNHMVQQDYFPKALAPLLLAFVTKPNSALESCSFARHSLLQTLY
+>Q9P0K7_PF13857_50 <unknown description>
+DSEGKTAFHLAAAKGHVECLRVMITHGVDVTAQDTTGHSALHLA
+>Q9P0K7_PF12796_97 <unknown description>
+SHHECIRKLLQSKCPAESVDSSGKTALHYAAAQGCLQAVQILCEHKSPINLKDLDGNIPL
+LLAVQNGHSEICHFLLDHGADVNSRN
+>Q9P0K7_PF00023_185 <unknown description>
+GRTALMLACEIGSSNAVEALIKKGADLNLVD
+>Q7Z5J4_PF13771_1825 <unknown description>
+HEACAVWTGGVYLVAGKLFGLQEAMKVAVDMMCSSCQEAGATIGCCHKGCLHTYHYPCAS
+DAGCIFIEENFSLKCPKHK
+>Q9Y5P3_PF15279_105 <unknown description>
+NPNGNATYVMTTQGPVQLPVVLEQHVFQHLNSPLVLPQEAPCSSSTIHNNLFQGAEDPEA
+QPQLLDLRIPSQPQEPTLPFEAVLQNLFPSQGTLGPPPCQPPPGYAPVPPQPFSSPLSPL
+VPPATLLVPYPVIVPLPVPVPIPIPI
+>Q8NFJ5_PF00003_22 <unknown description>
+DKAEAWGIVLETVATAGVVTSVAFMLTLPILVCKVQDSNRRKMLPTQFLFLLGVLGIFGL
+TFAFIIGLDGSTGPTRFFLFGILFSICFSCLLAHAVSLTKLVRGRKPLSLLVILGLAVGF
+SLVQDVIAIEYIVLTMNRTNVNVFSELSAPRRNEDFVLLLTYVLFLMALTFLMSSFTFCG
+SFTGWKRHGAHIYLTMLLSIAIWVAWITLLMLPDFDRRWDDTILSSALAANGWVFLLAYV
+SP
+>Q5U651_PF00788_145 <unknown description>
+PGVLKIFGAGLASGANYKSVLATARSTARELVAEALERYGLAGSPGGGPGESSCVDAFAL
+CDALGRPAAAGVGSGEWRAEHLRVLGDSERPLLVQELWRARPGWARRFELRGRE
+>Q5U651_PF01843_770 <unknown description>
+QTFGYLFFFSNASLLNSLMERGQGRPFYQWSRAVQIRTNLDLVLDWLQGAGLGDIATEFF
+RKLSMAVNLLCVPRTSLLKASWSSLRTDHPTLTPAQLHHLLSHYQL
+>P11233_PF00071_16 <unknown description>
+KVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGEEVQIDILDTAGQEDY
+AAIRDNYFRSGEGFLCVFSITEMESFAATADFREQILRVKEDENVPFLLVGNKSDLEDKR
+QVSVEEAKNRAEQWNVNYVETSAKTRANVDKVFFDLMREIR
+>P11234_PF00071_16 <unknown description>
+KVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGEEVQIDILDTAGQEDY
+AAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQILRVKAEEDKIPLLVVGNKSDLEER
+RQVPVEEARSKAEEWGVQYVETSAKTRANVDKVFFDLMREIR
+>Q86SE5_PF00076_23 <unknown description>
+VFIGNLNTAIVKKVDIEAIFSKYGKIVGCSVHKGYAFVQYMSERHARAAVAGENARVIAG
+QPL
+>Q9UKM9_PF00076_23 <unknown description>
+VFIGNLNTALVKKSDVETIFSKYGRVAGCSVHKGYAFVQYSNERHARAAVLGENGRVLAG
+QTL
+>Q9BTL3_PF15320_10 <unknown description>
+KFEEMFASRFTENDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNRLQDNRQFRGRDN
+RWGWPSDNRSNQWHGRSW
+>O60894_PF04901_38 <unknown description>
+ELCLTQFQVDMEAVGETLWCDWGRTIRSYRELADCTWHMAEKLGCFWPNAEVDRFFLAVH
+GRYFRSCPISGRAVRDPPGSILYPFIVVPITVTLLVTALVVWQSKRTE
+>O60895_PF04901_66 <unknown description>
+QFCWNHYKDQMDPIEKDWCDWAMISRPYSTLRDCLEHFAELFDLGFPNPLAERIIFETHQ
+IHFANCSLVQPTFSDPPEDVLLAMIIAPICLIPFLITLVVWRSKDSE
+>O60896_PF04901_39 <unknown description>
+LCGKAFADMMGKVDVWKWCNLSEFIVYYESFTNCTEMEANVVGCYWPNPLAQGFITGIHR
+QFFSNCTVDRVHLEDPPDEVLIPLIVIPVVLTVAMAGLVVWRSKRTD
+>Q9H6Z4_PF00638_390 <unknown description>
+EVITGEEAESNVLQMQCKLFVFDKTSQSWVERGRGLLRLNDMASTDDGTLQSRLVMRTQG
+SLRLILNTKLWAQMQIDKASEKSIRITAMDTEDQGVKVFLISASSKDT
+>Q96S59_PF00622_214 <unknown description>
+IYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGP
+TFTTGDVIGCCVNLINNTCFYTKNGHSLGIAFTDLPPNLYPTVGLQTPGEVVDANFGQ
+>Q96S59_PF08513_368 <unknown description>
+MIQKMVSSYLVHHGYCATAEAFARS
+>Q96S59_PF10607_403 <unknown description>
+SIKNRQRIQKLVLAGRMGEAIETTQQLYPSLLERNPNLLFTLKVRQFIEMVNGTDSEVRC
+LGGRSPKSQDSYPVSPRPFSSPSMSPSHGMNIHNLASGKGSTAHFSGFESCSNGVISNKA
+HQSYCHSNKHQSSNLNVPELNSINMSRSQQVNNFTSNDVDMETDHYSNGVGETSSNGFLN
+GSSKHDHEMEDCDTEMEVDSSQLRRQLCGGSQAAIERMIHFGRELQAMSEQLRRDCGKNT
+ANKKMLKDAFSLLAYSDPWNSPVGNQLDPIQREPVCSALNSAILETHNLPKQPPLALAMG
+QATQCL
+>P62826_PF00071_12 <unknown description>
+KLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGPIKFNVWDTAGQEK
+FGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPNWHRDLVRVCENIPIVLCGNKVDIKDRKV
+KAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLARKL
+>P62834_PF00071_5 <unknown description>
+KLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAGTEQF
+TAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDVPMILVGNKCDLEDER
+VVGKEQGQNLARQWCNCAFLESSAKSKINVNEIFYDLVRQIN
+>P61224_PF00071_5 <unknown description>
+KLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDAQQCMLEILDTAGTEQF
+TAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTDDVPMILVGNKCDLEDER
+VVGKEQGQNLARQWNNCAFLESSAKSKINVNEIFYDLVRQIN
+>P10114_PF00071_5 <unknown description>
+KVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQF
+ASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYEKVPVILVGNKVDLESER
+EVSSSEGRALAEEWGCPFMETSAKSKTMVDELFAEIVRQM
+>P61225_PF00071_5 <unknown description>
+KVVVLGSGGVGKSALTVQFVTGSFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQF
+ASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYERVPMILVGNKVDLEGER
+EVSYGEGKALAEEWSCPFMETSAKNKASVDELFAEIVRQM
+>Q9Y3L5_PF00071_5 <unknown description>
+KVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQF
+ASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIVRVKRYEKVPLILVGNKVDLEPER
+EVMSSEGRALAQEWGCPFMETSAKSKSMVDELFAEIVRQM
+>Q70E73_PF00788_270 <unknown description>
+KLVIRVHMSDDSSKTMMVDERQTVRQVLDNLMDKSHCGYSLDWSLVETVSELQMERIFED
+HENLVENLLNWTRDSQNKLIFMER
+>Q70E73_PF00169_398 <unknown description>
+EIEGVLWLKDDGKKSWKKRYFLLRASGIYYVPKGKAKVSRDLVCFLQLDHVNVYYGQDYR
+NKYKAPTDYCLVLKHPQIQKKSQYIKYLCCDDVRTLHQWVNGIRIAK
+>Q13702_PF10579_1 <unknown description>
+MGQDQTKQQIEKGLQLYQSNQTEKALQVWTKVLEKSSDLMGRFRVLGCLVTAHSEMGRYK
+EMLKFAVVQIDTARELEDAD
+>Q13702_PF17874_139 <unknown description>
+FQKALESFEKALRYAHNNDDAMLECRVCCSLGSFYAQVKDYEKALFFPCKAAELVNNYGK
+GWSLKYRAMSQYHMAVAYRLLGRLGSAMECCEESMKIALQHGDRPLQALCLLCFADIHRS
+RGDLETAFPRYDSAMSIMTEIGNRLGQVQALLGVAKCWVARKALDKALDAIERAQDLAEE
+VG
+>Q13702_PF13639_363 <unknown description>
+CGLCGESIGEKNSRLQALPCSHIFHLRCLQNNGTRSCPNCR
+>P10276_PF00105_87 <unknown description>
+PCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMVYTCHRDKNCIINKVTRNRCQYCRLQ
+KCFEVGMSK
+>P10276_PF00104_227 <unknown description>
+KFSELSTKCIIKTVEFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTF
+SDGLTLNRTQMHNAGFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPD
+RVDMLQEPLLEALKVYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLK
+>P10826_PF00105_80 <unknown description>
+PCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMIYTCHRDKNCVINKVTRNRCQYCRLQ
+KCFEVGMSK
+>P10826_PF00104_220 <unknown description>
+KFSELATKCIIKIVEFAKRLPGFTGLTIADQITLLKAACLDILILRICTRYTPEQDTMTF
+SDGLTLNRTQMHNAGFGPLTDLVFTFANQLLPLEMDDTETGLLSAICLICGDRQDLEEPT
+KVDKLQEPLLEALKIYIRKRRPSKPHMFPKILMKITDLRSISAKGAERVITLK
+>P13631_PF00105_89 <unknown description>
+PCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQKNMVYTCHRDKNCIINKVTRNRCQYCRLQ
+KCFEVGMSK
+>P13631_PF00104_229 <unknown description>
+KFSELATKCIIKIVEFAKRLPGFTGLSIADQITLLKAACLDILMLRICTRYTPEQDTMTF
+SDGLTLNRTQMHNAGFGPLTDLVFAFAGQLLPLEMDDTETGLLSAICLICGDRMDLEEPE
+KVDKLQEPLLEALRLYARRRRPSQPYMFPRMLMKITDLRGISTKGAERAIT
+>C9J798_PF00168_6 <unknown description>
+SLYIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEEYQVHLPPTF
+HAVAFYVMDEDALSRDDVIGKVCLTRDTIASH
+>C9J798_PF00168_134 <unknown description>
+RLRCSVLEARDLAPKDRNGTSDPFVRVRYKGRTRETSIVKKSCYPRWNETFEFELQEGAM
+EALCVEAWDWDLVSRNDFLGKVVIDVQRLRVVQQEEGWFRL
+>C9J798_PF00616_323 <unknown description>
+FLDLLFQLELSRTSETNTLFRSNSLASKSVESFLKVAGMQYLHGVLGPIINKVFEEKKYV
+ELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLGALLSALSRSVRACPAVVRATF
+RQLFRRVRERFPGAQHENVPFIAVTSFLCLRFFSPAIMSPKLFHLRERHADARTSRTLLL
+LAKAVQNVG
+>C9J798_PF00169_568 <unknown description>
+VKEGPLFIHRTKGKGPLMSSSFKKLYFSLTTEALSFAKTPSSKKSALIKLANIRAAEKVE
+EKSFGGSHVMQVIYTDDAGRPQTAYLQCKCVNELNQWLSALRKV
+>C9J798_PF00779_681 <unknown description>
+SYHPGVFRGDKWSCCHQKEKTGQGCDKTRS
+>P20936_PF00017_181 <unknown description>
+WYHGKLDRTIAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQMNVVNHFRIIAMCGDYY
+IGGRRFSSLSDLIGYY
+>P20936_PF00018_285 <unknown description>
+RAILPYTKVPDTDEISFLKGDMFIVHNELEDGWMWVTNLRTDEQGLI
+>P20936_PF00017_351 <unknown description>
+WFHGKISKQEAYNLLMTVGQVCSFLVRPSDNTPGDYSLYFRTNENIQRFKICPTPNNQFM
+MGGRYYNSIGDIIDHY
+>P20936_PF00169_476 <unknown description>
+VKKGYLLKKGKGKRWKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLF
+GRPNCFQIVVQHFSEEHYIFYFAGETPEQAEDWMKGLQAFC
+>P20936_PF00168_595 <unknown description>
+SLVLHIEEAHKLPVKHFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRF
+EITLSNKTKKSKDPDILFMRCQLSRLQKGHATDEWFL
+>P20936_PF00616_838 <unknown description>
+NEDVNTNLTHLLNILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNTTMRTRVVS
+GFVFLRLICPAILNPRMFNIISDSPSPIAARTLILVAKSVQNLAN
+>Q15283_PF00168_39 <unknown description>
+SLRGKICEAKNLLPYLGPHKMRDCFCTINLDQEEVYRTQVVEKSLSPFFSEEFYFEIPRT
+FQYLSFYVYDKNVLQRDLRIGKVAIKKEDLCNHSGKETWFSL
+>Q15283_PF00168_172 <unknown description>
+QLVVHIKACHGLPLINGQSCDPYATVSLVGPSRNDQKKTKVKKKTSNPQFNEIFYFEVTR
+SSSYTRKSQFQVEEEDIEKLEIRIDLWNNGNLVQDVFLGEIKVPVNVLRTDSSHQAWYL
+>Q15283_PF00616_380 <unknown description>
+AVAELDLKDTQDANTIFRGNSLATRCLDEMMKIVGGHYLKVTLKPILDEICDSSKSCEID
+PIKLKE
+>Q15283_PF00616_448 <unknown description>
+NVENNKENLRYYVDKLFNTIVKSSMSCPTVMCDIFYSLRQMATQRFPNDPHVQYSAVSSF
+VFLRFFAVAVVSPHTFHLRPHHPDAQTIRTLTLISKTIQTL
+>Q15283_PF00169_607 <unknown description>
+KEGEMYKRAQGRTRIGKKNFKKRWFCLTSRELTYHKQPGKDAIYTIPVKNILAVEKLEES
+SFNKKNMFQVIHTEKPLYVQANNCVEANEWIDVLCRVS
+>Q15283_PF00779_714 <unknown description>
+YHPSVYLNGNWLCCQETGENTLGCKPCTA
+>Q14644_PF00168_13 <unknown description>
+SVKIKIGEAKNLPSYPGPSKMRDCYCTVNLDQEEVFRTKIVEKSLCPFYGEDFYCEIPRS
+FRHLSFYIFDRDVFRRDSIIGKVAIQKEDLQKYHNRDTWFQL
+>Q14644_PF00168_146 <unknown description>
+KLATRIVECQGLPIVNGQCDPYATVTLAGPFRSEAKKTKVKRKTNNPQFDEVFYFEVTRP
+CSYSKKSHFDFEEEDVDKLEIRVDLWNASNLKFGDEFLGELRIPLKVLRQSSSYEAWY
+>Q14644_PF00616_351 <unknown description>
+FISAIASAEVKRTQDPNTIFRGNSLASKCIDETMKLAGMHYLHVTLKPAIEEICQSHKPC
+EIDPVKLKD
+>Q14644_PF00616_422 <unknown description>
+NLENNMENLRQYVDRVFHAITESGVSCPTVMCDIFFSLREAAAKRFQDDPDVRYTAVSSF
+IFLRFFAPAILSPNLFQLTPHHTDPQTSRTLTLISKTVQTL
+>Q14644_PF00169_577 <unknown description>
+VLKEGFMIKRAQGRKRFGMKNFKKRWFRLTNHEFTYHKSKGDQPLYSIPIENILAVEKLE
+EESFKMKNMFQVIQPERALYIQANNCVEAKDWIDILTKV
+>Q14644_PF00779_686 <unknown description>
+YHPSAYLSGHWLCCRAPSDSAPGCSPCT
+>Q9Y272_PF00071_26 <unknown description>
+RMVILGSSKVGKTAIVSRFLTGRFEDAYTPTIEDFHRKFYSIRGEVYQLDILDTSGNHPF
+PAMRRLSILTGDVFILVFSLDNRDSFEEVQRLRQQILDTKSCLKNKTKENVDVPLVICGN
+KGDRDFYREVDQREIEQLVGDDPQRCAYFEISAKKNSSLDQMFRALF
+>Q8IZ41_PF13499_12 <unknown description>
+RLRSVFAACDANRSGRLEREEFRALCTELRVRPADAEAVFQRLDADRDGAITFQEFAR
+>Q8IZ41_PF00071_543 <unknown description>
+KIVLAGDAAVGKSSFLMRLCKNEFRENISATLGVDFQMKTLIVDGERTVLQLWDTAGQER
+FRSIAKSYFRKADGVLLLYDVTCEKSFLNIREWVDMIEDAAHETVPIMLVGNKADIRDTA
+ATEGQKCVPGHFGEKLAMTYGALFCETSAKDGSNIVEAVLHLAREVK
+>Q7Z444_PF00071_43 <unknown description>
+KAVVVGASGVGKSALTIQLNHQCFVEDHDPTIQDSYWKELTLDSGDCILNVLDTAGQAIH
+RALRDQCLAVCDGVLGVFALDDPSSLIQLQQIWATWGPHPAQPLVLVGNKCDLVTTAGDA
+HAAAAALAHSWGAHFVETSAKTRQGVEEAFSLLVHEIQ
+>Q9NS23_PF00130_52 <unknown description>
+HRFQPAGPATHTWCDLCGDFIWGVVRKGLQCAHCKFTCHYRCRALVCLDC
+>Q9NS23_PF00788_204 <unknown description>
+FYLPKDAVKHLHVLSRTRAREVIEALLRKFLVVDDPRKFALFERAERHGQVYLRKLLDDE
+QPLRLRLLAGPSDKALSFVLKEND
+>Q9NS23_PF16517_295 <unknown description>
+AFSMPELHNFLRILQREEEEHLRQILQKYSYCRQKIQEAL
+>P50749_PF00788_179 <unknown description>
+KTSVFTPAYGSVTNVRINSTMTTPQVLKLLLNKFKIENSAEEFALYVVHTSGEKQKLKAT
+DYPLIARILQGPCEQISKVFLMEKDQ
+>P50749_PF16517_277 <unknown description>
+KFEMPVLKSFIQKLQEEEDREVKKLMRKYTVLRLMIRQRL
+>Q86WH2_PF00788_79 <unknown description>
+YTGFIKVQMELCKPPQTSPNSGKLSPSSNGCMNTLHISSTNTVGEVIEALLKKFLVTESP
+AKFALYKRCHREDQVYACKLSDREHPLYLRLVAGPRTDTLSFVLREHE
+>Q86WH2_PF16517_192 <unknown description>
+AFSLPELQNFLRILDKEEDEQLQNLKRRYTAYRQKLEEAL
+>Q9H2L5_PF00788_177 <unknown description>
+KTSVFTPAYGSVTNVRVNSTMTTLQVLTLLLNKFRVEDGPSEFALYIVHESGERTKLKDC
+EYPLISRILHGPCEKIARIFLME
+>Q9H2L5_PF16517_275 <unknown description>
+KFEMPVLDSFVEKLKEEEEREIIKLTMKFQALRLTMLQRL
+>Q8WWW0_PF00130_123 <unknown description>
+HCFAELVLPGGPGWCDLCGREVLRQALRCTNCKFTCHPECRSLIQLDCS
+>Q8WWW0_PF00788_280 <unknown description>
+FYLPLDAIKQLHISSTTTVSEVIQGLLKKFMVVDNPQKFALFKRIHKDGQVLFQKLSIAD
+RPLYLRLLAGPDTEVLSFVLKENE
+>Q8WWW0_PF16517_371 <unknown description>
+AFSIPELQNFLTILEKEEQDKIQQVQKKYDKFRQKLEEAL
+>Q6ZTQ3_PF00788_191 <unknown description>
+SIFIPAFESETKVRVNSNMRTEEVIKQLLQKFKIENSPQDFALHIIFATGEQRRLKKTDI
+PLLQRLLQGPSEKNARIFLMDKD
+>Q6ZTQ3_PF16517_286 <unknown description>
+NFHFSLLESILQRLNEEEKREIQRIVTKFNKEKAIILKCL
+>Q02833_PF00788_9 <unknown description>
+ELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQLLPQECPVG
+AQATCGQFASDVQFVLRRT
+>Q8NHQ8_PF00788_2 <unknown description>
+ELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPII
+SLNKWGQYASDVQLILRRT
+>P01112_PF00071_5 <unknown description>
+KLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEY
+SAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAART
+VESRQAQDLARSYGIPYIETSAKTRQGVEDAFYTLVREIR
+>P01116_PF00071_5 <unknown description>
+KLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEY
+SAMRDQYMRTGEGFLCVFAINNTKSFEDIHHYREQIKRVKDSEDVPMVLVGNKCDLPSRT
+VDTKQAQDLARSYGIPFIETSAKTRQGVDDAFYTLVREIR
+>O95294_PF00168_6 <unknown description>
+SLNVRVVEGRALPAKDVSGSSDPYCLVKVDDEVVARTATVWRSLGPFWGEEYTVHLPLDF
+HQLAFYVLDEDTVGHDDIIGKISLSREAITADPRGIDSWINL
+>O95294_PF00168_135 <unknown description>
+LRCHVLQARDLAPRDISGTSDPFARVFWGSQSLETSTIKKTRFPHWDEVLELREMPGAPS
+PLRVELWDWDMVGKNDFLGMVEFSPKTLQQKPPKGWFRL
+>O95294_PF00616_322 <unknown description>
+FLDYLTRREVARTMDPNTLFRSNSLASKSMEQFMKLVGMPYLHEVLKPVISRVFEEKKYM
+ELDPCKMDLGRTRRISFKGALSEEQMRETSLGLLTGYLGPIVDAIVGSVGRCPPAMRLAF
+KQLHRRVEERFPQAEHQDVKYLAISGFLFLRFFAPAILTPKLFDLRDQHADPQTSRSLLL
+LAKAVQSIG
+>O95294_PF00169_568 <unknown description>
+VREGYLLKRKEEPAGLATRFAFKKRYVWLSGETLSFSKSPEWQMCHSIPVSHIRAVERVD
+EGAFQLPHVMQVVTQDGTGALHTTYLQCKNVNELNQWLSALRKAS
+>O95294_PF00779_681 <unknown description>
+ACHPGAFRSARWTCCLQAERSAAGCSRTHS
+>O43374_PF00168_6 <unknown description>
+SLYIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEEYQVHLPPTF
+HAVAFYVMDEDALSRDDVIGKVCLTRDTIASH
+>O43374_PF00168_134 <unknown description>
+RLRCSVLEARDLAPKDRNGTSDPFVRVRYKGRTRETSIVKKSCYPRWNETFEFELQEGAM
+EALCVEAWDWDLVSRNDFLGKVVIDVQRLRVVQQEEGWFRL
+>O43374_PF00616_323 <unknown description>
+FLDLLFQLELSRTSETNTLFRSNSLASKSMESFLKVAGMQYLHGVLGPIINKVFEEKKYV
+ELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLGALLSALSRSVRACPAVVRATF
+RQLFRRVRERFPGAQHENVPFIAVTSFLCLRFFSPAIMSPKLFHLRERHADARTSRTLLL
+LAKAVQNVG
+>O43374_PF00169_568 <unknown description>
+VKEGPLFIHRTKGKGPLMSSSFKKLYFSLTTEALSFAKTPSSKKSALIKLANIRAAEKVE
+EKSFGGSHVMQVIYTDDAGRPQTAYLQCKCVNELNQWLSALRKV
+>O43374_PF00779_681 <unknown description>
+SYHPGVFRGDKWSCCHQKEKTGQGCDKTRS
+>Q86YV0_PF00616_483 <unknown description>
+LGTAELARCGGREALLFRENTLATKAIDEYMKLVAQDYLQETLGQVVRRLCASTEDCEVD
+PSKCPA
+>Q86YV0_PF00616_554 <unknown description>
+HQARLRNSCEEVFETIIHSYDWFPAELGIVFSSWREACKERGSEVLGPRLVCASLFLRLL
+CPAILAPSLFGLAPDHPAPGPARTLTLIAKVIQNLAN
+>Q9NYN1_PF00071_23 <unknown description>
+LAILGRRGAGKSALTVKFLTKRFISEYDPNLEDTYSSEETVDHQPVHLRVMDTADLDTPR
+NCERYLNWAHAFLVVYSVDSRQSFDSSSSYLELLALHAKETQRSIPALLLGNKLDMAQYR
+QVTKAEGVALAGRFGCLFFEVSACLDFEHVQHVFHEAVREA
+>O14807_PF00071_15 <unknown description>
+KLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQWAILDVLDTAGQEEF
+SAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFPMILVANKVDLMHLR
+KITREQGKEMATKHNIPYIETSAKDPPLNVDKAFHDLVRVIR
+>P01111_PF00071_5 <unknown description>
+KLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEY
+SAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDLPTRT
+VDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIR
+>Q9HCJ3_PF00076_71 <unknown description>
+ILVKNLPQDSNCQEVHDLLKDYDLKYCYVDRNKRTAFVTLLNGEQAQNAIQMFHQYSFRG
+KDL
+>Q9HCJ3_PF00076_145 <unknown description>
+CITNVPISFTSEEFEELVRAYGNIERCFLVYSEVTGHSKGYGFVEYMKKDFAAKARLELL
+GRQLG
+>Q9HCJ3_PF00076_235 <unknown description>
+IDKLPSDYRDSEELLQIFSSVHKPVFCQLAQDEGSYVGGFAVVEYSTAEQAEEVQQAADG
+MTIKGSKV
+>Q96IS3_PF00046_28 <unknown description>
+RRNRTTFTTYQLHQLERAFEASHYPDVYSREELAAKVHLPEVRVQVWFQNRRAKWRR
+>P62491_PF00071_13 <unknown description>
+KVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTIKAQIWDTAGQER
+YRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIMLVGNKSDLRHLR
+AVPTDEARAFAEKNGLSFIETSALDSTNVEAAFQTILTEIY
+>Q15907_PF00071_13 <unknown description>
+KVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTIKAQIWDTAGQER
+YRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIMLVGNKSDLRHLR
+AVPTDEARAFAEKNNLSFIETSALDSTNVEEAFKNILTEIY
+>Q8IXT5_PF00076_288 <unknown description>
+LKNLSLSIDERDLRNFFRGTDLTDEQIRFLYKDENRTRYAFVMFKTLKDYNTALSLHKTV
+LQYRP
+>Q8IXT5_PF00076_402 <unknown description>
+IYIRNFPFDVTKVEVQKFFADFLLAEDDIYLLYDDKGVGLGEALVKFKSEEQAMKAERLN
+RRRFLGTEV
+>Q8NDT2_PF00076_339 <unknown description>
+LFIGNLDHSVSEVELRRAFEKYGIIEEVVIKRPARGQGGAYAFLKFQNLDMAHRAKVAMS
+GRVIGRNPIK
+>Q8NDT2_PF00076_420 <unknown description>
+LWVGGLGPNTSLAALAREFDRFGSIRTIDHVKGDSFAYIQYESLDAAQAACAKMRGFPLG
+G
+>Q8NDT2_PF07744_713 <unknown description>
+EYAQTLQLGWNGLLVLKNSCFPTSMHILEGDQGVISSLLKDHTSGSKLTQLKIAQRLRLD
+QPKLDEVTRRIKQGSPNGYAVLLATQATPSGLGTEGMPTVEPGLQRRLLRNLVSYLKQKQ
+AAGVISLPVGGSKGRDGTGMLYAFPPCDFSQQYLQSALRTLGKLEEEHMVIVIVR
+>Q9UL26_PF00071_7 <unknown description>
+KVCLLGDTGVGKSSIVWRFVEDSFDPNINPTIGASFMTKTVQYQNELHKFLIWDTAGQER
+FRALAPMYYRGSAAAIIVYDITKEETFSTLKNWVKELRQHGPPNIVVAIAGNKCDLIDVR
+EVMERDAKDYADSIHAIFVETSAKNAININELFIEISRRI
+>P51159_PF00071_11 <unknown description>
+KFLALGDSGVGKTSVLYQYTDGKFNSKFITTVGIDFREKRVVYRASGPDGATGRGQRIHL
+QLWDTAGQERFRSLTTAFFRDAMGFLLLFDLTNEQSFLNVRNWISQLQMHAYCENPDIVL
+CGNKSDLEDQRVVKEEEAIALAEKYGIPYFETSAANGTNISQAIEMLLDLIM
+>O00194_PF00071_11 <unknown description>
+KLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVVYNAQGPNGSSGKAFKVHL
+QLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQANAYCENPDIVL
+IGNKADLPDQREVNERQARELADKYGIPYFETSAATGQNVEKAVETLLDLIM
+>Q14088_PF00071_38 <unknown description>
+KIIVIGDSNVGKTCLTFRFCGGTFPDKTEATIGVDFREKTVEIEGEKIKVQVWDTAGQER
+FRKSMVEHYYRNVHAVVFVYDVTKMTSFTNLKMWIQECNGHAVPPLVPKVLVGNKCDLRE
+QIQVPSNLALKFADAHNMLLFETSAKDPKESQNVESIFMCLAC
+>Q9H082_PF00071_35 <unknown description>
+KIIVIGDSNVGKTCLTYRFCAGRFPDRTEATIGVDFRERAVEIDGERIKIQLWDTAGQER
+FRKSMVQHYYRNVHAVVFVYDMTNMASFHSLPSWIEECKQHLLANDIPRILVGNKCDLRS
+AIQVPTDLAQKFADTHSMPLFETSAKNPNDNDHVEAIFMTLAHKL
+>Q14964_PF00071_10 <unknown description>
+RLIVIGDSTVGKSCLLHRFTQGRFPGLRSPACDPTVGVDFFSRLLEIEPGKRIKLQLWDT
+AGQERFRSITRSYYRNSVGGFLVFDITNRRSFEHVKDWLEEAKMYVQPFRIVFLLVGHKC
+DLASQRQVTREEAEKLSADCGMKYIETSAKDATNVEESFTILTRDIY
+>Q96DA2_PF00071_10 <unknown description>
+RLIVIGDSTVGKSCLIRRFTEGRFAQVSDPTVGVDFFSRLVEIEPGKRIKLQIWDTAGQE
+RFRSITRAYYRNSVGGLLLFDITNRRSFQNVHEWLEETKVHVQPYQIVFVLVGHKCDLDT
+QRQVTRHEAEKLAAAYGMKYIETSARDAINVEKAFTDLTRDIY
+>Q15042_PF13890_615 <unknown description>
+RPEGRLYQHGKLTLLHNGEPLYIPVTQEPAPMTEDLLEEQSEVLAKLGTSAEGAHLRARM
+QSACLLSDMESFKAANPGCSLEDFVRWYSPRDYIEEEVIDEKGNVVLKGELSARMKIPSN
+MWVEAWETAKPIPARRQRRLFDDTREAEKVLHYL
+>Q15042_PF19533_780 <unknown description>
+LLPCVIHAAVLKVKEEESLENISSVKKIIKQIISHSSKVLHFPNPEDKKLEEIIHQITNV
+EALIARARSLKAKFGTEKCEQEEEKEDLERFVSCLLEQPEVLVTGAGRGHAGRIIHKLFV
+NAQRAAAMTPPEEELKRMGSPEERRQNSVSDFPPPAGREFILRTTVPRPAPYSKALPQRM
+YSVLTKEDFRLAGAFSSDTSFF
+>Q8WXH6_PF00071_16 <unknown description>
+KFLLVGDRDVGKSEILESLQDGAAESPYSHLGGIDYKTTTILLDGQRVKLKLWDTSGQGR
+FCTIFRSYSRGAQGVILVYDIANRWSFEGMDRWIKKIEEHAPGVPKILVGNRLHLAFKRQ
+VPREQAQAYAERLGVTFFEVSPLCNFNIIESFTELARIV
+>Q8WXH6_PF07525_189 <unknown description>
+VLSLQDLCCRTIVSCTPVHLVDKLPLPSTLRSHLK
+>Q12829_PF00071_16 <unknown description>
+KFLLVGDSDVGKGEILASLQDGAAESPYGHPAGIDYKTTTILLDGRRVKLQLWDTSGQGR
+FCTIFRSYSRGAQGVILVYDIANRWSFDGIDRWIKEIDEHAPGVPKILVGNRLHLAFKRQ
+VPTEQAQAYAERLGVTFFEVSPLCNFNITESFTELARIV
+>Q12829_PF07525_189 <unknown description>
+VLSLQDLCCRAVVSCTPVHLVDKLPLPIALRSHLK
+>Q96S21_PF00071_16 <unknown description>
+KFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDGRRVKLELWDTSGQGR
+FCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVPRILVGNRLHLAFKRQ
+VPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIV
+>Q96S21_PF07525_189 <unknown description>
+VFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLK
+>P0C0E4_PF00071_16 <unknown description>
+KFLLVGDRDVGKSEILESLQDGTAESPYSHLGGIDYKTTTILLDGQRVKLKLWDTSGQGR
+FCTIFRSYSRGAQGVILVYDIANRWSFEGMDRWIKKIEEHAPGVPKILVGNRLHLAFKRQ
+VPREQAQAYAERLGVTFFEVSPLCNFNIIESFTELARIV
+>P0C0E4_PF07525_189 <unknown description>
+VLSLQDLCCRTIVSCTPVHLVDKLPLPIALRSHLK
+>Q8IUD2_PF10174_154 <unknown description>
+LQTQLKEVLRENDLLRKDVEVKESKLSSSMNSIKTFWSPELKKERALRKDEASKITIWKE
+QYRVVQEENQHMQMTIQALQDELRIQRDLNQLFQQDSSSRTGEPCVAELTEENFQRLHAE
+HERQAKELFLLRKTLEEMELRIETQKQTLNARDESIKKLLEMLQSKGLSAKATEEDHERT
+RRLAEAEMHVHHLESLLEQKEKENSMLREEMHRRFENAPDSAKTKALQTVIEMKDSKISS
+MERGLRDLEEEIQMLKSNGALSTEEREEEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQ
+WEELKKKA
+>Q8IUD2_PF10174_457 <unknown description>
+LKKKAAGLQAEIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQR
+AAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVL
+QKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALAEKERTIERLKEQR
+DRDEREKQEEIDNYKKDLKDLKEKVSLLQGDLSEKEASLLDLKEHASSLASSGLKKDSRL
+KTLEIALEQKKEECLKMESQLKKAHEAALEARASPEMSDRIQHLEREITRYKDESSKAQA
+EVDRLLEILKEVENEKNDKDKKIAELERQVKDQNKKVANLKHKEQVEKKKSAQMLEEARR
+REDNLNDSSQQLQ
+>Q8IUD2_PF10174_834 <unknown description>
+KKDDRIEELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKA
+KLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKK
+TQEEVAALKREKDRLVQQLKQQTQNRMKL
+>Q8IUD2_PF09457_1068 <unknown description>
+TRGQLQDELEKGERDNAELQEFANAILQQIADHCPDILEQ
+>Q8NC74_PF10482_4 <unknown description>
+FMESLNRLKEIHEKEVLGLQNKLLELNSERCRDAQRIEELFSKNHQLREQQKTLKENLRV
+LENRLRAGLCDRCMVTQELARKRQQEFESSHLQNLQRIFILTNEMNGLKEENETLKEEVK
+>Q9NYW8_PF01352_7 <unknown description>
+PVSFKDVAVDFTQEEWQQLDPDEKITYRDVMLENYSHLVSVG
+>Q9NYW8_PF00096_261 <unknown description>
+FECSECGKSFCKKSKFIIHQRAH
+>Q9NYW8_PF00096_289 <unknown description>
+YECNVCGKSFSQKGTLTVHRRSH
+>Q9NYW8_PF00096_317 <unknown description>
+YKCNECGKTFCQKLHLTQHLRTH
+>Q9NYW8_PF00096_345 <unknown description>
+YECSECGKTFCQKTHLTLHQRNH
+>Q9NYW8_PF00096_373 <unknown description>
+YPCNECGKSFSRKSALSDHQRTH
+>Q9NYW8_PF00096_401 <unknown description>
+YKCNECGKSYYRKSTLITHQRTH
+>Q9NYW8_PF00096_429 <unknown description>
+YQCSECGKFFSRVSYLTIHYRSH
+>Q9NYW8_PF00096_457 <unknown description>
+YECNECGKTFNLNSAFIRHRKVH
+>Q9NYW8_PF00096_539 <unknown description>
+YECNVCGKLFNELSYYTEHYRSH
+>Q9NYW8_PF00096_569 <unknown description>
+CSECGKTFSHNSSLFRHQRVH
+>Q9NYW8_PF00096_595 <unknown description>
+YECYECGKFFSQKSYLTIHHRIH
+>Q9NYW8_PF00096_623 <unknown description>
+YECSKCGKVFSRMSNLTVHYRSH
+>Q9NYW8_PF00096_651 <unknown description>
+YECNECGKVFSQKSYLTVHYRTH
+>Q9NYW8_PF00096_679 <unknown description>
+YECNECGKKFHHRSAFNSHQRIH
+>Q09028_PF12265_19 <unknown description>
+EEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTRPEGKDFSIHRLVLGTHTSDEQNH
+LVIASVQLPN
+>Q09028_PF00400_173 <unknown description>
+LRGHQKEGYGLSWNPNLSGHLLSASDDHTICLWD
+>Q09028_PF00400_221 <unknown description>
+TIFTGHTAVVEDVSWHLLHESLFGSVADDQKLMIWD
+>Q09028_PF00400_264 <unknown description>
+KPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVALWD
+>Q09028_PF00400_310 <unknown description>
+LHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWD
+>Q09028_PF00400_367 <unknown description>
+LFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVW
+>Q15291_PF00400_61 <unknown description>
+IISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWD
+>Q7Z6E9_PF08783_4 <unknown description>
+VHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADCDLQITNAQTKEEYTDDNAL
+IPKNSSVIVRRIP
+>Q7Z6E9_PF13696_156 <unknown description>
+PPSYTCFRCGKPGHYIKNCPT
+>Q7Z6E9_PF04564_253 <unknown description>
+IPDELLCLICKDIMTDAVVIPCCGNSYCDECIRTALLESDEHTCPTCHQNDVSPDALIAN
+KFLRQAVNNFKNE
+>Q16576_PF12265_18 <unknown description>
+EEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDYALHWLVLGTHTSDEQNH
+LVVARVHIPN
+>Q16576_PF00400_181 <unknown description>
+GLSWNSNLSGHLLSASDDHTVCLWD
+>Q16576_PF00400_221 <unknown description>
+IFTGHSAVVEDVAWHLLHESLFGSVADDQKLMIWD
+>Q16576_PF00400_264 <unknown description>
+PSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALWD
+>Q16576_PF00400_309 <unknown description>
+LHTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWD
+>Q16576_PF00400_366 <unknown description>
+LFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQIW
+>O75884_PF06821_8 <unknown description>
+VIVPGNGGGDVTTHGWYGWVKKELEKIPGFQCLAKNMPDPITARESIWLPFMETELHCDE
+KTIIIGHSSGAIAAMRYAETHRVYAIVLVSAYTSDLGDENERASGYFTRPWQWEKIKANC
+PYIVQFGSTDDPFLPWKEQQEVADRLETKLHKFTDCGHFQNTEFHELITVVKS
+>Q8TDY2_PF04108_121 <unknown description>
+LALEMYEVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSNYLQ
+SIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRLDSLPEHEDSEKAEMKRSTEL
+VLSPDMPRTTNESLLTSFPKSVEHVSPDTADAESGKEIRESCQSTVHQQDETTIDTKDGD
+LPFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPRIIRPFIAECRQTIAKLDNQNMK
+AIKGLEDRLYALDQMIASCGRLVNEQKELAQGFLANQKRAENLKDASVLPDLCLSHANQL
+MIMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELL
+ERVKIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKRLYEAEKSKRESFGK
+LF
+>Q8TDY2_PF10377_1478 <unknown description>
+QRLMSQSMSSVSSRHSEKIAIRDFQVGDLVLIILDERHDNYVLFTVSPTLYFLHSESLPA
+LDLKPGEGASGASRRPWVLGKVMEKEYCQAKKAQNRFKVPLGTKFYRVKAV
+>Q8N0V3_PF02033_95 <unknown description>
+ALNGLLYKALTDLLCTPEVSQELYDLNVELSKVSLTPDFSACRAYWKTTLSAEQNAHMEA
+VLQRSAAHMRHLLMSQQTLRNVPPIVFVQDKGNAALAELDQLL
+>Q9Y3P9_PF00640_190 <unknown description>
+NVSEGIVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRIHVFR
+CEIQEAVSRILYSFATAFRR
+>Q9Y3P9_PF12473_307 <unknown description>
+FSAVPKDKDRQCFKLRQGIDKKIVIYVQQTTNKELAIERCFGLLLSPGKDVRNSDMHLLD
+LESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFLL
+ETKVRVCSPN
+>Q9Y3P9_PF00566_570 <unknown description>
+ALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSAITRDINRTFPAHDYFKDTGGDGQD
+SLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEEQAFSVLVKIMFDYGLRELFKQNFE
+DLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYASQWFLTLFTAKFPLYMVFHIIDLLL
+CEGISVIFNVALGLLKTSKDDLL
+>Q9H2M9_PF14655_74 <unknown description>
+WLQDCVLSLSPTNDLMVIAREQKAVFLVPKWKYSDKGKEEMQFAVGWSGSLNVEEGECVT
+SALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTENGVLLLAQLLNEDPVLQLKCRTY
+EIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAASGNENIQPPPLAY
+KKWGLQDIDTIIDHASVGIMTLSPFDQMKTASNIGGFNAAIKNSPPAMSQYITVGSNPFT
+GFFYALEGSTQPLLSHVALAVASKLTSALFNAASGWLGWKSKHEEEAVQKQKPKVEPATP
+LAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRMWKGYRDAQIGWI
+QTVEDLHERVPEKADFSPFGNSQGPSRVAQFLVIYAPRRGILEVWSTQQGPRVGAFNVGK
+HCRLL
+>Q9H2M9_PF14656_769 <unknown description>
+LLSLLLSVWLSKEKDILDKPQSICCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRTAC
+IQSENNGAALLSAHVGHSVAAQISNNMTEKKFSQTVLGADSEALTDSWEALSLDTEYWKL
+LLKQLEDCLILQTLLHSKGNTQTSKVSSLQAEPLPRLSVKKLLEGGKGGIADSVAKWIFK
+QDFSPEVLKLANEERDAENPDEPKEGVNRSFLEVSEMEMDLGAIPDLLHLAYEQFPCSLE
+LDVLHAHCCWEYVVQWNKDPEEARFFVRSIEHLKQIFNAHVQNGIALMMWNTFLVKRFSA
+ATYLMDKVGKSPKDRLCRRDVGMSDTAMTSFLGSCLDLLQILMEADVSRDEIQVPVLDTE
+DAWLSVEGPISIVELALEQKHIHYPLVEHHSILCSILYAVMRFSLKTVKPLSLFDSKGKN
+AFFKDLTSIQLLPSGEMDPNFISVRQQFLLKVVSAAVQAQHSATKVKDPTEEATPTPFGK
+DQDWPALAVDLAHHLQVSEDVVRRHYVGELYNYGVDHLGEEAILQVHDKEVLASQLLVLT
+GQRLAHALLHTQTKEGMELLARLPPTLCTWLKAMDPQDLQNTEVPIATTAKLVNKVIELL
+P
+>Q8N0T1_PF15679_1 <unknown description>
+MAKNKLRGPKSRNVFHIASQKNFKAKNKAKPVTTNLKKINIMNEEKVNRVNKAFVNVQKE
+LAHFAKSISLEPLQKELIPQQRHESKPVNVDEATRLMALL
+>P28749_PF11934_80 <unknown description>
+MEGNCVSLTRILRSAKLSLIQFFSKMKKWMDMSNLPQEFRERIERLERNFEVSTVIFKKY
+EPIFLDIFQNPYEEPPKLPRSRKQRRIPCSVKDLFNFCWTLFVYTKGNFRMIGDDLVNSY
+HLLLCCLDLIFAN
+>P28749_PF01858_385 <unknown description>
+TPVASATQSVSRLQSIVAGLKNAPSDQLINIFESCVRNPVENIMKILKGIGETFCQHYTQ
+STDEQPGSHIDFAVNRLKLAEILYYKILETVMVQETRRLHGMDMSVLLEQDIFHRSLMAC
+CLEIVLFAYSSPRTFPWIIEVLNLQPFYFYKVIEVVIRSEEGLSRDMVKHLNSIEEQILE
+SLAWSHDSALWEAL
+>P28749_PF01857_787 <unknown description>
+SLALFYRKVYHLASVRLRDLCLKLDVSNELRRKIWTCFEFTLVHCPDLMKDRHLDQLLLC
+AFYIMAKVTKEERTFQEIMKSYRNQPQANSHVYRSVLLKSIPREVVAYNKNINDDFEMID
+CDLEDATKTPDCSSGPVKEERGDLIKFYNTIYVGRVK
+>P28749_PF08934_960 <unknown description>
+APPLSPFPHIKQQPGSPRRISQQHSIYISPHKNGSGLTPRSALLYKFNGSPSKSLKDINN
+MIRQGEQRTKKRVIAIDSDAESPAKRV
+>Q9UBK7_PF00071_23 <unknown description>
+KIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYKHTATVDGKTILVDFWDTAGQER
+FQSMHASYYHKAHACIMVFDIQRKVTYRNLSTWYTELREFRPEIPCIVVANKIDADINVT
+QKSFNFAKKFSLPLYFVSAADGTNVVKLFNDAIRL
+>Q9UNT1_PF00071_23 <unknown description>
+KIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYKHTATVDGRTILVDFWDTAGQER
+FQSMHASYYHKAHACIMVFDVQRKVTYRNLSTWYTELREFRPEIPCIVVANKIDADINVT
+QKSFNFAKKFSLPLYFVSAADGTNVVKLFNDAIRL
+>Q08999_PF11934_105 <unknown description>
+GTVEGNYVSLTRILKCSEQSLIEFFNKMKKWEDMANLPPHFRERTERLERNFTVSAVIFK
+KYEPIFQDIFKYPQEEQPRQQRGRKQRRQPCTVSEIFHFCWVLFIYAKGNFPMISDDLVN
+SYHLLLCALDLVYGN
+>Q08999_PF01858_417 <unknown description>
+TPVSTATHSLSRLHTMLTGLRNAPSEKLEQILRTCSRDPTQAIANRLKEMFEIYSQHFQP
+DEDFSNCAKEIASKHFRFAEMLYYKVLESVIEQEQKRLGDMDLSGILEQDAFHRSLLACC
+LEVVTFSYKPPGNFPFITEIFDVPLYHFYKVIEVFIRAEDGLCREVVKHLNQIEEQILDH
+LAWKPESPLWEKI
+>Q08999_PF01857_835 <unknown description>
+SLSLFFRKVYHLAAVRLRDLCAKLDISDELRKKIWTCFEFSIIQCPELMMDRHLDQLLMC
+AIYVMAKVTKEDKSFQNIMRCYRTQPQARSQVYRSVLIKGKRKRRNSGSSDSRSHQNSPT
+ELNKDRTSRDSSPVMRSSSTLPVPQPSSAPPTPTRLTGANSDMEEEERGDLIQFYNNIYI
+KQIK
+>P98175_PF00076_132 <unknown description>
+MLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSHLQDATRWMEA
+>P98175_PF00641_215 <unknown description>
+EDWLCNKCGVQNFKRREKCFKCGVPKS
+>P98175_PF17780_568 <unknown description>
+DVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQSQQYLYWDGERRTYVPA
+>P98175_PF01585_859 <unknown description>
+DNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGAR
+>P57052_PF00076_12 <unknown description>
+VFVGNLEARVREEILYELFLQAGPLTKVTICKDREGKPKSFGFVCFKHPESVSYAIALLN
+GIRLYGRPI
+>Q9NTZ6_PF00076_432 <unknown description>
+VYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRNEADYKAALCRH
+KQYMGNRF
+>Q9NTZ6_PF00076_548 <unknown description>
+ITNIPFSITKMDVLQFLEGIPVDENAVHVLVDNNGQGLGQALVQFKNEDDARKSERLHRK
+KLNGRE
+>Q9NTZ6_PF00076_859 <unknown description>
+KVQNMPFTVSIDEILDFFYGYQVIPGSVCLKYNEKGMPTGEAMVAFESRDEATAAVIDLN
+DRPIGSRKVK
+>Q96PK6_PF00076_3 <unknown description>
+IFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGALRAIEALHGHEL
+>Q96PK6_PF00076_81 <unknown description>
+IFVGNVSAACTSQELRSLFERRGRVIECDVVKDYAFVHMEKEADAKAAIAQLNGKEVKGK
+RI
+>Q96T37_PF00076_181 <unknown description>
+LSDEAVEDGLFHEFKRFGDVSVKISHLSGSGSGDERVAFVNFRRPEDARAAKHARGRLVL
+YDRPL
+>Q96T37_PF00076_376 <unknown description>
+LFLGNLDITVTESDLRRAFDRFGVITEVDIKRPSRGQTSTYGFLKFENLDMSHRAKLAMS
+GKIIIRNPI
+>Q96T37_PF07744_781 <unknown description>
+SPKLCLAWQGMLLLKNSNFPSNMHLLQGDLQVASSLLVEGSTGGKVAQLKITQRLRLDQP
+KLDEVTRRIKVAGPNGYAILLAVPGSSDSRSSSSSAASDTATSTQRPLRNLVSYLKQKQA
+AGVISLPVGGNKDKENTGVLHAFPPCEFSQQFLDSPAKALAKSEEDYLVMIIVRG
+>Q96H35_PF00076_27 <unknown description>
+LWIGNLDPKITEYHLLKLLQKFGKVKQFDFLFHKSGALEGQPRGYCFVNFETKQEAEQAI
+QCLNGKLALSKK
+>Q9Y4C8_PF00076_4 <unknown description>
+LIVKNLPNGMKEERFRQLFAAFGTLTDCSLKFTKDGKFRKFGFIGFKSEEEAQKAQKHFN
+KSFIDTSRI
+>Q9Y4C8_PF00076_302 <unknown description>
+PFNVTEKNVMEFLAPLKPVAIRIVRNAHGNKTGYIFVDFSNEEEVKQALKCNREYMGGRY
+I
+>Q9Y4C8_PF00076_404 <unknown description>
+LFVRNLPYTSTEEDLEKLFSKYGPLSELHYPIDSLTKKPKGFAFITFMFPEHAVKAYSEV
+DGQVFQGRML
+>Q9Y4C8_PF00076_589 <unknown description>
+ILVKNLPAGTLAAQLQETFGHFGSLGRVLLPEGGITAIVEFLEPLEARKAFRHLAYSKF
+>Q9Y4C8_PF00076_732 <unknown description>
+LFIKNLNFDTTEEKLKEVFSKVGTVKSCSISKKKNKAGVLLSMGFGFVEYRKPEQAQKAL
+KQLQGHVVDGHKL
+>Q9Y4C8_PF00076_834 <unknown description>
+ILVRNIPFQAHSREIRELFSTFGELKTVRLPKKMTGTGTHRGFGFVDFLTKQDAKRAFNA
+LCHSTHLYGRRL
+>Q9NW64_PF00076_234 <unknown description>
+LYVGGLGDTITETDLRNHFYQFGEIRTITVVQRQQCAFIQFATRQAAEVAAEKSFNKLIV
+NGRRL
+>Q86U06_PF00076_168 <unknown description>
+VFCMQLAARIRPRDLEDFFSAVGKVRDVRIISDRNSRRSKGIAYVEFCEIQSVPLAIGLT
+GQRL
+>Q86U06_PF00076_265 <unknown description>
+LYVGSLHFNITEDMLRGIFEPFGKIDNIVLMKDSDTGRSKGYGFITFSDSECARRALEQL
+NGFELAGRPM
+>Q86U06_PF15519_363 <unknown description>
+AGGRFQLMAKLAEGAGIQLPSTAAAAAAAAAQAAALQLNGAVPLGALNPAALTALSPALN
+LASQCFQLSSLFTPQT
+>Q9BX46_PF00076_13 <unknown description>
+IFVGGLPYHTTDASLRKYFEVFGEIEEAVVITDRQTGKSRGYGFVTMADRAAAERACK
+>P49756_PF00076_89 <unknown description>
+VFVGNISEKASDMLIRQLLAKCGLVLSWKRVQGASGKLQAFGFCEYKEPESTLRALRLLH
+DLQIGEKKL
+>P49756_PF01480_771 <unknown description>
+IRPWINKKIIEYIGEEEATLVDFVCSKVMAHSSPQSILDDVAMVLDEEAEVFIVKMWRLL
+IYETE
+>Q5T8P6_PF01480_11 <unknown description>
+EALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVFLQKETQIFVE
+KLFDAV
+>Q9P2N5_PF01480_7 <unknown description>
+DALKSWLAKLLEPICDADPSALANYVVALVKKDKPEKELKAFCADQLDVFLQKETSGFVD
+KLFESLYT
+>Q9P2N5_PF00642_276 <unknown description>
+KRRCRDYDERGFCVLGDLCQFDHG
+>Q9P2N5_PF00076_615 <unknown description>
+TKLNEHFSKFGTIVNIQVAFKGDPEAALIQYLTNEEARKAISSTEAVLNNRFI
+>Q9NW13_PF00076_6 <unknown description>
+LFVGRLPPSARSEQLEELFSQVGPVKQCFVVTEKGSKACRGFGYVTFSMLEDVQRALKEI
+T
+>Q9NW13_PF00076_116 <unknown description>
+LIIRNLSFKCSEDDLKTVFAQFGAVLEVNIPRKPDGKMRGFGFVQFKNLLEAGKALKGMN
+MKEIKGRTV
+>Q9NW13_PF00076_337 <unknown description>
+VFIRNLSFDSEEEELGELLQQFGELKYVRIVLHPDTEHSKGCAFAQFMTQEAAQKCLL
+>P42696_PF00076_187 <unknown description>
+VFVGNLPVTCNKKKLKSFFKEYGQIESVRFRSL
+>P42696_PF00076_289 <unknown description>
+VFVGNLPYKVEESAIEKHFLDCGSIMAVRIVRDKMTGIGKGFGYVLFENTDSVHLALKLN
+NSELMGRKLR
+>Q9H0Z9_PF00076_36 <unknown description>
+IFVGGLPYHTTDASLRKYFEGFGDIEEAVVITDRQTGKSRGYGFVTMADRAAAERACK
+>Q14498_PF00076_155 <unknown description>
+VFCMQLAARIRPRDLEEFFSTVGKVRDVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLT
+GQRVL
+>Q14498_PF00076_252 <unknown description>
+LYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSDSECAKKALEQL
+NGFELAGRPM
+>Q14498_PF15519_341 <unknown description>
+SDELERTGIDLGTTGRLQLMARLAEGTGLQIPPAAQQALQMSGSLAFGAVAEFSFVIDLQ
+TRLSQQTEASALAAAASVQPLATQCFQLSNMFNPQTEEEVGW
+>P98179_PF00076_8 <unknown description>
+LFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHASVAMRAM
+NGESLDGRQIR
+>Q9BTD8_PF00076_383 <unknown description>
+IFCGDLGNEVNDDILARAFSRFPSFLKAKVIRDKRTGKTKGYGFVSFKDPSDYVRAMREM
+NGKYVGSRPIK
+>Q6ZP01_PF00076_833 <unknown description>
+HVGGLCPSVSEADLRSHFQKYQVSEISIYDSTNYRYASLAFTKNSDAKIAVKEMNGIEIN
+GKSV
+>Q8IUH3_PF00076_34 <unknown description>
+KYTPESVLRERFSPFGDIQDIWVVRDKHTKESKGIAFVKFARSSQACRAMEEMHGQCLG
+>Q8IUH3_PF00076_127 <unknown description>
+IPKSYTEEDLREKFKVYGDIEYCSIIKNKVTGESKGLGYVRYLKPSQAAQAIENCDR
+>Q8IUH3_PF00076_257 <unknown description>
+TEEQLFSIFDIVPGLEYCEVQRDPYSNYGHGVVQYFNVASAIYAKYKLHGFQY
+>Q8IUH3_PF00076_404 <unknown description>
+DVLEDIFCRFGNLIEVYLVSGKNVGYAKYADRISANDAIATLHGKILNGVRL
+>Q8TBY0_PF00076_63 <unknown description>
+VFVGKIPRDMYEDELVPVFERAGKIYEFRLMMEFSGENRGYAFVMYTTKEEAQLAIRILN
+NYEI
+>Q8TBY0_PF00076_143 <unknown description>
+LFIGAIPKEKKKEEILDEMKKVTEGVVDVIVYPSATDKTKNRGFAFVEYESHRAAAMARR
+KL
+>Q8TBY0_PF00076_238 <unknown description>
+LYVRNLMISTTEETIKAEFNKFKPGAVERVKKLRDYAFVHFFNREDAVAAMSVMNGKCID
+GASI
+>Q8TBY0_PF14709_392 <unknown description>
+NSAVMHLDYYCNKNNWAPPEYYLYSTTSQDGKVLLVYKIVIPAIANGSQSYFMPDKLCTT
+LEDAKELAAQFTLLHLD
+>A0AV96_PF00076_73 <unknown description>
+VFVGKIPRDVYEDELVPVFEAVGRIYELRLMMDFDGKNRGYAFVMYCHKHEAKRAVRELN
+NYEIR
+>A0AV96_PF00076_153 <unknown description>
+LFIGGIPKMKKREEILEEIAKVTEGVLDVIVYASAADKMKNRGFAFVEYESHRAAAMARR
+KL
+>A0AV96_PF00076_248 <unknown description>
+LYVRNLMIETTEDTIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNNLNGTELE
+GSCL
+>Q9BQ04_PF00076_4 <unknown description>
+LFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKLHGV
+N
+>Q9BQ04_PF00076_81 <unknown description>
+HVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMERAEDAVEAIRGLDNTEFQGKR
+MH
+>Q9BQ04_PF00098_161 <unknown description>
+GCYRCGKEGHWSKECP
+>Q9BWF3_PF00076_4 <unknown description>
+LFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKLHGV
+N
+>Q9BWF3_PF00076_81 <unknown description>
+HVGNISPTCTNKELRAKFEEYGPVIECDIVKDYAFVHMERAEDAVEAIRGLDNTEFQGKR
+MH
+>Q9BWF3_PF00098_161 <unknown description>
+GCYRCGKEGHWSKECP
+>P52756_PF00076_100 <unknown description>
+IMLRGLPITITESDIREMMESFEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEA
+NQKK
+>P52756_PF00641_181 <unknown description>
+KFEDWLCNKCCLNNFRKRLKCFRCGADKF
+>P52756_PF17780_458 <unknown description>
+DTSTYQYDESSGYYYDPTTGLYYDPNSQYYYNSLTQQYLYWDGEKETYVPA
+>P52756_PF01585_743 <unknown description>
+HSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLKGAGLGAK
+>P78332_PF17780_787 <unknown description>
+SDCYIYDSATGYYYDPLAGTYYDPNTQQEVYVPQDPGLPEEEEIKEKKPTS
+>P78332_PF01585_1051 <unknown description>
+TSSKGGCVQQATGWRKGTGLGYGHPGLASSEEAEGRMRGPSVGA
+>Q9Y5S9_PF00076_75 <unknown description>
+LFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVEYETYKEAQAAMEGL
+NGQDLMGQPI
+>P29558_PF00076_64 <unknown description>
+LYIRGLPPHTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPAAAQKAVSAL
+K
+>P29558_PF00076_143 <unknown description>
+LYISNLPLSMDEQELENMLKPFGQVISTRILRDSSGTSRGVGFARMESTEKCEAVIGHFN
+GKFIK
+>Q15434_PF00076_58 <unknown description>
+LYIRGLQPGTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPSAAQKAVTAL
+K
+>Q15434_PF00076_137 <unknown description>
+LYISNLPLSMDEQELEGMLKPFGQVISTRILRDTSGTSRGVGFARMESTEKCEAIITHFN
+GKYIK
+>Q6XE24_PF00076_63 <unknown description>
+LYIRGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASL
+>Q6XE24_PF00076_142 <unknown description>
+LYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFARMESTEKCEVVIQHFN
+GKYLK
+>Q9Y388_PF00076_38 <unknown description>
+IFLGGLPYELTEGDIICVFSQYGEIVNINLVRDKKTGKSKGFCFLCYEDQRSTILAVDNF
+NGIKIKGRTIR
+>P38159_PF00076_10 <unknown description>
+LFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKDAARDM
+NGKSLDGKAIK
+>P38159_PF08081_173 <unknown description>
+SSSGMGGRAPVSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTK
+>Q9H1K0_PF01363_153 <unknown description>
+PWVNDQDVPFCPDCGNKFSIRNRRHHCRLCGSIMCKKCMELISLPLANKLTSASKESLST
+HTSPSQSPNSVHGSRRGSISSMSSVSSVLDEKDDDRIRCCTHCKDTL
+>Q9H1K0_PF11464_458 <unknown description>
+PLLQQIHNITSFIRQAKAAGRMDEVRTLQENLRQLQDEYDQQ
+>Q9H1K0_PF16601_548 <unknown description>
+SLDFREIGPFQLEPSREPRTHLAYALDLGSSPVPSSTAPKTPSLSSTQPTRVWSGPPAVG
+QERLPQSSMPQQHEGPSLNPFDEEDLSSPMEEATTGPPAAGVSLDPSARILKEYNPFEEE
+DEEEEAVAGNPFIQPDSPAPNPFSEEDEHPQQRLSSPLVPGNPFEEPTCINPFEMDSDSG
+PEAEEPIEEE
+>Q9H1K0_PF11464_739 <unknown description>
+LLQQIDNIKAYIFDAKQCGRLDEVEVLTENLRELKHTLAKQ
+>Q6VN20_PF00622_102 <unknown description>
+IYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGP
+TFTTGDVIGCCVNLINGTCFYTKNGHSLGIAFTDLPANLYPTVGLQTPGEIVDANFGQQ
+>Q6VN20_PF08513_257 <unknown description>
+LQNMVSSYLVHHGYCATATAFAR
+>Q6VN20_PF10607_291 <unknown description>
+SIKNRQKIQKLVLEGRVGEAIETTQRFYPGLLEHNPNLLFMLKCRQFVEMVNGTDSEVRS
+LSSRSPKSQDSYPGSPSLSPRHGPSSSHMHNTGADSPSCSNGVASTKSKQNHSKYPAPSS
+SSSSSSSSSSSSPSSVNYSESNSTDSTKSQHHSSTSNQETSDSEMEMEAEHYPNGVLGSM
+STRIVNGAYKHEDLQTDESSMDDRHPRRQLCGGNQAATERIILFGRELQALSEQLGREYG
+KNLAHTEMLQDAFSLLAYSDPWSCPVGQQLDPIQREPVCAALNSAILESQNLPKQPPLML
+ALGQASECLR
+>Q9H2T7_PF03810_30 <unknown description>
+AEKALLELIDSPECLSKCQLLLEQGTTSYAQLLAATCLSKLVSRVSPLPVEQRMDIRNYI
+LNYVA
+>Q15311_PF00620_210 <unknown description>
+PAVFRECIDYVEKYGMKCEGIYRVSGIKSKVDELKAAYDREESTNLEDYEPNTVASLLKQ
+YLRDLPENLLTKELMPRFEEACGRTTETEKVQEFQRLLKELPECNYLLISWLIVHMDHVI
+AKELETKMNIQNISIVLSPTVQ
+>P49792_PF00638_1183 <unknown description>
+EVKTGEEDEEEFFCNRAKLFRFDVESKEWKERGIGNVKILRHKTSGKIRLLMRREQVLKI
+CANHYISPDMKLTPNAGSDRSFVWHALDYADELPKPEQLAIRFKTPEEAALFKCKFEEAQ
+S
+>P49792_PF00641_1351 <unknown description>
+KEGSWWHCNSCSLKNASTAKKCVSCQNLNPS
+>P49792_PF00641_1415 <unknown description>
+KEGHWDCSICLVRNEPTVSRCIACQNTKS
+>P49792_PF00641_1479 <unknown description>
+KEGQWDCSACLVQNEGSSTKCAACQNPRKQ
+>P49792_PF00641_1543 <unknown description>
+KEGQWDCSSCLVRNEANATRCVACQNPDK
+>P49792_PF00641_1606 <unknown description>
+KEGQWDCSVCLVRNEASATKCIACQNPGKQ
+>P49792_PF00641_1665 <unknown description>
+KEGQWDCSVCLVRNEASATKCIACQNPGKQ
+>P49792_PF00641_1724 <unknown description>
+KEGQWDCSVCLVRNEASATKCIACQCPSKQ
+>P49792_PF00641_1781 <unknown description>
+RKGQWDCSVCCVQNESSSLKCVACDASKP
+>P49792_PF00638_2024 <unknown description>
+ELVTGEEDEKVLYSQRVKLFRFDAEVSQWKERGLGNLKILKNEVNGKLRMLMRREQVLKV
+CANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLEQLAAKFKTPELAEEFKQKFEECQ
+R
+>P49792_PF00638_2321 <unknown description>
+EVSSGEENEQVVFSHRAKLYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKL
+CANHRITPDMTLQNMKGTERVWLWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>P49792_PF12185_2631 <unknown description>
+DVLIVYELTPTAEQKALATKLKLPPTFFCYKNRPDYVSEEEEDDEDFETAVKKLNGKLYL
+D
+>P49792_PF12185_2709 <unknown description>
+ECIIVWEKKPTVEEKAKADTLKLPPTFFCGVCSDTDEDNGNGEDFQSELQKVQEAQKSQT
+>P49792_PF00638_2922 <unknown description>
+EVKSGEEDEEILFKERAKLYRWDRDVSQWKERGVGDIKILWHTMKNYYRILMRRDQVFKV
+CANHVITKTMELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEVADCFKKTFEECQ
+Q
+>P49792_PF00160_3077 <unknown description>
+LGRITMELFSNIVPRTAENFRALCTGEKGFGFKNSIFHRVIPDFVCQGGDITKHDGTGGQ
+SIYGDKFEDENFDVKHTGPGLLSMANQGQNTNNSQFVITLKKAEHLDFKHVVFGFVKDGM
+DTVKKIESFGSPKGSVCRRITITECG
+>Q92804_PF00076_236 <unknown description>
+IFVQGLGEGVSTDQVGEFFKQIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPS
+AKAAIDWFDGKEFHGNII
+>Q92804_PF00641_354 <unknown description>
+KSGDWVCPNPSCGNMNFARRNSCNQCNEPRP
+>Q9UBG7_PF09271_69 <unknown description>
+VRILHAKVAQKSYGNEKRFFCPPPCVYLSGPGWRVKPGQDQAHQAGETGPTVCGYMGLDS
+ASGSATETQKLNFEQQPDSREFGCAKTLYISDADKRKHFRLVLRLVLRGGRELGTFHSRL
+IKVISKPSQKKQSLKNTD
+>Q9UBG7_PF09270_234 <unknown description>
+EDGAFVASARQWAAFTLHLADGHSAQGDFPPREGYVRYGSLVQLVCTVTGITLPPMIIRK
+VAKQCALLDVDEPISQLHKCAFQFPGSPPGGGGTYLCLATEKVVQFQASPCPKEANRALL
+NDSSCW
+>Q9UBG7_PF20144_385 <unknown description>
+VPLISTLELSGGGDVATLELHGENFHAGLKVWFGDVEAETMYRSPRSLVCVVPDVAAFCS
+DWRWLRAPITIPMSLVRADGLFYPSAFSFTY
+>Q93062_PF00076_26 <unknown description>
+LFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSKQPVGFVSFDSRSEAEAAKNALNGIR
+FD
+>Q6ZRY4_PF00076_33 <unknown description>
+LFVSGLPVDIKPRELYLLFRPFKGYEGSLIKLTARQPVGFVIFDSRAGAEAAKNALNGIR
+FD
+>Q9H477_PF00294_18 <unknown description>
+VVVVGSCMTDLVSLTSRLPKTGETIHGHKFFIGFGGKGANQCVQAARLGAMTSMVCKVGK
+DSFGNDYIENLKQNDISTEFTYQTKDAATGTASIIVNNEGQNIIVIVAGANLLLNTEDLR
+AAANVISRAKVMVCQLEITPATSLEALTMARRSGVKTLFNPAPAIADLDPQFYTLSDVFC
+CNESEAEILTGLTVGSAADAGEAALVLLKRGCQVVIITLGAEGCVVLSQTEPEPKHIPTE
+KVKAVDTTGAGDSFVGALAFYLAYYPNLSLEDMLNRSNFIAAVSVQAAGTQSSYP
+>P25800_PF00412_24 <unknown description>
+CAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANLILCRRDYLRL
+>P25800_PF00412_88 <unknown description>
+CAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQRFCVGDKFFLKNNMILCQMDYEE
+>P25791_PF00412_99 <unknown description>
+CGGCQQNIGDRYFLKAIDQYWHEDCLSCDLCGCRLGEVGRRLYYKLGRKLCRRDYLRL
+>P25791_PF00412_163 <unknown description>
+CASCDKRIRAYEMTMRVKDKVYHLECFKCAACQKHFCVGDRYLLINSDIVCEQDIYE
+>P62877_PF12678_40 <unknown description>
+DNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDN
+>Q9UBF6_PF12678_48 <unknown description>
+DTCAICRVQVMDACLRCQAENKQEDCVVVWGECNHSFHNCCMSLWVKQNNRCPLCQ
+>P0DJD3_PF00076_10 <unknown description>
+LFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMN
+GKSLHGKAIK
+>P0DJD3_PF08081_174 <unknown description>
+SNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATN
+>A6NDE4_PF00076_10 <unknown description>
+LFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMN
+GKSLHGKAIK
+>A6NDE4_PF08081_174 <unknown description>
+SNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATN
+>P0C7P1_PF00076_10 <unknown description>
+LFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMN
+GKSLHGKAIK
+>P0C7P1_PF08081_174 <unknown description>
+SNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATN
+>A6NEQ0_PF00076_10 <unknown description>
+LFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMN
+GKSLHGKAIK
+>A6NEQ0_PF08081_174 <unknown description>
+SNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATN
+>Q15415_PF00076_10 <unknown description>
+LFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMN
+GTSLHGKAIK
+>Q15415_PF08081_174 <unknown description>
+SNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATN
+>P06400_PF11934_114 <unknown description>
+SFTFTELQKNIEISVHKFFNLLKEIDTSTKVDNAMSRLLKKYDVLFALFSKLERTCELIY
+LTQPSSSISTEINSALVLKVSWITFLLAKGEVLQMEDDLVISFQLMLCVLDYFI
+>P06400_PF01858_373 <unknown description>
+TPVRTVMNTIQQLMMILNSASDQPSENLISYFNNCTVNPKESILKRVKDIGYIFKEKFAK
+AVGQGCVEIGSQRYKLGVRLYYRVMESMLKSEEERLSIQNFSKLLNDNIFHMSLLACALE
+VVMATYSRSTSQNLDSGTDLSFPWILNVLNLKAFDFYKVIESFIKAEGNLTREMIKHLER
+CEHRIMESLAWLSDSPLFDLI
+>P06400_PF01857_646 <unknown description>
+SLSLFYKKVYRLAYLRLNTLCERLLSEHPELEHIIWTLFQHTLQNEYELMRDRHLDQIMM
+CSMYGICKVKNIDLKFKIIVTAYKDLPHAVQETFKRVLIKEEEYDSIIVFYNSVFMQRLK
+>P06400_PF08934_768 <unknown description>
+ILQYASTRPPTLSPIPHIPRSPYKFPSSPLRIPGGNIYISPLKSPYKISEGLPTPTKMTP
+RSRILVSIGESFGTSEKFQKINQMVCNSDRVLKRSAEGSNPPKPLKKLRFDIEGSDEADG
+SKHLPGESKFQQKLAEMTSTRTRMQKQKMNDSMDTSNK
+>Q5TC82_PF13445_14 <unknown description>
+CPICTQTFDETIRKPISLGCGHTVCKMCLN
+>Q5TC82_PF18386_271 <unknown description>
+EEFRTYEALRREHDSQIVQIAMEAGLRIAPDQWSSLLYGDQSHKSHMQSIIDKLQT
+>Q9HBD1_PF13445_14 <unknown description>
+CPICYNEFDENVHKPISLGCSHTVCKTCLN
+>Q9HBD1_PF18386_268 <unknown description>
+EEFRSYEALRREHDAQIVHIAMEAGLRISPEQWSSLLYGDLAHKSHMQSIIDKLQS
+>Q9HBD1_PF00642_411 <unknown description>
+YKTSMCRDLRQQGGCPRGTNCTFAHSQ
+>Q9BUV8_PF07019_44 <unknown description>
+VIYWFRQIIAVVLGVIWGVLPLRGFLGIAGFCLINAGVLYLYFSNYLQIDEEEYGGTWEL
+TKEGFMTSFALFMVIWIIFY
+>P53805_PF04847_76 <unknown description>
+RVFVDGLCRAKFESLFRTYDKDITFQYFKSFKRVRINFSNPFSAADARLQLHKTEFLGKE
+MKLYFAQTLHIGSSHLAPPNPDKQFLISPPASPPVGWKQVEDATPVINYDLLYAISKLGP
+GEKYELHAATDTTPSVVVHVCESDQEKEEEEEMERMRRPKPKIIQTRRPE
+>Q14206_PF04847_68 <unknown description>
+VFEGEESKEKFEGLFRTYDDCVTFQLFKSFRRVRINFSNPKSAARARIELHETQFRGKKL
+KLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPSSPPVGWQPINDATPVLNYDLLYAVAK
+LGPGEKYELHAGTESTPSVVVHVCDSDIEEEEDPKTSPKPKIIQTRRPG
+>Q9UKA8_PF04847_57 <unknown description>
+AVFEAREQKERFEALFTIYDDQVTFQLFKSFRRVRINFSKPEAAARARIELHETDFNGQK
+LKLYFAQVQMSGEVRDKSYLLPPQPVKQFLISPPASPPVGWKQSEDAMPVINYDLLCAVS
+KLGPGEKYELHAGTESTPSVVVHVCESETEEEEETKNPKQKIAQTRRPD
+>Q8NDN9_PF00415_41 <unknown description>
+DEVFVFGLNYSNCLGTGDNQSTLVPKKLEGLCGKKIKSLSYGS
+>Q8NDN9_PF00415_93 <unknown description>
+DGVVYAWGHNGYSQLGNGTTNQGIAPVQVCTNLLIKQVVEVACGSHHSMAL
+>Q8NDN9_PF00415_146 <unknown description>
+DGEVFAWGYNNCGQVGSGSTANQPTPRKVTNCLHIKRVVGIACGQTSSMAV
+>Q8NDN9_PF00415_199 <unknown description>
+NGEVYGWGYNGNGQLGLGNNGNQLTPVRVAALHSVCVNQIVCGYAHTLAL
+>Q8NDN9_PF00415_251 <unknown description>
+EGLLYAWGANTYGQLGTGNKNNLLSPAHIMVEKERVVEIAACHSAHTSA
+>Q8NDN9_PF00651_361 <unknown description>
+KKEFDSPETADLKFRIDGKYIHVHKAVLKIRCEHFRSMFQSYWNEDMKEVIEIDQFSYPV
+YRAFLQYLYTDTVDLPPEDAIGLLDLATSYCENRLKKLCQHIIK
+>O95199_PF00415_117 <unknown description>
+EGEVFTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVL
+>O95199_PF00415_170 <unknown description>
+DGEVFAWGYNNSGQVGSGSTVNQPIPRRVTGCLQNKVVVTIACGQMCCMAV
+>O95199_PF00415_224 <unknown description>
+GEVYVWGYNGNGQLGLGNSGNQPTPCRVAALQGIRVQRVACGYAHTLVL
+>O95199_PF00415_275 <unknown description>
+EGQVYAWGANSYGQLGTGNKSNQSYPTPVTVEKDRIIEIAACHSTHTSA
+>O95199_PF00651_386 <unknown description>
+REFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFRSSLEDNEDDIVEMSEFSYPVYRAFL
+EYLYTDSISLSPEEAVGLLDLATFYRENRLKKLCQQTIKQ
+>Q96I51_PF00415_60 <unknown description>
+RVFVWGFSFSGALGVPSFVVPSSGPGPRAGARPRRRIQPVPYRLELDQKISSAACGYGFT
+L
+>Q96I51_PF13540_176 <unknown description>
+VLQVSCGRAHSLVLTDREGVFSMGNNSYGQ
+>Q96I51_PF13540_232 <unknown description>
+VVQVACGQDHSLFLTDKGEVYSCGWGADGQ
+>Q96I51_PF00415_301 <unknown description>
+DGGLFGWGNSEYLQLASVTDSTQVNVPRCLHFSGVGKVRQAACGGTGCAV
+>Q96I51_PF00415_412 <unknown description>
+KGELFVWGKNIRGCLGIGRLEDQYFPWRVTMPGEPVDVACGVDHMVT
+>P18754_PF00415_35 <unknown description>
+GLVLTLGQGDVGQLGLGENVMERKKPALVSIPEDVVQAEAGGMHTVCL
+>P18754_PF00415_85 <unknown description>
+SGQVYSFGCNDEGALGRDTSVEGSEMVPGKVELQEKVVQVSAGDSHTAAL
+>P18754_PF00415_137 <unknown description>
+DGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQVQLDVPVVKVASGNDHLVM
+>P18754_PF00415_190 <unknown description>
+DGDLYTLGCGEQGQLGRVPELFANRGGRQGLERLLVPKCVMLKSRGSRGHVRFQDAFCGA
+YFTFAI
+>P18754_PF00415_258 <unknown description>
+EGHVYGFGLSNYHQLGTPGTESCFIPQNLTSFKNSTKSWVGFSGGQHHTVCM
+>P18754_PF00415_312 <unknown description>
+EGKAYSLGRAEYGRLGLGEGAEEKSIPTLISRLPAVSSVACGAS
+>P18754_PF00415_363 <unknown description>
+DGRVFAWGMGTNYQLGTGQDEDAWSPVEMMGKQLENRVVLSVSSGGQHTVLL
+>Q9P258_PF00415_168 <unknown description>
+EGKLWSWGRNEKGQLGHGDTKRVEAPRLIEGLSHEVIVSAACGRNHTLAL
+>Q9P258_PF00415_221 <unknown description>
+GSVFAFGENKMGQLGLGNQTDAVPSPAQIMYNGQPITKMACGAEFSMI
+>Q9P258_PF00415_272 <unknown description>
+KGNLYSFGCPEYGQLGHNSDGKFIARAQRIEYDCELVPRRVAIFIEKTKDGQILPVPNVV
+VRDVACGANHTLVL
+>Q9P258_PF00415_350 <unknown description>
+RVFSWGFGGYGRLGHAEQKDEMVPRLVKLFDFPGRGASQIYAGYTCSFAV
+>A6NED2_PF00415_177 <unknown description>
+GQVFSWGGGRHGQLGHGTLEAELEPRLLEALQGLVMAEVAAGGWHSVCV
+>A6NED2_PF00415_229 <unknown description>
+GDIYIWGWNESGQLALPTRNLAEDGETVAREATELNEDGSQVKRTGGAEDGAPAPFIAVQ
+PFPALLDLPMGSDAVKASCGSRHTAVV
+>A6NED2_PF00415_319 <unknown description>
+GELYTWGWGKYGQLGHEDTTSLDRPRRVEYFVDKQLQVKAVTCGPWNT
+>Q9Y2P8_PF01137_10 <unknown description>
+YAGCNFLRQRLVLSTLSGRPVKIRKIRARDDNPGLRDFEASFIRLLDKITNGSRIEINQT
+GTTLYYQPGLLYGGSVEHDCSVLRGIGYYLESLLCLAPFMKHPLKIVLRGVTNDQVDPSV
+DVLKATALPLLKQFGIDGESFELKIVRRGMPPGGGGEVVFSCPVRKVLKPIQLTDPGKIK
+RIRGMAYSVRVSPQMANRIVDSARSILNKFIPDIYIYTDHMKGVNSGKSPGFGLSLVAET
+TSGTFLSAELASNPQGQGAAVLPEDLGRNCARLLLEEIYRGGCVDSTNQSLALLLMTLGQ
+QDVSKVLLGPLSPYTIEFLRHLKSFFQIMFKI
+>Q9Y2P8_PF05189_183 <unknown description>
+TDPGKIKRIRGMAYSVRVSPQMANRIVDSARSILNKFIPDIYIYTDHMKGVNSGKSPGFG
+LSLVAETTSGTFLSAELASNPQGQGAAVLPEDLGRNCARLLLEEI
+>Q15293_PF13499_88 <unknown description>
+VDRIDNDGDGFVTTEELKTWIKRVQKRYIFDNVAKVWKDYDRDKDDKISWEEYKQAT
+>Q15293_PF13202_211 <unknown description>
+TLEDIDKNGDGFVDQDEY
+>Q15293_PF13202_257 <unknown description>
+DLNKDGKLDKDEIR
+>Q14257_PF13202_66 <unknown description>
+LQAIIKKIDLDSDGFLTESELS
+>Q14257_PF13202_198 <unknown description>
+HDKNGDGFVSLEEF
+>Q14257_PF13202_239 <unknown description>
+YDKDNDGRLDPQEL
+>Q96D15_PF13202_125 <unknown description>
+YDTDRDGRVGWEELRN
+>Q96D15_PF13202_206 <unknown description>
+AETLEDLDRNKDGYVQVEEY
+>Q9UKL0_PF01448_105 <unknown description>
+MRVGPQYQAVVPDFDPAKLARRSQERDNLGMLVWSPNQNLSEAKLDEYIAIAK
+>Q9UKL0_PF00249_385 <unknown description>
+RWTTEEQLLAVQAIRKYGRDFQAISDVIGNKSVVQVKNFFVNYR
+>Q8IZ40_PF01448_46 <unknown description>
+IRVGTNYQAVIPECKPESPARYSNKELKGMLVWSPNHCVSDAKLDKYIAMAK
+>Q8IZ40_PF00249_330 <unknown description>
+SRWTTDEQLLAVQAIRRYGKDFGAIAEVIGNKTLTQVKTFFVSYR
+>Q9P2K3_PF01448_59 <unknown description>
+MRVGAEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAK
+>Q9P2K3_PF00249_347 <unknown description>
+RWTTEEQLLAVQGVRKYGKDFQAIADVIGNKTVGQVKNFFVNYR
+>P54725_PF00240_5 <unknown description>
+ITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVPIRDY
+RIDEKNFVVVMVTK
+>P54725_PF00627_162 <unknown description>
+EYETMLTEIMSMGYERERVVAALRASYNNPHRAVEYL
+>P54725_PF09280_232 <unknown description>
+LEFLRDQPQFQNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEP
+>P54725_PF00627_321 <unknown description>
+KEAIERLKALGFPESLVIQAYFACEKNENLAANFL
+>P54727_PF00240_3 <unknown description>
+VTLKTLQQQTFKIDIDPEETVKALKEKIESEKGKDAFPVAGQKLIYAGKILNDDTALKEY
+KIDEKNFVVVMVTK
+>P54727_PF00627_190 <unknown description>
+YENMVTEIMSMGYEREQVIAALRASFNNPDRAVEYL
+>P54727_PF09280_276 <unknown description>
+LEFLRNQPQFQQMRQIIQQNPSLLPALLQQIGRENPQLLQQISQHQEHFIQMLNEP
+>P54727_PF00627_367 <unknown description>
+KEAIERLKALGFPEGLVIQAYFACEKNENLAANFL
+>P0DJH9_PF14473_6 <unknown description>
+WMKWPKNDSYKPTHYPGSDIVTKTLLRELKWHLKERERLIQEIENEQKVKKTGVDYNWLR
+NYQNPHTTIPVTEQRQLEVLCSQVQPCQTGTILSRFREVLAENDVLPWEIVYIFKQVLKD
+FLSSSD
+>Q7Z3Z2_PF14473_6 <unknown description>
+WLRWNEAPSRLSTRSPAEMVLETLMMELTGQMREAERQQRERSNAVRKVCTGVDYSWLAS
+TPRSTYDLSPIERLQLEDVCVKIHPSYCGPAILRFRQLLAEQEPEVQEVSQLFRSVLQEV
+LERMKQEE
+>Q9H7X2_PF15828_64 <unknown description>
+RGARRVHFALLPERYEPLEEPAPSEQPRKRYRRKLKKYGKNVGKVIIKGCRYVVIGLQGF
+AAAYSAPFAVAT
+>Q8IZV5_PF00106_108 <unknown description>
+CNLQVFTYTCDVGKRENVYLTAERVRKEVGEVSVLVNNAGVVSGHHLLECPDELIERTMM
+VNCHAHFWTTKAFLPTMLEINHGHIVTVASSLGLFSTAGVEDYCASKFGVVGFHESLSHE
+LKAAEKDGIKTTLVCPYLVDTGMFRG
+>Q8TC12_PF00106_42 <unknown description>
+KVVVVTGANTGIGKETAKELAQRGARVYLACRDVEKGELVAKEIQTTTGNQQVLVRKLDL
+SDTKSIRAFAKGFLAEEKHLHVLINNAGVMMCPYSKTADGFEMHIGVNHLGHFLLTHLLL
+EKLKESAPSRIVNVSSLAHHLGRIHFHNLQGEKFYNAGLAYCHSKLANILFTQELARRLK
+GSGVTTYSVHPGTVQSELVRH
+>Q96NR8_PF00106_40 <unknown description>
+KVVVITGANTGIGKETARELASRGARVYIACRDVLKGESAASEIRVDTKNSQVLVRKLDL
+SDTKSIRAFAEGFLAEEKQLHILINNAGVMMCPYSKTADGFETHLGVNHLGHFLLTYLLL
+ERLKVSAPARVVNVSSVAHHIGKIPFHDLQSEKRYSRGFAYCHSKLANVLFTRELAKRLQ
+GTGVTTYAVHPGVVRSELVRH
+>Q8NBN7_PF00106_39 <unknown description>
+KTVIVTGANTGIGKQTALELARRGGNIILACRDMEKCEAAAKDIRGETLNHHVNARHLDL
+ASLKSIREFAAKIIEEEERVDILINNAGVMRCPHWTTEDGFEMQFGVNHLGHFLLTNLLL
+DKLKASAPSRIINLSSLAHVAGHIDFDDLNWQTRKYNTKAAYCQSKLAIVLFTKELSRRL
+QGSGVTVNALHPGVARTELGR
+>Q9HBH5_PF00106_44 <unknown description>
+KTVLITGANSGLGRATAAELLRLGARVIMGCRDRARAEEAAGQLRRELRQAAECGPEPGV
+SGVGELIVRELDLASLRSVRAFCQEMLQEEPRLDVLINNAGIFQCPYMKTEDGFEMQFGV
+NHLGHFLLTNLLLGLLKSSAPSRIVVVSSKLYKYGDINFDDLNSEQSYNKSFCYSRSKLA
+NILFTRELARRLEGTNVTVNVLHPGIVRTNLGR
+>O75452_PF00106_30 <unknown description>
+KYVFITGCDSGFGKLLARQLDARGLRVLAACLTEKGAEQLRGQTSDRLETVTLDVTKTES
+VAAAAQWVKECVRDKGLWGLVNNAGISLPTAPNELLTKQDFVTILDVNLLGVIDVTLSLL
+PLVRRARGRVVNVSSVMGRVSLFGGGYCISKYGVEAFSDSLRRELSYFGVKVAMIEPGYF
+KTAVTSKE
+>Q92781_PF00106_31 <unknown description>
+VFITGCDSGFGRLLALQLDQRGFRVLASCLTPSGAEDLQRVASSRLHTTLLDITDPQSVQ
+QAAKWVEMHVKEAGLFGLVNNAGVAGIIGPTPWLTRDDFQRVLNVNTMGPIGVTLALLPL
+LQQARGRVINITSVLGRLAANGGGYCVSKFGLEAFSDSLRRDVAHFGIRVSIVEPGFFRT
+PVTN
+>Q9NYR8_PF00106_7 <unknown description>
+TVLISGCSSGIGLELAVQLAHDPKKRYQVVATMRDLGKKETLEAAAGEALGQTLTVAQLD
+VCSDESVAQCLSCIQGEVDVLVNNAGMGLVGPLEGLSLAAMQNVFDTNFFGAVRLVKAVL
+PGMKRRRQGHIVVISSVMGLQGVIFNDVYAASKFALEGFFESLAIQLLQFNIFISLVEPG
+PVVTEFEGKL
+>Q8N3Y7_PF00106_42 <unknown description>
+IVLITGAGSGLGRLLALQFARLGSVLVLWDINKEGNEETCKMAREAGATRVHAYTCDCSQ
+KEGVYRVADQVKKEVGDVSILINNAGIVTGKKFLDCPDELMEKSFDVNFKAHLWTYKAFL
+PAMIANDHGHLVCISSSAGLSGVNGLADYCASKFAAFGFAESVFVETFVQKQKGIKTTIV
+CPFFIKTGMFE
+>Q8NG50_PF00076_32 <unknown description>
+HHSLFTAFSQFGLLYSVRVFPNAAVAHPGFYAVIKFYSARAAHRAQKACDRKQLFQK
+>Q7Z4M0_PF15165_21 <unknown description>
+WPLQRYARCIPSNTRDPPGPCLEAGTAPCPTWKVFDSNEESGYLVLTIVISGHFFIFQGQ
+TLLEGFSLIGSKDWLKIVRRVDCLLFGTTIKDKSRLFRVQFSGESKEQALEHCCSCVQKL
+AQYITVQVPDGNIQELQLIPGPPRATESQGKDSAKSVPRQPGSHQHSEQQQVCVTAGTGA
+PDGRTSLTQLAQTLLASEELPHVYEQSAWGAEELGPFLRLCLMDQNFPAFVEEVEKELKK
+L
+>Q8TAI7_PF00071_8 <unknown description>
+KVVILGYRCVGKTSLAHQFVEGEFSEGYDPTVENTYSKIVTLGKDEFHLHLVDTAGQDEY
+SILPYSFIIGVHGYVLVYSVTSLHSFQVIESLYQKLHEGHGKTRVPVVLVGNKADLSPER
+EVQAVEGKKLAESWGATFMESSARENQLTQGIFTKVIQEIA
+>O95072_PF04825_1 <unknown description>
+MFYYPNVLQRHTGCFATIWLAATRGSRLVKREYLRVNVVKTCEEILNYVLVRVQPPQPGL
+PRPRFSLYLSAQLQIGVIRVYSQQCQYLVEDIQHILERLHRAQLQIRIDMET
+>O95072_PF04824_493 <unknown description>
+NREPDFSSLVSPLSPRRMAARVFYLLLVLSAQQILHVKQEKPYGRLLIQPGPRF
+>O95980_PF07648_632 <unknown description>
+PCNCADQFVPVCGQNGRTYPSACIARCVGLQDHQFEFGSC
+>O95980_PF07648_716 <unknown description>
+CDQVQDPVCDTDHMEHNNLCTLYQRG
+>O95980_PF07648_750 <unknown description>
+CQPFCRATEPVCGHNGETYSSVCA
+>P35243_PF13833_40 <unknown description>
+PTGRITQQQFQSIYAKFFPDTDPKAYAQHVFRSFDSNLDGTLDFKEYV
+>P35243_PF13499_100 <unknown description>
+QKLEWAFSLYDVDGNGTISKNEVLEIVMAIFKMITPEDVKLLPDDENTPEKRAEKIWKYF
+GKNDDDKLTEKEFIE
+>P46063_PF00270_94 <unknown description>
+PLQLETINVTMAGKEVFLVMPTGGGKSLCYQLPALCSDGFTLVICPLISLMEDQLMVLKQ
+LGISATMLNASSSKEHVKWVHAEMVNKNSELKLIYVTPEKIAKSKMFMSRLEKAYEARRF
+TRIAVDEVHCCSQWGHDFRPDYKALGILKRQFPNASLIGLTATATNH
+>P46063_PF00271_301 <unknown description>
+IEDIVKLINGRYKGQSGIIYCFSQKDSEQVTVSLQNLGIHAGAYHANLEPEDKTTVHRKW
+SANEIQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGR
+>P46063_PF16124_421 <unknown description>
+GDIFRISSMVVMENVGQQKLYEMVSYCQNISKCRRVLMAQHFDEVWNSEACNKMCDNCC
+>P46063_PF09382_484 <unknown description>
+FERKNITEYCRDLIKILKQAEELNEKLTPLKLIDSWMGKGAAKLRVAGVVAPTLPREDLE
+KIIAHFLIQQYLKEDYSFTAYATISYLKIGPKANLLNNEAHAITMQVTKSTQ
+>O94761_PF11719_6 <unknown description>
+DVRERLQAWERAFRRQRGRRPSQDDVEAAPEETRALYREYRTLKRTTGQAGGGLRSSESL
+PAAAEEAP
+>O94761_PF00270_483 <unknown description>
+PGQERAVMRILSGISTLLVLPTGAGKSLCYQLPALLYSRRSPCLTLVVSPLLSLMDDQVS
+GLPPCLKAACIHSGMTRKQRESVLQKIRAAQVHVLMLTPEALVGAGGLPPAAQLPPVAFA
+CIDEAHCLSQWSHNFRPCYLRVCKVLRERMGVHCFLGLTATATRRTA
+>O94761_PF00271_686 <unknown description>
+QALLTLLQGKRFQNLDSIIIYCNRREDTERIAALLRTCLHAAWVPGSGGRAPKTTAEAYH
+AGMCSRERRRVQRAFMQGQLRVVVATVAFGMGLDRPDVRAVLHLGLPPSFESYVQAVGRA
+GRD
+>O94762_PF00270_31 <unknown description>
+TPLQESATMAVVKGNKDVFVCMPTGAGKSLCYQLPALLAKGITIVVSPLIALIQDQVDHL
+LTLKVRVSSLNSKLSAQERKELLADLEREKPQTKILYITPEMAASSSFQPTLNSLVSRHL
+LSYLVVDEAHCVSQWGHDFRPDYLRLGALRSRLGHAPCVALTATATPQVQ
+>O94762_PF00271_259 <unknown description>
+SGCGIVYCRTREACEQLAIELSCRGVNAKAYHAGLKASERTLVQNDWMEEKVPVIVATIS
+FGMGVDKANVRFVAHWNIAKSMAGYYQESGRAGRD
+>O94762_PF16124_366 <unknown description>
+NDRDQVSFLIRKEVAKLQEKRGNKASDKATIMAFDALVTFCEELGCRHAAIAKYFGDALP
+ACAKGCDHCQ
+>O94762_PF06959_625 <unknown description>
+KSCSAQAEPPEPNEYDIPPASHVYSLKPKRVGAGFPKGSCPFQTATELMETTRIREQAPQ
+PERGGEHEPPSRPCGLLDEDGSEPLPGPRGEVPGGSAHYGGPSPEKKAKSSSGGSSLAKG
+RASKKQQLLATAAHKDSQSIARFFCRRVESPALLASAPEAEGACPSCEGVQGPPMAPEKY
+TGEEDGAGGHSPAPPQTEECLRE
+>P78563_PF00035_79 <unknown description>
+PKNALMQLNEIKPGLQYTLLSQTGPVHAPLFVMSVEVNGQVFEGSGPTKKKAKLHAAEKA
+LR
+>P78563_PF00035_244 <unknown description>
+RPGLKYDFLSESGESHAKSFVMSVVVDGQFFEGSGRNKKLAKARAAQSALA
+>P78563_PF02137_370 <unknown description>
+SVSTGTKCINGEYMSDRGLALNDCHAEIISRRSLLRFLYTQLELYLNNKDDQKRSIFQKS
+ERGGFRLKENVQFHLYISTSPCGDARIFSPHEPILEEPADRHPNRKARGQLRTKIESGEG
+TIPVRSNASIQTWDGVLQGERLLTMSCSDKIARWNVVGIQGSLLSIFVEPIYFSSIILGS
+LYHGDHLSRAMYQRISNIEDLPPLYTLNKPLLSGISNAEARQPGKAPNFSVNWTVGDSAI
+EVINATTGKDELGRASRLCKHALYCRWMRVHGKVPSHLLRSKITKPNVYHESKLAAKEYQ
+AAKARLFTAFIKAGLGAWVEKP
+>Q9NS39_PF00035_134 <unknown description>
+HELRPGLQYRTVSQTGPVHAPVFAVAVEVNGLTFEGTGPTKKKAKMRAAELALR
+>Q9NS39_PF00035_285 <unknown description>
+RLRAGLRYVCLAEPAERRARSFVMAVSVDGRTFEGSGRSKKLARGQAAQAALQEL
+>Q9NS39_PF02137_408 <unknown description>
+ALSSGTKCISGEHLSDQGLVVNDCHAEVVARRAFLHFLYTQLELHLSKRREDSERSIFVR
+LKEGGYRLRENILFHLYVSTSPCGDARLHSPYEITTDLHSSKHLVRKFRGHLRTKIESGE
+GTVPVRGPSAVQTWDGVLLGEQLITMSCTDKIARWNVLGLQGALLSHFVEPVYLQSIVVG
+SLHHTGHLARVMSHRMEGVGQLPASYRHNRPLLSGVSDAEARQPGKSPPFSMNWVVGSAD
+LEIINATTGRRSCGGPSRLCKHVLSARWARLYGRLSTRTPSPGDTPSMYCEAKLGAHTYQ
+SVKQQLFKAFQKAGLGTWVRKP
+>Q13123_PF07808_76 <unknown description>
+KKKSYYAKLRQQEIERERELAEKYRDRAKERRDGVNKDYEETELISTTANYRAVGPTAEA
+DKSAAEKRRQLIQESKFLGGDMEHTHLVKGLDFALLQKVRAEIASKEKEEEELMEKPQKE
+TKKDEDPENKIEFKTRLGRNVYRMLFKSKAYERNELFLPGRMAYVVDLDDEYADTDIPTT
+LIRSKADCPTMEAQTTLTTNDIVISKLTQILSYLRQGTRNKKLKKKD
+>Q13123_PF07807_445 <unknown description>
+YAECYPATMDDMAVDSDEEVDYSKMDQGNKKGPLGRWDFDTQEEYSEYMNNKEALPKAAF
+QYGIKMSEGRKTRRFKETNDKAELDRQWKKISAIIEKRKKMEADGV
+>Q9BRK0_PF03134_19 <unknown description>
+PAYSSYKAVKTKNVKEYVKWMMYWIVFAFFTTAETLTDIVLSWFPFYFELKIAFVIWLLS
+PYTKGSSVLYRKFVHP
+>Q6NUK4_PF03134_19 <unknown description>
+PAYYSYKAVKTKNVKEYVRWMMYWIVFALYTVIETVADQTVAWFPLYYELKIAFVIWLLS
+PYTKGASLIYRKFLHPL
+>Q9H6H4_PF03134_19 <unknown description>
+PAYASYKAVKTKNIREYVRWMMYWIVFALFMAAEIVTDIFISWFPFYYEIKMAFVLWLLS
+PYTKGASLLYRKFVHP
+>Q00765_PF03134_67 <unknown description>
+PAYISIKAIESPNKEDDTQWLTYWVVYGVFSIAEFFSDIFLSWFPFYYMLKCGFLLWCMA
+PSPSNGAELLYKRIIRPF
+>Q96HR9_PF03134_66 <unknown description>
+PAYASIKAIESPSKDDDTVWLTYWVVYALFGLAEFFSDLLLSWFPFYYVGKCAFLLFCMA
+PRPWNGALMLYQRVVRPL
+>P05451_PF00059_54 <unknown description>
+RETWVDADLYCQNMNSGNLVSVLTQAEGAFVASLIKESGTDDFNVWIGLHDPKKNRRWHW
+SSGSLVSYKSWGIGAPSSVNPGYCVSLTSSTGFQKWKDVPCEDKFSFVCKF
+>P48304_PF00059_54 <unknown description>
+PETWVDADLYCQNMNSGNLVSVLTQAEGAFVASLIKESSTDDSNVWIGLHDPKKNRRWHW
+SSGSLVSYKSWDTGSPSSANAGYCASLTSCSGFKKWKDESCEKKFSFVCKF
+>Q06141_PF00059_58 <unknown description>
+PKSWTDADLACQKRPSGNLVSVLSGAEGSFVSSLVKSIGNSYSYVWIGLHDPTQGTEPNG
+EGWEWSSSDVMNYFAWERNPSTISSPGHCASLSRSTAFLRWKDYNCNVRLPYVCKF
+>Q6UW15_PF00059_58 <unknown description>
+PKSWMDADLACQKRPSGKLVSVLSGAEGSFVSSLVRSISNSYSYIWIGLHDPTQGSEPDG
+DGWEWSSTDVMNYFAWEKNPSTILNPGHCGSLSRSTGFLKWKDYNCDAKLPYVCKF
+>Q9BYZ8_PF00059_49 <unknown description>
+RNWSDAELECQSYGNGAHLASILSLKEASTIAEYISGYQRSQPIWIGLHDPQKRQQWQWI
+DGAMYLYRSWSGKSMGGNKHCAEMSSNNNFLTWSSNECNKRQHFLCKY
+>P04808_PF00049_32 <unknown description>
+IKLCGRELVRAQIAICGMSTWSKRSLSQEDAPQTPRPVAEIVPSFINKDTETIIIMLEFI
+ANLPPELKAALSERQPSLPELQQYVPALKDSNLSFEEFKKLIRNRQSEAADSNPSELKYL
+GLDTHSQKKRRPYVALFEKCCLIGCTKRSLAKYC
+>P04090_PF00049_32 <unknown description>
+IKLCGRELVRAQIAICGMSTWSKRSLSQEDAPQTPRPVAEIVPSFINKDTETINMMSEFV
+ANLPQELKLTLSEMQPALPQLQQHVPVLKDSSLLFEEFKKLIRNRQSEAADSSPSELKYL
+GLDTHSRKKRQLYSALANKCCHVGCTKRSLARFC
+>Q8WXF3_PF00049_33 <unknown description>
+RLCGREFIRAVIFTCGGSRWRRSDILAHEAMGDTFPDADADEDSLAGELDEAMGSSEWLA
+LTKSPQAFYRGRPSWQGTPGVLRGSRDVLAGLSSSCCKWGCSKSEISSLC
+>Q01201_PF16180_18 <unknown description>
+MPSRRVARPPAAPELGALGSPDLSSLSLAVSRSTDELEIIDEYIKENGFGLDGGQPGPGE
+GLPRLVSRGAASLSTVTLGPVAPPA
+>Q01201_PF00554_127 <unknown description>
+LVITEQPKQRGMRFRYECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVW
+KDWPHRVHPHSLVGKDCTDGICRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLG
+IDPYNAGSLKNHQEVDMNVVRICFQASYRDQQGQMRRMDPVLSEPVYDK
+>Q01201_PF16179_304 <unknown description>
+RICRINKESGPCTGGEELYLLCDKVQKEDISVVFSRASWEGRADFSQADVHRQIAIVFKT
+PPYEDLEIVEPVTVNVFLQRLTDGVCSEPLPFTYLPR
+>Q01201_PF16181_403 <unknown description>
+DSYGVDKKRKRGMPDVLGELNSSDPHGIESKRRKKKPAILDHFLPNHGSGPFLPPSALLP
+DPDFFSGTVSLPGLEPPGGPDLLDDGFAYDPTAPTLFTMLDLLPPAPPHASAVVCSGGAG
+AVVGETPGPEPLTLDSYQAPGPGDGGTASLVGSNMFPNHYREAAFGGGLLSPGPEAT
+>A0A1B0GV85_PF02014_33 <unknown description>
+CDDMQPKHIQAQPQHQDSHHITIHTHRTSYAPGDKIPVTVRSSRDFMGFLLQARRVSDHQ
+IAGTFVLIPPHSKLMTCFQEADAVTHSDKSLKRNLSFVWKAPAQPVGDIKFLLSVVQSYF
+VYW
+>Q8IUW5_PF12606_58 <unknown description>
+YIAYALVPVFFIMGLFGVLICHLLKKKGYRCTTEAEQDIEE
+>Q8NC24_PF12606_16 <unknown description>
+YMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDD
+>P78509_PF02014_63 <unknown description>
+GNPTYYVPGQEYHVTISTSTFFDGLLVTGLYTSTSVQASQSIGGSSAFGFGIMSDHQFGN
+QFMCSVVASHVSHLPTTNLSFIWIAPPAGTGCVNFMATATHRGQV
+>P78509_PF18720_3231 <unknown description>
+CPKLCSGHGYCTTGAICICDESFQGDDCS
+>Q04864_PF00554_10 <unknown description>
+IEIIEQPRQRGMRFRYKCEGRSAGSIPGEHSTDNNRTYPSIQIMNYYGKGKVRITLVTKN
+DPYKPHPHDLVGKDCRDGYYEAEFGQERRPLFFQNLGIRCVKKKEVKEAIITRIKAGINP
+FNVPEKQLNDIEDCDLNVVRLCFQVFLPDEHGNLTTALPPVVSNPIYDN
+>Q04864_PF16179_187 <unknown description>
+RICRVNKNCGSVRGGDEIFLLCDKVQKDDIEVRFVLNDWEAKGIFSQADVHRQVAIVFKT
+PPYCKAITEPVTVKMQLRRPSDQEVSESMDFRYLPD
+>O75628_PF00071_82 <unknown description>
+RVVLLGDPGVGKTSLASLFAGKQERDLHEQLGEDVYERTLTVDGEDTTLVVVDTWEAEKL
+DKSWSQESCLQGGSAYVIVYSIADRGSFESASELRIQLRRTHQADHVPIILVGNKADLAR
+CREVSVEEGRACAVVFDCKFIETSATLQHNVAELFEGVVRQL
+>Q8IYK8_PF00071_116 <unknown description>
+KVMLVGESGVGKSTLAGTFGGLQGDSAHEPENPEDTYERRIMVDKEEVTLVVYDIWEQGD
+AGGWLRDHCLQTGDAFLIVFSVTDRRSFSKVPETLLRLRAGRPHHDLPVILVGNKSDLAR
+SREVSLEEGRHLAGTLSCKHIETSAALHHNTRELFEGAVRQIR
+>Q9H1J1_PF03467_68 <unknown description>
+SKVVIRRLPPGLTKEQLEEQLRPLPAHDYFEFFAADLSLYPHLYSRAYINFRNPDDILLF
+RDRFDGYIFLDSKGLEYPAVVEFAPFQKIAKKKLRKKDAKTGSIEDDPEYKKFLETYCVE
+EEKTSANPETLLGEMEAKTRELIARRTTPLLEYIKNRK
+>Q9BZI7_PF03467_51 <unknown description>
+SKVVIRRLPPTLTKEQLQEHLQPMPEHDYFEFFSNDTSLYPHMYARAYINFKNQEDIILF
+RDRFDGYVFLDNKGQEYPAIVEFAPFQKAAKKKTKKRDTKVGTIDDDPEYRKFLESYATD
+NEKMTSTPETLLEEIEAKNRELIAKKTTPLLSFLKNKQ
+>P51606_PF07221_47 <unknown description>
+GFFTCLGREGRVYDDLKYVWLQGRQVWMYCRLYRTFERFRHAQLLDAAKAGGEFLLRYAR
+VAPPGKKCAFVLTRDGRPVKVQRTIFSECFYTMAMNELWRATGEVRYQTEAVEMMDQIVH
+WVQEDASGLGRPQLQGAPAAEPMAVPMMLLNLVEQLGEADEELAGKYAELGDWCARRILQ
+HVQRDGQAVLENVSEGGKELPGCLGRQQNPGHTLEAGWFLLRHCIRKGDPELRAHVIDKF
+LLLPFHSGWDPDHGGLFYFQDADNFCPTQLEWAMKLWWPHSEAMIAFLMGYSDSGDPVLL
+RLFYQVAEYTFRQFRDPEYGEWFGYLSREGKVALSIKGGP
+>P00797_PF07966_33 <unknown description>
+RIFLKRMPSIRESLKERG
+>P00797_PF00026_85 <unknown description>
+QYYGEIGIGTPPQTFKVVFDTGSSNVWVPSSKCSRLYTACVYHKLFDASDSSSYKHNGTE
+LTLRYSTGTVSGFLSQDIITVGGITVTQMFGEVTEMPALPFMLAEFDGVVGMGFIEQAIG
+RVTPIFDNIISQGVLKEDVFSFYYNRDSENSQSLGGQIVLGGSDPQHYEGNFHYINLIKT
+GVWQIQMKGVSVGSSTLLCEDGCLALVDTGASYISGSTSSIEKLMEALGAKKRLFDYVVK
+CNEGPTLPDISFHLGGKEYTLTSADYVFQESYSSKKLCTLAIHAMDIPPPTGPTWALGAT
+FIRKFYTEFDRRNNRIGFAL
+>O75787_PF07850_254 <unknown description>
+LYGGNAVVELVTVKSFDTSLIRKTRTILEAKQAKNPASPYNLAYKYNFEYSVVFNMVLWI
+MIALALAVIITSYNIWNMDPGYDSIIYRMTNQKIRMD
+>Q92900_PF09416_121 <unknown description>
+HACSYCGIHDPACVVYCNTSKKWFCNGRGNTSGSHIVNHLVRAKCKEVTLHKDGPLGETV
+LECYNCGCRNVFLLGFIPAKADSVVVLLCRQPCASQSSLKDINWDSSQWQPLIQDRCFLS
+WLVKIPSEQEQLRARQITAQQINKLEELWKEN
+>Q92900_PF18141_324 <unknown description>
+QTQDNITVRWDLGLNKKRIAYFTLPKTDSDMRLMQGDEICLRYKGDLAPLWKGIGHVIKV
+PDNYGDEIAIELRSSVGAPVEVTHNFQVDFV
+>Q92900_PF04851_485 <unknown description>
+RPLSLIQGPPGTGKTVTSATIVYHLARQGNGPVLVCAPSNIAVDQLTEKIHQTGL
+>Q92900_PF13086_573 <unknown description>
+ELQKLQQLKDETGELSSADEKRYRALKRTAERELLMNADVICCTCVGAGDPRLAKMQFRS
+ILIDESTQATEPECMVPVVLGAKQLILVGDHCQLGPVVM
+>Q92900_PF13087_680 <unknown description>
+LSQSLFERLVVLGIRPIRLQVQYRMHPALSAFPSNIFYEGSLQNGVTAADRVKKGFDFQW
+PQPDKPMFFYVTQGQEEIASSGTSYLNRTEAANVEKITTKLLKAGAKPDQIGIITPYEGQ
+RSYLVQYMQFSGSLHTKLYQEVEIASVDAFQGREKDFIILSCVRANEHQGIGFLNDPRRL
+NVALTRARYGVIIVGNP
+>Q9HAU5_PF02854_169 <unknown description>
+KKNTAFVKKLKTITEQQRDSLSHDFNGLNLSKYIAEAVASIVEAKLKISDVNCAVHLCSL
+FHQRYADFAPSLLQVWKKHFEARKEEKTPNITKLRTDLRFIAELTIVGIFTDKEGLSLIY
+EQLKNIINADRESHTHVSVVISFCRHCGDDIAGLVPRKVKSAAEKFNLSFPPSEIISPEK
+QQPFQNLLKEYFTSLTKHLKRDHRELQNTERQNRRILHSKGELSEDRHK
+>Q9HAU5_PF02854_573 <unknown description>
+QQLPNCVNRDLIDKAAMDFCMNMNTKANRKKLVRALFIVPRQRLDLLPFYARLVATLHPC
+MSDVAEDLCSMLRGDFRFHVRKKDQINIETKNKTVRFIGELTKFKMFTKNDTLHCLKMLL
+SDFSHHHIEMACTLLETCGRFLFRSPESHLRTSVLLEQMMRKKQAMHLDARYVTMVENAY
+YYCNP
+>Q9HAU5_PF02854_776 <unknown description>
+RKLLYKDLSKVTTEKVLRQMRKLPWQDQEVKDYVICCMINIWNVKYNSIHCVANLLAGLV
+LYQEDVGIHVVDGVLEDIRLGMEVNQPKFNQRRISSAKFLGELYNYRMVESAVIFRTLYS
+FTSFGVNPDGSPSSLDPPEHLFRIRLVCTILDTCGQYFDRGSSKRKLDCFLVYFQRYVWW
+KKSLEVWTKDHPFPIDIDYMISDTLELLRPK
+>Q9HAU5_PF04050_1099 <unknown description>
+GGGLKHVPCVEDEDFIQALDKMMLENLQQRSGESVKVHQLDVAIPLHLKSQLRKGPPLGG
+GEGEAESADTMPFVMLTRKGNKQQFKILNVPMSSQLAANHWNQQQAEQEERMRMKKLTLD
+>Q6BDI9_PF15208_1 <unknown description>
+MGQKASQQLALKDSKEVPVVCEVVSEAIVHAAQKLKEYLGFEYPPSKLCPAANTLNEIFL
+IHFITFCQEKGVDEWLTTTKMTKHQAFLFGADWIWTFWGSNKQIKLQLAVQTLQMSSPPP
+VESKPCDLSNPESRVEESSWKKSRFDKLEEFCNLIGEDCLGLFIIFGMPGKPKDIRGVVL
+DSVKSQMVRSHLPGGKAVAQFVLETEDCVFIKELLRNCLSKKDGLREVGKVYISIL
+>Q9BWE0_PF00096_173 <unknown description>
+FACHLCGQSFRGWVALVLHLRAH
+>Q9BWE0_PF00096_234 <unknown description>
+FICGNCGRSFAQWDQLVAHKRVH
+>Q9BWE0_PF00096_321 <unknown description>
+HQCPECGKRFTNKPYLTSHRRIH
+>Q9BWE0_PF00096_349 <unknown description>
+YPCKECGRRFRHKPNLLSHSKIH
+>Q9BWE0_PF00096_432 <unknown description>
+YSCDDCGRSFRLERFLRAHQRQH
+>Q9BWE0_PF00096_460 <unknown description>
+FTCAECGKNFGKKTHLVAHSRVH
+>Q9BWE0_PF00096_488 <unknown description>
+FACEECGRRFSQGSHLAAHRRDH
+>Q9BWE0_PF00096_516 <unknown description>
+FVCPDCGKAFRHKPYLAAHRRIH
+>Q9BWE0_PF00096_544 <unknown description>
+YVCPDCGKAFSQKSNLVSHRRIH
+>Q9BWE0_PF00096_572 <unknown description>
+YACPDCDRSFSQKSNLITHRKSH
+>Q96D71_PF12763_282 <unknown description>
+TDEQRQYYVNQFKTIQPDLNGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLD
+EFCAAFHLVVARKNGYDLPEKLPESLMPK
+>Q8NFH8_PF12763_278 <unknown description>
+ITEEQREYYVNQFRSLQPDPSSFISGSVAKNFFTKSKLSIPELSYIWELSDADCDGALTL
+PEFCAAFHLIVARKNGYPLPEGLPPTLQP
+>Q92785_PF14051_14 <unknown description>
+EQYYKDAMEQCHNYNARLCAERSVRLPFLDSQTGVAQSNCYIWMEKRHRGPGLASGQLYS
+YPARRWRKKRR
+>Q92785_PF00628_330 <unknown description>
+CNICGTSENDDQLLFCDDCDRGYHMYCLTPSMSEPPEGSWSCHLC
+>O15258_PF03248_22 <unknown description>
+FTRLGQIYQSWLDKSTPYTAVRWVVTLGLSFVYMIRVYLLQGWYIVTYALGIYHLNLFIA
+FLSPKVDPSLMEDSDDGPSLPTKQNEEFRPFIRRLPEFKFWHAATKGILVAMVCTFFDAF
+NVPVFWPILVMYFIMLFCITMKRQIKHMIKYRYIPFTHGKRRYR
+>Q9P2R6_PF01426_104 <unknown description>
+VYRPGDCVYIESRRPNTPYFICSIQDFKLVHNSQACCRSPTPALCDPPACSLPVASQPPQ
+HLSEAGRGPVGSKRDHLLMNVKWYYRQSEVPDSVYQHLVQDRHNENDSGRELVITDPVIK
+NRELFISDYVDTYHAAALRGKCNISHFSDIFAAREFKARVDSFFYILGYNPETRRLNS
+>Q9P2R6_PF01448_286 <unknown description>
+IRVGPSHQAKLPDLQPFPSPDGDTVTQHEELVWMPGVNDCDLLMYLRAARS
+>Q9P2R6_PF00320_507 <unknown description>
+CRHCFTTTSKDWHHGGRENILLCTDCRIHFKKYGE
+>Q9P2R6_PF03154_568 <unknown description>
+GKHSMRTRRSRGSMSTLRSGRKKQPASPDGRTSPINEDIRSSGRNSPSAASTSSNDSKAE
+TVKKSAKKVKEEASSPLKSNKRQREKVASDTEEADRTSSKKTKTQEISRPNSPSEGEGES
+SDSRSVNDEGSSDPKDIDQDNRSTSPSIPSPQDNESDSDSSAQQQMLQAQPPALQAPTGV
+TPAPSSAPPGTPQLPTPGPTPSATAVPPQGSPTASQAPNQPQAPTAPVPHTHIQQAPALH
+PQRPPSPHPPPHPSPHPPLQPLTGSAGQPSAPSHAQPPLHGQGPPGPHSLQAGPLLQHPG
+PPQPFGLPPQASQGQAPLGTSPAAAYPHTSLQLPASQSALQSQQPPREQPLPPAPLAMPH
+IKPPPTTPIPQLPAPQAHKHPPHLSGPSPFSMNANLPPPPALKPLSSLSTHHPPSAHPPP
+LQLMPQSQPLPSSPAQPPGLTQSQNLPPPPASHPPTGLHQVAPQPPFAQHPFVPGGPPPI
+TPPTCPSTSTPPAGPGTSAQPPCSGAAASGGSIAGGSSCPLPTVQIKEEALDDAEEPESP
+PPPPRSPSPEPTVVDTPSHASQSARFYKHLDRGYNSCARTDLYFMPLAGSKLAKKREEAI
+EKAKREAEQKAREEREREKEKEKEREREREREREAERAAKASSSAHEGRLSDPQLSGPGH
+MRPSFEPPPTTIAAVPPYIGPDTPALRTLSEYARPHVMSPTNRNHPFYMPLNPTDPLLAY
+HMPGLYNVDPTIRERELREREIREREIRERELRERMKPGFEVKPPELDPLHPAANPMEHF
+ARHSALTIPPTAGPHPFASFHPGLNPLERERLALAGPQLRPEMSYPDRLAAERIHAERMA
+SLTSDPLARLQMFNVTPHHHQHSHIHSHLHLHQQDPLHQGSAGPVHPLVDPLTAGPHLAR
+FPYPPGTLPNPLLGQPPHEHEMLRHPVFGTPYPRDLPGAIPPPMSAAHQLQAMHAQSAEL
+QRLAMEQQWLHGHPHMHGGHLPSQEDYYSRLKKEGDKQ
+>Q96A58_PF00071_8 <unknown description>
+KLAIFGRAGVGKSALVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVSMEILDTAGQEDT
+IQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEIKKPKNVTLILVGNKADLDHSRQ
+VSTEEGEKLATELACAFYECSACTGEGNITEIFYELCREVR
+>Q5W5W9_PF14948_79 <unknown description>
+GQDQVGVGQLWPLQGFATPVFQHLQVVLQQIIPQGLFWKDDITQDAMIQKMEHASRLHPQ
+EPCLKDGKALFPTKTTE
+>Q9HCM1_PF15395_669 <unknown description>
+SMEVLATCLSLWKKQPSDTAKEKECDKLRTNTTAVGISKPANIHVKSPCSVVGNSNSQNK
+ISNPSQQTALSMVMHNYESSGINITKGTELQIAVVSPLVLSEVKTLSVKGITPAVLPETV
+YPVIKEGSVCSLQNQLAENAKATAALKVDVSGPVASTATSTKIFPLTQKEKQNESTNGNS
+EVTPNVNQGKHNKLESAIHSPMNDQQISQESRNSTVVSSDTLQIDNICSLVEGDTSYNSQ
+IAKIFSSLPLKMVEPQKPSLPNQQGIGSREPEKQLDNTTENKDFGFQKDKPVQCTDVSHK
+ICDQSKSEPPLESSFNNLETNRVILEKSSLEHATEKSTANDTCSSAAIQEDIYPQEIDAS
+SNYTPQDPARNEIHSDKAPVLYLHDQLSELLKEFPYGIEAVNTREGSVGQQTTYQTSEDQ
+TADKTSSDSKDPADQIQITILSSEQMKEIFPEQDDQPYVVDKLAEPQKEEPITEVVSQCD
+LQAPAAGQSRDSVILDSEKDDIHCCALGWLSMVYEGVPQCQCNSIKNSSSEEEKQKEQCS
+PLDTNSCKQGERTSDRDVTVVQFKSLVNNPKTPPDGKSHFPELQDDSRKDTPKTKHKSLP
+RTEQELVAGQFSSKCDKLNPLQNHKRKKLRFHEVTFHSSNKMTASYEQASQETRQKKHVT
+QNSRPLKTKTAFLPNKDVYKKHSSLGQSLSPEKIKLKLKSVSFKQKRKLDQGNVLDMEVK
+KKKHDKQEQKGSVGATFKLGDSLSNPNERAIVKEKMVSNTKSVDTKASSSKFSRILTPKE
+YLQRQKHKEALSNKASKKICVKNVPCDSEHMRPSKLAVQVESCGKSNEKHSSGVQTSKES
+LNGLTSHGKNLKIHHSQESKTYNILRNVKEKVGGKQPDKIWIDKTKLDKLTNISNEAQFS
+QMPPQVKDQKKLYLNRVGFKCTERESISLTKLESSPRKLHKDKRQENKHKTFLPVKGNTE
+KSNMLEFKLCPDILLKNTNSVEERKDVKPHPRKEQAPLQVSGIKSTKEDWLKFVATKKRT
+QKDSQERDNVNSRLSKRSFSADGFEMLQNPVKDSKEMFQTYKQMYLEKR
+>Q13127_PF13909_304 <unknown description>
+YKCELCPYSSSQKTHLTRHMRTHSG
+>P50120_PF00061_6 <unknown description>
+NGTWEMESNENFEGYMKALDIDFATRKIAVRLTQTKVIDQDGDNFKTKTTSTFRNYDVDF
+TVGVEFDEYTKSLDNRHVKALVTWEGDVLVCVQKGEKENRGWKQWIEGDKLYLELTCGDQ
+VCRQVFKK
+>P10745_PF11918_23 <unknown description>
+FQPSLVLDMAKVLLDNYCFPENLLGMQEAIQQAIKSHEILSISDPQTLASVLTAGVQSSL
+NDPRLVISYEPSTPEPPPQVPALTSLSEEELLAWLQRGLRHEVLE
+>P10745_PF03572_129 <unknown description>
+NVGYLRVDSVPGQEVLSMMGEFLVAHVWGNLMGTSALVLDLRHCTGGQVSGIPYIISYLH
+PGNTILHVDTIYNRPSNTTTEIWTLPQVLGERYGADKDVVVLTSSQTRGVAEDIAHILKQ
+MRRAIVVGERTGGGALDLRKLRIGESDFFFTVPVSRSLGPLGGGSQTWEGSGVLPC
+>P10745_PF11918_309 <unknown description>
+AEQALEKALAILTLRSALPGVVHCLQEVLKDYYTLVDRVPTLLQHLASMDFSTVVSEEDL
+VTKLNAGLQAASEDPRLLVRAIGPTETPSWPAPDAAAEDSPGVAPELPEDEAIRQALVDS
+VFQVSVLP
+>P10745_PF03572_438 <unknown description>
+NVGYLRFDSFADASVLGVLAPYVLRQVWEPLQDTEHLIMDLRHNPGGPSSAVPLLLSYFQ
+GPEAGPVHLFTTYDRRTNITQEHFSHMELPGPRYSTQRGVYLLTSHRTATAAEEFAFLMQ
+SLGWATLVGEITAGNLLHTRTVPLLDTPEGSLALTVPVLTFIDNHGEAWLGGGVVPDAI
+>P10745_PF11918_619 <unknown description>
+AEEALDKAQEVLEFHQSLGALVEGTGHLLEAHYARPEVVGQTSALLRAKLAQGAYRTAVD
+LESLASQLTADLQEVSGDHRLLVFHSPGELVVEEAPPPPPAVPSPEELTYLIEALFKTEV
+LP
+>P10745_PF03572_743 <unknown description>
+LGYLRFDAMAELETVKAVGPQLVRLVWQQLVDTAALVIDLRYNPGSYSTAIPLLCSYFFE
+AEPRQHLYSVFDRATSKVTEVWTLPQVAGQRYGSHKDLYILMSHTSGSAAEAFAHTMQDL
+QRATVIGEPTAGGALSVGIYQVGSSPLYASMPTQMAMSATTGKAWDLAGVEPDITV
+>P10745_PF11918_921 <unknown description>
+SEALSIAQDIVALRAKVPTVLQTAGKLVADNYASAELGAKMATKLSGLQSRYSRVTSEVA
+LAEILGADLQMLSGDPHLKAAHIPENAKDRIPGIVPMQIPSPEVFEELIKFSFHTNVLE
+>P10745_PF03572_1041 <unknown description>
+NIGYLRFDMFGDGELLTQVSRLLVEHIWKKIMHTDAMIIDMRFNIGGPTSSIPILCSYFF
+DEGPPVLLDKIYSRPDDSVSELWTHAQVVGERYGSKKSMVILTSSVTAGTAEEFTYIMKR
+LGRALVIGEVTSGGCQPPQTYHVDDTNLYLTIPTARSVGASDGSSWEGVGVTPHVVV
+>P02753_PF00061_39 <unknown description>
+SGTWYAMAKKDPEGLFLQDNIVAEFSVDETGQMSATAKGRVRLLNNWDVCADMVGTFTDT
+EDPAKFKMKYWGVASFLQKGNDDHWIVDTDYDTYAVQYSCRLLNLDGTCADSYSFVFSRD
+PNGLPPEAQKIVRQR
+>P82980_PF00061_7 <unknown description>
+GYYRFVSQKNMEDYLQALNISLAVRKIALLLKPDKEIEHQGNHMTVRTLSTFRNYTVQFD
+VGVEFEEDLRSVDGRKCQTIVTWEEEHLVCVQKGEVPNRGWRHWLEGEMLYLEL
+>Q96R05_PF00061_6 <unknown description>
+SGTWTLLSSDNFEGYMLALGIDFATRKIAKLLKPQKVIEQNGDSFTIHTNSSLRNYFVKF
+KVGEEFDEDNRGLDNRKCKSLVIWDNDRLTCIQKGEKKNRGWTHWIEGDKLHLEMFCEGQ
+VCKQTFQR
+>Q9BQ08_PF06954_23 <unknown description>
+TQCSLDSVMDKKIKDVLNSLEYSPSPISKKLSCASVKSQGRPSSCPAGMAVTGCACGYGC
+GSWDVQLETTCHCQCSVVDWTTARCCHL
+>Q9HD89_PF06954_21 <unknown description>
+LCSMEEAINERIQEVAGSLIFRAISSIGLECQSVTSRGDLATCPRGFAVTGCTCGSACGS
+WDVRAETTCHCQCAGMDWTGARCCRV
+>Q6NUM9_PF13450_72 <unknown description>
+VIGSGFGGLAAAAILAKAGKRVLVLEQHTKAGGCCHTFGKNGLEFDTGIHYIG
+>P07949_PF17756_29 <unknown description>
+LYFSRDAYWEKLYVDQAAGTPLLYVHALRDAPEEVPSFRLGQHLYGTYRTRLHENNWICI
+QEDTGLLYLNRSLDHSSWEKLSVRNRGFPLLTVYLKVFLSPTSLREGECQWPGCARVYFS
+FFNTS
+>P07949_PF00028_173 <unknown description>
+SFRIRENRPPGTFHQFRLLPVQFLCPNISVAYRLLEGEGLPFRCAPDSLEVSTRWALDRE
+QREKYELVAVCTVHAGAREEVVMV
+>P07949_PF17812_265 <unknown description>
+EDDSAPTFPAGVDTASAVVEFKRKEDTVVATLRVFDADVVPASGELVRRYTSTLLPGDTW
+AQQTFRVEHWPNETSVQANGSFVRATVHDYRLVLNRNLSISENRTMQLAVLVNDS
+>P07949_PF17813_405 <unknown description>
+PSTYSLSVSRRARRFAQIGKVCVENCQAFSGINVQYKLHSSGANCSTLGVVTSAEDTSGI
+LFVNDTKALRRPKCAELHYMVVATDQQTSRQAQAQLLVTVEG
+>P07949_PF07714_725 <unknown description>
+VLGKTLGEGEFGKVVKATAFHLKGRAGYTTVAVKMLKENASPSELRDLLSEFNVLKQVNH
+PHVIKLYGACSQDGPLLLIVEYAKYGSLRGFLRESRKVGPGYLGSGGSRNSSSLDHPDER
+ALTMGDLISFAWQISQGMQYLAEMKLVHRDLAARNILVAEGRKMKISDFGLSRDVYEEDS
+YVKRSQGRIPVKWMAIESLFDHIYTTQSDVWSFGVLLWEIVTLGGNPYPGIPPERLFNLL
+KTGHRMERPDNCSEEMYRLMLQCWKQEPDKRPVFADISKDL
+>Q9UBZ9_PF16589_46 <unknown description>
+STIFSGVAIYVNGYTDPSAEELRKLMMLHGGQYHVYYSRSKTTHIIATNLPNAKIKELKG
+EKVIRPEWIVESIKAGRLLSYIPY
+>Q9UBZ9_PF00817_422 <unknown description>
+VDMDCFFVSVGIRNRPDLKGKPVAVTSNRGTGRAPLRPGANPQLEWQYYQNKILKGKAAD
+IPDSSLWENPDSAQANGIDSVLSRAEIASCSYEARQLGIKNGMFFGHAKQLCPNLQAVPY
+DFHAYKEVAQTLYETLASYTHNIEAVSCDEALVDITEILAETKLTPDEFANAVRMEIKDQ
+TKCAASVGIGSNILLARMAT
+>Q9UBZ9_PF11799_709 <unknown description>
+RKSVSAEINYGIRFTQPKEAEAFLLSLSEEIQRRLEATGMKGKRLTLKIMVRKPGAPVET
+AKFGGHGICDNIARTVTLDQATDNAKIIGKAMLNMFHTMKLNISDMRGVGIHVNQLVP
+>Q9UBZ9_PF14377_935 <unknown description>
+PSQLDQSVLEALPPDLREQV
+>Q9UBZ9_PF14377_1012 <unknown description>
+AFSQVDPEVFAALPAELQRELKAAYDQRQRQ
+>Q9UBZ9_PF16727_1167 <unknown description>
+DVKTLLREWITTISDPMEEDILQVVKYCTDLIEEKDLEKLDLVIKYMKRLMQQSVESVWN
+MAFDFILDNVQVVLQQTYGSTL
+>O60673_PF03104_46 <unknown description>
+AGQKTCLHLHGIFPYLYVPYDGYGQQPESYLSQMAFSIDRALNVALGNPSSTAQHVFKVS
+LVSGMPFYGYHEKERHFMKIYLYNPTMVKRICELLQSGAIMNKFYQPHEAHIPYLLQLFI
+DYNLYGMNLINLAAVKFRKARRKSN
+>O60673_PF15735_747 <unknown description>
+LSCSGENRTMVHSLNSTADESGLNKLKIRYEEFQEHKTEKPSLSQQAAHYMFFPSVVLSN
+CLTRPQKLSPVTYKLQPGNKPSRLKLNKRKLAGHQETSTKSSETGSTKDNFIQNNPCNSN
+PEKDNALASDLTKTTRGAFENKTPTDGFIDCHFGDGTLETEQSFGLYGNKYTLRAKRKVN
+YETEDSESSFVTHNSKISLPHPMEIGESLDGTLKSRKRRKMSKKLPPVIIKYIIINRFRG
+RKNMLVKLGKIDSKEKQVILTEEKMELYKKLAPLKDFWPKVPDSPATKYPIYPLTPKKSH
+RRKSKHKSAKKKTGKQQRTNNENIKRTLSFRKKRSHAILSPPSPSYNAETEDCDLNYSDV
+MSKLGFLSERSTSPINSSPPRCWSPTD
+>O60673_PF03104_2293 <unknown description>
+EIQNLTLISVELHARTRRDLEPDPEFDPICALFYCISSDTPLPDTEKTELTGVIVIDKDK
+TVFSQDIRYQTPLLIRSGITGLEVTYAADEKALFHEIANIIKRYDPDILLGYEIQMHSWG
+YLLQRAAALSIDLCRMISRVPDDKIENRFAAERDEYGSYTMSEINIVGRITLNLWRIMRN
+EVALTNYTFENV
+>O60673_PF00136_2551 <unknown description>
+FLHVLTRGSQYRVESMMLRIAKPMNYIPVTPSVQQRSQMRAPQCVPLIMEPESRFYSNSV
+LVLDFQSLYPSIVIAYNYCFSTCLGHVENLGKYDEFKFGCTSLRVPPDLLYQVRHDITVS
+PNGVAFVKPSVRKGVLPRMLEEILKTRFMVKQSMKAYKQDRALSRMLDARQLGLKLIANV
+TFGYTSANFSGRMPCIEVGDSIVHKARETLERAIKLVNDTKKWGARVVYGDTDSMFVLLK
+GATKEQSFKIGQEIAEAVTATNPKPVKLKFEKVYLPCVLQTKKRYVGYMYETLDQKDPVF
+DAKGIETVRRDSCPAVSKILERSLKLLFETRDISLIKQYVQRQCMKLLEGKASIQDFIFA
+KEYRGSFSYKPGACVPALELTRKMLTYDRRSEPQVGERVPYVIIYGTPGVPLIQLVRRPV
+EVLQDPTLRLNATYYITKQILPPLARIFSL
+>O60673_PF14260_3042 <unknown description>
+CPVCDDLTQHGICSKCRSQPQHVAVILNQEIRELERQQEQLVKICKNCTGCFDRHIPCVS
+LNCPVLFK
+>Q96EN9_PF14966_82 <unknown description>
+RRGHRQYLRSGPDYDFARYRSTVHGVTQAFAAASREVLAVEAELGGPRRQPLLAGHVRSL
+QELEQTRLGTVALLQLM
+>Q8N1G1_PF15870_802 <unknown description>
+KPIIPKEFGGKVPTVIRQRYLNLFIEECLKFCTSNQEAIEKALNEEKVAYDRSPSKNIYL
+NVAVNTLKKLRGLAPSAVPGLSKTSGRRVVSHEVVLGGRLAAKTSFSLSRPSSPRVEDLK
+GAALYSRLREYLLTQDQLKENGYPFPHPERPGGAIIFTAE
+>Q9GZR2_PF00929_245 <unknown description>
+ALDCEMVGVGPKGEESMAARVSIVNQYGKCVYDKYVKPTEPVTDYRTAVSGIRPENLKQG
+EELEVVQKEVAEMLKGRILVGHALHNDLKVLFLDHPKKKIRDTQKYKPFKSQVKSGRPSL
+RLLSEKILGLQVQQAEHCSIQDAQAAMRLY
+>Q96IC2_PF00929_231 <unknown description>
+LDCEMCLTSKGRELTRISLVAEGGCCVMDELVKPENKILDYLTSFSGITKKILNPVTTKL
+KDVQRQLKALLPPDAVLVGHSLDLDLRALKMIHPYVIDTSLLYVREQGRRFKLKFLAKVI
+LGKDIQCPDRLGHDATEDARTILEL
+>Q96IC2_PF00076_509 <unknown description>
+AGPFSKNCNLRALKRLFKSFGPVQSMTFVLETRQPHLCIQYEVLEAAQLAIESLDGILVD
+GICI
+>Q9UGC7_PF03462_64 <unknown description>
+LLAVIKLLNEKERELRETEHLLHDENEDLRKLAENEITLCQKEITQLKHQIILLLVPSEE
+TDENDLILEVTAGVGGQEAMLFTSEIFDMYQQYAAFKRWHFETLEYFPSELGGLRHASAS
+IGGSEAYRHMKFEGGVHRVQRVPKTEKQGRVHTSTMTV
+>Q9UGC7_PF00472_229 <unknown description>
+EINLVINPKDLRIDTKRASGAGGQHVNTTDSAVRIVHLPTGVVSECQQERSQLKNKELAM
+TKLRAKLYSMHLEEEINKRQNARKIQIGSKGRSEKIRTYNFPQNRVTDHR
+>O75570_PF03462_83 <unknown description>
+SKEYQTLEQCLQHIPVNEENRRSLNRRHAELAPLAAIYQEIQETEQAIEELESMCKSLNK
+QDEKQLQELALEERQTIDQKINMLYNELFQSLVPKEKYDKNDVILEVTAGRTTGGDICQQ
+FTREIFDMYQNYSCYKHWQFELLNYTPADYGGLHHAAARISGDGVYKHLKYEGGIHRVQR
+IPEVGLSSRMQRIHTGTMSV
+>O75570_PF00472_289 <unknown description>
+DEVDVKLDPKDLRIDTFRAKGAGGQHVNKTDSAVRLVHIPTGLVVECQQERSQIKNKEIA
+FRVLRARLYQQIIEKDKRQQQSARKLQVGTRAQSERIRTYNFTQDRVSDHR
+>P27694_PF04057_5 <unknown description>
+LSEGAIAAIMQKGDTNIKPILQVINIRPITTGNSPPRYRLLMSDGLNTLSSFMLATQLNP
+LVEEEQLSSNCVCQIHRFIVNTLKDGRRVVILMELEVLKS
+>P27694_PF01336_197 <unknown description>
+WTICARVTNKSQIRTWSNSRGEGKLFSLELVDESGEIRATAFNEQVDKFFPLIEVNKVYY
+FSKGTLKIANKQFTAVKNDYEMTFN
+>P27694_PF16900_305 <unknown description>
+IDDLENKSKDSLVDIIGICKSYEDATKITVRSNNREVAKRNIYLMDTSGKVVTATLWGED
+ADKFDGSRQPVLAIKGARVSDFGGRSLSVLSSSTIIAN
+>P27694_PF08646_461 <unknown description>
+YFSSVATVVYLRKENCMYQACPTQDCNKKVIDQQNGLYRCEKCDTEFPNFKYRMILSVNI
+ADFQENQWVTCFQESAEAILGQNAAYLGELKDKNEQAFEEVFQNANFRSFIFRVRVKVET
+YNDESRIKATVMDVKPVDYREYGRRL
+>P15927_PF08784_166 <unknown description>
+HMVLSKANSQPSAGRAPISNPGMSEAGNFGGNSFMPANGLTVAQNQVLNLIKACPRPEGL
+NFQDLKNQLKHMSVSSIKQAVDFLSNEGHIYSTVDDD
+>P35244_PF08661_5 <unknown description>
+MDLPRSRINAGMLAQFIDKPVCFVGRLEKIHPTGKMFILSDGEGKNGTIELMEPLDEEIS
+GIVEVVGRVTAKATILCTSYVQFKEDSHPFDLGLYNEAVKIIHDFPQFY
+>Q13156_PF08784_197 <unknown description>
+HRNFIQDEVLRLIHECPHQEGKSIHELRAQLCDLSVKAIKEAIDYLTVEGHIYPTVDR
+>F8VTS6_PF15227_11 <unknown description>
+CPVCLKDLEEAVQLKCGYACCLQCLNSLQKEPDGEGLLCRFC
+>F8VTS6_PF11002_54 <unknown description>
+VVSQKDDIKPKYKLRALVSIIKELEPKLKSVLTMNPRMRKFQ
+>F8VTS6_PF13765_99 <unknown description>
+TFDVDTANNYLIISEDLRSFRSGDLSQNRKEQAERFDTALCVLGTPRF
+>F8VTS6_PF00622_150 <unknown description>
+RHYWEVDVGTSQVWDVGVCKESVNRQGKIELSSEHGFLTVGCREGKVFAASTVPMTPLWV
+SPQLHRVGIFLDVGMRSIAFYNVSDGCHINTFIEIPVCEPWRPFFAHKR
+>P35251_PF00533_404 <unknown description>
+ENCLEGLIFVITGVLESIERDEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAA
+ALGTKIIDEDGLLNLI
+>P35251_PF00004_647 <unknown description>
+LLSGPPGVGKTTTASLVCQELGYSYVELNASDTRSKSSLKAIVAESLNNTSIKGFYSNGA
+ASSVSTKHALIMDEVDGMAGNEDRGGIQELIGLIKHTKIPIICMCNDRNHPKIRSLVHYC
+FDLRFQRP
+>P35251_PF08519_914 <unknown description>
+ICDGDLVDSQIRSKQNWSLLPAQAIYASVLPGELMRGYMTQFPTFPSWLGKHSSTGKHDR
+IVQDLALHMSLRTYSSKRTVNMDYLSLLRDALVQPLTSQGVDGVQDVVALMDTYYLMKED
+FENIMEISSWGGKPSPFSKLDPKVKAAFTRAYNK
+>P35250_PF00004_72 <unknown description>
+IIIAGPPGTGKTTSILCLARALLGPALKDAMLELNASNDRGIDVVRNKIKMFAQQKVTLP
+KGRHKIIILDEADSMTDGAQQALRRTMEIYSKTTRFALACNASDKIIEPIQSRCAVLR
+>P35250_PF08542_258 <unknown description>
+PHPLLVKEMIQHCVNANIDEAYKILAHLWHLGYSPEDIIGNIFRVCKTFQMAEYLKLEFI
+KEIGYTHMKIAEGVNSLLQMAGLLAR
+>P40938_PF13177_19 <unknown description>
+HKEQAAQLRNLVQCGDFPHLLVYGPSGAGKKTRIMCILRELYGVGVEKLRIEHQTITTPS
+KKKIEISTIASNYHLEVNPSDAGNSDRVVIQEMLKTVAQSQQLETNSQRDFKVVLLTEVD
+KLTKDAQHALRRTMEKYMSTCRLILCCNSTSKVIPPIRSRCLAVRVP
+>P35249_PF00004_74 <unknown description>
+LLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVREKVKNFAQLTVSG
+SRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLICNYVSRIIEPLTSR
+CSKFRFK
+>P35249_PF08542_270 <unknown description>
+PAEKIDGVFAACQSGSFDKLEAVVKDLIDEGHAATQLVNQLHDVVVENNLSDKQKSIITE
+KLAEVDKCLADGADEHLQLISLCA
+>P40937_PF00004_56 <unknown description>
+LLLYGPPGTGKTSTILACAKQLYKDKEFGSMVLELNASDDRGIDIIRGPILSFASTRTIF
+KKGFKLVILDEADAMTQDAQNALRRVIEKFTENTRFCLICNYLSKIIPALQSRCTRFR
+>P40937_PF08542_243 <unknown description>
+LKSDIANILDWMLNQDFTTAYRNITELKTLKGLALHDILTEIHLFVHRVDFPSSVRIHLL
+TKMADIEYRLSVGTNEKIQLSSLIAA
+>Q8TAC1_PF00355_71 <unknown description>
+VCVGREDDIKKSERMTAVVHDREVVIFYHKGEYHAMDIRCYHSGGPLHLGDIEDFDGRPC
+IVCPWHKYKITLATGE
+>Q8WZ73_PF13920_313 <unknown description>
+ENLCKICMDSPIDCVLLECGHMVTCTKCGKRMNECPICRQYV
+>Q6WKZ4_PF00168_19 <unknown description>
+HVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERSLGAPVWREEATFELPSLL
+SSGPAAAATLQLTVLHRALLGLDKFLGRAEVDLRDLHRDQGRRKTQWYKL
+>Q6WKZ4_PF09457_1226 <unknown description>
+THDELIQLVLKQKETISKKEFQVRELEDYIDNLLVRVMEETPNILRIP
+>Q7L804_PF00168_14 <unknown description>
+HVQVTVLQAKDLKPKGKSGTNDTYTIIQLGKEKYSTSVAEKTLEPVWKEEASFELPGLLI
+QGSPEKYILFLIVMHRSLVGLDKFLGQVAINLNDIFEDKQRRKTEWFRL
+>Q7L804_PF09457_452 <unknown description>
+TYEEVLQELVKHKELLRRKDTHIRELEDYIDNLLVRVMEETPSILRVP
+>O75154_PF09457_716 <unknown description>
+SRDELMEAIQKQEEINFRLQDYIDRIIVAIMETNPSILEVK
+>Q86YS3_PF09457_596 <unknown description>
+SRDELMEALKEQEEINFRLRQYMDKIILAILDHNPSILEIK
+>Q6ZTI6_PF15068_1 <unknown description>
+MVGHLHLQGMEDSLKEQGREGLLDSPDSGLPPSPSPSPPFYSLAPGILDARAGGAGASSE
+PPGPSEARAPPSQLPNPPASEMRPRMLPVFFGESIKVNPEPTHEIRCNSEVKYASEKHFQ
+DKVFYAPVPTVTAYSETIVAAPNCTWRNYRSQLTLEPRPRALRFRSTTIIFPKHARSTFR
+TTLHCSLGRPSRWFTASVQLQL
+>Q9Y644_PF02434_55 <unknown description>
+LRPDDVFIAVKTTRKNHGPRLRLLLRTWISRARQQTFIFTDGDDPELELQGGDRVINTNC
+SAVRTRQALCCKMSVEYDKFIESGRKWFCHVDDDNYVNARSLLHLLSSFSPSQDVYLGRP
+SLDHPIEATERVQGGRTVTTVKFWFATGGAGFCLSRGLALKMSPWASLGSFMSTAEQVRL
+PDDCTVGYIVEGLLGARLLHSPLFHSHLENLQRLPPDTLLQQVTLSHGGPENPHNVVNVA
+GGFSLHQDPTR
+>Q9NWB1_PF00076_140 <unknown description>
+HVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGT
+VVEGRKI
+>Q9NWB1_PF12414_273 <unknown description>
+MPGFPYPAATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPAYGGVVYQEPVYGNKLLQG
+GYAAYRYAQPTPATAAAYSDRNQFVFVAAD
+>O75678_PF15227_101 <unknown description>
+CPVCSDYLEKPMSLECGCAVCLKCINSLQKEPHGEDLLCCC
+>O75678_PF11002_144 <unknown description>
+MVSRKNKIRRNRQLERLASHIKELEPKLKKILQMNPRMRKFQ
+>O75678_PF13765_189 <unknown description>
+TLDANTANNFLLISDDLRSVRSGRIRQNRQDLAERFDVSVCILGSPRF
+>O75678_PF00622_240 <unknown description>
+RHCWEVDVGTSTEWDLGVCRESVHRKGRIQLTTELGFWTVSLRDGGRLSATTVPLTFLFV
+DRKLQRVGIFLDMGMQNVSFFDAESGSHVYTFRSVSAEEPLRPFLAPS
+>O75679_PF15227_40 <unknown description>
+CPVCSDYLEKPMSLECGCTVCLKCINSLQKEPHGEDLLCCCC
+>O75679_PF11002_83 <unknown description>
+MVSQRNKIRPNRQLERLVSHIKELEPKLKKILQMNPRMRKFQ
+>O75679_PF13765_128 <unknown description>
+TLDADTANNFLLISDDLRSVRSGLITQNRQDLAERFDVSVCILGSPRF
+>O75679_PF00622_179 <unknown description>
+RHYWEVDVGTSTEWDLGVCRESVHCKGKIQLTTELGFWTVSLRDGSRLSASTVPLTFLLV
+DRKLQRVGIFLDMGMQNVSFFDAESGSHVYTFRSVSAEEPLRPFLAPS
+>A6NLU0_PF15227_11 <unknown description>
+CPVCLKDLEEAVQLKCGYACCLQCLNSLQKEPDGEGLLCRFC
+>A6NLU0_PF11002_54 <unknown description>
+VVSQKDDIKPKYKLRALVSIIKELEPKLKSVLTMNPRMRKFQ
+>A6NLU0_PF13765_99 <unknown description>
+TFDVDTANNYLIISEDLRSFRSGDLSQNRKEQAERFDTALCVLGTPRF
+>A6NLU0_PF00622_150 <unknown description>
+RHYWEVDVGTSQVWDVGVCKESVNRQGKIVLSSEHGFLTVGCREGKVFAASTVPMTPLWV
+SPQLHRVGIFLDVGMRSIAFYNVSDGCHIYTFIEIPVCEPWRPFFAHKR
+>Q6ZWI9_PF15227_11 <unknown description>
+CPVCLDFFSCSISLSCTHVFCFDCIQRYILENHDFRAMCPLC
+>Q6ZWI9_PF00622_146 <unknown description>
+HYWEVEVGEVKSWSLGVCKEPADRKSNDLFPEHGFWISMKAGAIHANTHLERIPASPRLR
+RVGIFLDADLEEIQFFDVDNNVLIYTHDGFFSLELLCPFFCLE
+>Q96AA3_PF04506_14 <unknown description>
+ASSGLLLQVLFRLITFVLNAFILRFLSKEIVGVVNVRLTLLYSTTLFLAREAFRRACLSG
+GTQRDWSQTLNLLWLTVPLGVFWSLFLGWIWLQLLEVPDPNVVPHYATGVVLFGLSAVVE
+LLGEPFWVLAQAHMFVKLKVIAESLSVILKSVLTAFLVLWLPHWGLYIFSLAQLFYTTVL
+VLCYVIYFTKLLGSPESTKLQTLPVSRITDLLPNITRNGAFINWKEAKLTWSFFKQSFLK
+QILTEGERYVMTFLNVLNFGDQGVYDIVNNLGSLVARLIFQPIEESFYIFFAKVLERGKD
+ATLQKQEDVAVAAAVLESLLKLALLAGLTITVFGFAYSQLALDIYGGTMLSSGSGPVLLR
+SYCLYVLLLAINGVTECFTFAAMSKEEVDRYNFVMLALSSSFLVLSYLLTRWCGSVGFIL
+ANCFNMGIRITQSLCFIHRYYRRSPHRPLAGLHLSPVLLGTFALSGGVTAVSEVFLCCEQ
+GWPARLAHIAVGAFCLGATLGTAFLTETKLIHF
+>Q14699_PF15250_1 <unknown description>
+MGCGLNKLEKRDEKRPGNIYSTLKRPQVETKIDVSYEYRFLEFTTLSAAELPGSSAVRLA
+SLRDLPAQLLELYQQGFSLAALHPFVQPTHEREKTPLEHIFRAILIKKTDRSQKTDLHNE
+GYILELDCCSSLDHPTDQKLIPEFIKKIQEAASQGLKFVGVIPQYHSSVNSAGSSAPVST
+ANSTEDARDAKNARGDHASLENEKPGTGDVCSAPAGRNQSPEPSSGPRGEVPLAKQPSSP
+SGEGDGGELSPQGVSKTLDGPESNPLEVHEEPLSGKMEIFTLFNKPKSHQKCRQYYPVTI
+PLHVSKNGQTVSGLDANWLEHMSDHFRKGGMLVNAVFYLGIVNDSLHGLTDGVFIFEAVS
+TEDSKTIQGYDAIVVEQWTVLEGVEVQTDYVPLLNSLAAYGWQLTCVLPTPVVKTTSEGS
+VSTKQIVFLQRPCLPQKIKKKESKFQWRFSREEMHNRQMRKSKGKLSARDK
+>Q52LD8_PF15250_1 <unknown description>
+MGCGLRKLEDPDDSSPGKIFSTLKRPQVETKTEFAYEYVLLDFTLQASSNPEVIKINSIL
+DIVTKVENYYLKGYIVGAIHPVIQPVGQRKHLPASYLYRVVLLRLKLSPKNSAAPSGQRR
+PRLVIEECPLTSEAQTNDAAKELIEKINVAAKRGMKFVGFISQHYSPSKFCNGTNHDGDI
+ESMLHVRHGSDENCRSWNEGTLSGQSSESGIEEELHHESGQYQMEQNGSPTSSKSRKGEA
+SDNKLYTVFNAFDDDSTSWAYQEGILSMKVTRKGSVISTLDADWLELTTFYYKQGLSLID
+SFVFWETSKGEHLPKSLEGFFIYEEEGSGVPGSSRKGNDAIVVEQWTVIEGCEIKTDYGP
+LLHTLAEFGWLLTSVLPTPVLRHDSEGNLATKQIVFLQRPVMWNSAAQTPDKKASRHIKG
+EDKNKATSRSIGLDTTSSQ
+>Q6PCD5_PF13639_285 <unknown description>
+DTCTICLEQWTNAGDHRLSALRCGHLFGYRCISTWLKGQVRKCPQCN
+>P22670_PF04589_216 <unknown description>
+SSSKTAGAPTGTVPQQLQVHGVQQSVPVTQERSVVQATPQAPKPGPVQPLTVQGLQPVHV
+AQEVQQLQQVPVPHVYSSQVQYVEGGDASYTASAIRSSTYSYPETPLYTQTASTSYYEAA
+GTATQVSTPATSQAVASSGSMPMYVSGSQVVASSTSTGAG
+>P22670_PF02257_437 <unknown description>
+ATVQWLLDNYETAEGVSLPRSTLYCHYLLHCQEQKLEPVNAASFGKLIRSVFMGLRTRRL
+GTRGNSKYHYYGLRIK
+>P48378_PF04589_5 <unknown description>
+EGGADSPASVALRPSAAAPPVPASPQRVLVQAASSNPKGAQMQPISLPRVQQVPQQVQPV
+QHVYPAQVQYVEGGDAVYTNGAIRTAYTYNPEPQMYAPSSTASYFEAPGGAQVTVAASSP
+PAVPSHSMVGITMDVGGSPIVSSAGAYLIH
+>P48378_PF02257_199 <unknown description>
+HLQWLLDNYETAEGVSLPRSSLYNHYLRHCQEHKLDPVNAASFGKLIRSVFMGLRTRRLG
+TRGNSKYHYYGIRLK
+>P48380_PF04589_24 <unknown description>
+AAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYVEGSDTVYTNGAIRTTTYPYTETQ
+MYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQMGVTGGQLISSSGGT
+>P48380_PF02257_183 <unknown description>
+HLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRRLG
+TRGNSKYHYYGIRVK
+>Q33E94_PF02257_60 <unknown description>
+ATLQWLEENYEIAEGVCIPRSALYMHYLDFCEKNDTQPVNAASFGKIIRQQFPQLTTRRL
+GTRGQSKYHYYGIAVK
+>P48382_PF18326_28 <unknown description>
+TTLLQRLRGTISKAVQNKVEGILQDVQKFSDNDKLYLYLQLPSGPTTGDKSSEPSTLSN
+>P48382_PF02257_91 <unknown description>
+YAYRWIRNHLEEHTDTCLPKQSVYDAYRKYCESLACCRPLSTANFGKIIREIFPDIKARR
+LGGRGQSKYCYSGIRRK
+>P48382_PF14621_396 <unknown description>
+PGPGRAPPGGLTQPRGTENREVGIGGDQGPHDKGVKRTAEVPVSEASGQAPPAKAAKQDI
+EDTASDAKRKRGRPRKKSGGSGERNSTPLKSAAAMESAQSSRLPWETWGSGGEGNSAGGA
+ERPGPMGEAEKGAVLAQGQGDGTVSKGGRGPGSQHTKEAEDKIPLVPSKVSVIKGSRSQK
+EAFPLAKGEVDTAPQGNKDLKEHVLQSSLSQEHKDPKAT
+>Q8HWS3_PF02257_122 <unknown description>
+QLTLQWLEENYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRR
+LGTRGHSKYHYYGIGIK
+>Q2KHR2_PF18326_44 <unknown description>
+ALQHKIKNSICKTVQSKVDCILQEVEKFTDLEKLYLYLQLPSGLSNGEKSDQNAMSS
+>Q2KHR2_PF02257_106 <unknown description>
+MHAFSWIRNTLEEHPETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARR
+LGTRGKSKYCYSGLRKK
+>O00287_PF15289_140 <unknown description>
+TCTYEGCSETTSQVAKQRKPWMCKKHRNKMYKDKYKKKKSDQALNCGGTASTGSAGNVKL
+EESADNILSIVKQRTGSFGDRPARPTLLEQVLNQKRLSLLRSPEVVQFLQKQQQLLNQQV
+LEQRQQQF
+>O14593_PF13606_124 <unknown description>
+GFTPLIWASAFGEIETVRFLLEWGADPHI
+>O14593_PF13857_176 <unknown description>
+LLERDVDINIYDWNGGTPLLYAVRGNHVKCVEALLARGADLTTEADSGYTPMDLA
+>Q9H0H5_PF00130_287 <unknown description>
+HDFVSKTVIKPESCVPCGKRIKFGKLSLKCRDCRVVSHPECRDRCPLPCI
+>Q9H0H5_PF00620_363 <unknown description>
+PSIVVHCVNEIEQRGLTETGLYRISGCDRTVKELKEKFLRVKTVPLLSKVDDIHAICSLL
+KDFLRNLKEPLLTFRLNRAFMEAAEITDEDNSIAAMYQAVGELPQANRDTLAFLMIHLQR
+VAQSPHTKMDVANLAKVFGPTIVAH
+>Q9H4X1_PF15151_1 <unknown description>
+MKPPAAQGSPAAAAAAAPALDSAAAEDLSDALCEFDAVLADFASPFHERHFHYEEHLERM
+KRRSSASVSDSSGFSDSESADSLYRNSFSFSDEKLNSPTDSTPALLSATVTPQKAKLGDT
+KELEAFIADLDKTLASM
+>Q8IZJ4_PF00617_223 <unknown description>
+LAEQLTLMDAELFKKVVLHECLGCIWGQGHLKGNEHMAPTVRATIAHFNRLTNCITTSCL
+GDHSMRARDRARVVEHWIKVARECLSLNNFSSVHVIVSALCSNPIGQLHKTWAGVSSKSM
+KELKELCKKDTAVKRDLLIKAGSFKVATQERNPQRVQMRLRRQKKGVVPFLGDFLTELQR
+LDSAIPDDLDGNTN
+>Q8N9B8_PF00618_46 <unknown description>
+ISGSLEALMEHLVPTVDYYPDRTYIFTFLLSSRVFMPPHDLLARVGQICVEQKQQLEAGP
+EKAKLKSFSAKIVQLLKEWTEAFPYDFQDEKAM
+>Q8N9B8_PF00617_218 <unknown description>
+LAQQLTHIELDRVSSIYPEDLMQIVSHMDSLDNHRCRGDLTKTYSLEAYDNWFNCLSMLV
+ATEVCRVVKKKHRTRMLEFFIDVARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKT
+AKFDVLEHHMDPSSNFCNYRTALQGATQRSQMANSSREKIVIPVFNLFVKDIYFLHKIHT
+NHLPNGHINFKKF
+>Q0VAM2_PF00618_39 <unknown description>
+LSGSLEALIQHLVPNVDYYPDRTYIFTFLLSSRLFMHPYELMAKVCHLCVEHQRLSDPDS
+DKNQMRKIAPKILQLLTEWTETFPYDFRDERMMRNL
+>Q0VAM2_PF00617_209 <unknown description>
+LAQQLTHIELERLNYIGPEEFVQAFVQKDPLDNDKSCYSERKKTRNLEAYVEWFNRLSYL
+VATEICMPVKKKHRARMIEYFIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVK
+TAKFDILEHQMDPSSNFYNYRTALRGAAQRSLTAHSSREKIVIPFFSLLIKDIYFLNEGC
+ANRLPNGHVNFEKF
+>Q8N431_PF00618_40 <unknown description>
+SASLETLIQHLVPTADYYPEKAYIFTFLLSSRLFIEPRELLARVCHLCIEQQQLDKPVLD
+KARVRKFGPKLLQLLAEWTETFPRDFQEESTI
+>Q8N431_PF00617_204 <unknown description>
+LAQQLTHVELERLRHIGPEEFVQAFVNKDPLASTKPCFSDKTSNLEAYVKWFNRLCYLVA
+TEICMPAKKKQRAQVIEFFIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVRTA
+KFFILEHQMDPTGNFCNYRTALRGAAHRSLTAHSSREKIVIPFFSLLIKDIYFLNEGCAN
+RLPNGHVNFEKF
+>Q9NZL6_PF00618_69 <unknown description>
+IKAGTLEKLVENLLTAFGDNDFTYISIFLSTYRGFASTKEVLELLLDRYGNLTSPNCEED
+GSQSSSESKMVIRNAIASILRAWLDQCAEDFREPPHFPCLQKL
+>Q9NZL6_PF00617_236 <unknown description>
+VAEQLTYMDAQLFKKVVPHHCLGCIWSRRDKKENKHLAPTIRATISQFNTLTKCVVSTIL
+GGKELKTQQRAKIIEKWINIAHECRLLKNFSSLRAIVSALQSNSIYRLKKTWAAVPRDRM
+LMFEELSDIFSDHNNHLTSRELLMKEGTSKFANLDSSVKENQKRTQRRLQLQKDMGVMQG
+TVPYLGTFLTDLTMLDTALQDYIEGGLINFEKRR
+>Q9NZL6_PF00788_649 <unknown description>
+TCIIRISVEDNNGNMYKSIMLTSQDKTPAVIQRAMLKHNLDSDPAEEYELVQVISEDKEL
+VIPDSANVFYAMNSQVNFDFILRKKN
+>O15211_PF00618_92 <unknown description>
+LRAGTLEALVRHLLDTRTSGTDVSFMSAFLATHRAFTSTPALLGLMADRLEALESHPTDE
+LERTTEVAISVLSTWLASHPEDFGSEAKGQL
+>O15211_PF00617_246 <unknown description>
+HLAEQLTLLDAELFLNLIPSQCLGGLWGHRDRPGHSHLCPSVRATVTQFNKVAGAVVSSV
+LGATSTGEGPGEVTIRPLRPPQRARLLEKWIRVAEECRLLRNFSSVYAVVSALQSSPIHR
+LRAAWGEATRDSLRVFSSLCQIFSEEDNYSQSRELLVQEVKLQSPLEPHSKKAPRSGSRG
+GGVVPYLGTFLKDLVMLDAASKDELENGYINFDKRR
+>O15211_PF00788_649 <unknown description>
+CRIIRVQMELGEDGSVYKSILVTSQDKAPSVISRVLKKNNRDSAVASEYELVQLLPGERE
+LTIPASANVFYAMDGASHDFLLRQRR
+>Q96B86_PF06535_48 <unknown description>
+CKILKCNSEFWSATSGSHAPASDDTPEFCAALRSYALCTRRTARTCRGDLAYHSAVHGIE
+DLMSQHNCSKDGPTSQPRLRTLPPAGDSQERSDSPEICHYEKSFHKHSATPNYTHCGLFG
+DPHLRTFTDRFQTCKVQGAWPLIDNNYLNVQVTNTPVLPGSAATATSKLTIIFKN
+>Q96B86_PF06534_226 <unknown description>
+CVDQKVYQAEMDELPAAFVDGSKNGGDKHGANSLKITEKVSGQHVEIQAKYIGTTIVVRQ
+VGRYLTFAVRMPEEVVNAVEDWDSQGLYLCLRGCPLNQQIDFQAFHTNAEGTGARRLAAA
+SPAPTAPETFPYETAVAKCKEKLPVEDLYYQACVFDLLTTGDVNFTLAAYYALEDVKMLH
+S
+>Q6NW40_PF06535_54 <unknown description>
+CRIQKCTTDFVSLTSHLNSAVDGFDSEFCKALRAYAGCTQRTSKACRGNLVYHSAVLGIS
+DLMSQRNCSKDGPTSSTNPEVTHDPCNYHSHAGAREHRRGDQNPPSYLFCGLFGDPHLRT
+FKDNFQTCKVEGAWPLIDNNYLSVQVTNVPVVPGSSATATNKITIIFK
+>Q6NW40_PF06534_226 <unknown description>
+CTDQKVYQAVTDDLPAAFVDGTTSGGDSDAKSLRIVERESGHYVEMHARYIGTTVFVRQV
+GRYLTLAIRMPEDLAMSYEESQDLQLCVNGCPLSERIDDGQGQVSAILGHSLPRTSLVQA
+WPGYTLETANTQCHEKMPVKDIYFQSCVFDLLTTGDANFTAAAHSALEDVEALHP
+>Q6ZVN8_PF06535_37 <unknown description>
+CKILRCNAEYVSSTLSLRGGGSSGALRGGGGGGRGGGVGSGGLCRALRSYALCTRRTART
+CRGDLAFHSAVHGIEDLMIQHNCSRQGPTAPPPPRGPALPGAGSGLPAPDPCDYEGRFSR
+LHGRPPGFLHCASFGDPHVRSFHHHFHTCRVQGAWPLLDNDFLFVQATSSPMALGANATA
+TRKLTIIFKN
+>Q6ZVN8_PF06534_230 <unknown description>
+CIDQKVYQAEVDNLPVAFEDGSINGGDRPGGSSLSIQTANPGNHVEIQAAYIGTTIIIRQ
+TAGQLSFSIKVAEDVAMAFSAEQDLQLCVGGCPPSQRLSRSERNRRGAITIDTARRLCKE
+GLPVEDAYFHSCVFDVLISGDPNFTVAAQAALEDARAFLP
+>Q15493_PF08450_16 <unknown description>
+CGESPVWEEVSNSLLFVDIPAKKVCRWDSFTKQVQRVTMDAPVSSVALRQSGGYVATIGT
+KFCALNWKEQSAVVLATVDNDKKNNRFNDGKVDPAGRYFAGTMAEETAPAVLERHQGALY
+SLFPDHHVKKYFDQVDISNGLDWSLDHKIFYYIDSLSYSVDAFDYDLQTGQISNRRSVYK
+LEKEEQIPDGMCIDAEGKLWVACYNGGRVIRLDPVTGKRLQTVKLPVDKTTSCCFGGKNY
+SEMYVTCA
+>Q92546_PF08737_91 <unknown description>
+ILSTPPKILFCDLRLDPGESKSYSYSEVLPIEGPPSFRGQSVKYVYKLTIGCQRVNSPIT
+LLRVPLRVL
+>Q92546_PF08737_210 <unknown description>
+SLHLYNISDGRGKVGTFGIFKSVYRLGEDVVGTLNLGEGTVACLQFSVSLQTEERVQPEY
+QRRRGAGGVPSVSHVTHARHQESCLHTTRTSFSLPIPLSSTPGFCTAIVSLKWRLHFEFV
+T
+>Q2PPJ7_PF02145_1664 <unknown description>
+ILSNERGSQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGSTGQTAPYYATSTVEVIFHVST
+RMPSDSDDSLTKKLRHLGNDEVHIVWSEHSRDYRRGIIPTAFGDVSIIIYPMKNHMFFIA
+ITKKPEVPFFGPLFDGAIVSGKLLPSLVCATCINASRAVKCLIPLYQ
+>P0DJD1_PF00638_1041 <unknown description>
+ELVTGEEGEKVLYSQGVKLFRFDAEISQWKERGLGNLKILKNEVNGKPRMLMRRDQVLKV
+CANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLERLAAQFKTPELAEEFKQKFEECQ
+R
+>P0DJD1_PF00638_1338 <unknown description>
+EVSSGEENEQVVFSHMAELYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKL
+CANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>P0DJD1_PF16704_1633 <unknown description>
+KEPPLWHAEFTKEELVQKLSSTTKSADQLNGLLRETEATSAVLMEQIKLLKSEIRRLERN
+QEE
+>P0DJD1_PF01465_1698 <unknown description>
+ANVEHLKNVLLQFIFLKPGSERESLLPVINTMLQLSPEEKGKL
+>A6NKT7_PF13181_66 <unknown description>
+LGLLYELEENTEKAVECYRRSVELNPT
+>A6NKT7_PF00638_1049 <unknown description>
+ELVTGEEGEKVLYSQGVKLFRFDAEVSQWKERGLGNLKILKNEVNGKVRMLMQREQVLKV
+CANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQ
+R
+>A6NKT7_PF00638_1346 <unknown description>
+EVSSGEENEQVVFSHRAEFYRYDKDVGQWKERGIGDIKILQNYDNKHVRILMRRDQVLKL
+CANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>A6NKT7_PF16704_1641 <unknown description>
+KEPPLWYAEFTKEELVQKLSSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERN
+QEQEVS
+>A6NKT7_PF01465_1708 <unknown description>
+ANVEHLKNVLLQFIFLKPGSERERLLPVINTMLQLSLEEKGKL
+>Q7Z3J3_PF13181_66 <unknown description>
+LGLLYELEENTEKAVECYRRSVELNPT
+>Q7Z3J3_PF00638_1049 <unknown description>
+ELVIGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKPRMLMRREQVLKV
+CANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQ
+Q
+>Q7Z3J3_PF00638_1346 <unknown description>
+EVSSGEENEKVVFSHRAELYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKL
+CANHTITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>Q7Z3J3_PF16704_1641 <unknown description>
+KEPPLWHAEFTKEELVQKLSSTTKSADHLNGLLREAEATSAVLMEQIKLLKSEIRRLERN
+QEQEES
+>Q7Z3J3_PF01465_1708 <unknown description>
+ANVEHLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKL
+>Q99666_PF00638_1048 <unknown description>
+ELVTGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKLRMLMRREQVLKV
+CANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQ
+R
+>Q99666_PF00638_1345 <unknown description>
+EVSSGEENEQVVFSHRAEIYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKL
+CANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>Q99666_PF16704_1640 <unknown description>
+KEPPLWHAEFTKEELVQKLRSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERN
+QEREKS
+>Q99666_PF01465_1707 <unknown description>
+ANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKL
+>O14715_PF00638_1048 <unknown description>
+ELVTGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKLRMLMRREQVLKV
+CANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQ
+R
+>O14715_PF00638_1345 <unknown description>
+EVSSGEENEQVVFSHRAEIYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKL
+CANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAK
+T
+>O14715_PF16704_1640 <unknown description>
+KEPPLWHAEFTKEELVQKLRSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERN
+QEREKS
+>O14715_PF01465_1707 <unknown description>
+ANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKL
+>Q5JS13_PF00617_53 <unknown description>
+EFASQITLMDIPVFKAIQPEELASCGWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTA
+QTLKIRAEILSHFVKIAKKLLELNNLHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFE
+KLDYLMSKEDNYKRTREYIRSLKMVPSIPYLGIYLLDLIYIDSAYP
+>Q5JS13_PF00169_434 <unknown description>
+MEGPLRRKTLLKEGRKPALSSWTRYWVILSGSTLLYYGAKSLRGTDRKHYKSTPGKKVSI
+VGWMVQLPDDPEHPDIFQLNNPDKGNVYKFQTGSRFHAILWHKHLDDACK
+>Q86X27_PF00617_53 <unknown description>
+YAGQITLMDVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQ
+TLKIRAEVLSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEK
+LEYVMSKEDNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPST
+>Q86X27_PF00169_460 <unknown description>
+IQGVLRRKTLLKEGKKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSV
+IGWMVMMADDPEHPDLFLLTDSEKGNSYKFQAGNRMNAMLWFKHLSAAC
+>Q13972_PF00169_25 <unknown description>
+RKGYLSKRSSDNTKWQTKWFALLQNLLFYFESDSSSRPSGLYLLEGCVCDRAPSPKPALS
+AKEPLEKQHYFTVNFSHENQKALELRTEDAKDCDEWVAAIAHAS
+>Q13972_PF00621_245 <unknown description>
+VFSMLEAEAEYVQQLHILVNNFLRPLRMAASSKKPPITHDDVSSIFLNSETIMFLHQIFY
+QGLKARISSWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQILAHCKQNRDFDKLLKHYE
+AKPDCEERTLETFLTYPMFQIPRYILTLHELLAHTPHEHVERNSLDYAKSKLEELS
+>Q13972_PF00169_477 <unknown description>
+GRLGSLSLKKEGERQCFLFSKHLIICTRGSGGKLHLTKNGVISLIDCTLLEEPESTEEEA
+KGSGQDIDHLDFKIGVEPKDSPPFTVILVASSRQEKAAWTSDISQCV
+>Q13972_PF00618_634 <unknown description>
+QIRYASVERLLERLTDLRFLSIDFLNTFLHSYRVFTTAIVVLDKLITIYKKPISAIPARS
+LELLFASGQNNKLLYGEPPKSPRATRKFSSPPPLSITKTSSPSRRRKL
+>Q13972_PF00617_1025 <unknown description>
+EIAEQLTLLDHLVFKKIPYEEFFGQGWMKLEKNERTPYIMKTTKHFNDISNLIASEIIRN
+EDINARVSAIEKWVAVADICRCLHNYNAVLEITSSMNRSAIFRLKKTWLKVSKQTKALID
+KLQKLVSSEGRFKNLREALKNCDPPCVPYLGMYLTDLAFIEEGTPNYTEDGLVNFSKMR
+>O14827_PF00169_25 <unknown description>
+KRGFLSKKTAEASRWHEKWFALYQNVLFYFEGEQSCRPAGMYLLEGCSCERTPAPPRAGA
+GQGGVRDALDKQYYFTVLFGHEGQKPLELRCEEEQDGKEWMEAIHQAS
+>O14827_PF00621_250 <unknown description>
+TMVEAESEYVHQLYILVNGFLRPLRMAASSKKPPISHDDVSSIFLNSETIMFLHEIFHQG
+LKARIANWPTLILADLFDILLPMLNIYQEFVRNHQYSLQVLANCKQNRDFDKLLKQYEAN
+PACEGRMLETFLTYPMFQIPRYIITLHELLAHTPHEHVERKSLEFAKSKLEELS
+>O14827_PF00618_638 <unknown description>
+QIRYASVERLLERLTDLRFLSIDFLNTFLHTYRIFTTAAVVLGKLSDIYKRPFTSIPVRS
+LELFFATSQNNRGEHLVDGKSPRLCRKFSSPPPLAVSRTSSPVRARKLSLTSPLNSKIGA
+LDLTT
+>O14827_PF00617_1005 <unknown description>
+ELAEQITLLDHVIFRSIPYEEFLGQGWMKLDKNERTPYIMKTSQHFNDMSNLVASQIMNY
+ADVSSRANAIEKWVAVADICRCLHNYNGVLEITSALNRSAIYRLKKTWAKVSKQTKALMD
+KLQKTVSSEGRFKNLRETLKNCNPPAVPYLGMYLTDLAFIEEGTPNFTEEGLVNFSKMR
+>P47804_PF00001_34 <unknown description>
+NTLTIFSFCKTPELRTPCHLLVLSLALADSGISLNALVAATSSLLRRWPYGSDGCQAHGF
+QGFVTALASICSSAAIAWGRYHHYCTRSQLAWNSAVSLVLFVWLSSAFWAALPLLGWGHY
+DYEPLGTCCTLDYSKGDRNFTSFLFTMSFFNFAMPLFITITSYSLMEQKLGKS
+>O43665_PF00615_41 <unknown description>
+SLENLLEDPEGVKRFREFLKKEFSEENVLFWLACEDFKKMQDKTQMQEKAKEIYMTFLSS
+KASSQVNVEGQSRLNEKILEEPHPLMFQKLQDQIFNLMKYDSYSRFLKSDLFLK
+>O94810_PF00610_35 <unknown description>
+GVKMRSQRLLVTVIPHAVTGSDVVQWLAQKFCVSEEEALHLGAVLVQHGYIYPLRDPRSL
+MLRPDETPYRF
+>O94810_PF18148_108 <unknown description>
+PYFWTSTLRPAAELDYAIYLAKKNIRKRGTLVDYEKDCYDRLHKKINHAWDLVLMQAREQ
+LRAAKQRSKGDRLVIACQEQTYWLVNRPPPGAPDVLEQG
+>O94810_PF00631_227 <unknown description>
+KREIEYFRKALGRTRVKSSVCLEAYLSFCGQRGPHDPLVSGCLPSNPWISDNDAYWVM
+>O94810_PF00615_303 <unknown description>
+SFRELLEDPVGRAHFMDFLGKEFSGENLSFWEACEELRYGAQAQVPTLVDAVYEQFLAPG
+AAHWVNIDSRTMEQTLEGLRQPHRYVLDDAQLHIYMLMKKDSYPRFLKSDMYKAL
+>O14924_PF00595_24 <unknown description>
+EVARGRAGYGFTLSGQAPCVLSCVMRGSPADFVGLRAGDQILAVNEINVKKASHEDVVKL
+IGKCSGVLHMVI
+>O14924_PF00615_715 <unknown description>
+SFERLLQDPVGVRYFSDFLRKEFSEENILFWQACEYFNHVPAHDKKELSYRAREIFSKFL
+CSKATTPVNIDSQAQLADDVLRAPHPDMFKEQQLQIFNLMKFDSYTRFLKSPLYQE
+>O14924_PF16613_836 <unknown description>
+VEGRALPDSQQVPSSPASKHSLGSDHSSVSTPKKLSGKSKSGRSLNEELGDEDSEKKRKG
+AFFSWSRTRSTGRSQKKREHGDHADDALHANGGLCRRESQGSVSSAGSLDLSEACR
+>O14924_PF02196_963 <unknown description>
+HCCIHLPDGTSCVVAVKAGFSIKDILSGLCERHGINGAAADLFLVGGDKPLVLHQDSSIL
+ESRDLRLE
+>O14924_PF02196_1041 <unknown description>
+PINRSVGLKAKPTKPVTEVLRPVVARYGLDLSGLLVRLSGEKEPLDLGAPISSLDGQRVV
+LE
+>O14924_PF16611_1106 <unknown description>
+PSRGKASADKQKGVPVKQNTAVNSSSRNHSATGEERTLGKSNSIKIKGENGKNARDPRLS
+KREESIAKIGKKKYQ
+>O14924_PF02188_1188 <unknown description>
+EEFFELISKAQSNRADDQRGLL
+>O14924_PF16612_1239 <unknown description>
+KGFSKRSATGNGRESASQPGEQWEPVQESSDSPSTSPGSASSPPGPPGTTPPGQKSPSGP
+FCTPQSPVSLAQEGTAQIWKRQSQEVEAGGIQTVEDEHVAELTLMGEGDISSPNSTLLPP
+PSTPQEVPGPSRPGSGT
+>O14921_PF00615_34 <unknown description>
+SFENLMATKYGPVVYAAYLKMEHSDENIQFWMACETYKKIASRWSRISRAKKLYKIYIQP
+QSPREINIDSSTRETIIRNIQEPTETCFEEAQKIVYMHMERDSYPRFLKSEMYQKL
+>O43566_PF00615_67 <unknown description>
+SFERLLQDPLGLAYFTEFLKKEFSAENVTFWKACERFQQIPASDTQQLAQEARNIYQEFL
+SSQALSPVNIDRQAWLGEEVLAEPRPDMFRAQQLQIFNLMKFDSYARFVKSPLYRE
+>O43566_PF02196_303 <unknown description>
+YCCVYLPDGTASLALARPGLTIRDMLAGICEKRGLSLPDIKVYLVGNEQALVLDQDCTVL
+ADQEVRLE
+>O43566_PF02196_387 <unknown description>
+RISAKPTKRLQEALQPILEKHGLSPLEVVLHRPGEKQPLDLGKLVSSVAAQRLVL
+>O43566_PF02188_499 <unknown description>
+EGLVELLNRVQSSGAHDQRGLL
+>O15492_PF00615_65 <unknown description>
+SFDLLLSSKNGVAAFHAFLKTEFSEENLEFWLACEEFKKIRSATKLASRAHQIFEEFICS
+EAPKEVNIDHETHELTRMNLQTATATCFDAAQGKTRTLMEKDSYPRFLKSPAYRD
+>Q9UGC6_PF00615_84 <unknown description>
+NFDKMMKAPAGRNLFREFLRTEYSEENLLFWLACEDLKKEQNKKVIEEKARMIYEDYISI
+LSPKEVSLDSRVREVINRNLLDPNPHMYEDAQLQIYTLMHRDSFPRFLNSQIYKSF
+>Q9NS28_PF00615_86 <unknown description>
+SFDKLLSHRDGLEAFTRFLKTEFSEENIEFWIACEDFKKSKGPQQIHLKAKAIYEKFIQT
+DAPKEVNLDFHTKEVITNSITQPTLHSFDAAQSRVYQLMEQDSYTRFLKSDIYLDL
+>P49795_PF00615_90 <unknown description>
+SFDKLMHSPAGRSVFRAFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLIYEDYVSI
+LSPKEVSLDSRVREGINKKMQEPSAHTFDDAQLQIYTLMHRDSYPRFLSSPTYRA
+>Q08116_PF00615_85 <unknown description>
+SLEKLLANQTGQNVFGSFLKSEFSEENIEFWLACEDYKKTESDLLPCKAEEIYKAFVHSD
+AAKQINIDFRTRESTAKKIKAPTPTCFDEAQKVIYTLMEKDSYPRFLKSDIYLNL
+>O76081_PF00615_262 <unknown description>
+SFDKLMVTPAGRNAFREFLRTEFSEENMLFWMACEELKKEANKNIIEEKARIIYEDYISI
+LSPKEVSLDSRVREVINRNMVEPSQHIFDDAQLQIYTLMHRDSYPRFMNSAVYKDL
+>Q2M5E4_PF00615_22 <unknown description>
+MDTLLANQAGLDAFRIFLKSEFSEENVEFWLACEDFKKTKNADKIASKAKMIYSEFIEAD
+APKEINIDFGTRDLISKNIAEPTLKCFDEAQKLIYCLMAKDSFPRFLKSEIYKKL
+>Q8NE09_PF00615_852 <unknown description>
+KFSDLLNNKLEFEHFRQFLETHSSSMDLMCWTDIEQFRRITYRDRNQRKAKSIYIKNKYL
+NKKYFFGPNSPASLYQQNQVMHLSGGWGKILHEQLDAPVLVEIQKHVQNRLENVWLPLFL
+ASEQFA
+>Q8NE09_PF00615_1022 <unknown description>
+FRKALLNPVTSRQFQRFVALKGDLLENGLLFWQEVQKYKDLCHSHCDESVIQKKITTIIN
+CFINSSIPPALQIDIPVEQAQKIIEHRKELGPYVFREAQMTIFGVL
+>P41220_PF00615_84 <unknown description>
+FDELLASKYGLAAFRAFLKSEFCEENIEFWLACEDFKKTKSPQKLSSKARKIYTDFIEKE
+APKEINIDFQTKTLIAQNIQEATSGCFTTAQKRVYSLMENNSYPRFLESEFYQDL
+>P49798_PF00615_62 <unknown description>
+SLENLISHECGLAAFKAFLKSEYSEENIDFWISCEEYKKIKSPSKLSPKAKKIYNEFISV
+QATKEVNLDSCTREETSRNMLEPTITCFDEAQKKIFNLMEKDSYRRFLKSRFYLDL
+>O15539_PF00615_64 <unknown description>
+SLDKLLQNNYGLASFKSFLKSEFSEENLEFWIACEDYKKIKSPAKMAEKAKQIYEEFIQT
+EAPKEVNIDHFTKDITMKNLVEPSLSSFDMAQKRIHALMEKDSLPRFVRSEFYQEL
+>P49758_PF00610_43 <unknown description>
+GVPIRTVKSFLSKIPSVVTGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVL
+TMKDDGTFYRF
+>P49758_PF18148_116 <unknown description>
+PYFWPSNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAE
+AQVKIDRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDI
+>P49758_PF00631_256 <unknown description>
+TTKEDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPLITPAEPSNPWISDDVA
+LW
+>P49758_PF00615_336 <unknown description>
+SFDEILKDQVGRDQFLRFLESEFSSENLRFWLAVQDLKKQPLQDVAKRVEEIWQEFLAPG
+APSAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDL
+>P49802_PF00610_40 <unknown description>
+GIPIRTVKSFLSKIPSVFSGSDIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVL
+TLKDDGTFYRF
+>P49802_PF18148_113 <unknown description>
+PYFWPSNCWEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAE
+AQAKVDKKRDKIERKILDSQERAFWDVHRPVPGCVNTTEVDI
+>P49802_PF00631_254 <unknown description>
+TEDELQQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYLEYDPFLLPPDPSNPWLSDDTTF
+WEL
+>P49802_PF00615_335 <unknown description>
+DEALKDPVGREQFLKFLESEFSSENLRFWLAVEDLKKRPIKEVPSRVQEIWQEFLAPGAP
+SAINLDSKSYDKTTQNVKEPGRYTFEDAQEHIYKLMKSDSYPRFIRSSAYQEL
+>P57771_PF00615_56 <unknown description>
+SFDVLLSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVSKAHRIFEEFVDV
+QAPREVNIDFQTREATRKNLQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDL
+>O75916_PF00610_33 <unknown description>
+GVRMQNQRVLVTSVPHAMTGSDVLQWIVQRLWISSLEAQNLGNFIVRYGYIYPLQDPKNL
+ILKPDGSLYRF
+>O75916_PF18148_106 <unknown description>
+PYFWPTQQWPAEDTDYAIYLAKRNIKKKGILEEYEKENYNFLNQKMNYKWDFVIMQAKEQ
+YRAGKERNKADRYALDCQEKAYWLVHRCPPGMDNVLDYG
+>O75916_PF00631_219 <unknown description>
+KQTVVAVKKEIMYYQQALMRSTVKSSVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDT
+QFWDL
+>O75916_PF00615_303 <unknown description>
+FSELIRDPKGRQSFQYFLKKEFSGENLGFWEACEDLKYGDQSKVKEKAEEIYKLFLAPGA
+RRWINIDGKTMDITVKGLKHPHRYVLDAAQTHIYMLMKKDSYARYLKSPIYKDM
+>A5PLK6_PF00615_646 <unknown description>
+LTEVLLNTQHLEFFREFLKERKAKIPLQFLTAVQKISIETNEKICKSLIENVIKTFFQGQ
+LSPEEMLQCDAPIIKEIASMRHVTTSTLLTLQGHVMKSIEEKWFKDYQ
+>Q02094_PF00909_15 <unknown description>
+AMIVLFGLFVEYETDQTVLEQLNITKPTDMGIFFELYPLFQDVHVMIFVGFGFLMTFLKK
+YGFSSVGINLLVAALGLQWGTIVQGILQSQGQKFNIGIKNMINADFSAATVLISFGAVLG
+KTSPTQMLIMTILEIVFFAHNEYLVSEIFKASDIGASMTIHAFGAYFGLAVAGILYRSGL
+RKGHENEESAYYSDLFAMIGTLFLWMFWPSFNSAIAEPGDKQCRAIVNTYFSLAACVLTA
+FAFSSLVEHRGKLNMVHIQNATLAGGVAVGTCADMAIHPFGSMIIGSIAGMVSVLGYKFL
+TPLFTTKLRIHDTCGVHNLHGLPGVVGGLAGIVAVAMGASNTSMAMQAAALGSSIGTAVV
+GGLMTGLILKLPLWGQPSDQNCYDDSVY
+>Q6NTF9_PF01694_56 <unknown description>
+RNWQVYRLVTYIFVYENPISLLCGAIIIWRFAGNFERTVGTVRHCFFTVIFAIFSAIIFL
+SFEAVSSLSKLGEVEDARGFTPVAFAMLGVTTVRSRMRRALVFGMVVPSVLVPWLLLGAS
+WLIPQTSFLSNVCGLSIGLAYGLTYC
+>Q9Y3P4_PF01694_46 <unknown description>
+DPWQVHRLLTHALGHTALPGLLLSLLLLPTVGWQQECHLGTLRFLHASALLALASGLLAV
+LLAGLGLSSAAGSCGYMPVHLAMLAGEGHRPRRPRGALPPWLSPWLLLALTPLLSSEPPF
+LQLLCGLLAGLAYAAGAFRW
+>Q9Y3P4_PF00627_327 <unknown description>
+LRLQQLERMGFPTEQAVVALAATGRVEGAVSLL
+>Q9H310_PF00909_26 <unknown description>
+TAVLFAVFVRYNHKTDAALWHRSNHSNADNEFYFRYPSFQDVHAMVFVGFGFLMVFLQRY
+GFSSVGFTFLLAAFALQWSTLVQGFLHSFHGGHIHVGVESMINADFCAGAVLISFGAVLG
+KTGPTQLLLMALLEVVLFGINEFVLLHLLGVRDAGGSMTIHTFGAYFGLVLSRVLYRPQL
+EKSKHRQGSVYHSDLFAMIGTIFLWIFWPSFNAALTALGAGQHRTALNTYYSLAASTLGT
+FALSALVGEDGRLDMVHIQNAALAGGVVVGTSSEMMLTPFGALAAGFLAGTVSTLGYKFF
+TPILESKFKVQDTCGVHNLHGMPGVLGALLGVLVAGLATHEAYGDGLESVFPLIAEGQRS
+ATSQAMHQLFGLFVTLMFASVGGGLGGLLLKL
+>O75783_PF01694_176 <unknown description>
+HRARAWRFLTYMFMHVGLEQLGFNALLQLMIGVPLEMVHGLLRISLLYLAGVLAGSLTVS
+ITDMRAPVVGGSGGVYALCSAHLANVVMNWAGMRCPYKLLRMVLALVCMSSEVGRAVWLR
+FSPPLPASGPQPSFMAHLAGAVVGVSMGLTILRS
+>Q9NX52_PF01694_115 <unknown description>
+EKREEAWRFISYMLVHAGVQHILGNLCMQLVLGIPLEMVHKGLRVGLVYLAGVIAGSLAS
+SIFDPLRYLVGASGGVYALMGGYFMNVLVNFQEMIPAFGIFRLLIIILIIVLDMGFALYR
+RFFVPEDGSPVSFAAHIAGGFAGMSIGYTVFS
+>P58872_PF13499_40 <unknown description>
+KVLFDQFDPGNTGYISTGKFRSLLESHSSKLDPHKREVLLALADSHADGQIGYQDFVSLM
+S
+>P58872_PF01694_207 <unknown description>
+LRAQVWRYLTYIFMHAGIEHLGLNVVLQLLVGVPLEMVHGATRIGLVYVAGVVAGSLAVS
+VADMTAPVVGSSGGVYALVSAHLANIVMNWSGMKCQFKLLRMAVALICMSMEFGRAVWLR
+FHPSAYPPCPHPSFVAHLGGVAVGITLGVVVLRN
+>Q8TEB9_PF01694_61 <unknown description>
+QQKDWQRLLLSPLHHADDWHLYFNMASMLWKGINLERRLGSRWFAYVITAFSVLTGVVYL
+LLQFAVAEFMDEPDFKRSCAVGFSGVLFALKVLNNHYCPGGFVNILGFPVPNRFACWVEL
+VAIHLFSPGTSFAGHLAGILVGLMYT
+>O94844_PF00071_16 <unknown description>
+KCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYRVCQEVLERSRDVVDE
+VSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKSMWYPEIKHFCPRTPV
+ILVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKELGLPYYETSVFDQFG
+IKDVFDNAIRAA
+>O94844_PF00651_404 <unknown description>
+ASVQPGPFRTLLQFLYTGQLDEKEKDLVGLAQIAEVLEMFDLRMMVENIMNK
+>O94844_PF00651_476 <unknown description>
+KECLSKGTFSDVTFKLDDGAISAHKPLLICSCEWMAAMFGGSFVESANSEVYLPNINKIS
+MQAVLDYLYTKQLSPNLDLDPLELIALANRFCLPHLVALAEQHAVQ
+>Q9BYZ6_PF00071_16 <unknown description>
+KCVVVGDNAVGKTRLICARACNATLTQYQLLATHVPTVWAIDQYRVCQEVLERSRDVVDD
+VSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLHHVKTMWYPEIKHFCPRAPV
+ILVGCQLDLRYADLEAVNRARRPLARPIKPNEILPPEKGREVAKELGIPYYETSVVAQFG
+IKDVFDNAIRAA
+>Q9BYZ6_PF00651_385 <unknown description>
+VLSSWSRAFVSIQEEMAEDPLTYKSRLMVVVKMDSSIQPGPFRAVLKYLYTGELDENERD
+LMHIAHIAELLEVFDLRMMVANILNN
+>Q9BYZ6_PF00651_491 <unknown description>
+KECLAKGTFSDVTFILDDGTISAHKPLLISSCDWMAAMFGGPFVESSTREVVFPYTSKSC
+MRAVLEYLYTGMFTSSPDLDDMKLIILANRLCLPHLVALTEQYT
+>O94955_PF00071_88 <unknown description>
+NLIGGADIIVIKYNVNDKFSFHEVKDNYIPVIKRALNSVPVIIAAVGTRQNEELPCTCPL
+CTSDRGSCVSTTEGIQLAKELGATYLELHSLDDFYIGKYFG
+>O94955_PF00651_245 <unknown description>
+NNLLFCCQCVDVVFYNPNLKKVVEAHKIVLCAVSHVFMLLFNVKSPTDIQDSSIIRTTQD
+LFAINRDTAFPGASHESSGNPPLRVIVKDALFCSCLSDILRFIYSGAFQW
+>O94955_PF00651_414 <unknown description>
+LNKPMLADVVFEIQGTTVPAHRAILVARCEVMAAMFNGNYMEAKSVLIPVYGVSKETFLS
+FLEYLYTDSCCPAGIFQAMCLLICAEMYQVSRLQHICELFIITQ
+>P18577_PF00909_20 <unknown description>
+LEAALILLFYFFTHYDASLEDQKGLVASYQVGQDLTVMAALGLGFLTSNFRRHSWSSVAF
+NLFMLALGVQWAILLDGFLSQFPPGKVVITLFSIRLATMSAMSVLISAGAVLGKVNLAQL
+VVMVLVEVTALGTLRMVISNIFNTDYHMNLRHFYVFAAYFGLTVAWCLPKPLPKGTEDND
+QRATIPSLSAMLGALFLWMFWPSVNSALLRSPIQRKNAMFNTYYALAVSVVTAISGSSLA
+HPQRKISMTYVHSAVLAGGVAVGTSCHLIPSPWLAMVLGLVAGLISIGGAKCLPVCCNRV
+LGIHHISVMHSIFSLLGLLGEITYIVLLVLHTVWNGNGMIGFQVLLSIGELSLAIVIALT
+SGLLTGLLLNLKI
+>Q9UBD6_PF00909_49 <unknown description>
+LSDMENEFYYRYPSFQDVHVMVFVGFGFLMTFLQRYGFSAVGFNFLLAAFGIQWALLMQG
+WFHFLQDRYIVVGVENLINADFCVASVCVAFGAVLGKVSPIQLLIMTFFQVTLFAVNEFI
+LLNLLKVKDAGGSMTIHTFGAYFGLTVTRILYRRNLEQSKERQNSVYQSDLFAMIGTLFL
+WMYWPSFNSAISYHGDSQHRAAINTYCSLAACVLTSVAISSALHKKGKLDMVHIQNATLA
+GGVAVGTAAEMMLMPYGALIIGFVCGIISTLGFVYLTPFLESRLHIQDTCGINNLHGIPG
+IIGGIVGAVTAASASLEVYGKEGLVHSFDFQGFNGDWTARTQGKFQIYGLLVTLAMALMG
+GIIVGLILRL
+>Q96CC6_PF12595_91 <unknown description>
+VSKDSDSTQKWQRKSIRHCSQRYGKLKPQVLRELDLPSQDNVSLTSTETPPPLYVGPCQL
+GMQKIIDPLARGRAFRVADDTAEGLSAPHTPVTPGAASLCSFSSSRSGFHRLPRRRKRES
+VAKMSFRAAAALMKGRSVRDGTFRRAQRRSFTPASFLEEDTTDFPDELDTSFFAREGILH
+EELSTYPDEVFESPS
+>Q96CC6_PF01694_650 <unknown description>
+PDQFYRLWLSLFLHAGILHCLVSICFQMTVLRDLEKLAGWHRIAIIYLLSGVTGNLASAI
+FLPYRAEVGPAGSQFGILACLFVELFQSWQILARPWRAFFKLLAVVLFLFTFGLLPWIDN
+FAHISGFISGLFLSFAFLP
+>Q6PJF5_PF12595_99 <unknown description>
+VSGDWEGQRQQWQRRSLHHCSMRYGRLKASCQRDLELPSQEAPSFQGTESPKPCKMPKIV
+DPLARGRAFRHPEEMDRPHAPHPPLTPGVLSLTSFTSVRSGYSHLPRRKRMSVAHMSLQA
+AAALLKGRSVLDATGQRCRVVKRSFAFPSFLEEDVVDGADTFDSSFFSKEEMSSMPDDVF
+ESPP
+>Q6PJF5_PF01694_622 <unknown description>
+PDQFYRLWLSLFLHAGVVHCLVSVVFQMTILRDLEKLAGWHRIAIIFILSGITGNLASAI
+FLPYRAEVGPAGSQFGLLACLFVELFQSWPLLERPWKAFLNLSAIVLFLFICGLLPWIDN
+IAHIFGFLSGLLLAFAFLP
+>Q02161_PF00909_20 <unknown description>
+LEAALILLFYFFTHYDASLEDQKGLVASYQVGQDLTVMAAIGLGFLTSSFRRHSWSSVAF
+NLFMLALGVQWAILLDGFLSQFPSGKVVITLFSIRLATMSALSVLISVDAVLGKVNLAQL
+VVMVLVEVTALGNLRMVISNIFNTDYHMNMMHIYVFAAYFGLSVAWCLPKPLPEGTEDKD
+QTATIPSLSAMLGALFLWMFWPSFNSALLRSPIERKNAVFNTYYAVAVSVVTAISGSSLA
+HPQGKISKTYVHSAVLAGGVAVGTSCHLIPSPWLAMVLGLVAGLISVGGAKYLPGCCNRV
+LGIPHSSIMGYNFSLLGLLGEIIYIVLLVLDTVGAGNGMIGFQVLLSIGELSLAIVIALM
+SGLLTGLLLNLKI
+>Q15382_PF00071_8 <unknown description>
+KIAILGYRSVGKSSLTIQFVEGQFVDSYDPTIENTFTKLITVNGQEYHLQLVDTAGQDEY
+SIFPQTYSIDINGYILVYSVTSIKSFEVIKVIHGKLLDMVGKVQIPIMLVGNKKDLHMER
+VISYEEGKALAESWNAAFLESSAKENQTAVDVFRRIILEA
+>Q96D21_PF00071_21 <unknown description>
+RMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVYNIRGDMYQLDILDTSGNHPF
+PAMRRLSILTGDVFILVFSLDNRESFDEVKRLQKQILEVKSCLKNKTKEAAELPMVICGN
+KNDHGELCRQVPTTEAELLVSGDENCAYFEVSAKKNTNVDEMFYVLF
+>Q6ZWK4_PF15763_7 <unknown description>
+EVWHGLVIAVVSLFLQACFLTAINYLLSRHMAHKSEQILKAASLQVPRPSPGHHHPPAVK
+EMKETQTERDIPMSDSLYRHDSDTPSDSLDSSCSSPPACQATEDVDYTQVVFSDPGELKN
+DSPLDYENIKEITDYVNVNPERHKPSFWYFVNPALSEPAEYDQVAM
+>P0C7M4_PF00046_140 <unknown description>
+AFTPLQLQELECIFQREQFPSEFLRRRLARSMNVTELAVQIWFENRRAKWRR
+>Q07960_PF13716_82 <unknown description>
+GRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDYTLLYLHHGLTSDNKPSLSWLR
+DAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISFKFGQKIFYVNYLSELSEHVKL
+EQLGIPRQVLKYDD
+>Q07960_PF00620_260 <unknown description>
+PIVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMGLPVDFDQYNELHLPAVILK
+TFLRELPEPLLTFDLYPHVVGFLNIDESQRVPATLQVLQTLPEENYQVLRFLTAFLVQIS
+AHSDQNKMTNTNLAVVFGPNLLWAKD
+>P98171_PF00611_33 <unknown description>
+RCLELQGELRRELLQELAEFMRRRAEVELEYSRGLEKLAERFSSRGGRLGSSREHQSFRK
+EPSLLSPLHCWAVLLQHTRQQSRE
+>P98171_PF00620_521 <unknown description>
+PLVVESCIRFINLNGLQHEGIFRVSGAQLRVSEIRDAFERGEDPLVEGCTAHDLDSVAGV
+LKLYFRSLEPPLFPPDLFGELLASSELEATAERVEHVSRLLWRLPAPVLVVLRYLFTFLN
+HLAQYSDENMMDPYNLAVCFGPTLLPVPA
+>P98171_PF00018_752 <unknown description>
+VACFAYTGRTAQELSFRRGDVLRLHERASSDWWRGEHNGMRGLIPH
+>Q13017_PF00071_155 <unknown description>
+NVDGFLLCIDVSQGCNRKFDDQLKFVNNLFVQLSKSKKPVIIAATKCDECVDHYLREVQA
+FASNKKNLLVVETSARFNVNIETCFTALVQML
+>Q13017_PF16512_260 <unknown description>
+DAYKTQRQLVVTATDKFEKLVQTVRDYHATWKTVSNKLKNHPDYEEYINLEGTRKARNTF
+SKHIEQLKQEHIRKRREEY
+>Q13017_PF01846_485 <unknown description>
+KAKEEFQEMLFEHSELFYDLDLNATPSSDKMSEIHTVLSEEPRYKALQKLAPDRESLLLK
+H
+>Q13017_PF19518_556 <unknown description>
+TCLSGQNCTDIKVEQLLASSLLQLDHGRLRLYHDSTNIDKVNLFILGKDGLAQELANEIR
+TQSTDDEYALDGKIYELDLRPVDAKSPYFLSQLWTAAFKPHGCFCVFNSIESLSFIGEFI
+GKIRTEASQIRKDKYMANLPFTLILANQRDSISKNLPILRHQGQQLANKLQCPFVDVPAG
+TYPRKFNETQIKQALRGVLESVKHNLDVVSPIPANKDLSEADLRIVMCAMCGDPFSVDLI
+LSPFLDSHSCSAAQAGQNNSLMLDKIIGEKRRRIQITILSYHSSIGVRKDELVHGYILVY
+SAKRKASMGMLRAFLSEVQDTIPVQLVAVTDSQADFFENEAIKELMTEGEHIATEITAKF
+TALYSLSQYHRQTEVFTLFFSDVLEKKNMIENSYLSDNTRESTHQSEDVFLPSPRDCFPY
+NNYPDSDDDTEAPPPYSPIGDDVQLLPTPSDRSRYRLDLEGNEYPIHSTPNCHDHERNHK
+VPPPIKPKPVVPKTNVKKLDPNLLKTIEAGIGKNPRKQTSRVPLAHPEDMDPSDNYAEPI
+DTIFKQKGYSDEIYVVPDDSQNRIKIRNSFVNNTQGDEENGFSDRTSKSHGERRPSKYKY
+KSKTLFSKAKSYYRRTHSDASDDEAFTTSKTKRKGRHRGSEEDPLLSPVETWKGGIDNPA
+ITSDQELDDKKMKKKTHKVKEDKKQKKKTKNFNPPTRRNWESNYFGMPL
+>Q13017_PF00620_1275 <unknown description>
+PLFVEKCVEFIEDTGLCTEGLYRVSGNKTDQDNIQKQFDQDHNINLVSMEVTVNAVAGAL
+KAFFADLPDPLIPYSLHPELLEAAKIPDKTERLHALKEIVKKFHPVNYDVFRYVITHLNR
+VSQQHKINLMTADNLSICFWPTLMRPDFE
+>O43182_PF00620_411 <unknown description>
+PRLVDSCCQHLEKHGLQTVGIFRVGSSKKRVRQLREEFDRGIDVSLEEEHSVHDVAALLK
+EFLRDMPDPLLTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVA
+RHADDNISKDGQEVTGNKMTSLNLATIFGPNLLHKQKSS
+>Q96QB1_PF07647_454 <unknown description>
+KEACDWLRATGFPQYAQLYEDFLFPIDISLVKREHDFLDRDAIEALCRRLNTLNKCAVMK
+>Q96QB1_PF00620_1092 <unknown description>
+PQSIQQAMRYLRNHCLDQVGLFRKSGVKSRIQALRQMNEGAIDCVNYEGQSAYDVADMLK
+QYFRDLPEPLMTNKLSETFLQIYQYVPKDQRLQAIKAAIMLLPDENREVLQTLLYFLSDV
+TAAVKENQMTPTNLAVCLAPSLFHL
+>Q96QB1_PF01852_1326 <unknown description>
+QDCVDGLFKEVKEKFKGWVSYSTSEQAELSYKKVSEGPPLRLWRSVIEVPAVPEEILKRL
+LKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPHPARDYVVLRTWRTNLPKGACALL
+LTSVDHDRAPVVGVRVNVLLSRYLIEPCGPGKSKLTYMCRVDLRGHMPEWYTKSFGHLCA
+AEVVKIRDSFSNQNTET
+>P85298_PF13716_32 <unknown description>
+GRRVVTFSCCRMPPSHELDHQRLLEYLKYTLDQYVENDYTIVYFHYGLNSRNKPSLGWLQ
+SAYKEFDRKYKKNLKALYVVHPTSFIKVLWNILKPLISHKFGKKVIYFNYLSELHEHLKY
+DQLVIPPEVLRYDE
+>P85298_PF00620_210 <unknown description>
+PPVLRFTVTYLREKGLRTEGLFRRSASVQTVREIQRLYNQGKPVNFDDYGDIHIPAVILK
+TFLRELPQPLLTFQAYEQILGITCVESSLRVTGCRQILRSLPEHNYVVLRYLMGFLHAVS
+RESIFNKMNSSNLACVFGLNLIWPSQG
+>Q9BRR9_PF00018_29 <unknown description>
+ALYAFTYTGADGQQVSLAEGDRFLLLRKTNSDWWLARRL
+>Q9BRR9_PF00169_323 <unknown description>
+VEKSGLLNMTKIAQGGRKLRKNWGPSWVVLTGNSLVFYREPPPTAPSSGWGPAGSRPESS
+VDLRGAALAHGRHLSSRRNVLHIRTIPGHEFLLQSDHETELRAWHRALRTVI
+>Q9BRR9_PF00620_537 <unknown description>
+PSFLRLCIAAVDKRGLDVDGIYRVSGNLAVVQKLRFLVDRERAVTSDGRYVFPEQPGQEG
+RLDLDSTEWDDIHVVTGALKLFLRELPQPLVPPLLLPHFRAALALSESEQCLSQIQELIG
+SMPKPNHDTLRYLLEHLCRVIAHSDKNRMTPHNLGIVFGPTLFRPEQET
+>A1A4S6_PF16746_6 <unknown description>
+LEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLIAATKSLSVAQRKFAHSLR
+DFKFEFIGDAVTDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFRKEQLG
+AVKEEKKKFDKETEKNYSLIDKHLNLSAKKKDSHLQEADIQVEQNRQHFYELSLEYVCKL
+QEIQERKKFEFVEPMLSFFQGMFTFYHQGHELAKDFNHYKMELQINIQNTRNRFEGTRSE
+VEEL
+>A1A4S6_PF00169_269 <unknown description>
+EGYLYVQEKRPAPFGSSWVKHYCMYRKAAKKFNMIPFEHRSGGKLGDGEVFFLKECTKRH
+TDSIDRRFCFDIEAADRPGVSLTMQAFSEEERKQWLEAL
+>A1A4S6_PF00620_398 <unknown description>
+IIRKCISAVETRGINDQGLYRVVGVSSKVQRLLSMLMDVKTCNEVDLENSADWEVKTITS
+ALKQYLRSLPEPLMTYELHGDFIVPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHL
+TNVSNHSKQNLMTVANLGVVFGPTLMRPQEE
+>A1A4S6_PF14604_735 <unknown description>
+AVYPCEAEHSSELSFEIGAIFEDVQTSREPGWLEGTLNGKRGLIPQNYVK
+>Q8IWW6_PF14604_19 <unknown description>
+VEYDYEYEAKDRKIVIKQGERYILVKKTNDDWWQVKPDENSKAFYVPAQYVK
+>Q8IWW6_PF16618_71 <unknown description>
+EVTRKALMPPVKQVAGLPNNSTKIMQSLHLQRSTENVNKLPELSSFGKPSSSVQGTGLIR
+DANQNFGPSYNQGQTVNLSLDLTHNNGKFNNDSHSPKVSSQNRTRSFGHFPGPEFLDVEK
+TSFSQEQSCDSAGEGSERIHQDSESGDELSSSSTEQIRATTPPNQGRPDSPVYANLQELK
+ISQSALPPLPGSPAIQ
+>Q8IWW6_PF00169_478 <unknown description>
+NGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGATIEMASKDKS
+SKKNVFELKTRQGTELLIQSDNDTVINDWFKVLSSTI
+>Q8IWW6_PF00620_670 <unknown description>
+PKFVKLCIEHVEEHGLDIDGIYRVSGNLAVIQKLRFAVNHDEKLDLNDSKWEDIHVITGA
+LKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVAAVKDLIRQLPKPNQDTMQILFRHLRR
+VIENGEKNRMTYQSIAIVFGPTLLKPEKE
+>Q53QZ3_PF00169_80 <unknown description>
+VEKEGYLQKAKIADGGKKLRKNWSTSWIVLSSRRIEFYKESKQQALSNMKTGHKPESVDL
+CGAHIEWAKEKSSRKNVFQITTVSGNEFLLQSDIDFIILDWFHAIKNAI
+>Q53QZ3_PF00620_295 <unknown description>
+PWFVKQCIEAVEKRGLDVDGIYRVSGNLATIQKLRFIVNQEEKLNLDDSQWEDIHVVTGA
+LKMFFRELPEPLFPYSFFEQFVEAIKKQDNNTRIEAVKSLVQKLPPPNRDTMKVLFGHLT
+KIVAKASKNLMSTQSLGIVFGPTLLRAENE
+>Q68EM7_PF03114_1 <unknown description>
+MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSICHHSHKRLVACFQGQHGT
+DAERRHKKLPLTALAQNMQEASTQLEDSLLGKMLETCGDAENQLALELSQHEVFVEKEIV
+DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM
+DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKTLPEMR
+>Q68EM7_PF00620_268 <unknown description>
+PIEACVMLLLETGMKEEGLFRIGAGASKLKKLKAALDCSTSHLDEFYSDPHAVAGALKSY
+LRELPEPLMTFNLYEEWTQVASVQDQDKKLQDLWRTCQKLPPQNFVNFRYLIKFLAKLAQ
+TSDVNKMTPSNIAIVLGPNLLWARN
+>Q8N392_PF00620_343 <unknown description>
+PLIFQKLISRIEERGLETEGLLRIPGAAIRIKNLCQELEAKFYEGTFNWESVKQHDAASL
+LKLFIRELPQPLLSVEYLKAFQAVQNLPTKKQQLQALNLLVILLPDANRDTLKALLEFLQ
+RVIDNKEKNKMTVMNVAMVMAPNLFMC
+>Q14CB8_PF00620_125 <unknown description>
+IYQLIEYLHKNLRVEGLFRVPGNSVRQQILRDALNNGTDIDLESGEFHSNDVATLLKMFL
+GELPEPLLTHKHFNAHLKIADLMQFDDKGNKTNIPDKDRQIEALQLLFLILPPPNRNLLK
+LLLDLLYQTAKKQDKNKMSAYNLALMFAPHVLWPKN
+>Q9P2F6_PF00788_197 <unknown description>
+PLKIFAKDIGNCAYSKTITVMNSDTANEVINMSLPMLGITGSERDYQLWVNSGKEEAPYP
+LIGHEYPYGIKMSHLRD
+>Q9P2F6_PF00620_377 <unknown description>
+PKPVLDMLFFLNQKGPLTKGIFRQSANVKSCRELKEKLNSGVEVHLDCESIFVIASVLKD
+FLRNIPGSIFSSDLYDHWVSVMDQGNDEEKINTVQRLLDQLPRANVVLLRYLFGVLHNIE
+QHSSSNQMTAFNLAVCVAPSILWPPAS
+>Q5T5U3_PF17820_103 <unknown description>
+FVKQVKEGGPAFEAGLCTGDRIIKVNGESVIGKTYSQVIALIQNSDTTLEL
+>Q5T5U3_PF00169_934 <unknown description>
+KEGWLHFRPLVTDKGKRVGGSIRPWKQMYVVLRGHSLYLYKDKREQTTPSEEEQPISVNA
+CLIDISYSETKRKNVFRLTTSDCECLFQAEDRDDMLAWIKTIQES
+>Q5T5U3_PF00620_1162 <unknown description>
+PLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQEELNKGMADIDIQDDKWRDLNVISS
+LLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRLKTLKRLIHDLPEHHYETLKFLSAHL
+KTVAENSEKNKMEPRNLAIVFGPTLVRTSED
+>Q7Z5H3_PF00169_38 <unknown description>
+VLKAGWLKKQRSIMKNWQQRWFVLRGDQLFYYKDKDEIKPQGFISLQGTQVTELPPGPED
+PGKHLFEISPGGAGEREKVPANPEALLLMASSQRDMEDWVQAIRRVI
+>Q7Z5H3_PF00620_173 <unknown description>
+PLLVEQCVDFIRERGLTEEGLFRMPGQANLVRDLQDSFDCGEKPLFDSTTDVHTVASLLK
+LYLRELPEPVVPFARYEDFLSCAQLLTKDEGEGTLELAKQVSNLPQANYNLLRYICKFLD
+EVQAYSNVNKMSVQNLATVFGPNILRPQV
+>Q9P227_PF17820_99 <unknown description>
+FVKNVKEDGPAHRAGLRTGDRLVKVNGESVIGKTYSQVIALIQNSDDTL
+>Q9P227_PF15410_691 <unknown description>
+REGWLYYKQILTKKGKKAGSGLRQWKRVYAALRARSLSLSKERREPGPAAAGAAAAGAGE
+DEAAPVCIGSCLVDISYSETKRRHVFRLTTADFCEYLFQAEDRDDMLGWIRAI
+>Q9P227_PF00620_920 <unknown description>
+PLIVAACCRIVEARGLESTGIYRVPGNNAVVSSLQEQLNRGPGDINLQDERWQDLNVISS
+LLKSFFRKLPEPLFTDDKYNDFIEANRIEDARERMRTLRKLIRDLPGHYYETLKFLVGHL
+KTIADHSEKNKMEPRNLALVFGPTLVRTSED
+>Q8N264_PF00169_21 <unknown description>
+IKCGWLRKQGGFVKTWHTRWFVLKGDQLYYFKDEDETKPLGTIFLPGNKVSEHPCNEENP
+GKFLFEVVPGGDRDRMTANHESYLLMASTQNDMEDWVKSIRRVI
+>Q8N264_PF00620_153 <unknown description>
+PMLVEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLK
+LYLRELPEPVIPYAKYEDFLSCAKLLSKEEEAGVKELAKQVKSLPVVNYNLLKYICRFLD
+EVQSYSGVNKMSVQNLATVFGPNILRPKV
+>P42331_PF00169_48 <unknown description>
+IKMGWLKKQRSIVKNWQQRYFVLRAQQLYYYKDEEDTKPQGCMYLPGCTIKEIATNPEEA
+GKFVFEIIPASWDQNRMGQDSYVLMASSQAEMEEWVKFLRRV
+>P42331_PF00620_178 <unknown description>
+PILVEKCAEFILEHGRNEEGIFRLPGQDNLVKQLRDAFDAGERPSFDRDTDVHTVASLLK
+LYLRDLPEPVVPWSQYEGFLLCGQLTNADEAKAQQELMKQLSILPRDNYSLLSYICRFLH
+EIQLNCAVNKMSVDNLATVIGVNLIRSKV
+>Q9UNA1_PF16746_6 <unknown description>
+LEFSDCCLDSPHFRETLKSHEAELDKTNKFIKELIKDGKSLISALKNLSSAKRKFADSLN
+EFKFQCIGDAETDDEMCIARSLQEFATVLRNLEDERIRMIENASEVLITPLEKFRKEQIG
+AAKEAKKKYDKETEKYCGILEKHLNLSSKKKESQLQEADSQVDLVRQHFYEVSLEYVFKV
+QEVQERKMFEFVEPLLAFLQGLFTFYHHGYELAKDFGDFKTQLTISIQNTRNRFEGTRSE
+VESL
+>Q9UNA1_PF00169_268 <unknown description>
+MEGYLYVQEKRHFGTSWVKHYCTYQRDSKQITMVPFDQKSGGKGGEDESVILKSCTRRKT
+DSIEKRFCFDVEAVDRPGVITMQALSEEDRRLWMEAM
+>Q9UNA1_PF00620_392 <unknown description>
+IIRKCIHAVETRGINEQGLYRIVGVNSRVQKLLSVLMDPKTASETETDICAEWEIKTITS
+ALKTYLRMLPGPLMMYQFQRSFIKAAKLENQESRVSEIHSLVHRLPEKNRQMLQLLMNHL
+ANVANNHKQNLMTVANLGVVFGPTLLRPQEE
+>Q9UNA1_PF14604_708 <unknown description>
+ALYACKAEHDSELSFTAGTVFDNVHPSQEPGWLEGTLNGKTGLIPENYVE
+>Q6ZUM4_PF00397_250 <unknown description>
+PVWETHTDAGTGRPYYYNPDTGVTTWESP
+>Q6ZUM4_PF00397_302 <unknown description>
+ETEWGQYWDEESRRVFFYNPLTGETAWED
+>Q6ZUM4_PF00169_499 <unknown description>
+KAGVLHRTKTADKGKRLRKKHWSASWTVLEGGVLTFFKDSKTSAAGGLRQPSKFSTPEYT
+VELRGATLSWAPKDKSSRKNVLELRSRDGSEYLIQHDSEAIISTWHKAIAQGI
+>Q6ZUM4_PF00620_711 <unknown description>
+PRFVQQCIRAVEARGLDIDGLYRISGNLATIQKLRYKVDHDERLDLDDGRWEDVHVITGA
+LKLFFRELPEPLFPFSHFRQFIAAIKLQDQARRSRCVRDLVRSLPAPNHDTLRMLFQHLC
+RVIEHGEQNRMSVQSVAIVFGPTLLRPEVE
+>Q9P2N2_PF00620_399 <unknown description>
+PLVLQKFFEKVEESGLESEGIFRLSGCTAKVKQYREELDAKFNADKFKWDKMCHREAAVM
+LKAFFRELPTSLFPVEYIPAFISLMERGPHVKVQFQALHLMVMALPDANRDAAQALMTFF
+NKVIANESKNRMSLWNISTVMAPNLFFSRSK
+>Q52LW3_PF00130_616 <unknown description>
+RKLRSPTKCRDCEGIVVFQGVECEECLLVCHRKCLENLVIICGH
+>Q52LW3_PF00620_685 <unknown description>
+PFILKICASEIENRALCLQGIYRVCGNKIKTEKLCQALENGMHLVDISEFSSHDICDVLK
+LYLRQLPEPFILFRLYKEFIDLAKEIQHVNEEQETKKNSLEDKKWPNMCIEINRILLKSK
+DLLRQLPASNFNSLHFLIVHLKRVVDHAEENKMNSKNLGVIFGPSLIRPRPT
+>Q7Z6I6_PF00620_34 <unknown description>
+PQVLKSCAEFVEEYGVVDGIYRLSGVSSNIQKLRQEFESERKPDLRRDVYLQDIHCVSSL
+CKAYFRELPDPLLTYRLYDKFAEAVGVQLEPERLVKILEVLRELPVPNYRTLEFLMRHLV
+HMASFSAQTNMHARNLAIVWAPNLLRSKD
+>Q2M1Z3_PF00620_35 <unknown description>
+PYVLKSCAEFIETHGIVDGIYRLSGVTSNIQRLRQEFGSDQCPDLTREVYLQDIHCVGSL
+CKLYFRELPNPLLTYELYEKFTEAVSHCPEEGQLARIQNVIQELPPSHYRTLEYLIRHLA
+HIASFSSKTNMHARNLALVWAPNLLRSKE
+>O14559_PF14604_193 <unknown description>
+VIKRYTAQAPDELSFEVGDIVSVIDMPPTEDRSWWRGKRGFQVGFFPSECVE
+>O14559_PF00620_329 <unknown description>
+PQVLRCCSEFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSL
+CKLYFRELPNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLA
+RMARHSANTSMHARNLAIVWAPNLLRS
+>Q9NRY4_PF00071_171 <unknown description>
+NRNFDDQLKFVSNLYNQLAKTKKPIVVVLTKCDEGVERYIRDAHTFALSKKNLQVVETSA
+RSNVNVDLAFSTLVQLI
+>Q9NRY4_PF16512_261 <unknown description>
+EALKQQSQQIATAKDKYEWLVSRIVKNHNENWLSVSRKMQASPEYQDYVYLEGTQKAKKL
+FLQHIHRLKHEHIERRRKLY
+>Q9NRY4_PF01846_487 <unknown description>
+KAKEEFQELLLEYSELFYELELDAKPSKEKMGVIQDVLGEEQRFKALQKLQAERDALILK
+H
+>Q9NRY4_PF19518_558 <unknown description>
+TCPSCPACVDAKIEHLISSRFIRPSDRNQKNSLSDPNIDRINLVILGKDGLARELANEIR
+ALCTNDDKYVIDGKMYELSLRPIEGNVRLPVNSFQTPTFQPHGCLCLYNSKESLSYVVES
+IEKSRESTLGRRDNHLVHLPLTLILVNKRGDTSGETLHSLIQQGQQIASKLQCVFLDPAS
+AGIGYGRNINEKQISQVLKGLLDSKRNLNLVSSTASIKDLADVDLRIVMCLMCGDPFSAD
+DILFPVLQSQTCKSSHCGSNNSVLLELPIGLHKKRIELSVLSYHSSFSIRKSRLVHGYIV
+FYSAKRKASLAMLRAFLCEVQDIIPIQLVALTDGAVDVLDNDLSREQLTEGEEIAQEIDG
+RFTSIPCSQPQHKLEIFHPFFKDVVEKKNIIEATHMYDNAAEACSTTEEVFNSPRAGSPL
+CNSNLQDSEEDIEPSYSLFREDTSLPSLSKDHSKLSMELEGNDGLSFIMSNFESKLNNKV
+PPPVKPKPPVHFEITKGDLSYLDQGHRDGQRKSVSSSPWLPQDGFDPSDYAEPMDAVVKP
+RNEEENIYSVPHDSTQGKIITIRNINKAQSNGSGNGSDSEMDTSSLERGRKVSIVSKPVL
+YRTRCTRLGRFASYRTSFSVGSDDELGPIRKKEEDQASQGYKGDNAVIPYETDEDPRRRN
+ILRSLRRNTKKPKPKPRPSITKATWESNYFGVPL
+>Q9NRY4_PF00620_1262 <unknown description>
+PIFIERCIEYIEATGLSTEGIYRVSGNKSEMESLQRQFDQDHNLDLAEKDFTVNTVAGAM
+KSFFSELPDPLVPYNMQIDLVEAHKINDREQKLHALKEVLKKFPKENHEVFKYVISHLNK
+VSHNNKVNLMTSENLSICFWPTLMRPDFS
+>Q6ZRI8_PF00620_236 <unknown description>
+PQVVEACCQFIEKHGLSAVGIFTLEYSVQRVRQLREEFDQGLDVVLDDNQNVHDVAALLK
+EFFRDMKDSLLPDDLYMSFLLTATLKPQDQLSALQLLVYLMPPCHSDTLERLLKALHKIT
+ENCEDSIGIDGQLVPGNRMTSTNLALVFGSALLKK
+>Q9C0H5_PF00784_769 <unknown description>
+EVATKGWSVQGLRDELYIQLCRQTTENFRLESLARGWELMAICLAFFPPTPKFHSYLEGY
+IYRHMDPVNDTKVTQHIKELLERNTKKKSKLRKKPKPYVEEPDGVAISTYAKYCYHKLQK
+AALTGAKKGLKKPNVEEIR
+>Q9C0H5_PF00620_940 <unknown description>
+PWVQTRLSEEVLALNGDQTEGIFRVPGDIDEVNALKLQVDQWKVPTGLEDPHVPASLLKL
+WYRELEEPLIPHEFYEQCIAHYDSPEAAVAVVHALPRINRMVLCYLIRFLQVFVQPANVA
+VTKMDVSNLAMVMAPNCLRCQSD
+>A6NI28_PF16746_6 <unknown description>
+LEFSDSYLDSPDFRERLQCHEIELERTNKFIKELIKDGSLLIGALRNLSMAVQKFSQSLQ
+DFQFECIGDAETDDEISIAQSLKEFARLLIAVEEERRRLIQNANDVLIAPLEKFRKEQIG
+AAKDGKKKFDKESEKYYSILEKHLNLSAKKKESHLQEADTQIDREHQNFYEASLEYVFKI
+QEVQEKKKFEFVEPLLSFLQGLFTFYHEGYELAQEFAPYKQQLQFNLQNTRNNFESTRQE
+VERL
+>A6NI28_PF00169_267 <unknown description>
+TMEGYLYVQEKRPLGFTWIKHYCTYDKGSKTFTMSVSEMKSSGKMNGLVTSSPEMFKLKS
+CIRRKTDSIDKRFCFDIEVVERHGIITLQAFSEANRKLWLEAM
+>A6NI28_PF00620_397 <unknown description>
+FVRKCIQAVETRGITILGLYRIGGVNSKVQKLMNTTFSPKSPPDIDIDIELWDNKTITSG
+LKNYLRCLAEPLMTYKLHKDFIIAVKSDDQNYRVEAVHALVHKLPEKNREMLDILIKHLV
+KVSLHSQQNLMTVSNLGVIFGPTLMRAQEE
+>A6NI28_PF14604_823 <unknown description>
+AMYSCKAEHSHELSFPQGAIFSNVYPSVEPGWLKATYEGKTGLVPENYV
+>Q17R89_PF03114_1 <unknown description>
+MKKQFNRMRQLANQTVGRAEKTEVLSEDLLQVEKRLELVKQVSHSTHKKLTACLQGQQGA
+EADKRSKKLPLTTLAQCLMEGSAILGDDTLLGKMLKLCGETEDKLAQELIHFELQVERDV
+IEPLFLLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADALR
+EEMEEAANRVEICRDQLSADMYSFVAKEIDYANYFQTLIEVQAEYHRKSLTLLQAVLPQI
+K
+>Q17R89_PF00620_271 <unknown description>
+PIEACVTMLLECGMQEEGLFRVAPSASKLKKLKAALDCCVVDVQEYSADPHAIAGALKSY
+LRELPEPLMTFELYDEWIQASNVQEQDKKLQALWNACEKLPKANHNNIRYLIKFLSKLSE
+YQDVNKMTPSNMAIVLGPNLLWPQAE
+>Q6P4F7_PF00620_66 <unknown description>
+PSFLVDACTSLEDHIHTEGLFRKSGSVIRLKALKNKVDHGEGCLSSAPPCDIAGLLKQFF
+RELPEPILPADLHEALLKAQQLGTEEKNKATLLLSCLLADHTVHVLRYFFNFLRNVSLRS
+SENKMDSSNLAVIFAPNLLQTSEG
+>Q9BSD3_PF15319_1 <unknown description>
+MPPRKKRRQPSQKAPLLFHQQPLEGPKHSCASTQLPITHTRQVPSKPIDHSTITSWVSPD
+FDTAAGSLFPAYQKHQNRARHSSRKPTTSKFPHLTFESPQSSSSETLGIPLIRECPSESE
+KDVSRRPLVPVLSPQSCGNMSVQALQSLPYVFIPPDIQTPESSSVKEELIPQDQKENSLL
+SCTLHTGTPNSPEPGPVLVKDTPEDKYGIKVTWRRRQHLLAYLRERGKLSRSQFLV
+>P61586_PF00071_7 <unknown description>
+KLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDTAGQEDY
+DRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKDLRNDEH
+TRRELAKMKQEPVKPEEGRDMANRIGAFGYMECSAKTKDGVREVFEMATRAAL
+>P62745_PF00071_7 <unknown description>
+KLVVVGDGACGKTCLLIVFSKDEFPEVYVPTVFENYVADIEVDGKQVELALWDTAGQEDY
+DRLRPLSYPDTDVILMCFSVDSPDSLENIPEKWVPEVKHFCPNVPIILVANKKDLRSDEH
+VRTELARMKQEPVRTDDGRAMAVRIQAYDYLECSAKTKEGVREVFETATRAAL
+>P08134_PF00071_7 <unknown description>
+KLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDTAGQEDY
+DRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKDLRQDEH
+TRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRA
+>O00212_PF00071_19 <unknown description>
+KVVLVGDGGCGKTSLLMVFADGAFPESYTPTVFERYMVNLQVKGKPVHLHIWDTAGQDDY
+DRLRPLFYPDASVLLLCFDVTSPNSFDNIFNRWYPEVNHFCKKVPIIVVGCKTDLRKDKS
+LVNKLRRNGLEPVTYHRGQEMARSVGAVAYLECSARLHDNVHAVFQEAAEVA
+>Q9HBH0_PF00071_21 <unknown description>
+KIVIVGDGGCGKTSLLMVYSQGSFPEHYAPSVFEKYTASVTVGSKEVTLNLYDTAGQEDY
+DRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPEVTHFCRGIPMVLIGCKTDLRKDKE
+QLRKLRAAQLEPITYMQGLSACEQIRAALYLECSAKFRENVEDVFREAAKVA
+>P84095_PF00071_5 <unknown description>
+KCVVVGDGAVGKTCLLICYTTNAFPKEYIPTVFDNYSAQSAVDGRTVNLNLWDTAGQEEY
+DRLRTLSYPQTNVFVICFSIASPPSYENVRHKWHPEVCHHCPDVPILLVGTKKDLRAQPD
+TLRRLKEQGQAPITPQQGQALAKQIHAVRYLECSALQQDGVKEVFAEAVRAVL
+>Q15669_PF00071_6 <unknown description>
+KCVLVGDSAVGKTSLLVRFTSETFPEAYKPTVYENTGVDVFMDGIQISLGLWDTAGNDAF
+RSIRPLSYQQADVVLMCYSVANHNSFLNLKNKWIGEIRSNLPCTPVLVVATQTDQREMGP
+HRASCVNAMEGKKLAQDVRAKGYLECSALSNRGVQQVFECAVRTA
+>Q9H4E5_PF00071_23 <unknown description>
+KCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVTVTVGGKQHLLGLYDTAGQEDY
+NQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELKDCMPHVPYVLIGTQIDLRDDPK
+TLARLLYMKEKPLTYEHGVKLAKAIGAQCYLECSALTQKGLKAVFDEAILTI
+>P17081_PF00071_11 <unknown description>
+KCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVSVTVGGKQYLLGLYDTAGQEDY
+DRLRPLSYPMTDVFLICFSVVNPASFQNVKEEWVPELKEYAPNVPFLLIGTQIDLRDDPK
+TLARLNDMKEKPICVEQGQKLAKEIGACCYVECSALTQKGLKTVFDEAIIAI
+>Q7L0Q8_PF00071_51 <unknown description>
+KCVLVGDGAVGKTSLVVSYTTNGYPTEYIPTAFDNFSAVVSVDGRPVRLQLCDTAGQDEF
+DKLRPLCYTNTDIFLLCFSVVSPSSFQNVSEKWVPEIRCHCPKAPIILVGTQSDLREDVK
+VLIELDKCKEKPVPEEAAKLCAEEIKAASYIECSALTQKNLKEVFDAAI
+>Q96L33_PF00071_33 <unknown description>
+KCVLVGDGAVGKSSLIVSYTCNGYPARYRPTALDTFSVQVLVDGAPVRIELWDTAGQEDF
+DRLRSLCYPDTDVFLACFSVVQPSSFQNITEKWLPEIRTHNPQAPVLLVGTQADLRDDVN
+VLIQLDQGGREGPVPQPQAQGLAEKIRACCYLECSALTQKNLKEVFDSAIL
+>Q8TCX5_PF02185_36 <unknown description>
+RRAQIHQQIDKELQMRTGAENLYRATSNNRVRETVALELSYVNSNLQLLKEELEELSG
+>Q8TCX5_PF03097_109 <unknown description>
+MIPLGLKETKELDWSTPLKELISVHFGEDGASYEAEIRELEALRQAMRTPSRNESGLELL
+TAYYNQLCFLDARFLTPARSLGLFFHWYDSLTGVPAQQRALAFEKGSVLFNIGALHTQIG
+ARQDRSCTEGARRAMEAFQRAAGAFSLLRENFSHAPSPDMSAASLCALEQLMMAQAQECV
+FEGLSPPASMAPQDCLAQLRLAQEAAQVAAEYRLVHRTMAQPPVHDYVPVSWTALVHVKA
+EYFRSLAHYHVAMALCDGSPATEGELPTHEQVFLQPPTSSKPRGPVLPQELEERRQLGKA
+HLKRAILGQEEALRLHALCRVLREVDLLRAVISQTLQRSLAKYAELDREDDFCEAAEAPD
+IQPKTHQKPEARMPRLSQGKGPDIFHRLGPLSVFSAKNRWR
+>Q8TCX5_PF00595_515 <unknown description>
+HLTRGEGGFGLTLRGDSPVLIAAVIPGSQAAAAGLKEGDYIVSVNGQPCRWWRHAEVVTE
+LKAAGEAGAS
+>Q8IUC4_PF02185_39 <unknown description>
+QRAALNQQILKAVRMRTGAENLLKVATNSKVREQVRLELSFVNSDLQMLKEELEGLNISV
+GVYQ
+>Q8IUC4_PF03097_112 <unknown description>
+LIPLGLKETKDVDFAVVLKDFILEHYSEDGYLYEDEIADLMDLRQACRTPSRDEAGVELL
+MTYFIQLGFVESRFFPPTRQMGLLFTWYDSLTGVPVSQQNLLLEKASVLFNTGALYTQIG
+TRCDRQTQAGLESAIDAFQRAAGVLNYLKDTFTHTPSYDMSPAMLSVLVKMMLAQAQESV
+FEKISLPGIRNEFFMLVKVAQEAAKVGEVYQQLHAAMSQAPVKENIPYSWASLACVKAHH
+YAALAHYFTAILLIDHQVKPGTDLDHQEKCLSQLYDHMPEGLTPLATLKNDQQRRQLGKS
+HLRRAMAHHEESVREASLCKKLRSIEVLQKVLCAAQERSRLTYAQHQEEDDLLNLIDAPS
+VVAKTEQEVDIILPQFSKLTVTDFFQKLGPLSVFSANKRWT
+>Q8NHV9_PF00046_104 <unknown description>
+RTRRTKFTLLQVEELESVFRHTQYPDVPTRRELAENLGVTEDKVRVWFKNKRARCRR
+>Q9BQY4_PF00046_140 <unknown description>
+AFTPLQLQELERIFQREQFPSEFLRRRLARSMNVTELAVQIWFENRRAKWRR
+>A6NNX1_PF02197_51 <unknown description>
+GFFREIFLKRPDNILEFAADYFT
+>Q8N443_PF05914_9 <unknown description>
+DTKEAAAIEARRNREKERQNRFFNVRNRVMGVDVQALNNQVGDRKRREAAERSKEAAYGT
+SQVQYDVVVQMLEKEEADRTRQLAKKVQEFREQKQQLKNGREFSLWDPGQVWKGLPTYLS
+YSNTYPGPASLQYFSGEDLDRDTRLRMQQGQFRYNLERQQQEQQQAKVDENYTDALSNQL
+RLAMDAQATHLARLEESCRAAMMCAMANANKAQAAVQAGRQRCERQREQKANLAEIQHQS
+TSDLLTENPQVAQHPMAPYRVLPYCWKGMTPEQQAAIRKEQEVQRSKKQAHRQAEKTLDT
+EWKSQTMSSAQAVLELEEQERELCAVFQRGLGSFNQQLANEQKAQQDYLNSVIYTNQPTA
+QYHQQFNTSSR
+>Q9H4K1_PF05914_13 <unknown description>
+DLRQDANLAKRRHAELCRQKRVFNARNRIIGGDTEAWDVQVHDQKIKEATEKARHETFAA
+EMRQNDKIMCILENRKKRDRKNLCRAINDFQQSFQKPETRREFDLSDPLALKKDLPARQS
+DNDVRNTISGMQKFMGEDLNFHERKKFQEEQNREWSLQQQREWKNARAEQKCAEALYTET
+RLQFDETAKHLQKLESTTRKAVCASVKDFNKSQAIESVERKKQEKKQEQEDNLAEITNLL
+RGDLLSENPQQAASSFGPHRVVPDRWKGMTQEQLEQIRLVQKQQIQEKLRLQEEKRQRDL
+DWDRRRIQGARATLLFERQQWRRQRDLRRALDSSNLSLAKEQHLQKKYMNEVYTNQPTGD
+YFTQFNTGSR
+>Q4ADV7_PF07064_735 <unknown description>
+EALWLSCGGAGMKVWLPLFPRDHRKPHSFLSQRIMLPFHINIYPLAVLFEDALVLGAVND
+TLLYDSLYTRNNAREQLEVLFPFCVVERTSQIYLHHILRQLLVRNLGEQALLLAQSCATL
+PYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAKFITEFPLFLQTVVHCARKTEYALWN
+YLFAAVGNPKDLFEECLMAQDLDTAASYLIILQNMEVPAVSRQHATLLFNTALEQGKWDL
+CRHMIRFLKAIGS
+>Q7Z5B4_PF15361_15 <unknown description>
+LVLALSLLLPKAFLSRGKRQEPPPTPEGKLGRFPPMMHHHQAPSDGQTPGARFQRSHLAE
+AFAKAKGSGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSKGKTTAEDGKCYTAM
+PGNTHRKITSFELAQLQEKLKETEAAMEKLI
+>Q9NPQ8_PF10165_67 <unknown description>
+LQSVRILSRDRNCLDPFTSRQSLQALACYADISVSEGSVPESADMDVVLESLKCLCNLVL
+SSPVAQMLAAEARLVVKLTERVGLYRERSFPHDVQFFDLRLLFLLTALRTDVRQQLFQEL
+KGVRLLTDTLELTLGVTPEGNPPPTLLPSQETERAMEILKVLFNITLDSIKGEVDEEDAA
+LYRHLGTLLRHCVMIATAGDRTEEFHGHAVNLLGNLPLKCLDVLLTLEPHGDSTEFMGVN
+MDVIRALLIFLEKRLHKTHRLKESVAPVLSVLTECARMHRPARKFLKAQVLPPLRDVRTR
+PEVGEMLRNKLVRLMTHLDTDVKRVAAEFLFVLCSESVPRFIKYTGYGNAAGLLAARGLM
+AGGRPEGQYSEDEDTDTDEYKEAKASINPVTGRVEEKPPNPMEGMTEEQKEHEAMKLVTM
+FDKLSRNRVIQPMGM
+>Q6R327_PF14664_58 <unknown description>
+GHLNNFTKLLCDIGHSEEKLGFHYEDIIICLRLALLNEAKEVRAAGLRALRYLIQDSSIL
+QKVLKLKVDYLIARCIDIQQSNEVERTQALRLVRKMITVNASLFPSSVTNSLIAVGNDGL
+QERDRMVRACIAIICELALQNPEVVALRGGLNTILKNVIDCQLSRINEALITTILHLLNH
+PKTRQYVRADVELERILAPYTDFHYRHSPDTAEGQLKEDREARFLASKMGIIATFRSWAG
+IINLCKPGNSGIQSLIGVLCIPNMEIRRGLLEVLYDIFRLPLPVVTEEFIEALLSVDPGR
+FQDSWRLSDGFVAAEAKTILPHRARSRPDLMDNYLALILSAFIRNGLLEGLVEVITNSDD
+HISVRATILLGELLHMANTILP
+>Q6R327_PF14666_642 <unknown description>
+PERSLQNNGLLTTLSQHYFLFIGTLSCHPHGVKMLEKCSVFQCLLNLCSLKNQDHLLKLT
+VSSLDYSRDGLARVILSKILTAATDACRLYATKHLRVLL
+>Q6R327_PF14663_747 <unknown description>
+FNNWGIELLVTQLHDKNKTISSEALDILDEACEDKANLHALIQMKPALSHLGDKGLLLLL
+RFLSIPKGFSYLNERGYVAKQLEKWHREYNSKYVDLIEEQLNEALT
+>Q6R327_PF14668_922 <unknown description>
+KLKASLWALGNIGSSNWGLNLLQEENVIPDILKLAKQCEVLSIRGTCVYVLGLIAKTKQG
+CDILKCHNWD
+>Q6R327_PF14665_1084 <unknown description>
+SFPFFASSKLVKNRILNSLTLPNKKHRSSSDPKGGKLSSESKTSNRRIRTLTEPSVDFNH
+SDDFTPISTVQKTLQLETSFMGNKHIEDTGSTPSIGENDLKFTKN
+>P52758_PF01042_12 <unknown description>
+AKAPGAIGPYSQAVLVDRTIYISGQIGMDPSSGQLVSGGVAEEAKQALKNMGEILKAAGC
+DFTNVVKTTVLLADINDFNTVNEIYKQYFKSNFPARAAYQVAALPKGSRIEIEAVAI
+>Q5UIP0_PF12231_23 <unknown description>
+HGGQTDAYLTLTSRMTGEEGKEVITEIEKKLPRLYKVLKTHISSQNSELSSAALQALGFC
+LYNPKITSELSEANALELLSKLNDTIKNSDKNVRTRALWVISKQTFPSEVVGKMVSSIID
+SLEILFNKGETHSAVVDFEALNVIVRLIEQAPIQMGEEAVRWAKLVIPLVVHSAQKVHLR
+GATALEMGMPLLLQKQQEIASITEQLMTTKLISELQKLFMSKNETYVLKLWPLFVKLLGR
+TLHRSGSFINSLLQLEELGFRSGAPMIKKIAFIAWKSLIDNFALNPDILCSAKRLKLLMQ
+PLSSIHVRTETLALTKLEVWWYLLMRLGPHLPANFEQVC
+>Q969G6_PF01687_4 <unknown description>
+LPYFCRGQVVRGFGRGSKQLGIPTANFPEQVVDNLPADISTGIYYGWASVGSGDVHKMVV
+SIGWNPYYKNTKKSMETHIMHTFKEDFYGEILNVAIVGYLRPEKNFDSLESLISAIQGDI
+EEAKKR
+>O95786_PF16739_1 <unknown description>
+MTTEQRRSLQAFQDYIRKTLDPTYILSYMAPWFREEEVQYIQAEKNNKGPMEAATLFLKF
+LLELQEEGWFRGFLDALDHAGYSGLYEAIESW
+>O95786_PF16739_100 <unknown description>
+LEEYRLLLKRLQPEFKTRIIPTDIISDLSECLINQECEEILQICSTKGMMAGAEKLVECL
+LRSDKENWPKTLKLALEKERNKFSELW
+>O95786_PF00270_246 <unknown description>
+YQLELALPAMKGKNTIICAPTGCGKTFVSLLICEHHLKKFPQGQKGKVVFFANQIPVYEQ
+QKSVFSKYFERHGYRVTGISGATAENVPVEQIVENNDIIILTPQILVNNLKKGTIPSLSI
+FTLMIFDECHNTSKQHPYNMIMFNYLDQKLGGSSGPLPQVIGLTASVG
+>O95786_PF18119_458 <unknown description>
+KFFRKVESRISDKFKYIIAQLMRDTESLAKRICKDLENLSQIQNREFGTQKYEQWIVTVQ
+KACMVFQMPDKDEESRICKALFLYTSHLRKYNDALIISEHARMKDALDYLKDFFSNVRAA
+GFDEIEQDLTQRFEEKLQELESVS
+>O95786_PF00271_623 <unknown description>
+HLNPETITILFVKTRALVDALKNWIEGNPKLSFLKPGILTGRGKTNQNTGMTLPAQKCIL
+DAFKASGDHNILIATSVADEGIDIAQCNLVILYEYVGNVIKMIQTRGRGRA
+>O95786_PF11648_807 <unknown description>
+KLLCRKCKALACYTADVRVIEECHYTVLGDAFKECFVSRPHPKPKQFSSFEKRAKIFCAR
+QNCSHDWGIHVKYKTFEIPVIKIESFVVEDIATGVQTLYSKWKDFHFEKIPFDPAE
+>Q96NA2_PF09744_28 <unknown description>
+VYHLAGALGTELQDLARRFGPEAAAGLVPLVVRALELLEQAAVGPAPDSLQVSAQPAEQE
+LRRLREENERLRRELRAGPQEERALLRQLKEVTDRQRDELRAHNRDLRQRGQETEALQEQ
+LQRLLLVNAELRHKLAAMQT
+>Q96NA2_PF11461_245 <unknown description>
+REEFEQILQERNELKAKVFLLKEELAYFQRELLTDHRVPGLLLEAMKVAVRKQRKKIKAK
+MLGTPE
+>Q9UFD9_PF14604_852 <unknown description>
+HPEGELPLTAGDYIYIFGDMDEDGFYEGELEDGRRGLVPSNFVE
+>Q9UFD9_PF07653_1590 <unknown description>
+QGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHL
+>A6NNM3_PF14604_852 <unknown description>
+HPEGELPLTAGDYIYIFGDMDEDGFYEGELDDGRRGLVPSNFVE
+>A6NNM3_PF07653_1590 <unknown description>
+QGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHL
+>A6NJZ7_PF14604_852 <unknown description>
+HPEGELPLTAGDYIYIFGDMDEDGFYEGELDDGRRGLVPSNFVE
+>A6NJZ7_PF07653_1590 <unknown description>
+QGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHL
+>O95153_PF14604_675 <unknown description>
+EAELPLTAGEYIYIYGNMDEDGFFEGELMDGRRGLVPSNFVE
+>O95153_PF07653_1630 <unknown description>
+FVALFDYDPVSMSPNPDAGEEELPFREGQILKVFGDKDADGFYQGEGGGRTGYIPCNMVA
+EV
+>O95153_PF07653_1769 <unknown description>
+MVAAFDYNPQESSPNMDVEAELPFRAGDVITVFGGMDDDGFYYGELNGQRGLVPSNFLE
+>A6NDU8_PF17716_36 <unknown description>
+FLIRASAALEKLKLLCGEEKECSNPSNLLELYTQAILDMTYFEENKLVDEDFPEDSSSQK
+VKELISFLSEPEILVKENNMHPKHCNLLGDELLECLSWRRGALLYMYCHSLTKRREWLLR
+KSSLLKKYLLDGISYLLQMLNYRCPIQLNEGVSFQDLDTAKLLSAGIFSDIHLLAMMYSG
+EMCYWGSKYCADQQPENHEVDTSVSGAGCTTYKEPLDFREVGEKILKKYVSVCEGPLKEQ
+EWNTTNAKQILNFF
+>Q8IXN7_PF08443_110 <unknown description>
+NKFWTFQELAGHGVPMPDTFSYGGHEDFSKMIDEAEPLGYPVVVKSTRGHRGKAVFLARD
+KHHLSDICHLIRHDVPYLFQKYVKESHGKDIRVVVVGGQVIGSMLRCSTDGRMQSNCSLG
+GVGVKCPLTEQGKQLAIQVSNILGMDFCGIDLLIMDDGSFVVCEANANVGFLAFDQACNL
+DVGGIIAD
+>Q9ULI2_PF08443_114 <unknown description>
+NKFWTFQELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARD
+KHHLADLSHLIRHEAPYLFQKYVKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLG
+GVGMMCSLSEQGKQLAIQVSNILGMDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNL
+DVAGIIADY
+>Q86UR5_PF02318_105 <unknown description>
+YQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNEDKVVMWVCNL
+CRKQQEILTKSGAWFF
+>Q86UR5_PF00595_606 <unknown description>
+TTMPKDSGALLGLKVVGGKMTDLGRLGAFITKVKKGSLADVVGHLRAGDEVLEWNGKPLP
+GATNEEVYNIILESKSEPQVEI
+>Q86UR5_PF00168_758 <unknown description>
+QLIVNVLQATDLPARVDGRPRNPYVKMYFLPDRSDKSKRRTKTVKKILEPKWNQTFVYSH
+VHRRDFRERMLEITVWDQPRVQEEESEFLGEILIELETALLDDEPHWYKL
+>Q86UR5_PF00168_1551 <unknown description>
+GQLEVEVIRARSLTQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVF
+DESPQGKVLQVIVWGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKL
+>Q9UJD0_PF00168_169 <unknown description>
+GQLEVEVIEARGLTPKPGSKSLPATYIKVYLLENGACLAKKKTKMTKKTCDPLYQQALLF
+DEGPQGKVLQVIVWGDYGRMDHKCFMGMAQIMLDELDLSAAVTGWYKL
+>Q9H426_PF00168_128 <unknown description>
+GQLEVDIIQARGLTAKPGSKTLPAAYIKAYLLENGICIAKKKTKVARKSLDPLYNQVLLF
+PESPQGKVLQVIVWGNYGRMERKQFMGVARVLLEELDLTTLAVGWYKL
+>Q13671_PF02204_492 <unknown description>
+LEQVRQKLLQLLRTYSPSAQVKRLLQACKLLYMALRTQEGEGAGADEFLPLLSLVLAHCD
+LPELLLEAEYMSELLEPSLLTGEGGYYLTSLSASLALLS
+>Q13671_PF00788_625 <unknown description>
+QHLLRVAYQDPSSGCTSKTLAVPPEASIATLNQLCATKFRVTQPNTFGLFLYKEQGYHRL
+PPGALAHRLPTTGYLVYRRAEWPET
+>Q8WYP3_PF02204_652 <unknown description>
+EKIKVKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGRMYGADDFLPVLTYVIAQCDM
+LELDTEIEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNFQ
+>Q8WYP3_PF00788_788 <unknown description>
+QNYLRVAFQEVNSGCTGKTLLVRPYITTEDVCQICAEKFKVGDPEEYSLFLFVDETWQQL
+AEDTYPQKIKAELHSRPQPHIFHFVYKR
+>Q8TB24_PF02204_740 <unknown description>
+EKILQKFTSMHKAYSPEKKISILLKTCKLIYDSMALGNPGKPYGADDFLPVLMYVLARSN
+LTEMLLNVEYMMELMDPALQLGEGSYYLTTTYGALEHIKSYD
+>Q06587_PF13923_47 <unknown description>
+MCPICLDMLKNTMTTKECLHRFCSDCIVTALRSGNKECPTC
+>Q06587_PF16207_282 <unknown description>
+RYVKTTGNATVDHLSKYLALRIALERRQQQEAGEPGGPGGGASDTGGPDGCGGEGGGAGG
+GDGPEEPALPSLEGVSEKQYTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYA
+>Q99496_PF13923_50 <unknown description>
+MCPICLDMLKNTMTTKECLHRFCADCIITALRSGNKECPTC
+>Q99496_PF16207_246 <unknown description>
+RYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASGQFTVL
+NGSFSLELVSEKYWKVNKPMELYYA
+>P13489_PF18779_6 <unknown description>
+QSLDIQCEELSDARWAELLPLLQQCQVVRL
+>P13489_PF13516_55 <unknown description>
+NPALAELNLRSNELGDVGV
+>P13489_PF13516_112 <unknown description>
+LPTLQELHLSDNLLGDAGLQLLC
+>P13489_PF13516_227 <unknown description>
+ASLRELALGSNKLGDVGMAELC
+>P13489_PF13516_284 <unknown description>
+ESLKELSLAGNELGDEGARLLC
+>P13489_PF13516_339 <unknown description>
+QNRFLLELQISNNRLEDAGVRELC
+>P13489_PF13516_397 <unknown description>
+NHSLRELDLSNNCLGDAGILQL
+>Q6ZS11_PF02204_409 <unknown description>
+RIHERLAHLHAACAPRRKVALLLEVCRDVYAGLARGENQDPLGADAFLPALTEELIWSPD
+IGDTQLDVEFLMELLDPDELRGEAGYYLTTWFGALHHIAHYQ
+>Q6NUQ1_PF04437_304 <unknown description>
+LPIQVMLTPLQKRFRYHFRGNRQTNVLSKPEWYLAQVLMWIGNHTEFLDEKIQPILDKVG
+SLVNARLEFSRGLMMLVLEKLATDIPCLLYDDNLFCHLVDEVLLFERELHSVHGYPGTFA
+SCMHILSEETCFQRWLTVERKFALQKMDSMLSSEAAWVSQYKDITDVDEMKVPDCAETFM
+TLLLVITDRYKNLPTASRKLQFLELQKDLVDDFRIRLTQVMKEETRASLGFRYCAILNAV
+NYISTVLADWADNVFFLQLQQAALEVFAENNTLSKLQLGQLASMESSVFDDMINLLERLK
+HDMLTRQVDHVFREVKDAAKLYKKERWLSLPSQSEQAVMSLSSSACPLLLTLRDHLLQLE
+QQLCFSLFKIFWQMLVEKLDVYIYQEIILANHFNEGGAAQLQFDMTRNLFPLFSHYCKRP
+ENYFKHIKEACIVLNLNVGSALLLKDVLQSASGQLPATAALNEVGIYKLAQQDVEILLNL
+R
+>Q9BRS2_PF01163_193 <unknown description>
+NVYHASTANGESRAIKIYKTSILVFKDRDKYVSGEFRFRHGYCKGNPRKMVKTWAEKEMR
+NLIRLNTAEIPCPEPIMLRSHVLVMSFIGKDDMPAPLLKNVQLSESKARELYLQVIQYMR
+RMYQDARLVHADLSEFNMLYHGGGVYIIDVSQSVEHDHPHALEFLRKDCANVNDFFMRHS
+VAVMTVRE
+>Q9BVS4_PF09202_9 <unknown description>
+LRYMSRDDFRVLTAVEMGMKNHEIVPGSLIASIASLKHGGCNKVLRELVKHKLIAWERTK
+TVQGYRLTNAGYDYLALKTLSSR
+>Q9BVS4_PF01163_108 <unknown description>
+DIYIVANEEGQQFALKLHRLGRTSFRNLKNKRDYHKHRHNVSWLYLSRLSAMKEFAYMKA
+LYERKFPVPKPIDYNRHAVVMELINGYPLCQIHHVEDPASVYDEAMELIVKLANHGLIHG
+DFNEFNLILDESDHITMIDFPQMVSTSHPNAEWYFDRDVKCIKDFFMKRFSY
+>O14730_PF01163_265 <unknown description>
+VFHAYGGSMEDEKEDSKVIPTECAIKVFKTTLNEFKNRDKYIKDDFRFKDRFSKLNPRKI
+IRMWAEKEMHNLARMQRAGIPCPTVVLLKKHILVMSFIGHDQVPAPKLKEVKLNSEEMKE
+AYYQTLHLMRQLYHECTLVHADLSEYNMLWHAGKVWLIDVSQSVEPTHPHGLEFLFRDCR
+NVSQFFQKGGVKEALSER
+>Q9H6W3_PF08007_215 <unknown description>
+PDHFYRRLWEREAVLVRRQDHTYYQGLFSTADLDSMLRNEEVQFGQHLDAARYINGRRET
+LNPPGRALPAAAWSLYQAGCSLRLLCPQAFSTTVWQFLAVLQEQFGSMAGSNVYLTPPNS
+QGFAPHYDDIEAFVLQLEGRKLWRVYRPRVPTEELALTSSPNFSQDDLGEPVLQTVLEPG
+DLLYFPRGFIHQAECQDGVHSLHLTLSTYQRNTWGDFLEAILPLAVQAAMEENVEFRRGL
+PRDFMDYMGAQHSDSKDPRRTAFMEKVRVLVARLGHFAPVDAVADQRAKDFIHDSLPPVL
+TDRERALSVYGLPIRWEAGEPVNVGAQLTTETEVHMLQ
+>Q8IUF8_PF08007_52 <unknown description>
+ETFFKEFWEQKPLLIQRDDPALATYYGSLFKLTDLKSLCSRGMYYGRDVNVCRCVNGKKK
+VLNKDGKAHFLQLRKDFDQKRATIQFHQPQRFKDELWRIQEKLECYFGSLVGSNVYITPA
+GSQGLPPHYDDVEVFILQLEGEKHWRLYHPTVPLAREYSVEAEERIGRPVHEFMLKPGDL
+LYFPRGTIHQADTPAGLAHSTHVTISTYQNNSWGDFLLDTISGLVFDTAKEDVELRTGIP
+RQLLLQVESTTVATRRLSGFLRTLADRLEGTKELLSSDMKKDFIMHRLPPYSAGDGAELS
+TPGGKLPRLDSV
+>Q13546_PF07714_21 <unknown description>
+AELDSGGFGKVSLCFHRTQGLMIMKTVYKGPNCIEHNEALLEEAKMMNRLRHSRVVKLLG
+VIIEEGKYSLVMEYMEKGNLMHVLKAEMSTPLSVKGRIILEIIEGMCYLHGKGVIHKDLK
+PENILVDNDFHIKIADLGLASFKMWSKLNNEEHNELREVDGTAKKNGGTLYYMAPEHLND
+VNAKPTEKSDVYSFAVVLWAIFANKEPYENAICEQQLIMCIKSGNRPDVDDITEYCPREI
+ISLMKLCWEANPEARPTFPGIEEK
+>Q13546_PF12721_515 <unknown description>
+PTMPFSSLPPTDESIKYTIYNSTGIQIGAYNYME
+>Q13546_PF00531_585 <unknown description>
+KHLDPIRENLGKHWKNCARKLGFTQSQIDEIDHDYERDGLKEKVYQMLQKWVMREGIKGA
+TVGKLAQALHQCSRIDLLSSLI
+>O43353_PF07714_22 <unknown description>
+RYLSRGASGTVSSARHADWRVQVAVKHLHIHTPLLDSERKDVLREAEILHKARFSYILPI
+LGICNEPEFLGIVTEYMPNGSLNELLHRKTEYPDVAWPLRFRILHEIALGVNYLHNMTPP
+LLHHDLKTQNILLDNEFHVKIADFGLSKWRMMSLSQSRSSKSAPEGGTIIYMPPENYEPG
+QKSRASIKHDIYSYAVITWEVLSRKQPFEDVTNPLQIMYSVSQGHRPVINEESLPYDIPH
+RARMISLIESGWAQNPDERPSFLKCL
+>O43353_PF00619_437 <unknown description>
+QQWIQSKREDIVNQMTEACLNQSLDALLSRDLIMKEDYELVSTKPTRTSKVRQLLDTTDI
+QGEEFAKVIVQKLKDNKQMGLQ
+>Q9Y572_PF00069_22 <unknown description>
+ENQELVGKGGFGTVFRAQHRKWGYDVAVKIVNSKAISREVKAMASLDNEFVLRLEGVIEK
+VNWDQDPKPALVTKFMENGSLSGLLQSQCPRPWPLLCRLLKEVVLGMFYLHDQNPVLLHR
+DLKPSNVLLDPELHVKLADFGLSTFQGGSQSGTGSGEPGGTLGYLAPELFVNVNRKASTA
+SDVYSFGILMWAVLAGREVELPTEPSLVYEAVCNRQNRPSLAELPQAGPETPGLEGLKEL
+MQLCWSSEPKDRPSFQECL
+>Q9Y572_PF12721_417 <unknown description>
+PSPGPRGNQGAERQGMNWSCRTPEPNPVTGRPLVNIYNCSGVQVGDNNYLT
+>P57078_PF00069_26 <unknown description>
+EKVGSGGFGQVYKVRHVHWKTWLAIKCSPSLHVDDRERMELLEEAKKMEMAKFRYILPVY
+GICREPVGLVMEYMETGSLEKLLASEPLPWDLRFRIIHETAVGMNFLHCMAPPLLHLDLK
+PANILLDAHYHVKISDFGLAKCNGLSHSHDLSMDGLFGTIAYLPPERIREKSRLFDTKHD
+VYSFAIVIWGVLTQKKPFADEKNILHIMVKVVKGHRPELPPVCRARPRACSHLIRLMQRC
+WQGDPRVRPTFQEITS
+>P57078_PF12796_415 <unknown description>
+VSGDTSKLMKILQPQDVDLALDSGASLLHLAVEAGQEECAKWLLLNNANPNLSNRRGSTP
+LHMAVERRVRGVVELLLARKISVNAKD
+>P57078_PF12796_503 <unknown description>
+DQWTALHFAAQNGDESSTRLLLEKNASVNEVDFEGRTPMHVACQHGQENIVRILLRRGVD
+VSL
+>P57078_PF13637_572 <unknown description>
+LPLHYAAWQGHLPIVKLLAKQPGVSVNAQTLDGRTPLHLAAQRGHYRVARILI
+>P57078_PF12796_630 <unknown description>
+VNVCSLLAQTPLHVAAETGHTSTARLLLHRGAGKEAMTSDGYTALHLAARNGHLATVKLL
+VEEKADVL
+>P57078_PF12796_703 <unknown description>
+NQTALHLAAAHGHSEVVEELVSADVIDLFDEQGLSALHLAAQGRHAQTVETLLRHGAHIN
+LQ
+>Q5EBL4_PF09744_27 <unknown description>
+VYDIASLVGHEFERVIDQHGCEAIARLMPKVVRVLEILEVLVSRHHVAPELDELRLELDR
+LRLERMDRIEKERKHQKELELVEDVWRGEAQDLLSQIAQLQEENKQLMTNLSHKDVNFSE
+EEFQKHEGMSERERQVMKKLKEVVDKQRD
+>Q5EBL4_PF11461_295 <unknown description>
+TLQELRDVLHERNELKSKVFLLQEELAYYKSEEMEEENRIPQPPPIAHPRTSPQPESGIK
+RLF
+>Q969X0_PF11461_134 <unknown description>
+TLQELRDVLQERNKLKSQLLVVQEELQCYKSGLIPPREGPGGRREKDAVVTSAKNAGRNK
+EEKTIIKKLF
+>Q0D2K3_PF14998_56 <unknown description>
+LWRPWLSSTNDSPRQMRKLVDLAAGGATAAEVTKAESKFHHPVRLFWPKSRSFDYLYSAG
+EILLQNFPVQATINLYEDSDSEEEE
+>Q5TAB7_PF14998_36 <unknown description>
+FWRPWVDAGGKKEEETPNHAAEAMPDGPGMTAASGKLYQFRHPVRLFWPKSKCYDYLYQE
+AEALLKNFPIQATISFYEDSDSEDE
+>Q6ZS17_PF15903_17 <unknown description>
+VNRSQSFAGVLGSHERGPRSFPVFSPPGPPRKPPALSRVSRMFSVAHPAAKVPQPERLDL
+VYTALKRGLTAYLEVHQQEQEKLQGQIRESKRNSRLGFLYDLDKQVKSIERFLRRLEFHA
+SKIDELYEAYCVQRRLRDGAYNMVRAYTTGSPGSREARDSLAEATRGHREYTESMCLLES
+ELEAQLGEFHLRMKGLAGFARLCVGDQYEICMKYGRQRWKLRGRIEGSGKQVWDSEETIF
+LPLLTEFLSIKVTELKGLANHVVVGSVSCETKDLFAALPQVVAVDINDLGTIKLSLEVTW
+SPFDKDDQPSAASSVNKASTVTKRFSTYSQSPPDTPSLREQAFYNMLRR
+>Q86UA6_PF14766_8 <unknown description>
+PRRSLYKLVGSPPWKEAFRQRCLERMRNSRDRLLNRYRQA
+>Q86UA6_PF14767_60 <unknown description>
+LVQEVMEEEWNALQSVENCPEDLAQLEELIDMAVLEEIQQELINQEQSIISEYEKSLQFD
+EKCLSIM
+>Q86UA6_PF14768_136 <unknown description>
+ICPVCTKYNLRITSGVVVCQCGLSIPSHSSELTEQKLRACLEGSINEHSAHCPHTPEFSV
+TGGTEEKSSLLMSCLACDTWA
+>P23921_PF03477_1 <unknown description>
+MHVIKRDGRQERVMFDKITSRIQKLCYGLNMDFVDPAQITMKVIQGLYSGVTTVELDTLA
+AETAATLTTKHPDYAILAARIAVSNLHKE
+>P23921_PF00317_142 <unknown description>
+SYNYFGFKTLERSYLLKINGKVAERPQHMLMRVSVGIHKEDIDAAIETYNLLSERWFTHA
+SPTLFNAGTNR
+>P23921_PF02867_216 <unknown description>
+SSCFLLSMKDDSIEGIYDTLKQCALISKSAGGIGVAVSCIRATGSYIAGTNGNSNGLVPM
+LRVYNNTARYVDQGGNKRPGAFAIYLEPWHLDIFEFLDLKKNTGKEEQRARDLFFALWIP
+DLFMKRVETNQDWSLMCPNECPGLDEVWGEEFEKLYASYEKQGRVRKVVKAQQLWYAIIE
+SQTETGTPYMLYKDSCNRKSNQQNLGTIKCSNLCTEIVEYTSKDEVAVCNLASLALNMYV
+TSEHTYDFKKLAEVTKVVVRNLNKIIDINYYPVPEACLSNKRHRPIGIGVQGLADAFILM
+RYPFESAEAQLLNKQIFETIYYGALEASCDLAKEQGPYETYEGSPVSKGILQYDMWNVTP
+TDLWDWKVLKEKIAKYGIRNSLLIAPMPTASTAQILGNNESIEPYTSNIYTRRVLSGEFQ
+IVNPHLLKDLTERGLWHEEMKNQIIACNGSIQSIPEIPDDLKQLYKTVWEISQKTVLKMA
+AERGAFIDQSQSLNIHIAEPNYGKLTSMHFYGWKQGLKTGMYY
+>Q7LG56_PF00268_41 <unknown description>
+RFVIFPIQYPDIWKMYKQAQASFWTAEEVDLSKDLPHWNKLKADEKYFISHILAFFAASD
+GIVNENLVERFSQEVQVPEARCFYGFQILIENVHSEMYSLLIDTYIRDPKKREFLFNAIE
+TMPYVKKKADWALRWIADRKSTFGERVVAFAAVEGVFFSGSFAAIFWLKKRGLMPGLTFS
+NELISRDEGLHCDFACLMFQYLVNKPSEERVREIIVDAVKIEQEFLTEALPVGLIGMNCI
+LMKQYIEFVADRLLVELGFSKVFQAENP
+>P31350_PF00268_79 <unknown description>
+RFVIFPIEYHDIWQMYKKAEASFWTAEEVDLSKDIQHWESLKPEERYFISHVLAFFAASD
+GIVNENLVERFSQEVQITEARCFYGFQIAMENIHSEMYSLLIDTYIKDPKEREFLFNAIE
+TMPCVKKKADWALRWIGDKEATYGERVVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFS
+NELISRDEGLHCDFACLMFKHLVHKPSEERVREIIINAVRIEQEFLTEALPVKLIGMNCT
+LMKQYIEFVADRLMLELGFSKVFRVENP
+>Q9HB40_PF00450_35 <unknown description>
+EGKEVWDYVTVRKDAYMFWWLYYATNSCKNFSELPLVMWLQGGPGGSSTGFGNFEEIGPL
+DSDLKPRKTTWLQAASLLFVDNPVGTGFSYVNGSGAYAKDLAMVASDMMVLLKTFFSCHK
+EFQTVPFYIFSESYGGKMAAGIGLELYKAIQRGTIKCNFAGVALGDSWISPVDSVLSWGP
+YLYSMSLLEDKGLAEVSKVAEQVLNAVNKGLYREATELWGKAEMIIEQNTDGVNFYNILT
+KSTPTSTMESSLEFTQSHLVCLCQRHVRHLQRDALSQLMNGPIRKKLKIIPEDQSWGGQA
+TNVFVNMEEDFMKPVISIVDELLEAGINVTVYNGQLDLIVDTMGQEAWVRKLKWPELPKF
+SQLKWKALYSDPKSLETSAFVKSYKNLAFYWILKAGHMVPSDQGDMALKMMRLV
+>Q92963_PF00071_23 <unknown description>
+KLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKIRIRIDDEPANLDILDTAGQAEF
+TAMRDQYMRAGEGFIICYSITDRRSFHEVREFKQLIYRVRRTDDTPVVLVGNKSDLKQLR
+QVTKEEGLALAREFSCPFFETSAAYRYYIDDVFHALVREIR
+>Q99578_PF00071_22 <unknown description>
+KVVMLGAGGVGKSAMTMQFISHQFPDYHDPTIEDAYKTQVRIDNEPAYLDILDTAGQAEF
+TAMREQYMRGGEGFIICYSVTDRQSFQEAAKFKELIFQVRHTYEIPLVLVGNKIDLEQFR
+QVSTEEGLSLAQEYNCGFFETSAALRFCIDDAFHGLVREIR
+>Q96K30_PF17066_2 <unknown description>
+KTPVELAVSGMQTLGLQHRCRGGYRVKARTSYVDETLFGSPAGTRPTPPDFDPPWVEKAN
+RTRGVGKEASKALGAKGSCETTPSRGSTPTLTPRKKNKYRPISHTPSYCDESLFGSRSEG
+ASFGAPRMAKGDAAKLRALLWTPPPTPRGSHSPRPREAPLRAIHPAGPSKTEPGPAADSQ
+KLSMGGLHSSRPLKRGLSHSLTHLNVPSTGHPATSAPHTNGPQDLRPSTSGVTFRSPLVT
+SRARSVSISVPSTPRRGGATQKPKPPWK
+>P62906_PF00687_25 <unknown description>
+RRKFLETVELQISLKNYDPQKDKRFSGTVRLKSTPRPKFSVCVLGDQQHCDEAKAVDIPH
+MDIEALKKLNKNKKLVKKLAKKYDAFLASESLIKQIPRILGPGLNKAGKFPSLLTHNENM
+VAKVDEVKSTIKFQMKKVLCLAVAVGHVKMTDDELVYNIHLAVNFLVSLLKKNWQNVRAL
+YIKSTMG
+>Q96L21_PF00252_12 <unknown description>
+CKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLGGHMVSDEYEQLSSEALEAARIC
+ANKYMVKSCGRDGFHMRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFGKPQGTVARVHI
+GQVIMSIRTKLQNEEHVIEALRRAKFKFPGRQKI
+>P27635_PF00252_12 <unknown description>
+CKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQLSSEALEAARIC
+ANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFGKPQGTVARVHI
+GQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKI
+>P62913_PF00281_10 <unknown description>
+NPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSFGIR
+>P62913_PF00673_67 <unknown description>
+KIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGIKYDPSIGIY
+GLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRW
+>P30050_PF03946_13 <unknown description>
+VYLRCTGGEVGATSALAPKIGPLGLSPKKVGDDIAKATGDWKGLRITVKLTIQNRQA
+>P30050_PF00298_74 <unknown description>
+VPSASALIIKALKEPPRDRKKQKNIKHSGNITFDEIVNIARQMRHRSLARELSGTIKEIL
+GTAQSVGCNV
+>P40429_PF00572_6 <unknown description>
+VLVLDGRGHLLGRLAAIVAKQVLLGRKVVVVRCEGINISGNFYRNKLKYLAFLRKRMNTN
+PSRGPYHFRAPSRIFWRTVRGMLPHKTKRGQAALDRLKVFDGIPP
+>P26373_PF01294_9 <unknown description>
+VLKPHFHKDWQRRVATWFNQPARKIRRRKARQAKARRIAPRPASGPIRPIVRCPTVRYHT
+KVRAGRGFSLEELRVAGIHKKVARTIGISVDPRRRNKSTESLQANVQRLKEYRSKLILFP
+RKPSAPKKGDSSAEELKLATQLTGPVMPVRNVYKKEKARVITEEEKNFKAFASLRMARA
+>P50914_PF01929_47 <unknown description>
+RQAMPFKCMQLTDFILKFPHSAHQKYVRQAWQKADINTKWAATRWAKKIEARERKAKMTD
+FDRFKVMKAKKMRN
+>P61313_PF00827_2 <unknown description>
+GAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYVI
+YRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYWV
+GEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKFH
+HTIGGSRRA
+>P18621_PF00237_18 <unknown description>
+RGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLQKQCVPFRRYNGGVGRCAQAKQWG
+WTQGRWPKKSAEFLLHMLKNAESNAELKGLDVDSLVIEHIQVNKAPKMRRRTYRAHGRIN
+PYMSSPCHIEMILT
+>Q02543_PF01775_7 <unknown description>
+LREYKVVGRCLPTPKCHTPPLYRMRIFAPNHVVAKSRFWYFVSQLKKMKKSSGEIVYCGQ
+VFEKSPLRVKNFGIWLRYDSRSGTHNMYREYRDLTTAGAVTQCYRDMGARHRARAHSIQI
+MKVE
+>Q07020_PF17135_2 <unknown description>
+GVDIRHNKDRKVRRKEPKSQDIYLRLLVKLYRFLARRTNSTFNQVVLKRLFMSRTNRPPL
+SLSRMIRKMKLPGRENKTAVVVGTITDDVRVQEVPKLKVCALRVTSRARSRILRAGGKIL
+TFDQLALDSPKGCGTVLLSGPRKGREVYRHFGKAPGTPHSHTKPYVRSKGRKFERARGRR
+ASRGYKN
+>P84098_PF01280_4 <unknown description>
+LRLQKRLASSVLRCGKKKVWLDPNETNEIANANSRQQIRKLIKDGLIIRKPVTVHSRARC
+RKNTLARRKGRHMGIGKRKGTANARMPEKVTWMRRMRILRRLLRRYRESKKIDRHMYHSL
+YLKVKGNVFKNKRILMEHIHKL
+>O76021_PF00687_43 <unknown description>
+LLTHCKSRKNNYGLLLNENESLFLMVVLWKIPSKELRVRLTLPHSIRSDSEDICLFTKDE
+PNSTPEKTEQFYRKLLNKHGIKTVSQIISLQTLKKEYKSYEAKLRLLSSFDFFLTDARIR
+RLLPSLIGRHFYQRKKVPVSVNLLSKNLSREINDCIGGTVLNISKSGSCSAIRIGHVGMQ
+IEHIIENIVAVTKGLSEKLPEKWESVKLLFVKTEKS
+>P46778_PF01157_1 <unknown description>
+MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYKKGDIVDIKGMGTVQKGMPHKCYHGK
+TGRVYNVTQHAVGIVVNKQVKGKILAKRINVRIEHIKHSKS
+>Q6P5R6_PF01776_12 <unknown description>
+STWRFNLDLTHPVEDGIFDSGNFEQFLREKVKVNGKTGNLGNVVHIERFKNKITVVSEKQ
+FSKRYLKYLTKKYLKKNNLRDWLRVVASDKETYELRYFQISQDEDE
+>P35268_PF01776_16 <unknown description>
+KQVLKFTLDCTHPVEDGIMDAANFEQFLQERIKVNGKAGNLGGGVVTIERSKSKITVTSE
+VPFSKRYLKYLTKKYLKKNNLRDWLRVVANSKESYELRYFQINQDEEEE
+>P62750_PF03939_17 <unknown description>
+AKAKALKAKKAVLKGVHSHKKKKIRTSPTFRRPKTLRLRRQPKYPRKSAPR
+>P62750_PF00276_76 <unknown description>
+IIKFPLTTESAMKKIEDNNTLVFIVDVKANKHQIKQAVKKLYDIDVAKVNTLIRPDGEKK
+A
+>P62829_PF00238_22 <unknown description>
+VGAVINCADNTGAKNLYIISVKGIKGRLNRLPAAGVGDMVMATVKKGKPELRKKVHPAVV
+IRQRKSYRRKDGVFLYFEDNAGVIVNNKGEMKGSAITGPVAKECADLWPRIASNAGS
+>P83731_PF01246_1 <unknown description>
+MKVELCSFSGYKIYPGHGRRYARTDGKVFQFLNAKCESAFLSKRNPRQINWTVLYRRKHK
+KGQSEE
+>Q9UNX3_PF16906_8 <unknown description>
+TSDRSKNRKRHFNAPSHVRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRGHYKGQQI
+GKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILERK
+>Q9UNX3_PF00467_51 <unknown description>
+KDDEVQVVRGHYKGQQIGKVVQVYRKKYVIYIE
+>P61254_PF16906_8 <unknown description>
+TSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRGHYKGQQI
+GKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILERK
+>P61254_PF00467_51 <unknown description>
+KDDEVQVVRGHYKGQQIGKVVQVYRKKYVIYIE
+>P46776_PF00828_28 <unknown description>
+HPGGRGNAGGLHHHRINFDKYHPGYFGKVGMKHYHLKRNQSFCPTVNLDKLWTLVSEQTR
+VNAAKNKTGAAPIIDVVRSGYYKVLGKGKLPKQPVIVKAKFFSRRAEEKIKSVGGACV
+>P61353_PF00467_7 <unknown description>
+PGKVVLVLAGRYSGRKAVIVKNIDDGTSDR
+>P61353_PF01777_52 <unknown description>
+KVTAAMGKKKIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKAR
+REAKVKFEERYKTGKNKWFFQKLRF
+>P46779_PF01778_5 <unknown description>
+LQWMVVRNCSSFLIKRNKQTYSTEPNNLKARNSFRYNGLIHRKTVGVEPAADGKGVVVVI
+KRRSGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIRRASAILRS
+>P47914_PF01779_3 <unknown description>
+KSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRN
+>P62888_PF01248_13 <unknown description>
+SINSRLQLVMKSGKYVLGYKQTLKMIRQGKAKLVILANNCPALRKSEIEYYAMLAKTGVH
+HYSGNNIELGTACGKYYRVCTLAIIDPGDSDII
+>P62899_PF01198_19 <unknown description>
+EVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTPDVRIDTRLNKAVWAKGIR
+NVPYRIRVRLSRKRNEDEDSPN
+>P62910_PF01655_17 <unknown description>
+TKKFIRHQSDRYVKIKRNWRKPRGIDNRVRRRFKGQILMPNIGYGSNKKTKHMLPSGFRK
+FLVHNVKELEVLLMCNKSYCAEIAHNVSSKNRKAIVERAAQLAIRVTN
+>P49207_PF01199_1 <unknown description>
+MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR
+PKVLMRLSKTKKHVSRAYGGSMCAKCVRDRIKRAFL
+>P18077_PF01247_5 <unknown description>
+LWSKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTPGGKP
+NKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVM
+>P42766_PF00831_8 <unknown description>
+DLRGKKKEELLKQLDDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLTVINQ
+>P83881_PF00935_17 <unknown description>
+KHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKAKTTKKIVLRLECVEPN
+CRSKRMLAIKRCKHFELG
+>Q969Q0_PF00935_17 <unknown description>
+KHQPHKVTQYKKGKDSLYAQGRRRYDRKQSGYGGQTKPIFRKKAKTTKKIVLRLECVEPN
+CRSKRMLAIKRCKHFELG
+>Q9Y3U8_PF01158_4 <unknown description>
+RYPMAVGLNKGHKVTKNVSKPRHSRRRGRLTKHTKFVRDMIREVCGFAPYERRAMELLKV
+SKDKRALKFIKKRVGTHIRAKRKREELSNVLAAMRK
+>P61513_PF01780_4 <unknown description>
+RTKKVGIVGKYGTRYGASLRKMVKKIEISQHAKYTCSFCGKTKMKRRAVGIWHCGSCMKT
+VAGGAWTYNTTSAVTVKSAIRRLKE
+>P61927_PF01907_2 <unknown description>
+TKGTSSFGKRRNKTHTLCRRCGSKAYHLQKSTCGKCGYPAKRKRKYNWSAKAK
+>P63173_PF01781_2 <unknown description>
+PRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSLP
+PGLAVKEL
+>Q96EH5_PF00832_9 <unknown description>
+IKRFLAKKQKQNRPIPQWIQMKPGSKIRYNSKRRHWRRTKL
+>P62891_PF00832_9 <unknown description>
+IKRFLAKKQKQNRPIPQWIRMKTGNKIRYNSKRRHWRRTKL
+>Q92901_PF00297_1 <unknown description>
+MSHRKFSAPRHGHLGFLPHKRSHRHRGKVKTWPRDDPSQPVHLTAFLGYKAGMTHTLREV
+HRPGLKISKREEVEAVTIVETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSDECRRRFYK
+DWHKSKKKAFTKACKRWRDTDGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLPFRQKKAHI
+MEIQLNGGTVAEKVAWAQARLEKQVPVHSVFSQSEVIDVIAVTKGRGVKGVTSRWHTKKL
+PRKTHKGLRKVACIGAWHPARVGCSIARAGQKGYHHRTELNKKIFRIGRGPHMEDGKLVK
+NNASTSYDVTAKSITPLGGFPHYGEVNNDFVMLKGCIAGTKKRVITLRKSLLVHHSRQAV
+ENIELKFIDTTSKFG
+>Q9NSD7_PF00001_98 <unknown description>
+GNLLVLYLMKSMQGWRKSSINLFVTNLALTDFQFVLTLPFWAVENALDFKWPFGKAMCKI
+VSMVTSMNMYASVFFLTAMSVTRYHSVASALKSHRTRGHGRGDCCGRSLGDSCCFSAKAL
+CVWIWALAALASLPSAIFSTTVKVMGEELCLVRFPDKLLGRDRQFWLGLYHSQKVLLGFV
+LPLGIIILCYLLLVRFIADRRAAGTKGGAAVAGGRPTGASARRLSKVTKSVTIVVLSFFL
+CWLPNQALTTWSILIKFNAVPFSQEYFLCQVYAFPVSVCLAHSNSCLNPVLY
+>Q8TDU9_PF00001_56 <unknown description>
+GNLAVLWVLSNCARRAPGPPSDTFVFNLALADLGLALTLPFWAAESALDFHWPFGGALCK
+MVLTATVLNVYASIFLITALSVARYWVVAMAAGPGTHLSLFWARIATLAVWAAAALVTVP
+TAVFGVEGEVCGVRLCLLRFPSRYWLGAYQLQRVVLAFMVPLGVITTSYLLLLAFLQRRQ
+RRRQDSRVVARSVRILVASFFLCWFPNHVVTLWGVLVKFDLVPWNSTFYTIQTYVFPVTT
+CLAHSNSCLNPVLY
+>P39023_PF00297_1 <unknown description>
+MSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPVHLTAFLGYKAGMTHIVREV
+DRPGSKVNKKEVVEAVTIVETPPMVVVGIVGYVETPRGLRTFKTVFAEHISDECKRRFYK
+NWHKSKKKAFTKYCKKWQDEDGKKQLEKDFSSMKKYCQVIRVIAHTQMRLLPLRQKKAHL
+MEIQVNGGTVAEKLDWARERLEQQVPVNQVFGQDEMIDVIGVTKGKGYKGVTSRWHTKKL
+PRKTHRGLRKVACIGAWHPARVAFSVARAGQKGYHHRTEINKKIYKIGQGYLIKDGKLIK
+NNASTDYDLSDKSINPLGGFVHYGEVTNDFVMLKGCVVGTKKRVLTLRKSLLVQTKRRAL
+EKIDLKFIDTTSKFG
+>P62987_PF00240_3 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P62987_PF01020_78 <unknown description>
+IEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNNLRPKKKV
+>P62945_PF05162_1 <unknown description>
+MRAKWRKKRMRRLKRKRRKMRQRSK
+>P36578_PF00573_23 <unknown description>
+TLPAVFKAPIRPDIVNFVHTNLRKNNRQPYAVSELAGHQTSAESWGTGRAVARIPRVRGG
+GTHRSGQGAFGNMCRGGRMFAPTKTWRRWHRRVNTTQKRYAICSALAASALPALVMSKGH
+RIEEVPELPLVVEDKVEGYKKTKEAVLLLKKLKAWNDIKKVYASQRMRAGKGKMRNRRRI
+QRRGPCIIYNEDNGIIKAFRNIPGITLLNVSKLNILKLAPGGHVGRFCIWTESAFRKLDE
+>P36578_PF14374_275 <unknown description>
+SNYNLPMHKMINTDLSRILKSPEIQRALRAPRKKIHRRVLKKNPLKNLRIMLKLNPYAKT
+MRRNTILRQARNH
+>P46777_PF17144_14 <unknown description>
+KRYQVKFRRRREGKTDYYARKRLVIQDKNKYNTPKYRMIVRVTNRDIICQIAYARIEGDM
+IVCAAYAHELPKYGVKVGLTNYAAAYCTGLLLARRLLNRFGMDKIYEGQVEVTGDEYNVE
+SIDGQPGAFTCYLDAGLARTTTGNKVFGALKGAVDGGLSIPHS
+>P46777_PF14204_236 <unknown description>
+MEEMYKKAHAAIRENPVYEKKPKKEVKKKRWNRPKMSLAQKKDRVAQKKASFLR
+>Q02878_PF03868_36 <unknown description>
+KPKKGKPHCSRNPVLVRGIGRYSRSAMYSRKAMYKRKYSAAKSKVEKKKKEKVLATVTKP
+>Q02878_PF01159_181 <unknown description>
+LNRVPLRRTHQKFVIATSTKIDISNVKIPKHLTDAYFKKKKLRKPRHQEGEIFDTEKEKY
+EITEQRKIDQKAVDSQILPKIKAIPQLQGYLRSVFALTNGIYPHKLVF
+>P62424_PF01248_131 <unknown description>
+KRPPVLRAGVNTVTTLVENKKAQLVVIAHDVDPIELVVFLPALCRKMGVPYCIIKGKARL
+GRLVHRKTCTTVAFTQVNSEDK
+>Q6DKI1_PF08079_21 <unknown description>
+VPENLLKKRKAYQALKATQAKQALLAKKEQKKGKGLRFKRLESFLHDSWRQKRDKVRLRR
+LEVKPHALELP
+>Q6DKI1_PF00327_97 <unknown description>
+AFVVRIERIDGVSLLVQRTIARLRLKKIFSGVFVKVTPQNLKMLRIVEPYV
+>P18124_PF08079_14 <unknown description>
+VPETLKKKRRNFAELKIKRLRKKFAQKMLRKARRKLIYEKAKHYHKEYRQMYRTEIRMAR
+MARKAGNFYVPA
+>P18124_PF00327_90 <unknown description>
+AFVIRIRGINGVSPKVRKVLQLLRLRQIFNGTFVKLNKASINMLRIVEPYI
+>P62917_PF00181_13 <unknown description>
+GSVFRAHVKHRKGAARLRAVDFAERHGYIKGIVKDIIHDPGRGAPLAKVVFRDPYRFKKR
+TELFIAAEGIHTGQFVYC
+>P62917_PF03947_98 <unknown description>
+IGNVLPVGTMPEGTIVCCLEEKPGDRGKLARASGNYATVISHNPETKKTRVKLPSGSKKV
+ISSANRAVVGVVAGGGRIDKPILKAGRAYHKYKAKRNCWPRVRGVAMNPVEHPFGGGNHQ
+HIGKPST
+>P32969_PF00347_12 <unknown description>
+IPENVDITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKWWGNRKELATVR
+TICSHVQNMIKGVT
+>P32969_PF00347_99 <unknown description>
+FPINVVIQENGSLVEIRNFLGEKYIRRVRMRPGVACSVSQAQKDELILEGNDIELVSNSA
+ALIQQATTVKNKDIRKFLDG
+>P05388_PF00466_8 <unknown description>
+TWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTMMRKAIRG
+HLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLA
+>P05388_PF17777_111 <unknown description>
+ARAGAIAPCEVTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQLIKTGDKVGAS
+EATLLNMLNI
+>P05388_PF00428_231 <unknown description>
+YPTVASVPHSIINGYKRVLALSVETDYTFPLAEKVKAFLADPSAFVAAAPVAAATTAAPA
+AAAAPAKVEAKEESEESDEDMGFGLF
+>P05386_PF00428_23 <unknown description>
+VTEDKINALIKAAGVNVEPFWPGLFAKALANVNIGSLICNVGAGGPAPAAGAAPAGGPAP
+STAAAPAEEKKVEAKKEESEESDDDMGFGLF
+>P05387_PF00428_17 <unknown description>
+SPSAKDIKKILDSVGIEADDDRLNKVISELNGKNIEDVIAQGIGKLASVPAGGAVAVSAA
+PGSAAPAAGSAPAAAEEKKDEKKEESEESDDDMGFGLF
+>P12271_PF03765_65 <unknown description>
+ELQEMVQAQAASGEELAVAVAERVQEKDSGFFLRFIRARKFNVGRAYELL
+>P12271_PF00650_143 <unknown description>
+GYPGVLSSRDKYGRVVMLFNIENWQSQEITFDEILQAYCFILEKLLENEETQINGFCIIE
+NFKGFTMQQAASLRTSDLRKMVDMLQDSFPARFKAIHFIHQPWYFTTTYNVVKPFLKSKL
+LERVFVHGDDLSGFYQEIDENILPSDFGG
+>Q13129_PF00096_1172 <unknown description>
+FQCHICQRSFTRKTHLRIHYKNKH
+>Q9UHA3_PF01246_1 <unknown description>
+MRIEKCYFCSGPIYPGHGMMFVRNDCKVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAAG
+KELTV
+>Q9BYD6_PF00687_118 <unknown description>
+KQSVYLDLTLDMALGKKKNVEPFTSVLSLPYPFASEINKVAVFTENASEVKIAEENGAAF
+AGGTSLIQKIWDDEIVADFYVAVPEIMPELNRLRKKLNKKYPKLSRNSIGRDIPKMLELF
+KNGHEIKVDEERENFLQTKIATLDMSSDQIAANLQAVINEVCRHRPLNLGPFVVRAFLRS
+ST
+>Q5T653_PF00181_84 <unknown description>
+GRDHTGRIRVHGIGGGHKQRYRMIDFLRFRPEETKSGPFEEKVIQVRYDPCRSADIALVA
+GGSRKRWIIATENMQAGDTIL
+>Q5T653_PF03947_178 <unknown description>
+EGDAHPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVL
+ETCVATVGRVSNVDHNKRVIGKAGRNRWLGKRPNSGRWHRKGGW
+>P09001_PF00297_115 <unknown description>
+QKHVVTLLQVQDCHVLKYTSKENCNGKMATLSVGGKTVSRFRKATSILEFYRELGLPPKQ
+TVKIFNITDNAAIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPATHGQT
+KTHRRPGAVATGDIGRVWPGTKMPGKMGNIYRTEYGLKVWRINTKHNIIYVNGSVPGHKN
+CLVKVKDSKLPAYKDLGKNLPFPTYFPDGDEEELPEDLYDENVCQP
+>Q9BYD3_PF00573_81 <unknown description>
+DLHPDVFATAPRLDILHQVAMWQKNFKRISYAKTKTRAEVRGGGRKPWPQKGTGRARHGS
+IRSPLWRGGGVAHGPRGPTSYYYMLPMKVRALGLKVALTVKLAQDDLHIMDSLELPTGDP
+QYLTELAHYRRWGDSVLLVDLTHEEMPQSIVEATSRLKTFNLIPAVGLNVHSMLKHQTLV
+LTLPTVAFLED
+>Q9BYD2_PF01281_95 <unknown description>
+ELILTQSVENVGVRGDLVSVKKSLGRNRLLPQGLAVYASPENKKL
+>Q7Z7H8_PF00466_84 <unknown description>
+REIAAVFQDNRMIAVCQNVALSAEDKLLMRHQLRKHKILMKVFPNQVLKPFLEDSKYQNL
+LPLFVGHNMLLVSEEPKVK
+>Q9Y3B7_PF03946_20 <unknown description>
+IRAIVRAGLAMPGPPLGPVLGQRGVSINQFCKEFNERTKDIKEGIPLPTKILVKPDRTF
+>Q9Y3B7_PF00298_84 <unknown description>
+QPTVSYFLKAAAGIEKGARQTGKEVAGLVTLKHVYEIARIKAQDEAFALQDVPLSSVVRS
+IIGSARSLGIRV
+>P52815_PF16320_63 <unknown description>
+KIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLVPMGGVMSGAVPAAAA
+>P52815_PF00542_130 <unknown description>
+FTVRLTEAKPVDKVKLIKEIKNYIQGINLVQAKKLVESLPQEIKANVAKAEAEKIKAALE
+AVGGTVVL
+>Q9BYD1_PF00572_17 <unknown description>
+IWYLLDGKMQPPGKLAAMASIRLQGLHKPVYHALSDCGDHVVIMNTRHIAFSGNKWEQKV
+YSSHTGYPGGFRQVTAAQLHLRDPVAIVKLAIYGMLPKNLHRRTMMERLHLFPDE
+>Q6P1L8_PF00238_32 <unknown description>
+IQKMTRVRVVDNSALGNSPYHRAPRCIHVYKKNGVGKVGDQILLAIKGQKKKALIVGHCM
+PGPRMTPRFDSNNVVLIEDNGNPVGTRIKTPIPTSLRKREGEYSKVLAIAQNFV
+>Q9P015_PF00828_45 <unknown description>
+RGRKCGRGHKGERQRGTRPRLGFEGGQTPFYIRIPKYGFNEGHSFRRQYKPLSLNRLQYL
+IDLGRVDPSQPIDLTQLVNGRGVTIQPLKRDYGVQLVEEGADTFTAKVNIEVQLASELAI
+AAIEKNGGVVT
+>Q9NX20_PF00252_61 <unknown description>
+KVRREPKNLSDIRGPSTEATEFTEGNFAILALGGGYLHWGHFEMMRLTINRSMDPKNMFA
+IWRVPAPFKPITRKSVGHRMGGGKGAIDHYVTPVKAGRLVVEMGGRCEFEEVQGFLDQVA
+HKLPFAAKAV
+>Q9NRX2_PF01196_28 <unknown description>
+LLRNLLTGLVRHERIEAPWARVDEMRGYAEKLIDYGKLGDTNERAMRMADFWLTEKDLIP
+KLFQVLAPRYKDQTGGYTRMLQIPNRSLDRAKMAVIEY
+>Q9H0U6_PF00861_74 <unknown description>
+SREFWHRLRVIRTQHHVEALVEHQNGKVVVSASTREWAIKKHLYSTRNVVACESIGRVLA
+QRCLEAGINFMVYQ
+>P49406_PF01245_106 <unknown description>
+LHIPEFYVGSILRVTTADPYASGKISQFLGICIQRSGRGLGATFILRNVIEGQGVEICFE
+LYNPRVQEIQVVKLEKRLDDSLLYLRDA
+>Q9BYC9_PF00453_15 <unknown description>
+TDRYFRIQEVLKHARHFRGRKNRCYRLAVRTVIRAFVKCTKARYLKKKNMRTLWINRITA
+ASQEHGLKYPALIGNLVKCQVELNRKVLADLAIYEPKTFKSL
+>Q7Z2W9_PF00829_96 <unknown description>
+FAVVHFASRQWKVTSEDLILIGNELDLACGERIRLEKVLLVGADNFTLLGKPLLGKDLVR
+VEATVIEKTESWPRIIMRFRKRKNFKKKRIVTTPQTVLRINSI
+>Q9NWU5_PF00237_71 <unknown description>
+RRQIKYSKDKMWYLAKLIRGMSIDQALAQLEFNDKKGAKIIKEVLLEAQDMAVRDHNVEF
+RSNLYIAESTSGRGQCLKRIRYHGRGRFGIMEKVYCHYFVKL
+>Q16540_PF00276_38 <unknown description>
+DTVQFRIPMEMTRVDLRNYLEGIYNVPVAAVRTRVQHGSNKRRDHRNVRIKKPDYKVAYV
+QLAHGQTFTF
+>Q96A35_PF00467_59 <unknown description>
+GDTVEILEGKDAGKQGKVVQVIRQRNWVVV
+>Q96A35_PF17136_92 <unknown description>
+NTHYRYIGKTMDYRGTMIPSEAPLLHRQVKLVDPMDRKPTEIEWRFTEAGERVRVSTRSG
+RII
+>Q9P0M9_PF01016_31 <unknown description>
+ASKKSGGSSKNLGGKSSGRRQGIKKMEGHYVHAGNIIATQRHFRWHPGAHVGVGKNKCLY
+ALEEGIVRYTK
+>Q13084_PF00830_81 <unknown description>
+GGEGWILGQIYANNDKLSKRLKKVWKPQLFEREFYSEILDKKFTVTVTMRTLDLID
+>Q8TCC3_PF00327_68 <unknown description>
+IVTRIKSTRRRPYWEKDIIKMLGLEKAHTPQVHKNIPSVNAKLKVVKHLI
+>Q9BYC8_PF01783_79 <unknown description>
+AAPKNRRTIEVNRCRRRNPQKLIKVKNNIDVCPECGHLKQKHVLCAYCYEKV
+>O75394_PF00471_17 <unknown description>
+LVRMVSEAGTGFCFNTKRNRLREKLTLLHYDPVVKQRVLFVEKK
+>Q9BQ48_PF00468_50 <unknown description>
+GNEYQPSNIKRKNKHGWVRRLSTPAGVQVILRRMLKGRKSLSH
+>Q9NZE8_PF01632_102 <unknown description>
+GKRKTVKAVIDRFLRLHCGLWVRRKAGYKKKLWKKTPARKKRLREFVFCNKTQSKLLDKM
+>Q9P0J6_PF00444_66 <unknown description>
+FKNKTVLKKRCKDCYLVKRRGRWYVYCKTHPRHKQRQM
+>Q9BZE1_PF07147_304 <unknown description>
+LQPDQLRAKMILFAFGSALAQARLLYGNDAKVLEQPVVVQSVGTDGRVFHFLVFQLNTTD
+LDCNEGVKNLAWVDSDQLLYQH
+>Q96DV4_PF01161_200 <unknown description>
+QAPEVTYEAEEGSLWTLLLTSLDGHLLEPDAEYLHWLLTNIPGNRVAEGQVTCPYLPPFP
+ARGSGIHRLAFLLFKQDQPI
+>Q9NQ50_PF09812_45 <unknown description>
+MRSEPLRKKKKVDPKKDQEAKERLKRKIRKLEKATQELIPIEDFITPLKFLDKARERPQV
+ELTFEETERRALLLKKWSLYKQQERKMERDTIRAMLEAQQEALEELQLESPKLHAEAIKR
+DPNLFPFEKEGPHYTPPIPNYQPPEGRYNDITKVY
+>Q8IXM3_PF09809_13 <unknown description>
+RGADRMSKWTSKRGPRSFRGRKGRGAKGIGFLTSGWRFVQIKEMVPEFVVPDLTGFKLKP
+YVSYLAPESEETPLTAAQLFSEAVAPAIEKDFKDGTFDPDNLEKYGFEPTQEGKL
+>Q9Y6G3_PF10210_47 <unknown description>
+VELALTSDGRTIVCYHPSVDIPYEHTKPIPRPDPVHNNEETHDQVLKTRLEEKVEHLEEG
+PMIEQLSKMFFTTKHRWYPHGRYHRCRKNLNPPKDR
+>Q8N983_PF05047_43 <unknown description>
+AREFVEREVIDFARRNPGVVIYVNSRPCCVPRVVAEYLNGAVREESIHCK
+>Q9H2W6_PF11788_45 <unknown description>
+WRLLGALCLQRPPVVSKPLTPLQEEMASLLQQIEIERSLYSDHELRALDENQRLAKKKAD
+LHDEEDEQDILLAQDLEDMWEQKFLQFKLGARITEAD
+>Q9HD33_PF06984_64 <unknown description>
+LEEFFDDPKNWGQEKVKSGAAWTCQQLRNKSNEDLHKLWYVLLKERNMLLTLEQEAKRQR
+LPMPSPERLDKVVDSMDALDKVVQER
+>Q96GC5_PF00338_92 <unknown description>
+NIHLTAYDMTLAESYAQYVHNLCNSLSIKVEESYAMPTKTIEVLQLQDQGSKMLLDSVLT
+THERVVQISGLSATFAEIFLEIIQSSLPEGVRLSVK
+>Q13405_PF05046_82 <unknown description>
+LPYFVRRSRMHNIPVYKDITHGNRQMTVIRKVEGDIWALQKDVEDFLSPLLGKTPVTQVN
+EVTGTLRIKGYFDQELKAWLLEKGF
+>Q8N5N7_PF10501_60 <unknown description>
+YTPPEDLQSRLESYVKEVFGSSLPSNWQDISLEDSRLKFNLLAHLADDLGHVVPNSRLHQ
+MCRVRDVLDFYNVPIQDRSK
+>Q4U2R6_PF10244_39 <unknown description>
+PPPKVVDRWNEKRAMFGVYDNIGILGNFEKHPKELIRGPIWLRGWKGNELQRCIRKRKMV
+GSRMFADDLHNLNKRIRYLYKHFNRHGK
+>Q86TS9_PF18699_24 <unknown description>
+GQWRLQQGLAANPSGYGPLTELPDWSYADGRPAPPMKGQLRRKAERETFARRVVLLSQEM
+DAGLQAWQLRQQKLQEEQRKQENALKPKG
+>Q96EL3_PF10780_20 <unknown description>
+FCPFEKNVESTRTFLQTVSSEKVRSTNLNCSVIADVRHDGSEPCVDVLFGDG
+>Q6P161_PF08561_68 <unknown description>
+LTTYAMGVNIYKEGQDVPLKPDAEYPEWLFEM
+>Q7Z7F7_PF09776_11 <unknown description>
+LRQSTVKATGPALRRLHTSSWRADSSRASLTRVHRQAYARLYPVLLVKQDGSTIHIRYRE
+PRRMLAMPIDLDTLSPEERRARLRKREAQLQSRKEYEQELSDDLHVERYRQFWTR
+>Q96DM3_PF07035_476 <unknown description>
+HKFVIAVLMEYIRSLNQFQIAVQHYLHELVIKTLVQHNLFYMLHQFLQYHVLSDSKPLAC
+LLLSLESFYPPAHQLSLDMLKRLSTANDEIVEVLLSKHQVLAALRFIRGIGGHDNISARK
+FLDAAKQTEDNMLFYTIFRFFEQRNQRLRGSPNFT
+>Q9H871_PF10607_154 <unknown description>
+FVELNRILEALKVRVLRPALEWAVSNREMLIAQNSSLEFKLHRLYFISLLMGGTTNQREA
+LQYAKNFQPFALNHQKDIQVLMGSLVYLRQGIENSPYVHLLDANQWADICDIFTRDACAL
+LGLSVESPLSVSFSAGCVALPAL
+>Q9H871_PF13445_336 <unknown description>
+CPILRQQTTDNNPPMKLVCGHIISRDALNKMFNGSKLKCP
+>Q96G75_PF10607_156 <unknown description>
+FLELNRILEALHEQDLGPALEWAVSHRQRLLELNSSLEFKLHRLHFIRLLAGGPAKQLEA
+LSYARHFQPFARLHQREIQVMMGSLVYLRLGLEKSPYCHLLDSSHWAEICETFTRDACSL
+LGLSVESPLSVSFASGCVALPVL
+>Q9H9A7_PF08585_16 <unknown description>
+AAWHVKVPPMWLEACINWIQEENNNVNLSQAQMNKQVFEQWLLTDLRDLEHPLLPDGILE
+IPKGELNGFYALQINSLVDVSQPAYSQIQKLRGKNTTNDLVTAEAQVTPKPWEAKPSRML
+MLQLTDGIVQIQGMEYQPIPILHSDLPPGTKILIYGNISFRLGVLLLKPENVKVLGGEVD
+ALLEEYAQE
+>Q9H9A7_PF16099_488 <unknown description>
+SPPFVYLSVLMASKPKEVTTVKVKAFIVTLTGNLSSSGGIWSITAKVSDGTAYLDVDFVD
+EILTSLIGFSVPEMKQSKKDPLQYQKFLEGLQKCQRDLIDLCCLMTISFNPSLSKAMVLA
+LQDVNMEHLENLKKRL
+>Q96E14_PF16100_21 <unknown description>
+PPLKVLAEQLRRDAEGGPGAWRLSRAAAGRGPLDLAAVWMQGRVVMADRGEARLRDPSGD
+FSVRGLERVPRGRPCLVPGKYVMVMGVVQACSPEPCLQAVKMTDLSDNPIHESMWELEVE
+DLH
+>Q9NWS8_PF02582_226 <unknown description>
+IFFFREGAAVFWNVKDKTMKHVMKVLEKHEIQPYEIALVHWENEELNYIKIEGQSKLHRG
+EIKLNSELDLDDAILEKFAFSNALCLSVKLAIWEASLDKFIESIQSIPEALKAGKKVKLS
+HEEVMQKIGELFALRHRINLSSDFLITPDFYWDRENLEGLYDKTCQFLSIGRRVKVMN
+>O94763_PF02996_40 <unknown description>
+VVTNCQERIQHWKKVDNDYNALRERLSTLPDKLSYNIMVPFGPFAFMPGKLVHTNEVTVL
+LGDNWFAKCSAKQAVGLVEHRKEHVRKTIDDLKKVMKNFESRVEFTED
+>Q96E39_PF00076_10 <unknown description>
+LFIGGLNTETNEKALETVFGKYGRIVEVLLIKDRETNKSRGFAFVTFESPADAKDAARDM
+NGKSLDGKAIK
+>Q96E39_PF08081_173 <unknown description>
+SSSGMGGRAPLSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTK
+>O75526_PF00076_10 <unknown description>
+LFIGGLNLETDEKALEAEFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKAAARDM
+NGKSLDGKAIK
+>O75526_PF08081_173 <unknown description>
+SGGGMRGRALAVRGRDGYSGPPRREPLPPRRDPYLGPRDEGYSSR
+>Q8N7X1_PF00076_10 <unknown description>
+LFIGGLNLKTDEKALKAEFGKYGHIIKVFLMKDRKTNKSRGFAFVTFESPADAKAAARDM
+NGKYLDGKAI
+>O00237_PF13639_620 <unknown description>
+ECVVCLENFENGCLLMGLPCGHVFHQNCIVMWLAGGRHCCPVCR
+>Q6ZNA4_PF15303_18 <unknown description>
+MKSEIPSDAPKTQESLKGILLHPEPIGAAKSFPAGVEMINSKVGNEFSHLCDDSQKQEKE
+MNGNQQEQEKSLVVRKKRKSQQAGPSYVQNCVKENQGILGLRQHLGTPSDEDNDSSFSDC
+LSSPSSSLHFGDSDTVTSDEDKEVSVRHSQTILNAKSRSHSARSHKWPRTETESVSGLLM
+KRPCLHGSSLRRLPCRKRFVKNNSSQRTQKQKERILMQRKKREVLARRKYALLPSSSSSS
+ENDLSSESSSSSSTEGEEDLFVSASENHQNNPAVP
+>Q6ZNA4_PF13639_933 <unknown description>
+KCTICLSILEEGEDVRRLPCMHLFHQVCVDQWLITNKKCPICR
+>Q9ULX5_PF00097_57 <unknown description>
+CSICLERLRDPISLDCGHDFCIRCFSTHRLPGCEPPCCPEC
+>Q9ULX5_PF02263_163 <unknown description>
+LARDTPVCLLAVLGEQHSGKSFLLNHLLQGLPGLESGEGGRPRGGEASLQGCRWGANGLA
+RGIWMWSHPFLLGKEGKKVAVFLVDTGDAMSPELSRETRIKLCALTTMLSSYQILSTSQE
+LKDTDLDYLEMFVHVAEVMGKHYGMVPIQHLDLLVRDSSHPNKAGQG
+>Q9Y508_PF13445_29 <unknown description>
+CPVCLEVYEKPVQVPCGHVFCSACLQECLKPK
+>Q9Y508_PF18574_85 <unknown description>
+ESTETSCHGCRKNFFLSKIRSHVATCSKYQNYI
+>Q9Y508_PF05605_140 <unknown description>
+TFPCPYCPEKNFDQEGLVEHCKLFHSTDTKSVVCPICASMPWGDPNYRSANFREHIQRRH
+R
+>Q9Y4L5_PF13639_227 <unknown description>
+ECPVCKEDYTVEEEVRQLPCNHFFHSSCIVPWLELHDTCPVCR
+>Q9H9V4_PF13639_92 <unknown description>
+TCAVCLEDFKGKDELGVLPCQHAFHRKCLVKWLEVRCVCPMCN
+>Q5XPI4_PF00622_134 <unknown description>
+KWLYEVLISSQGLMQIGWCTISCRFNQEEGVGDTHNSYAYDGNRVRKWNVTTTNYGKAWA
+AGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLSFKESVAFNFGS
+>Q5XPI4_PF13920_1251 <unknown description>
+EDLCPICYAHPISAVFQPCGHKSCKACINQHLMNNKDCFFCKTTIVS
+>Q96EQ8_PF13923_37 <unknown description>
+CAVCLEVLHQPVRTRCGHVFCRSCIATSLKNNKWTCPYC
+>Q96EQ8_PF18574_94 <unknown description>
+KSEYKNCAECDTLVCLSEMRAHIRTCQKYIDKY
+>Q96EQ8_PF05605_140 <unknown description>
+VCPFCQRELYEDSLLDHCITHHRSERRPVFCPLCRLIPDENPSSFSGSLIRHLQVSH
+>Q9BV68_PF14369_10 <unknown description>
+RYFCHCCSVEIVPRLPDYICPRCESGFIEEL
+>Q9BV68_PF13639_228 <unknown description>
+ECPVCKDDYALGERVRQLPCNHLFHDGCIVPWLEQHDSCPVCR
+>Q8TEB7_PF02225_81 <unknown description>
+AGVLVPPDGPGALNACNPHTNFTVPTVWGSTVQVSWLALIQRGGGCTFADKIHLAYERGA
+SGAVIFNFPGTRNEVIPMSHPGAVDIVAIMIGNLKGTK
+>Q8TEB7_PF13639_275 <unknown description>
+DSCAVCIELYKPNDLVRILTCNHIFHKTCVDPWLLEHRTCPMCK
+>Q8WVZ7_PF02225_79 <unknown description>
+EGKIQNACNPNTIFSRSKYSETWLALIERGGCTFTQKIKVATEKGASGVIIYNVPGTGNQ
+VFPMFHQAFEDVVVVMIGNLKGT
+>Q8WVZ7_PF13639_254 <unknown description>
+DSCVICFERYKPNDIVRILTCKHFFHKNCIDPWILPHGTCPICK
+>Q8IUD6_PF15227_21 <unknown description>
+CIICQGLLDWPATLPCGHSFCRHCLEALWGARDARRWACPTC
+>Q8IUD6_PF00622_312 <unknown description>
+KHYWEVDTRNCSHWAVGVASWEMSRDQVLGRTMDSCCVEWKGTSQLSAWHMVKETVLGSD
+RPGVVGIWLNLEEGKLAFYSVDNQEKLLYECTISASSPLYPAFWLY
+>Q8WVD3_PF13923_17 <unknown description>
+YCPVCQEVLKTPVRTTACQHVFCRKCFLTAMRESGAHCPLC
+>Q8WVD3_PF18574_80 <unknown description>
+RKFSGSCRCCAKQIKFYRMRHHYKSCKKYQDEY
+>Q8WVD3_PF05605_156 <unknown description>
+TFKCPLCQESNFTRQRLLDHCNSNHLFQIVPVTCPICVSLPWGDPSQITRNFVSHLNQRH
+Q
+>Q8WU17_PF13705_20 <unknown description>
+AALEVALRVPCLYIIDAIFNSYPDSSQSRFCIVLQIFLRLFGVFASSIVLILSQRSLFKF
+YTYSSAFLLAATSVLVNYYASLHIDFYGAYNTSAFGIELLPRKGPSLWMALIVLQLTFGI
+GYVTLLQIHSIYSQLIILDLLVPVIGLITELPLHIRETLLFTSSLILTLNTVFVLAVKLK
+WFYYSTRYVYLLVRHMYRIYGLQLLMEDTWKRIRFPDILRVFWLTRVTAQATVLMYILRM
+ANETDSFFISWDDFWDLICNLIISGCDSTLTVLGMSAVISSVAHYLGLGILAFIGSTEED
+DRRLGFVAPVLFFILALQTGLSGLRPEERLIRLSRNMCLLLTAVLHFIHGMTDPVLMSLS
+ASHVSSFRRHFPVLFVSACLFILPVLLSYVLWHHYALNTWLFAVTAFCVELCLKVIVSLT
+VYTLFMIDGYYNVLWEKLDDYVYYVRSTGSIIEFIFGVVMFGNGAYTMMFESGSKIRAFM
+MCLHAYFNIYLQAKNGW
+>Q8WU17_PF13639_546 <unknown description>
+VCAICYHEFTTSARITPCNHYFHALCLRKWLYIQDTCPMC
+>Q8WVD5_PF13920_152 <unknown description>
+EEECCICMDGRADLILPCAHSFCQKCIDKWSDRHRNCPICRLQM
+>Q96MT1_PF13705_9 <unknown description>
+AVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLSNNPLFQYKYLALNMHYVGYILSVV
+LLTLPRQHLVQLYLYFLTALLLYAGHQISRDYVRSELEFAYEGPMYLEPLSMNRFTTALI
+GQLVVCTLCSCVMKTKQIWLFSAHMLPLLARLCLVPLETIVIINKFAMIFTGLEVLYFLG
+SNLLVPYNLAKSAYRELVQVVEVYGLLALGMSLWNQLVVPVLFMVFWLVLFALQIYSYFS
+TRDQPASRERLLFLFLTSIAECCSTPYSLLGLVFTVSFVALGVLTLCKFYLQGYRAFMND
+PAMNRGMTEGVTLLILAVQTGLIELQVVHRAFLLSIILFIVVASILQSMLEIADPIVLAL
+GASRDKSLWKHFRAVSLCLFLLVFPAYMAYMICQFFHMDFWLLIIISSSILTSLQVLGTL
+FIYVLFMVEEFRKEPVENMDDVIYYVNGTYRLLEFLVALCVVAYGVSETIFGEWTVMGSM
+IIFIHSYYNVWLRAQLGW
+>Q96MT1_PF13639_536 <unknown description>
+ICAICYQDMKSAVITPCSHFFHAGCLKKWLYVQETCPLC
+>Q9NTX7_PF13920_36 <unknown description>
+ECAICLQTCVHPVSLPCKHVFCYLCVKGASWLGKRCALCRQEIP
+>Q9NTX7_PF02825_104 <unknown description>
+YAWYYEGRNGWWQYDERTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRR
+RKIKR
+>Q8N7C7_PF02225_79 <unknown description>
+EGWNQNACHPLTNFSRPKQADSWLALIERGGCTFTHKINVAAEKGANGVIIYNYQGTGSK
+VFPMSHQGTENIVAVMISNLKGME
+>Q8N7C7_PF13639_256 <unknown description>
+DNCVVCFDTYKPQDVVRILTCKHFFHKACIDPWLLAHRTCPMCK
+>Q8NC42_PF02225_109 <unknown description>
+WVALVARGGCTFKDKVLVAARRNASAVVLYNEERYGNITLPMSHAGTGNIVVIMISYPKG
+RE
+>Q8NC42_PF13639_268 <unknown description>
+NCAVCIENFKVKDIIRILPCKHIFHRICIDPWLLDHRTCPMCK
+>Q9ULK6_PF02225_87 <unknown description>
+RGEVVMASSAHDRLACDPNTKFAAPTRGKNWIALIPKGNCTYRDKIRNAFLQNASAVVIF
+NVGSNTNETITMPHAGVEDIVAIMIPEPKGKE
+>Q9ULK6_PF13639_276 <unknown description>
+DNCAVCIEGYKPNDVVRILPCRHLFHKSCVDPWLLDHRTCPMCK
+>Q2KHN1_PF13923_20 <unknown description>
+CSVCHGVLKRPARLPCSHIFCKKCILRWLARQKTCPCC
+>Q8N8N0_PF14634_11 <unknown description>
+ECQICFNYYSPRRRPKLLDCKHTCCSVCLQQMRTSQKDVRCPWCR
+>Q8N8N0_PF19325_67 <unknown description>
+SQLPDDPEVLAVIAIPHTSEHTPVFIKLPSNGCYMLPLPISKERALLPGDMGCRLLPGSQ
+QKSVTVVTIPAEQQPLQGGAPQEAVEEEQDRRGVVKSSTWSGVCTVILVACVLVFLLGIV
+LHNMSCISKRFTVISCG
+>Q96PX1_PF13920_275 <unknown description>
+AECVVCLSDVRDTLILPCRHLCLCNTCADTLRYQANNCPICRLPF
+>Q6ZSG1_PF13639_293 <unknown description>
+KCTICLSMLEDGEDVRRLPCMHLFHQLCVDQWLAMSKKCPICR
+>Q96A37_PF13923_33 <unknown description>
+CPICLEVYHRPVAIGSCGHTFCGECLQPCLQVPSPLCPLC
+>Q96A37_PF18574_93 <unknown description>
+SYKAPCRGCNKKVTLAKMRVHISSCLKVQEQM
+>Q96A37_PF05605_149 <unknown description>
+TFACPYCGARNLDQQELVKHCVESHRSDPNRVVCPICSAMPWGDPSYKSANFLQHLLHRH
+>Q9H6Y7_PF02225_55 <unknown description>
+QGFLVEAHPDNACSPIAPPPPAPVNGSVFIALLRRFDCNFDLKVLNAQKAGYGAAVVHNV
+NSNELLNMVWNSEEIQQQIWIPSVFIGERS
+>Q9H6Y7_PF13639_229 <unknown description>
+VCAICLDEYEDGDKLRVLPCAHAYHSRCVDPWLTQTRKTCPICK
+>Q8IYW5_PF14447_16 <unknown description>
+CGICMEILVEPVTLPCNHTLCKPCFQSTVEKASLCCPFCRRRVSSWTR
+>Q8NCN4_PF13920_65 <unknown description>
+ESGCAGCLEPPGEAAALPCGHSLCRGCAQRAADAAGPGCPRCRA
+>Q96K19_PF00097_87 <unknown description>
+CPICLHQASFPVETNCGHLFCGACIIAYWRYGSWLGAISCPIC
+>Q96K19_PF06803_203 <unknown description>
+ILCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFL
+>Q86T96_PF13920_430 <unknown description>
+YICAVCLDVYFNPYMCYPCHHIFCEPCLRTLAKDNPSSTPCPLCRTIISRV
+>Q86T96_PF19332_491 <unknown description>
+KTFFTKEYLKIKQSFQKSNSAKWPLPSCRKAFHLFGGFRRHAAPVTRRQFPHGAHRMDYL
+HFEDDSRGWWFDMDMVIIYIYSVNWVIGFIVFCFLCYFFFP
+>Q9P0P0_PF13639_75 <unknown description>
+KCPVCLLEFEEEETAIEMPCHHLFHSSCILPWLSKTNSCPLCR
+>Q8N6D2_PF13639_19 <unknown description>
+ECKICYNRYNLKQRKPKVLECCHRVCAKCLYKIIDFGDSPQGVIVCPFCR
+>Q96D59_PF13639_12 <unknown description>
+ECPVCWNPFNNTFHTPKMLDCCHSFCVECLAHLSLVTPARRRLLCPLCR
+>Q96GF1_PF13920_37 <unknown description>
+FECNICLDTAKDAVISLCGHLFCWPCLHQWLETRPNRQVCPVCKAGIS
+>Q5TA31_PF15227_12 <unknown description>
+CALCQRAPREPVRADCGHRFCRACVVRFWAEEDGPFPCPEC
+>Q9NV58_PF01485_199 <unknown description>
+EKYEEFMLRRWLVADPDCRWCPAPDCGYAVIAFGCASCPKLTCGREGCGTEFCYHCKQIW
+HPNQTC
+>Q9NV58_PF01485_295 <unknown description>
+ADDIKPCPRCAAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLH
+>Q6ZMZ0_PF01485_187 <unknown description>
+KYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQIWH
+PNQTC
+>Q6ZMZ0_PF01485_281 <unknown description>
+DDIKPCPRCSAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLH
+>Q6ZRF8_PF00097_25 <unknown description>
+CPLCHVQYERPCLLDCFHDFCAGCLRGRATDGRLTCPLC
+>Q6ZRF8_PF00643_96 <unknown description>
+VRCANCDLECSEQDVETTYFCNTCGQPLCARCRDETHRARMFARHDIVA
+>Q495C1_PF14634_7 <unknown description>
+CNRCFQPPHRTSCFSLTNCGHVYCDACLGKGKKNECLICK
+>Q9Y6U7_PF13639_324 <unknown description>
+TCAVCLDYFCNKQWLRVLPCKHEFHRDCVDPWLMLQQTCPLCK
+>Q8TC41_PF01485_340 <unknown description>
+DSSTKPCPQCKHFTTFKKKGHIPTPSRSESKYKIQCPTCQFVWCFKCHSPWHEGVNC
+>Q8TC41_PF01485_412 <unknown description>
+EIEHGQRNAQKCPKCKIHIQRTEGCDHMTCSQCNTNFCYRCGERY
+>Q5VTB9_PF15926_218 <unknown description>
+DSQAPICPICQVLLRPSELQEHMEQELEQLAQLPSSKNSLLKDAMAPGTPKSLLLSASIK
+REGESPTASPHSSATDDLHHSDRYQTFLRVRANRQTRLNARIGKMKRRKQDEGQREGSCM
+>Q5VTB9_PF15926_339 <unknown description>
+EDDAVDIEHENNNRFEEYEWCGQKRIRATTLLEGGFRGSGFIMCSGKENPDSDADLDVDG
+DDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPPST
+>Q5VTB9_PF13923_513 <unknown description>
+KCLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQC
+>A6NCQ9_PF13445_14 <unknown description>
+CPVCYEKFRDLEGASRTLSCGHVFCHDCLVKYLLSTRVDGQVQRTLVCP
+>E7ERA6_PF13639_50 <unknown description>
+ECSICFSGYDNIFKTPKELSCTHVFCLECLARLAAAQPVGRPGGEAVPCPFCR
+>P0DH78_PF13445_24 <unknown description>
+CIICCSAYDLSGHLPRRLYCGHTFCQACVRRLDTPAPEQRWIPCP
+>M0QZC1_PF13639_63 <unknown description>
+ECLICVSSFDGVFKLPKRLDCGHVFCLECLARLSLATAGGGNAVACPVCR
+>A6NIN4_PF13445_18 <unknown description>
+CNICYRPFNLGCRAPRRLPGTARARCGHTICTACLRELAARGDGGGAAARVVRLRRVVTC
+P
+>A6NIN4_PF15451_117 <unknown description>
+AGNPAKESSDADGEAEEEGESEKGAGPRSAGWRALRRLWDRVLGPARRWRRPLPSNVLYC
+AEIKDIGHLTR
+>Q05823_PF12796_27 <unknown description>
+HLLIKAVQNEDVDLVQQLLEGGANVNFQEEEGGWTPLHNAVQMSREDIVELLLRHGADPV
+L
+>Q05823_PF12796_91 <unknown description>
+NGATPFILAAIAGSVKLLKLFLSKGADVNECDFYGFTAFMEAAVYGKVKALKFLYKRGAN
+VNLR
+>Q05823_PF13857_159 <unknown description>
+EDQERLRKGGATALMDAAEKGHVEVLKILLDEMGADVNACDNMGRNALIHA
+>Q05823_PF12796_218 <unknown description>
+VEAITHLLLDHGADVNVRGERGKTPLILAVEKKHLGLVQRLLEQEHIEINDTDSDGKTAL
+LLAVELKLKKIAELLCKRGAST
+>Q05823_PF00069_386 <unknown description>
+KQEVAVKTFCEGSPRAQREVSCLQSSRENSHLVTFYGSESHRGHLFVCVTLCEQTLEACL
+DVHRGEDVENEEDEFARNVLSSIFKAVQELHLSCGYTHQDLQPQNILIDSKKAAHLADFD
+KSIKWAGDP
+>Q05823_PF06479_592 <unknown description>
+RYRTLRNVGNESDIKTRKSESEILRLLQPGPSEHSKSFDKWTTKINECVMKKMNKFYEKR
+GNFYQNTVGDLLKFIRNLGEHIDEEKHKKMKLKIGDPSLYFQKTFPDLVIYVYTKLQNTE
+YRKHFPQT
+>P07998_PF00074_32 <unknown description>
+RAKKFQRQHMDSDSSPSSSSTYCNQMMRRRNMTQGRCKPVNTFVHEPLVDVQNVCFQEKV
+TCKNGQGNCYKSNSSMHITDCRLTNGSRYPNCAYRTSPKERHIIVACEGSPYVPVHFD
+>P10153_PF00074_33 <unknown description>
+TWAQWFETQHINMTSQQCTNAMQVINNYQRRCKNQNTFLLTTFANVVNVCGNPNMTCPSN
+KTRKNCHHSGSQVPLIHCNLTTPSPQNISNCRYAQTPANMFYIVACDNRDQRRDPPQYPV
+VPVHLD
+>P34096_PF00074_33 <unknown description>
+YQRFLRQHVHPEETGGSDRYCNLMMQRRKMTLYHCKRFNTFIHEDIWNIRSICSTTNIQC
+KNGKMNCHEGVVKVTDCRDTGSSRAPNCRYRAIASTRRVVIACEGNPQVPVHFD
+>Q93091_PF00074_29 <unknown description>
+TKAHWFEIQHIQPSPLQCNRAMSGINNYTQHCKHQNTFLHDSFQNVAAVCDLLSIVCKNR
+RHNCHQSSKPVNMTDCRLTSGKYPQCRYSAAAQYKFFIVACDPPQKSDPPYKLVPVHLD
+>Q9H1E1_PF00074_35 <unknown description>
+SSQWFKIQHMQPSPQACNSAMKNINKHTKRCKDLNTFLHEPFSSVAATCQTPKIACKNGD
+KNCHQSHGAVSLTMCKLTSGKHPNCRYKEKRQNKSYVVACKPPQKKDSQQFHLVPVHLD
+>Q8TDE3_PF00074_34 <unknown description>
+SSQWFKTQHVQPSPQACNSAMSIINKYTERCKDLNTFLHEPFSSVAITCQTPNIACKNSC
+KNCHQSHGPMSLTMGELTSGKYPNCRYKEKHLNTPYIVACDPPQQGDPGYPLVPVHLD
+>Q86VV4_PF00638_288 <unknown description>
+DVITGEETEHNVLKINCKLFIFNKTTQSWIERGRGTLRLNDTASTDCGTLQSRLIMRNQG
+SLRLILNSKLWAQMKIQRANHKNVRITATDL
+>O60518_PF18808_279 <unknown description>
+LSNLQRQLALEVIVTLSETATPMLKKHTNIIAQAVPHILAMMVDLQDDEDWVNADEMEED
+DFDSNAVAAESALDRLACGLGGKVVLP
+>O60518_PF13646_370 <unknown description>
+HIMQMLQSPDWKYRHAGLMALSAIGEGCHQQMESILDETVNSVLLFLQDPHPRVRAAACT
+TLGQMATDFAPNFQKKFHETVIAALLRTMENQGNQRVQSHAASAL
+>O60518_PF18829_773 <unknown description>
+VLSEIMNSFAKSIEVMGDGCLNDEHLEELGGILKAKLEGHFKNQELRQVKRQEENYDQQV
+EMSLQDEDECDVYILTKVSDILHSLFSTYKEKILPWFEQLLPLIVNLI
+>O60518_PF02985_917 <unknown description>
+PMLLNMRDNNPEVRQAAAYGLGVMAQF
+>Q9NRR4_PF14622_962 <unknown description>
+INHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRF
+MLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFN
+>Q9NRR4_PF00636_1144 <unknown description>
+QRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAITN
+DKTKRPVALRTKTLADLLESFIAALYIDK
+>Q9NRR4_PF00035_1261 <unknown description>
+PKSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQA
+EMGAAMDALEK
+>Q92730_PF00071_15 <unknown description>
+KLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQRVELSLWDTSGSPYY
+DNVRPLCYSDSDAVLLCFDISRPETVDSALKKWRTEILDYCPSTRVLLIGCKTDLRTDLS
+TLMELSHQKQAPISYEQGCAIAKQLGAEIYLEGSAFTSEKSIHSIFRTA
+>P52198_PF00071_9 <unknown description>
+KIVVVGDAECGKTALLQVFAKDAYPGSYVPTVFENYTASFEIDKRRIELNMWDTSGSSYY
+DNVRPLAYPDSDAVLICFDISRPETLDSVLKKWQGETQEFCPNAKVVLVGCKLDMRTDLA
+TLRELSKQRLIPVTHEQGTVLAKQVGAVSYVECSSRSSERSVRDVFHVA
+>P61587_PF00071_25 <unknown description>
+KIVVVGDSQCGKTALLHVFAKDCFPENYVPTVFENYTASFEIDTQRIELSLWDTSGSPYY
+DNVRPLSYPDSDAVLICFDISRPETLDSVLKKWKGEIQEFCPNTKMLLVGCKSDLRTDVS
+TLVELSNHRQTPVSYDQGANMAKQIGAATYIECSALQSENSVRDIFHVA
+>Q8N5U6_PF13639_224 <unknown description>
+SCPICLYPPTAAKITRCGHIFCWACILHYLSLSEKTWSKCPIC
+>Q9Y3C5_PF13639_98 <unknown description>
+ECVICMMDFVYGDPIRFLPCMHIYHLDCIDDWLMRSFTCPSC
+>Q9NVW2_PF13639_569 <unknown description>
+TCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICR
+>O43567_PF02225_66 <unknown description>
+GFLINSKPENACEPIVPPPVKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAIVHNVD
+SDDLISMGSNDIEVLKKIDIPSVFIGESSANSL
+>O43567_PF13639_239 <unknown description>
+VCAICLDEYEDGDKLRILPCSHAYHCKCVDPWLTKTKKTCPVCK
+>Q9UBS8_PF05773_7 <unknown description>
+EAQEDELLALASIYDGDEFRKAESVQGGETRIYLDLPQNFKIFVSGNSNECLQNSGFEYT
+ICFLPPLVLNFELPPDYPSSSPPSFTLSGKWLSPTQLSALCKHLDNLWEEHRGSVVLFAW
+MQFLKEE
+>Q9UBS8_PF01485_290 <unknown description>
+RYDRLLLQSSLDLMADVVYCPRPCCQLPVMQEPGCTMGICSSCNFAFCTLCRLTYHGVSP
+C
+>Q9UBS8_PF01485_388 <unknown description>
+LEEMESKEWLEKNSKSCPCCGTPIEKLDGCNKMTCTGCMQYFCWICMGS
+>Q9BXT8_PF00567_417 <unknown description>
+ELVFVSHVIDPCHFYIRKYSQIKDAKVLEKKVNEFCNRSSHLDPSDILELGARIFVSSIK
+NGMWCRGTITE
+>Q9BXT8_PF00567_676 <unknown description>
+EMTDVSVTVCHINSPGDFYLQLIEGLDILFLLKTIEEFYKSEDGENLEILCPVQDQACVA
+KFEDGIWYRAKVIGLPGHQEVEVKYVDFGNTAKITIKDVRKIKDEFLNAPEKAIKCKLA
+>Q9BXT8_PF00567_915 <unknown description>
+NKELPVHICNVISPEKIYVQWLLTENLLNSLEEKMIAAYENSKWEPVKWENDMHCAVKIQ
+DKNQWRRGQIIRMVTDTLVEVLLYDVGVELVVNVDCLRKLEENLKTMGRLSLECSL
+>Q9BXT8_PF00567_1178 <unknown description>
+PNMNVFEATVSCVGDDGTIFVVPKLSEFELIKMTNEIQSNLKCLGLLEPYFWKKGEACAV
+RGSDTLWYRGKVMEVVGGAVRVQYLDHGFTEKIPQCHLYPILLYPDIPQFCIPCQLHN
+>Q9BXT8_PF00567_1454 <unknown description>
+ESESESLDEALQRVNKKVEALPPLTDFRTEMPCLAEYDDGLWYRAKIVAIKEFNPLSILV
+QFVDYGSTAKLTLNRLCQIPSHLMRYPARAIKVLLAG
+>Q9Y225_PF13639_78 <unknown description>
+CAVCLEDFKPRDELGICPCKHAFHRKCLIKWLEVRKVCPLCN
+>Q96BH1_PF05773_18 <unknown description>
+SEVEVLESIYLDELQVIKGNGRTSPWEIYITLHPATAEDQDSQYVCFTLVLQVPAEYPHE
+VPQISIRNPRGLSDEQIHTILQVLGHVAKAGLGTAMLYELIEKGKE
+>Q9BY78_PF13920_377 <unknown description>
+RKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRGIL
+>Q96EP0_PF18486_2 <unknown description>
+PGEEEERAFLVAREELASALRRDSGQAFSLEQLRPLLASSLPLAARYLQLDAARLVRCNA
+HGEP
+>Q96EP0_PF09409_70 <unknown description>
+NTLSTALNILEKYGRNLLSPQRPRYWRGVKFNNPVFRSTVDAVQGGRDVLRLYGYTEEQP
+DGLSFPEGQEEPDEHQV
+>Q96EP0_PF16678_483 <unknown description>
+KMREEGLQLVSMIREGEAAGACPEEIFSALQYSGTEVPLQWLRSELPYVLEMVAELAGQQ
+DPGLGAFSCQEARRAWLDRHGNLDEAVEECVRTRRRKVQELQSLGFGPEEGSLQALFQHG
+GDVSRALTELQRQRLEPFRQRLWD
+>Q96EP0_PF01485_781 <unknown description>
+FHKKLTEGVLMRDPKFLWCAQCSFGFIYEREQLEATCPQCHQTFCVRCKRQWEEQHRGRS
+C
+>Q96EP0_PF18091_941 <unknown description>
+RLQKLLQDNNVMFNTEPPAGARAVPGGGCRVIEQKEVPNGLRDEACGKETPAGYAGLCQA
+HYKEYLVSLINAHSLDPATLYEVEELETATER
+>Q9H0A6_PF13639_127 <unknown description>
+CPICKEEFELRPQVLLSCSHVFHKACLQAFEKFTNKKTCPLCR
+>Q9H0A6_PF00612_188 <unknown description>
+KCVTRIQAYWRGCVVRKWYR
+>Q9H0A6_PF13445_293 <unknown description>
+CSICLAPLSAAGGQRVGAGRRSREMALLSCSHVFHHACLLALEEFSVGDRPPFHAC
+>Q969K3_PF13920_322 <unknown description>
+DSLCRICMDAVIDCVLLECGHMVTCTKCGKRMSECPICRQYV
+>O94941_PF19318_4 <unknown description>
+NLCLPQFRPRIHCNKISADGYEVENLISEDLTKRSHGFRTEYFIKPPVYVTVSFPFNVEI
+CRINIDLTAGGGQNVTGLEMYTSASSSRVSWNTPQCRTLGPAEPSVPDKEAFTLVGKVLL
+KNQSQVVFSHRGFKARPPFGAMEATLPSPAVVAQELWNKGALSLSHVAHLRICITHVTGG
+GIPCIKRLEVWGQPAKTCSQEVIDSILLVTSE
+>O94941_PF04564_259 <unknown description>
+VPEEFLDPITLEIMPCPMLLPSGKVIDQSTLEKCNRSEATWGRVPSDPFTGVAFTPHSQP
+LPHPSLKARIDHFLLQHS
+>Q9H0F5_PF13639_463 <unknown description>
+CVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKANRTCPICR
+>Q9H2S5_PF00097_20 <unknown description>
+CPLCGGSFEDPVLLACEHSFCRACLARRWGTPPATGTEASPTACPCC
+>Q9H2S5_PF13765_162 <unknown description>
+LTLDPGTAHRRLLISADRRSVQLAPPGTPAPPDGPKRFDQLPAVLGAQGF
+>Q9H4P4_PF13923_18 <unknown description>
+CPICSGVLEEPVQAPHCEHAFCNACITQWFSQQQTCPV
+>Q9H4P4_PF08941_137 <unknown description>
+HLRSVVQQQQTRIAELEKTSAEHKHQLAEQKRDIQLLKAYMRAIRSVNPNLQNLEETIEY
+NEILEWVNSLQPARVTRWGGMISTPDAVLQAVIKRSLVESGCPASIVNELIENAHERSWP
+QGLATLETRQMNRRYYENYVAKRIPGKQAVVVMACENQHMGDDMVQEPGLVMIFAHGVE
+>Q68DV7_PF18212_85 <unknown description>
+SHPLYLCNASDDDNLEPGFISIVKLESPRRAPRPCLSLASKARMAGERGASAVLFDITED
+RAAAEQLQQPLGLTWPVVLIWGNDAEKLMEFVYKNQKAHVRIELK
+>Q68DV7_PF13639_271 <unknown description>
+VCAICLEEFSEGQELRVISCLHEFHRNCVDPWLHQHRTCPLC
+>Q7L0R7_PF13639_380 <unknown description>
+CVVCFSDFEARQLLRVLPCNHEFHTKCVDKWLKANRTCPICR
+>P78317_PF13923_132 <unknown description>
+CPICMDGYSEIVQNGRLIVSTECGHVFCSQCLRDSLKNANTCPTC
+>Q99942_PF13920_26 <unknown description>
+ECNICLETAREAVVSVCGHLYCWPCLHQWLETRPERQECPVCKAGIS
+>Q9Y252_PF13639_631 <unknown description>
+ICSVCISDYVTGNKLRQLPCMHEFHIHCIDRWLSENCTCPICR
+>O76064_PF00498_38 <unknown description>
+VTVGRGFGVTYQLVSKICPLMISRNHCVLKQNPEGQWTIMDNKSLNGVWLNRARLEPLRV
+YSIHQGDYIQLG
+>O76064_PF13920_400 <unknown description>
+ELQCIICSEYFIEAVTLNCAHSFCSYCINEWMKRKIECPICRKDIKS
+>Q5M7Z0_PF13920_372 <unknown description>
+DDICSICQAEFQKPILLICQHIFCEECMTLWFNREKTCPLCRTVISD
+>Q96EX2_PF13923_384 <unknown description>
+CAICQAEFREPLILLCQHVFCEECLCLWLDRERTCPLC
+>O60930_PF01693_28 <unknown description>
+FYAVRRGRKTGVFLTWNECRAQVDRFPAARFKKFATEDEAWAF
+>O60930_PF00075_140 <unknown description>
+VVVYTDGCCSSNGRRRPRAGIGVYWGPGHPLNVGIRLPGRQTNQRAEIHAACKAIEQAKT
+QNINKLVLYTDSMFTINGITNWVQGWKKNGWKTSAGKEVINKEDFVALERLTQGMDIQWM
+HVPGHSGFIGNEEADRLAREGA
+>O75792_PF01351_31 <unknown description>
+LGVDEAGRGPVLGPMVYAICYCPLPRLADLEALKVADSKTLLESERERLFAKMEDTDFVG
+WALDVLSPNLISTSMLGRVKYNLNSLSHDTATGLIQYALDQGVNVTQVFVDTVGMPETYQ
+ARLQQSFPGIEVTVKAKADALYPVVSAASICAKVARDQAVKKWQFVEKLQDLDTDYGSGY
+PNDPKTKAWLKEHVEPVFGFPQFVRFSWRT
+>Q5TBB1_PF17745_34 <unknown description>
+GLMFVKLVNPCSGEGAIYLFNMCLQQLFEVKVFKEKHHSWFINQSVQSGGLLHFATPVD
+>Q5TBB1_PF09468_95 <unknown description>
+FLLLHYLIKADKEGKFQPLDQVVVDNVFPNCILLLKLPGLEKLLHHVTEEKGNPEIDNKK
+YYKYSKEKTLKWLEKKVNQTVAALKTNNVNVSSRVQSTAFFSGDQASTDKEEDYIRYAHG
+LISDYIPKELSDDL
+>Q8TDP1_PF08615_28 <unknown description>
+TLHLLPCEVAVDGPAPVGRFFTPAIRQGPEGLEVSFRGRCLRGEEVAVPPGLVGYVMVTE
+EKKVSMGKPDPLRDSGTDDQEEEPLERDFDRFIGATANFSRFTLWGLETIPGPDAKVRGA
+LTWPSLAAAI
+>Q5VYX0_PF13450_6 <unknown description>
+IVGAGMTGSLCAALLRRQTSGPLYLAVWDKAEDSGGRMTTACSPHNPQCTADLGAQYITC
+TPHYAKKHQRFYDEL
+>Q5VYX0_PF01593_102 <unknown description>
+DCNFVAPQGISSIIKHYLKESGAEVYFRHRVTQINLRDDKWEVSKQTGSPEQFDLIVLTM
+PVPEILQLQGDITTLISECQRQQLEAVSYSSRYALGLFYEAGTKIDVPWAGQYITSNPCI
+RFVSIDNKKRNIESSEIGPSLVIHTTVPFGVTYLEHSIEDVQELVFQQLENILPGLPQPI
+ATKCQKWRHSQVTNAA
+>Q96LT9_PF00076_29 <unknown description>
+LLVRHLPAELTAEEKEDLLKYFGAQSVRVLSDKGRLKHTAFATFPNEKAAIKALTRLHQL
+KLLGHTL
+>Q96LT9_PF00076_422 <unknown description>
+IYVKNLAKHVQEKDLKYIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFIGLPNEKAAAK
+ALKEANGYVLFGKPM
+>Q9HAU8_PF17900_146 <unknown description>
+GSSLTVTLPPELQAHQPFQVILRYTSTDAPAIWWLDPELTYGCAKPFVFTQGHSVCNRSF
+FPCFDTPAVKCTYSAVVKAPSGVQVLMSATRSAYMEEEGVFHFHMEHPVPAYL
+>Q9HAU8_PF01433_302 <unknown description>
+AERLYGPYMWGRYDIVFLPPSFPIVAMENPCLTFIISSILESDEFLVIDVIHEVAHSWFG
+NAVTNATWEEMWLSEGLATYAQRRITTETYGAAFTCLETAFRLDALHRQMKLLGEDSPVS
+KLQVKLEPGVNPSHLMNLFTYEKGYCFVYYLSQLCGDPQRFDDFLRAYVEKYKFTSVVAQ
+DLLDSF
+>Q9HAU8_PF09127_560 <unknown description>
+WRTFQTALFLDRLLDGSPLPQEVVMSLSKCYSSLLDSMNAEIRIRWLQIVVRNDYYPDLH
+RVRRFLESQMSRMYTIPLYEDLCTGALKSFALEVFYQTQGRLHPNLRRAIQQIL
+>Q15287_PF00076_165 <unknown description>
+IGRLTRNVTKDHIMEIFSTYGKIKMIDMPVERMHPHLSKGYAYVEFENPDEAEKALKHMD
+GGQIDGQEI
+>Q5GAN4_PF00074_26 <unknown description>
+TLEHLHVDYPQNDVPVPARYCNHMIIQRVIREPDHTCKKEHVFIHERPRKINGICISPKK
+VACQNLSAIFCFQSETKFKMTVCQLIEGTRYPACRYHYSPTEGFVLVTCDD
+>Q5GAN3_PF00074_30 <unknown description>
+RNFYTLSIDYPRVNYPKGFRGYCNGLMSYMRGKMQNSDCPKIHYVIHAPWKAIQKFCKYS
+DSFCENYNEYCTLTQDSLPITVCSLSHQQPPTSCYYNSTLTNQKLYLLCSRKYEADPI
+>O00584_PF00445_34 <unknown description>
+WKKLIMVQHWPETVCEKIQNDCRDPPDYWTIHGLWPDKSEGCNRSWPFNLEEIKDLLPEM
+RAYWPDVIHSFPNRSRFWKHEWEKHGTCAAQVDALNSQKKYFGRSLELYRELDLNSVLLK
+LGIKPSINYYQVADFKDALARVYGVIPKIQCLPPSQDEEVQTIGQIELCLTKQDQQLQNC
+>Q9H777_PF00753_20 <unknown description>
+GASAVVLRCEGECWLFDCGEGTQTQLMKSQLKAGRITKIFITHLHGDHFFGLPGLL
+>Q9H777_PF12706_245 <unknown description>
+GRKICILGDCSGVVGDGGVKLCFEADLLIHEATLDDAQMDKAKEHGHSTPQMAATFAKLC
+RAKRLVLTHF
+>Q9BQ52_PF13691_61 <unknown description>
+QVVAAGSRDSGAALYVFSEFNRYLFNCGEGVQRLMQEHKLKVARLDNIFLTRMHWSNVGG
+>Q9BQ52_PF12706_512 <unknown description>
+LLLDCGEGTFGQLCRHYGDQVDRVLGTLAAVFVSHLHADHHTGLPSILLQRERALASLGK
+PLHPLLVVAPNQLKAWLQQYHNQCQEVLHHISMIPAKCLQEGAEISSPAVERLISSLLRT
+CDLEEFQTCLVRHCKHAFGCALVHTSGWKVVYSGDTMPCEALVRMGKDATLLIHEATLED
+GLEEEAVEKTHSTTSQAISVGMRMNAEFIMLNHF
+>P19474_PF15227_16 <unknown description>
+CPICLDPFVEPVSIECGHSFCQECISQVGKGGGSVCPVC
+>P19474_PF00643_90 <unknown description>
+ERCAVHGERLHLFCEKDGKALCWVCAQSRKHRDHAMVPL
+>P19474_PF13765_289 <unknown description>
+ITLDPDTANPWLILSEDRRQVRLGDTQQSIPGNEERFDSYPMVLGAQHF
+>P19474_PF00622_341 <unknown description>
+KHYWEVDVTGKEAWDLGVCRDSVRRKGHFLLSSKSGFWTIWLWNKQKYEAGTYPQTPLHL
+QVPPCQVGIFLDYEAGMVSFYNITDHGSLIYSFSECAFTGPLRPFFSPGFNDGGK
+>P10155_PF05731_17 <unknown description>
+ANSQDGYVWQVTDMNRLHRFLCFGSEGGTYYIKEQKLGLENAEALIRLIEDGRGCEVIQE
+IKSFSQEGRTTKQEPMLFALAICSQCSDISTKQAAFKAVSEVCRIPTHLFTFIQFKKDLK
+ESMKCGMWGRALRKAIADWYNEKGGMALALAVTKYKQRNGWSHKDLLRLSHLKPSSEGLA
+IVTKYITKGWKEVHELYKEKALSVETEKLLKYLEAVEKVKRTRDELEVIHLIEEHRLVRE
+HLLTNHLKSKEVWKALLQEMPLTALLRNLGKMTANSVLEPGNSEVSLVCEKLCNEKLLKK
+ARIHPFHILIAL
+>Q13151_PF00076_9 <unknown description>
+LFIGGLNVQTSESGLRGHFEAFGTLTDCVVVVNPQTKRSRCFGFVTYSNVEEADAAMAAS
+PH
+>Q13151_PF00076_100 <unknown description>
+LFVGGLKGDVAEGDLIEHFSQFGTVEKAEIIADKQSGKKRGFGFVYFQNHDAADKAA
+>P09651_PF00076_16 <unknown description>
+LFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFVTYATVEEVDAAMNAR
+PHKVDGR
+>P09651_PF00076_107 <unknown description>
+IFVGGIKEDTEEHHLRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQK
+YH
+>P09651_PF11627_307 <unknown description>
+GGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGG
+>P22626_PF00076_11 <unknown description>
+LFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSMAEVDAAMAAR
+PHSID
+>P22626_PF00076_102 <unknown description>
+LFVGGIKEDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQK
+Y
+>P51991_PF00076_37 <unknown description>
+LFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVTYSCVEEVDAAMCA
+>P51991_PF00076_128 <unknown description>
+IFVGGIKEDTEEYNLRDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQK
+YHT
+>Q99729_PF08143_1 <unknown description>
+MSEAGEEQPMETTGATENGHEAVPEGESPAGAGTGAAAGAGGATAAPPSGNQNGAEGDQI
+NASKNEEDAG
+>Q99729_PF00076_73 <unknown description>
+FVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGFILFKDAASVEKVLDQKE
+HRLDGRV
+>Q99729_PF00076_156 <unknown description>
+IFVGGLNPEATEEKIREYFGEFGEIEAIELPMDPKLNKRRGFVFITFKEEEPVKKVLEKK
+>Q9Y6N7_PF13927_67 <unknown description>
+PPRIVEHPSDLIVSKGEPATLNCKAEGRPTPTIEWYKGGERVETDKDDPRSHRMLLPSGS
+LFFLRIVHGRKSRPDEGVYVCVARN
+>Q9Y6N7_PF07679_172 <unknown description>
+FRQNPSDVMVAVGEPAVMECQPPRGHPEPTISWKKDGSPLDDKDERITIRGGKLMITYTR
+KSDAGKYVCVGTNMVGERESEVAELT
+>Q9Y6N7_PF07679_262 <unknown description>
+PSFVKRPSNLAVTVDDSAEFKCEARGDPVPTVRWRKDDGELPKSRYEIRDDHTLKIRKVT
+AGDMGSYTCVAENMVGKAEASATLTV
+>Q9Y6N7_PF13927_350 <unknown description>
+PPHFVVKPRDQVVALGRTVTFQCEATGNPQPAIFWRREGSQNLLFSYQPPQSSSRFSVSQ
+TGDLTITNVQRSDVGYYICQTLN
+>Q9Y6N7_PF13927_454 <unknown description>
+PPVIRQGPVNQTVAVDGTFVLSCVATGSPVPTILWRKDGVLVSTQDSRIKQLENGVLQIR
+YAKLGDTGRYTCIAS
+>Q9Y6N7_PF00041_562 <unknown description>
+SAPSKPEVTDVSRNTVTLSWQPNLNSGATPTSYIIEAFSHASGSSWQTVAENVKTETSAI
+KGLKPNAIYLFLVRAANAYGISDPS
+>Q9Y6N7_PF00041_685 <unknown description>
+VLSSSSIEVHWTVDQQSQYIQGYKILYRPSGANHGESDWLVFEVRTPAKNSVVIPDLRKG
+VNYEIK
+>Q9Y6N7_PF00041_778 <unknown description>
+PPQGVTVSKNDGNGTAILVSWQPPPEDTQNGMVQEYKVWCLGNETRYHINKTVDGSTFSV
+VIPFLVPGIRYSVEVAASTGAGSGVKS
+>Q96MS0_PF13927_64 <unknown description>
+PRIVEQPPDLLVSRGEPATLPCRAEGRPRPNIEWYKNGARVATVREDPRAHRLLLPSGAL
+FFPRIVHGRRARPDEGVYTCVARN
+>Q96MS0_PF07679_168 <unknown description>
+FRQSPGNVVVAVGEPAVLECVPPRGHPEPSVSWRKDGARLKEEEGRITIRGGKLMMSHTL
+KSDAGMYVCVASNMAGERESAA
+>Q96MS0_PF07679_258 <unknown description>
+PSFLRRPVNQVVLADAPVTFLCEVKGDPPPRLRWRKEDGELPTGRYEIRSDHSLWIGHVS
+AEDEGTYTCVAENSVGRAEASGSLSV
+>Q96MS0_PF13927_346 <unknown description>
+PPQLVTQPQDQMAAPGESVAFQCETKGNPPPAIFWQKEGSQVLLFPSQSLQPTGRFSVSP
+RGQLNITAVQRGDAGYYVCQA
+>Q96MS0_PF07679_451 <unknown description>
+PVILQGPANQTLVLGSSVWLPCRVTGNPQPSVRWKKDGQWLQGDDLQFKTMANGTLYIAN
+VQEMDMGFYSCVAKSSTGEATW
+>Q96MS0_PF00041_558 <unknown description>
+APSQPVVTEITKNSITLTWKPNPQTGAAVTSYVIEAFSPAAGNTWRTVADGVQLETHTVS
+GLQPNTIYLFLVRAVGAWGLSEPS
+>Q96MS0_PF00041_682 <unknown description>
+GPRTLQVSWTVDGPVQLVQGFRVSWRVAGPEGGSWTMLDLQSPSQQSTVLRGLPPGTQIQ
+IKVQAQGQEGLGAES
+>Q96MS0_PF00041_782 <unknown description>
+DGNSSITVSWEPPLPSQQNGVITEYQIWCLGNESRFHLNRSAAGWARSAMLRGLVPGLLY
+RTLVAAATSAGVGVPS
+>Q8WZ75_PF13927_31 <unknown description>
+PPQILVHPQDQLFQGPGPARMSCQASGQPPPTIRWLLNGQPLSMVPPDPHHLLPDGTLLL
+LQPPARGHAHDGQALSTDLGVYTCEASN
+>Q8WZ75_PF07679_138 <unknown description>
+DFQIQPRDMVAVVGEQFTLECGPPWGHPEPTVSWWKDGKPLALQPGRHTVSGGSLLMARA
+EKSDEGTYMCVATNSAGHRESRA
+>Q8WZ75_PF00041_350 <unknown description>
+PPQEVTLKPGNGTVFVSWVPPPAENHNGIIRGYQVWSLGNTSLPPANWTVVGEQTQLEIA
+THMPGSYCVQVAAVTGAGAGEPS
+>Q13464_PF00069_76 <unknown description>
+YEVVKVIGRGAFGEVQLVRHKSTRKVYAMKLLSKFEMIKRSDSAFFWEERDIMAFANSPW
+VVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWARFYTAEVVLALDAIHSMGFI
+HRDVKPDNMLLDKSGHLKLADFGTCMKMNKEGMVRCDTAVGTPDYISPEVLKSQGGDGYY
+GRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMNHKNSLTFPDDNDISKEAKNLICA
+FLTDREVRLGRNGVEEIKRHLFF
+>Q13464_PF08912_948 <unknown description>
+LTKDIEILRRENEELTEKMKKAEEEYKLEKEEEISNLKAAFEKNINTERTLKTQAVNKLA
+EIMNRKD
+>O75116_PF00069_92 <unknown description>
+YDVVKVIGRGAFGEVQLVRHKASQKVYAMKLLSKFEMIKRSDSAFFWEERDIMAFANSPW
+VVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWAKFYTAEVVLALDAIHSMGLI
+HRDVKPDNMLLDKHGHLKLADFGTCMKMDETGMVHCDTAVGTPDYISPEVLKSQGGDGFY
+GRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMDHKNSLCFPEDAEISKHAKNLICA
+FLTDREVRLGRNGVEEIRQHPFF
+>O75116_PF08912_978 <unknown description>
+LTSDVANLANEKEELNNKLKDVQEQLSRLKDEEISAAAIKAQFEKQLLTERTLKTQAVNK
+LAEIMNRKE
+>Q9GZN7_PF10259_18 <unknown description>
+EFRWLLHDEVHAVLKQLQDILKEASLRFTLPGSGTEGPAKQENFILGSCGTDQVKGVLTL
+QGDALSQADVNLKMPRNNQLLHFAFREDKQWKLQQIQDARNHVSQAIYLLTSRDQSYQFK
+TGAEVLKLMDAVMLQLTRARNRLTTPATLTLPEIAASGLTRMFAPALPSDLLVNVYINLN
+KLCLTVYQLHALQPNSTKNFRPAGGAVLHSPGAMFEWGSQRLEVSHVHKVECVIPWLNDA
+LVYFTVSLQLCQQLKDKI
+>Q03395_PF00335_20 <unknown description>
+GLWLLSWLLALAGGVILLCSGHLLVQLRHLGTFLAPSCQFPVLPQAALAAGAVALGTGLV
+GVGASRASLNAALYPPWRGVLGPLLVAGTAGGGGLLVVGLGLALALPGSLDEALEEGLVT
+ALAHYKDTEVPGHCQAKRLVDELQLRYHCCGRHGYKDWFGVQWVSSRYLDPGDRDVADRI
+QSNVEGLYLTDGVPFSCCNPHSPRPCLQNRLSDSYAHPLFDPRQPNQNLWAQGCHEVLLE
+HLQDLAGTLGSMLAVTFLLQALVLL
+>P60602_PF10247_14 <unknown description>
+SCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTMMQSGGTFGTFMAI
+GMGIRC
+>Q04912_PF01403_64 <unknown description>
+DRNESAVFVAIRNRLHVLGPDLKSVQSLATGPAGDPGCQTCAACGPGPHGPPGDTDTKVL
+VLDPALPALVSCGSSLQGRCFLHDLEPQGTAVHLAAPACLFSAHHNRPDDCPDCVASPLG
+TRVTVVEQGQASYFYVASSLDAAVAASFSPRSVSIRRLKADASGFAPGFVALSVLPKHLV
+SYSIEYVHSFHTGAFVYFLTVQPASVTDDPSALHTRLARLSATEPELGDYRELVLDCRFA
+PKRRRRGAPEGGQPYPVLRVAHSAPVGAQLATELSIAEGQEVLFGVFVTGKDGGPGVGPN
+SVVCAFPIDLLDTLIDEGVERCCESPVHPGLRRGLDFFQSPSFCPNPPGLEALSPNTSCR
+HFPLLVSSSFSRVDLFNGLLGPVQVTALYVTRLDNVTVAHMGTMDGRILQVELVRSLNYL
+LYVSNFSLGDSGQPVQRDV
+>Q04912_PF01833_569 <unknown description>
+PKLTEFHPHSGPLRGSTRLTLCGSNFYLHPSGLVPEGTHQVTVGQSPCRPLPKDSSKLRP
+VPRKDFVEEFECELEPLGTQAVGPTNVSLTVTN
+>Q04912_PF01833_684 <unknown description>
+PVLIAVQPLFGPRAGGTCLTLEGQSLSVGTSRAVLVNGTECLLARVSEGQLLCATPPGAT
+VASVPLSLQVGGAQVPGSWTFQY
+>Q04912_PF07714_1085 <unknown description>
+DRVIGKGHFGVVYHGEYIDQAQNRIQCAIKSLSRITEMQQVEAFLREGLLMRGLNHPNVL
+ALIGIMLPPEGLPHVLLPYMCHGDLLQFIRSPQRNPTVKDLISFGLQVARGMEYLAEQKF
+VHRDLAARNCMLDESFTVKVADFGLARDILDREYYSVQQHRHARLPVKWMALESLQTYRF
+TTKSDVWSFGVLLWELLTRGAPPYRHIDPFDLTHFLAQGRRLPQPEYCPDSLYQVMQQCW
+EADPAVRPTFRVLVG
+>Q01973_PF07679_61 <unknown description>
+DEPMNNITTSLGQTAELHCKVSGNPPPTIRWFKNDAPVVQEPRRLSFRSTIYGSRLRIRN
+LDTTDTGYFQCVATNGKEVVSST
+>Q01973_PF01392_170 <unknown description>
+CQPYRGIACARFIGNRTVYMESLHMQGEIENQITAAFTMIGTSSHLSDKCSQFAIPSLCH
+YAFPYCDETSSVPKPRDLCRDECEILENVLCQTEYIFARSNPMILMRLKLPNCEDLPQ
+>Q01973_PF00051_313 <unknown description>
+CYNSTGVDYRGTVSVTKSGRQCQPWNSQYPHTHTFTALRFPELNGGHSYCRNPGNQKEAP
+WCFTLDENFKSDLCDIPAC
+>Q01973_PF07714_474 <unknown description>
+RFMEELGECAFGKIYKGHLYLPGMDHAQLVAIKTLKDYNNPQQWTEFQQEASLMAELHHP
+NIVCLLGAVTQEQPVCMLFEYINQGDLHEFLIMRSPHSDVGCSSDEDGTVKSSLDHGDFL
+HIAIQIAAGMEYLSSHFFVHKDLAARNILIGEQLHVKISDLGLSREIYSADYYRVQSKSL
+LPIRWMPPEAIMYGKFSSDSDIWSFGVVLWEIFSFGLQPYYGFSNQEVIEMVRKRQLLPC
+SEDCPPRMYSLMTECWNEIPSRRPRFKDIHVRL
+>Q01974_PF07679_64 <unknown description>
+FLEPVNNITIVQGQTAILHCKVAGNPPPNVRWLKNDAPVVQEPRRIIIRKTEYGSRLRIQ
+DLDTTDTGYYQCVATNGMKTITATGVL
+>Q01974_PF01392_174 <unknown description>
+CQPYRGIACARFIGNRTIYVDSLQMQGEIENRITAAFTMIGTSTHLSDQCSQFAIPSFCH
+FVFPLCDARSRTPKPRELCRDECEVLESDLCRQEYTIARSNPLILMRLQLPKCEALPM
+>Q01974_PF00051_316 <unknown description>
+CYNGSGMDYRGTASTTKSGHQCQPWALQHPHSHHLSSTDFPELGGGHAYCRNPGGQMEGP
+WCFTQNKNVRMELCDVPSC
+>Q01974_PF07714_474 <unknown description>
+RFMEELGEDRFGKVYKGHLFGPAPGEQTQAVAIKTLKDKAEGPLREEFRHEAMLRARLQH
+PNVVCLLGVVTKDQPLSMIFSYCSHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFV
+HLVAQIAAGMEYLSSHHVVHKDLATRNVLVYDKLNVKISDLGLFREVYAADYYKLLGNSL
+LPIRWMAPEAIMYGKFSIDSDIWSYGVVLWEVFSYGLQPYCGYSNQDVVEMIRNRQVLPC
+PDDCPAWVYALMIECWNEFPSRRPRFKDIHSRL
+>P35398_PF00105_72 <unknown description>
+PCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCLIDRTSRNRCQHCRLQ
+KCLAVGMSR
+>P35398_PF00104_314 <unknown description>
+KQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLLKAGSLEVVFIRMCRAFD
+SQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLTEDEIALFSAFVLMSADR
+SWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVSTLRALCGRHTEKLMAFK
+>Q92753_PF00105_9 <unknown description>
+PCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQRNCLIDRTNRNRCQHCRLQ
+KCLALGMSR
+>Q92753_PF00104_253 <unknown description>
+KSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQNDQILLLKSGCLEVVLVRMCRAFN
+PLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNLCSLQLTEEEIALFSSAVLISPDR
+AWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKLIAKIPTITAVCNLHGEKLQVFK
+>P51449_PF00105_30 <unknown description>
+PCKICGDKSSGIHYGVITCEGCKGFFRRSQRCNAAYSCTRQQNCPIDRTSRNRCQHCRLQ
+KCLALGMSR
+>P51449_PF00104_322 <unknown description>
+HHLTEAIQYVVEFAKRLSGFMELCQNDQIVLLKAGAMEVVLVRMCRAYNADNRTVFFEGK
+YGGMELFRALGCSELISSIFDFSHSLSALHFSEDEIALYTALVLINAHRPGLQEKRKVEQ
+LQYNLELAFHHHLCKTHRQSILAKLPPKGKLRSLCSQHVERLQI
+>Q8IWN7_PF03607_52 <unknown description>
+AVHQRAFKTFSALMDELSQRVPLSFGVRSVTTPRGLHSLSALEQLEDGGCYLCSDKKP
+>Q8IWN7_PF03607_169 <unknown description>
+VLSHRNTRNLAAFLGKASDLLRFPVKQLYTTSGKKVDSLQALLHSPSVLVCAGHEAF
+>P56715_PF03607_54 <unknown description>
+VVNPRSFKSFDALLDNLSRKVPLPFGVRNISTPRGRHSITRLEELEDGESYLCSHGR
+>P56715_PF03607_171 <unknown description>
+LLSRRVTQSFEAFLQHLTEVMQRPVVKLYATDGRRVPSLQAVILSSGAVVAAGREPF
+>Q8N5L8_PF01918_27 <unknown description>
+EMRVRDGSKIRNLLGLALGRLEGGSARHVVFSGSGRAAGKAVSCAEIVKRRVPGLHQLTK
+LR
+>Q9Y2J0_PF02318_49 <unknown description>
+ELTDEEKEIINRVIARAEKMEEMEQERIGRLVDRLENMRKNVAGDGVNRCILCGEQLGML
+GSACVVCEDCKKNVCTKCGVETNNRLHSVWLCKICIEQREVWKRSGAWFFKG
+>Q9Y2J0_PF00168_408 <unknown description>
+SLQCTIIKAKGLKPMDSNGLADPYVKLHLLPGASKSNKLRTKTLRNTRNPIWNETLVYHG
+ITDEDMQRKTLRISVCDEDKFGHNEFIGETRFSLKKLKPNQRKN
+>Q9Y2J0_PF00168_567 <unknown description>
+LIVGIIRCVHLAAMDANGYSDPFVKLWLKPDMGKKAKHKTQIKKKTLNPEFNEEFFYDIK
+HSDLAKKSLDISVWDYDIGKSNDYIGGCQLGISAKGERLKHWYE
+>Q9P1U0_PF01096_86 <unknown description>
+RCPRCGHEGMAYHTRQMRSADEGQTVFYTCTNCKFQ
+>O95602_PF04997_11 <unknown description>
+RLQGISFGMYSAEELKKLSVKSITNPRYLDSLGNPSANGLYDLALGPADSKEVCSTCVQD
+FSNCSGHLGHIELPLTVYNPLLFDKLYLLLRGSCLNCHMLTCPRAVIHLLLCQLRVLEVG
+ALQAVYELERILNRFLEENPDPSASEIREELEQYTTEIVQNNLLGSQGAHVKNVCESKSK
+LIALFWKAHMNAKRCPHCKTGRSVVRKEHNSKLTITFPAMVHRTAGQKDSEPLGIEEAQI
+GKRGYLTPTSAREHLSALWKNEGFFLNYLFSGMDDDGMESRFNPSVFFLDFLVVPPSRYR
+PVSRLGDQMFTNGQTVNLQAVMKDVVLIRKLLALMAQEQKLPEE
+>O95602_PF00623_434 <unknown description>
+GKRVDYAARSVICPDMYINTNEIGIPMVFATKLTYPQPVTPWNVQELRQAVINGPNVHPG
+ASMVINEDGSRTALSAVDMTQREAVAKQLLTPATGAPKPQGTKIVCRHVKNGDILLLNRQ
+PTLHRPSIQAHRARILPEEKVLRLHYANCKAYNADFDGDEMNAHFPQSELGRAEAYVLAC
+>O95602_PF04983_617 <unknown description>
+QYLVPKDGQPLAGLIQDHMVSGASMTTRGCFFTREHYMELVYRGLTDKVGRVKLLSPSIL
+KPFPLWTGKQVVSTLLINIIPEDHIPLNLSGKAKITGKAWVKETPRSVPGFNPDSMCESQ
+VIIREGELLCGVLDKAHYGSSAYGLVHCCYEIYGGETSGKVLTCLARLFTAYLQLYRGFT
+LGVEDI
+>O95602_PF05000_840 <unknown description>
+DEVRGKWQDAHLGKDQRDFNMIDLKFKEEVNHYSNEINKACMPFGLHRQFPENSLQMMVQ
+SGAKGSTVNTMQISCLLGQIELEGRRPPLMASGKSLPCFEPYEFTPRAGGFV
+>O95602_PF04998_958 <unknown description>
+GIKPPEFFFHCMAGREGLVDTAVKTSRSGYLQRCIIKHLEGLVVQYDLTVRDSDGSVVQF
+LYGEDGLDIPKTQFLQPKQFPFLASNYEVIMKSQHLHEVLSRADPKKALHHFRAIKKWQS
+KHPNTLLRRGAFLSYSQKIQEAVKALKLESENRNGRSPGTQEMLRMWYELDEESRRKYQK
+KAAACPDPSLSVWRPDIYFASVSETFETKVDDYSQEWAAQTEKSYEKSELSLDRLRTLLQ
+LKWQRSLCEPGEAVGLLAAQSIGEPSTQMTLNTFHFAGRGEMNVTLGIPRLREILMVASA
+NIKTPMMSVPVLNTKKALKRVKSLKKQLTRVCLGEVLQKIDVQESFCMEEKQNKFQVYQL
+RFQFLPHAYYQQEKCLRPEDILRFMETRFFKLLMESIKKKNNKASAFRNVNTRRATQRDL
+DNAGELGRSRGEQEGDEEEEGHIVDAEAEEGDADASDAKRKEKQEEEVDYESEEEEEREG
+EENDDEDMQEERNPHREGARKTQEQDEEVGLGTEEDPSLPALLTQPRKPTHSQEPQGPEA
+MERRVQAVREIHPFIDDYQYDTEESLWCQVTVKLPLMKINFDMSSLVVSLAHGAVIYATK
+GITRCLLNETTNNKNEKELVLNTEGINLPELFKYAEVLDLRRLYSNDIHAIANTYGIEAA
+LRVIEKEIKDVFAVYGIAVDPRHLSLVADYMCFEGVYKPLNRFGIRSNSSP
+>Q9H9Y6_PF04563_37 <unknown description>
+ELTRAHVESFNYAVHEGLGLAVQAIPPFEFAFKDERISFTILDAVISPPTVPKGTICKEA
+NVYPAECRGRRSTYRGKLTADINWAVNGISKGIIKQFLGYVPIMVKSKLCNLRNLPPQAL
+IEHHEEAEEMGGYFIINGIEKVIRMLIMPRRNFPIAMIRPKWKTRGPGYTQYGVSMHCVR
+EEHSAVNMNLHYLENGTVMLNFIYRKELFFLPLGFALKALVSFSDYQIFQELIKGKEDDS
+FLRNSVSQMLRIVMEEGCSTQKQVLNYLGECFRVKLNVPDWYPNEQAAEFLFNQCICIHL
+KSNTEKFYMLCLMTRKLFALAKGECMEDNPDSLVNQEVLTPGQLFLMFLKEKLEGWLVSI
+KIAFDKKAQKTSVSMNT
+>Q9H9Y6_PF04561_186 <unknown description>
+RRNFPIAMIRPKWKTRGPGYTQYGVSMHCVREEHSAVNMNLHYLENGTVMLNFIYRKELF
+FLPLGFALKALVSFSDYQIFQELIKGKEDDSFLRNSVSQMLRIVMEEGCSTQKQVLNYLG
+ECFRVKLNVPDWYPNEQAAEFLFNQCICIHLKSNTEKFYMLCLMTRKLFALAKGECMEDN
+PDSLVNQEV
+>Q9H9Y6_PF04565_456 <unknown description>
+VADKLNFIRYLSHFRCVHRGADFAKMRTTTVRRLLPESWGFLCPVHTPDGEPCGLMNHLT
+AVCEV
+>Q9H9Y6_PF06883_563 <unknown description>
+VGWVDKDLAPGIADSLRHFKVLREKRIPPWMEVVLIPMTGKPSLYPGLFLFTTPCRLVR
+>Q9H9Y6_PF00562_670 <unknown description>
+IANFIPFSDHNQSPRNMYQCQMGKQTMGFPLLTYQDRSDNKLYRLQTPQSPLVRPSMYDY
+YDMDNYPIGTNAIVAVISYTGYDMEDAMIVNKASWERGFAHGSVYKSEFIDLSEKIKQGD
+SSLVFGIKPGDPRVLQKLDDDGLPFIGAKLQYGDPYYSYLNLNTGESFVMYYKSKENCVV
+DNIKVCSNDTGSGKFKCVCITMRVPRNPTIGDKFASRHGQKGILSRLWPAEDMPFTESGM
+VPDILFNPHGFPSRMTIGMLIESMAGKSAALHGLCHDATPFIFSEENSALEYFGEMLKAA
+GYNFYGTERLYSGISGLELEADIFIGVVYYQRLRHMVSDKFQVRTTGARDRVTNQPIGGR
+N
+>Q9H9Y6_PF04560_1033 <unknown description>
+GGIRFGEMERDALLAHGTSFLLHDRLFNCSDRSVAHVCVKCGSLLSPLLEKPPPSWSAMR
+NRKYNCTLCSRSDTIDTVSVPYVFRYFVAELAAMNIKVKLD
+>O15446_PF08208_38 <unknown description>
+PDTELWLIQAPADFAPECFNGRHVPLSGSQIVKGKLAGKRHRYRVLSSCPQAGEATLLAP
+STEAGGGLTCASAPQGTLRILEGPQQSLSGSPLQPIPASPPPQIPPGLRPRFCAFGGNPP
+VTGPRSALAPNLLTSGKKKKEMQVTEAPVTQEAVNGHGALEVDMALGSPEMDVRKKKKKK
+NQQLKEPEAAGPVGTEPTVETLEPLGVLFPSTTKKRK
+>Q9GZS1_PF06870_36 <unknown description>
+PGNMRFTLYENKDSTNPRKRNQRILAAETDRLSYVGNNFGTGALKCNTLCRHFVGILNKT
+SGQMEVYDAELFNMQPLFSDVSVESELALESQTKTYREKMDSCIEAFGTTKQKRALNTRR
+MNRVGNESLNRAVAKAAETIIDTKGVTALVSDAIHNDLQDDSLYLPPCYDDAAKPEDVYK
+FEDLLSPAEYEALQSPSEAFRNVTSEEILKMIEENSHCTFVIEALKSLPSDVESRDRQAR
+CIWFLDTLIKFRAHRVVKRKSALGPGVPHIINTKLLKHFTCLTYNNGRLRNLISDSMKAK
+ITAYVIILALHIHDFQIDLTVLQRDLKLSEKRMMEIAKAMRLKISKRRVSVAAGSEEDHK
+LGTLSLPLP
+>P19388_PF03871_4 <unknown description>
+EEETYRLWKIRKTIMQLCHDRGYLVTQDELDQTLEEFKAQSGDKPSEGRPRRTDLTVLVA
+HNDDPTDQMFVFFPEEPKVGIKTIKVYCQRM
+>P19388_PF01191_137 <unknown description>
+INITEHELVPEHVVMTKEEVTELLARYKLRENQLPRIQAGDPVARYFGIKRGQVVKIIRP
+SETAGRYITYRLV
+>P61218_PF01192_52 <unknown description>
+ITTPYMTKYERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPI
+>P52434_PF03870_7 <unknown description>
+EDIFDVKDIDPEGKKFDRVSRLHCESESFKMDLILDVNIQIYPVDLGDKFRLVIASTLYE
+DGTLDDGEYNPTDDRPSRADQFEYVMYGKVYRIEGDETSTEAATRLSAYVSYGGLLMRLQ
+GDANNLHGFEVDSRVYLLMKK
+>P53803_PF03604_17 <unknown description>
+YICGECHTENEIKSRDPIRCRECGYRIMYKKR
+>P62875_PF01194_2 <unknown description>
+IIPVRCFTCGKIVGNKWEAYLGLLQAEYTEGDALDALGLKRYCCRRMLLAHVDLIEKL
+>O15160_PF01193_62 <unknown description>
+EFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIVQDEILAHRLGLIPIHADPRL
+FEYRNQGDEEGTEIDTLQFRLQVRCTRNPHAAKDSSDPNELYVNHKVYTRHMTWIPLGNQ
+ADLFPEGTIRPVHDDILIAQLRPGQEIDLLMHCVKGIGKDHAKFSPVATASYRLLPDITL
+LEPVEGEAAEELSRCFSPGVIEVQEVQGKKVARVANPRLDTFSREIFRNEKLKKVVRLAR
+VRDHYIFSVESTGVLPPDVLVSEAIKVLMGKC
+>O15160_PF01000_92 <unknown description>
+VLVYNNTSIVQDEILAHRLGLIPIHADPRLFEYRNQGDEEGTEIDTLQFRLQVRCTRNPH
+AAKDSSDPNELYVNHKVYTRHMTWIPLGNQADLFPEGTIRPVHDDILIAQLRPGQEIDLL
+MHCVKGIGKDHAKFS
+>P0DPB6_PF13656_40 <unknown description>
+VTFVLHEEDHTLGNSLRYMIMKNPEVEFCGYTTTHPSESKINLRIQTRGTLPAVEPFQRG
+LNELMNVCQHVLD
+>Q9BWH6_PF08621_225 <unknown description>
+QTIHEENIARLQAMAPEEILQEQQRLLAQLDPSLVAFLRSHSH
+>Q9BWH6_PF08620_356 <unknown description>
+QARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVSQQRALALHVLA
+QVISRA
+>Q8IXW5_PF04181_80 <unknown description>
+RFITPAHYSDVVDERSIVKLCGYPLCQKKLGIVPKQKYKISTKTNKVYDITERKSFCSNF
+CYQASKFFEAQI
+>Q9H6T3_PF00515_133 <unknown description>
+ALVLKEKGNKYFKQGKYDEAIDCYTKGMDADPYN
+>Q9H6T3_PF00515_202 <unknown description>
+KAYSRRGAARFALQKLEEAKKDYERVLELEPNN
+>Q9H6T3_PF13176_290 <unknown description>
+NGFFKEGKYERAIECYTRGIAAD
+>Q9H6T3_PF13181_321 <unknown description>
+NRAMAYLKIQKYEEAEKDCTQAILLDG
+>Q9H6T3_PF13877_546 <unknown description>
+PANSFQLESDFRQLKSSPDMLYQYLKQIEPSLYPKLFQKNLDPDVFNQIVKILHDFYIEK
+EKPLLIFEILQRLSELKRFDMAVMFMSETEK
+>P52435_PF13656_31 <unknown description>
+CLFTINKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNA
+ITDLISELSLLEE
+>Q9GZM3_PF13656_30 <unknown description>
+CLFTINKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNA
+ITDLISELSLLEE
+>P30876_PF04563_38 <unknown description>
+GLVRQQLDSFDEFIQMSVQRIVEDAPPIDLQAEAQHASGEVEEPPRYLLKFEQIYLSKPT
+HWERDGAPSPMMPNEARLRNLTYSAPLYVDITKTVIKEGEEQLQTQHQKTFIGKIPIMLR
+STYCLLNGLTDRDLCELNECPLDPGGYFIINGSEKVLIAQEKMATNTVYVFAKKDSKYAY
+TGECRSCLENSSRPTSTIWVSMLARGGQGAKKSAIGQRIVATLPYIKQEVPIIIVFRALG
+FVSDRDILEHIIYDFEDPEMMEMVKPSLDEAFVIQEQNVALNFIGSRGAKPGVTKEKRIK
+YAKEVLQKEMLPHVGVSDFCETKKAYFLGYMVHRLLLAALGRRELDDRDHYGNKRLDLAG
+PLLAFLFRGMFKNLLKEVRIYAQKFIDRGKDFNLELAIKTRIIS
+>P30876_PF04561_201 <unknown description>
+ATNTVYVFAKKDSKYAYTGECRSCLENSSRPTSTIWVSMLARGGQGAKKSAIGQRIVATL
+PYIKQEVPIIIVFRALGFVSDRDILEHIIYDFEDPEMMEMVKPSLDEAFVIQEQNVALNF
+IGSRGAKPGVTKEKRIKYAKEVLQKEMLPHVGVSDFCETKKAYFLGYMVHRLLLAALGRR
+ELDDRDHYGNKRLD
+>P30876_PF04565_468 <unknown description>
+QVLNRLTFASTLSHLRRLNSPIGRDGKLAKPRQLHNTLWGMVCPAETPEGHAVGLVKNLA
+LMAYI
+>P30876_PF04566_567 <unknown description>
+IFVNGCWVGIHKDPEQLMNTLRKLRRQMDIIVSEVSMIRDIREREIRIYTDAGRICRPLL
+IVE
+>P30876_PF04567_653 <unknown description>
+WQDLVASGVVEYIDTLEEETVMLAMTPDDLQEKEVAYCSTYTHCEIHP
+>P30876_PF00562_707 <unknown description>
+CASIIPFPDHNQSPRNTYQSAMGKQAMGVYITNFHVRMDTLAHVLYYPQKPLVTTRSMEY
+LRFRELPAGINSIVAIASYTGYNQEDSVIMNRSAVDRGFFRSVFYRSYKEQESKKGFDQE
+EVFEKPTRETCQGMRHAIYDKLDDDGLIAPGVRVSGDDVIIGKTVTLPENEDELESTNRR
+YTKRDCSTFLRTSETGIVDQVMVTLNQEGYKFCKIRVRSVRIPQIGDKFASRHGQKGTCG
+IQYRQEDMPFTCEGITPDIIINPHAIPSRMTIGHLIECLQGKVSANKGEIGDATPFNDAV
+NVQKISNLLSDYGYHLRGNEVLYNGFTGRKITSQIFIGPTYYQRLKHMVDDKIHSRARGP
+IQILNRQPMEGRSR
+>P30876_PF04560_1082 <unknown description>
+GGLRFGEMERDCQIAHGAAQFLRERLFEASDPYQVHVCNLCGIMAIANTRTHTYECRGCR
+NKTQISLVRMPYACKLLFQELMSMSIAPRMM
+>P19387_PF01193_20 <unknown description>
+KFIIENTDLAVANSIRRVFIAEVPIIAIDWVQIDANSSVLHDEFIAHRLGLIPLISDDIV
+DKLQYSRDCTCEEFCPECSVEFTLDVRCNEDQTRHVTSRDLISNSPRVIPVTSRNRDNDP
+NDYVEQDDILIVKLRKGQELRLRAYAKKGFGKEHAKWNPTAGVAFEYDPDNALRHTVYPK
+PEEWPKSEYSELDEDESQAPYDPNGKPERFYYNVESCGSLRPETIVLSALSGLKKKL
+>P19387_PF01000_50 <unknown description>
+VQIDANSSVLHDEFIAHRLGLIPLISDDIVDKLQYSRDCTCEEFCPECSVEFTLDVRCNE
+DQTRHVTSRDLISNSPRVIPVTSRNRDNDPNDYVEQDDILIVKLRKGQELRLRAYAKKGF
+GKEHAKWN
+>O15514_PF03874_32 <unknown description>
+LLNSEVHMLLEHRKQQNESAEDEQELSEVFMKTLNYTARFSRFKNRETIASVRSLLLQKK
+LHKFELACLANLCPETAEESKALIPSLEGRFEDEELQQILDDIQT
+>P62487_PF03876_11 <unknown description>
+ILLHPRYFGPNLLNTVKQKLFTEVEGTCTGKYGFVIAVTTIDNIGAGVIQPGRG
+>P62487_PF00575_78 <unknown description>
+RPFKGEVVDAVVTQVNKVGLFTEIGPMSCFISRHSIPSEMEFDPNSNPPCYKTMDEDIVI
+QQDDEIRLKIVGTRVDKNDIFAI
+>P36954_PF02150_14 <unknown description>
+IRFCQECNNMLYPKEDKENRILLYACRNCDYQQEADN
+>P36954_PF01096_84 <unknown description>
+HPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCGHRWT
+>Q9Y2Y1_PF02150_4 <unknown description>
+FCPGCGNGLIVEEGQRCHRFACNTCPYVHNI
+>Q9Y2Y1_PF01096_68 <unknown description>
+SCPKCEHPRAYFMQLQTRSADEPMTTFYKCCNAQCGHRWR
+>O14802_PF04997_13 <unknown description>
+KKISHICFGMKSPEEMRQQAHIQVVSKNLYSQDNQHAPLLYGVLDHRMGTSEKDRPCETC
+GKNLADCLGHYGYIDLELPCFHVGYFRAVIGILQMICKTCCHIMLSQEEKKQFLDYLKRP
+GLTYLQKRGLKKKISDKCRKKNICHHCGAFNGTVKKCGLLKIIHEKYKTNKKVVDPIVSN
+FLQSFETAIEHNKEVEPLLGRAQENLNPLVVLNLFKRIPAEDVPLLLMNPEAGKPSDLIL
+TRLLVPPLCIRPSVVSDLKSGTNEDDLTMKLTEIIFLNDVIKKHRISGAKTQMIMEDWDF
+LQLQCALYINSELSGIPLNMAPKKWTRGFVQRLKGKQGRFRGNL
+>O14802_PF00623_358 <unknown description>
+GKRVDFSGRTVISPDPNLRIDEVAVPVHVAKILTFPEKVNKANINFLRKLVQNGPEVHPG
+ANFIQQRHTQMKRFLKYGNREKMAQELKYGDIVERHLIDGDVVLFNRQPSLHKLSIMAHL
+ARVKPHRTFRFNECVCTPYNADFDGDEMNLHLPQTEEAKAEALVLMG
+>O14802_PF04983_528 <unknown description>
+NLVTPRNGEPLIAAIQDFLTGAYLLTLKDTFFDRAKACQIIASILVGKDEKIKVRLPPPT
+ILKPVTLWTGKQIFSVILRPSDDNPVRANLRTKGKQYCGKGEDLCANDSYVTIQNSELMS
+GSMDKGTLGSGSKNNIFYILLRDWGQNEAADAMSRLARLAPVYLSNRGFSIGIGDV
+>O14802_PF05000_732 <unknown description>
+NTGKLQQQPGCTAEETLEALILKELSVIRDHAGSACLRELDKSNSPLTMALCGSKGSFIN
+ISQMIACVGQQAISGSRVPDGFENRSLPHFEKHSKLPAAKGFV
+>O14802_PF04998_841 <unknown description>
+GLTPTEFFFHTMAGREGLVDTAVKTAETGYMQRRLVKSLEDLCSQYDLTVRSSTGDIIQF
+IYGGDGLDPAAMEGKDEPLEFKRVLDNIKAVFPCPSEPALSKNELILTTESIMKKSEFLC
+CQDSFLQEIKKFIKGVSEKIKKTRDKYGINDNGTTEPRVLYQLDRITPTQVEKFLETCRD
+KYMRAQMEPGSAVGALCAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINASKAI
+STPIITAQLDKDDDADYARLVKGRIEKTLLGEISEYIEEVFLPDDCFILVKLSLERIRLL
+RLEVNAETVRYSICTSKLRVKPGDVAVHGEAVVCVTPRENSKSSMYYVLQFLKEDLPKVV
+VQGIPEVSRAVIHIDEQSGKEKYKLLVEGDNLRAVMATHGVKGTRTTSNNTYEVEKTLGI
+EAARTTIINEIQYTMVNHGMSIDRRHVMLLSDLMTYKGEVLGITRFGLAKMKESV
+>Q9NW08_PF04563_38 <unknown description>
+GLVKQHIDSFNYFINVEIKKIMKANEKVTSDADPMWYLKYLNIYVGLPDVEESFNVTRPV
+SPHECRLRDMTYSAPITVDIEYTRGSQRIIRNALPIGRMPIMLRSSNCVLTGKTPAEFAK
+LNECPLDPGGYFIVKGVEKVILIQEQLSKNRIIVEADRKGAVGASVTSSTHEKKSRTNMA
+VKQGRFYLRHNTLSEDIPIVIIFKAMGVESDQEIVQMIGTEEHVMAAFGPSLEECQKAQI
+FTQMQALKYIGNKVRRQRMWGGGPKKTKIEEARELLASTILTHVPVKEFNFRAKCIYTAV
+MVRRVILAQGDNKVDDRDYYGNKRLELAGQLLSLLFEDLFKKFNSEMKKIADQVIPKQRA
+AQFDVVKHMRQDQIT
+>Q9NW08_PF04561_186 <unknown description>
+KNRIIVEADRKGAVGASVTSSTHEKKSRTNMAVKQGRFYLRHNTLSEDIPIVIIFKAMGV
+ESDQEIVQMIGTEEHVMAAFGPSLEECQKAQIFTQMQALKYIGNKVRRQRMWGGGPKKTK
+IEEARELLASTILTHVPVKEFNFRAKCIYTAVMVRRVILAQGDNKVDDRDYYGNKRLE
+>Q9NW08_PF04565_438 <unknown description>
+QVLSRLSYISALGMMTRISSQFEKTRKVSGPRSLQPSQWGMLCPSDTPEGEACGLVKNLA
+LMTHI
+>Q9NW08_PF04566_539 <unknown description>
+VFLNGNILGVIRDHKKLVNTFRLMRRAGYINEFVSISTNLTDRCVYISSDGGRLCRPYII
+VK
+>Q9NW08_PF04567_621 <unknown description>
+FEDFLHESLVEYLDVNEENDCNIALYEHTINKDTT
+>Q9NW08_PF00562_668 <unknown description>
+CAGLIPYPHHNQSPRNTYQCAMGKQAMGTIGYNQRNRIDTLMYLLAYPQKPMVKTKTIEL
+IEFEKLPAGQNATVAVMSYSGYDIEDALVLNKASLDRGFGRCLVYKNAKCTLKRYTNQTF
+DKVMGPMLDAATRKPIWRHEILDADGICSPGEKVENKQVLVNKSMPTVTQIPLEGSNVPQ
+QPQYKDVPITYKGATDSYIEKVMISSNAEDAFLIKMLLRQTRRPEIGDKFSSRHGQKGVC
+GLIVPQEDMPFCDSGICPDIIMNPHGFPSRMTVGKLIELLAGKAGVLDGRFHYGTAFGGS
+KVKDVCEDLVRHGYNYLGKDYVTSGITGEPLEAYIYFGPVYYQKLKHMVLDKMHARARGP
+RAVLTRQPTEGRSR
+>Q9NW08_PF04560_1043 <unknown description>
+GGLRLGEMERDCLIGYGASMLLLERLMISSDAFEVDVCGQCGLLGYSGWCHYCKSSCHVS
+SLRIPYACKLLFQELQSMNIIPRLK
+>Q9BUI4_PF08221_7 <unknown description>
+KLCSLLLQEHFGEIVEKIGVHLIRTGSQPLRVIAHDTGTSLDQVKKALCVLVQHNLVSYQ
+>Q9BUI4_PF05645_146 <unknown description>
+NTFVRLADTHFVQRCPSVPTTENSDPGPPPPAPTLVINEKDMYLVPKLSLIGKGKRRRSS
+DEDAAGEPKAKRPKYTTDNKEPIPDDGIYWQANLDRFHQHFRDQAIVSAVANRMDQTSSE
+IVRTMLRMSEITTSSSAPFTQPLSSNEIFRSLPVGYNISKQVLDQYLTLLADDPLEFVGK
+SGDSGGGMYVINLHKALASL
+>P05423_PF05132_263 <unknown description>
+EEELLFLQLPDTLPGQPPTQDIKPIKTEVQGEDGQVVLIKQEKDREAKLAENACTLADLT
+EGQVGKLLIRKSGRVQLLLGKVTLDVTMGTACSFLQELVSVGLGDSRTGEMTVLGHVKHK
+LVCSPDF
+>Q9NVU0_PF04801_5 <unknown description>
+EDDPVVQEIDVYLAKSLAEKLYLFQYPVRPASMTYDDIPHLSAKIKPKQQKVELEMAIDT
+LNPNYCRSKGEQIALNVDGACADETSTYSSKLMDKQTFCSSQTTSNTSRYAAALYRQGEL
+HLTPLHGILQLRPSFSYLDKADAKHREREAANEAGDSSQDEAEDDVKQITVRFSRPESEQ
+ARQRRVQSYEFLQKKHAEEPWVHLHYYGLRDSRSEHERQYLLCPGSSGVENTELVKSPSE
+YLMMLMPPSQEEEKDKPVAPSNVLSMAQLRTLPLADQIKILMKNVKVMPFANLMSLLGPS
+IDSVAVLRGIQKVAMLVQGNWVVKSDILYPKDSSSPHSGVPAEVLCRGRDFVMWKFTQSR
+WVVRKEVATVTKLCAEDVKDFLEHMAVVRINKGWEFILPYDGEFIKKHPDVVQRQHMLWT
+GIQAKLEK
+>Q9NVU0_PF19725_443 <unknown description>
+KKPDAQSGPAGLVCGDQRIQVAKTKAQQNHALLERELQRRKEQLRVPAVPPGVRIKEEPV
+SEEGEEDEEQEAEEEPMDTSPSGLHSKLANGLPLGRAAGTDSFNGHPPQGCASTPVAREL
+KAFVEATFQRQFVLTLSELKRLFNLHLASLPPGHTLFSGISDRMLQDTVLAAGCKQILVP
+FPPQTAASPDEQKVFALWESGDMSDQHRQVLLEIFSKNYRVRRNMIQSRLTQECGEDLSK
+QEVDKVLKDCCVSYGGMWYLKGTVQS
+>Q9H1D9_PF05158_13 <unknown description>
+ADPVEIENRIIELCHQFPHGITDQVIQNEMPHIEAQQRAVAINRLLSMGQLDLLRSNTGL
+LYRIKDSQNAGKMKGSDNQEKLVYQIIEDAGNKGIWSRDIRYKSNLPLTEINKILKNLES
+KKLIKAVKSVAASKKKVYMLYNLQPDRSVTGGAWYSDQDFESEFVEVLNQQCFKFLQSKA
+ETARESKQNPMIQRNSSFASSHEVWKYICELGISKVELSMEDIETILNTLIYDGKVEMTI
+IAAKEGTVGSVDGHMKLYRAVNPIIPPTGLVRAPCGLCPVFDDCHEGGEISPSNCIYMTE
+WLE
+>Q9BT43_PF11705_29 <unknown description>
+ALPPPTLQPSPLFPPLEFRPVPLPSGEEGEYVLALKQELRGAMRQLPYFIRPAVPKRDVE
+RYSDKYQMSGPIDNAIDWNPDWRRLPRELKIRVRKLQKERITILLPKRPPKTTEDKEETI
+QKLETLEKKEEEVTSEEDEEKEEEEEKEEEEEEEYDEEEHEEETDYIMSYFDNGED
+>O15318_PF11705_28 <unknown description>
+PDVVLKPPPLFPDTDYKPVPLKTGEGEEYMLALKQELRETMKRMPYFIETPEERQDIERY
+SKRYMKVYKEEWIPDWRRLPREMMPRNKCKKAGPKPKKAKDAGKGTPLTNTEDVLKKMEE
+LEKRGDGEKSDEENEEKEGSKEKSKEGDDDDDDDAAEQEEYDEEEQEEENDYINSYFEDG
+DD
+>Q9Y535_PF03876_9 <unknown description>
+DTVRIPPWQFERKLNDSIAEELNKKLANKVVYNVGLCICLFDITKLEDAYVFPGDG
+>Q9Y535_PF08292_83 <unknown description>
+EILIGKIKGCSPEGVHVSLGFFDDILIPPESLQQPAKFDEAEQVWVWEYETEEGAHDLYM
+DTGEEIRFRVVDESFVDTSPTGPSSADATTSSEELPKKEAPYTLVGSISEPGLGLLSWW
+>O75575_PF03874_10 <unknown description>
+LLSNYEVFQLLTDLKEQRKESGKNKHSSGQQNLNTITYETLKYISKTPCRHQSPEIVREF
+LTALKSHKLTKAEKLQLLNHRPVTAVEIQLMVEESEERLTEEQIEALLHTVTS
+>Q16518_PF03055_16 <unknown description>
+FETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHLFDGQALLHKFDFKEG
+HVTYHRRFIRTDAYVRAMTEKRIVITEFGTCAFPDPCKNIFSRFFSYFRGVEVTDNALVN
+VYPVGEDYYACTETNFITKINPETLETIKQVDLCNYVSVNGATAHPHIENDGTVYNIGNC
+FGKNFSIAYNIVKIPPLQADKEDPISKSEIVVQFPCSDRFKPSYVHSFGLTPNYIVFVET
+PVKINLFKFLSSWSLWGANYMDCFESNETMGVWLHIADKKRKKYLNNKYRTSPFNLFHHI
+NTYEDNGFLIVDLCCWKGFEFVYNYLYLANLRENWEEVKKNARKAPQPEVRRYVLPLNID
+KADTGKNLVTLPNTTATAILCSDETIWLEPEVLFSGPRQAFEFPQINYQKYCGKPYTYAY
+GLGLNHFVPDRLCKLNVKTKETWVWQEPDSYPSEPIFVSHPDALEEDDGVVLSVVVSPGA
+GQKPAYLLILNAKDLSEVARAEVEINIPVTFHGLFK
+>Q2QD12_PF00834_6 <unknown description>
+KIGPSILNSDLANLGAKCLQMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQLGQDP
+FFDMHMMVSKPEQWVKPMAVAEANQYTFHLEATENPGTLIKDIRENGMKVGLAIKPGTSV
+EYLAPWANQIDMALVMTVEPGFGEQKFMEDMMPKVHWLRTQFPSLDIEGDGGVGSDTVHK
+CAEAGANMTVSGSAI
+>Q96AT9_PF00834_6 <unknown description>
+KIGPSILNSDLANLGAECLRMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQLGQDP
+FFDMHMMVSKPEQWVKPMAVAGANQYTFHLEATENPGALIKDIRENGMKVGLAIKPGTSV
+EYLAPWANQIDMALVMTVEPGFGGQKFMEDMMPKVHWLRTQFPSLDIEVDGGVGPDTVHK
+CAEAGANMIVSGSAI
+>Q9H9Y2_PF04427_154 <unknown description>
+GRTVRLCEQLSTVIPNSHVYYRRGLALKKIIPQCIARDFTDLIVINEDRKTPNGLILSHL
+PNGPTAHFKMSSVRLRKEIKRRGKDPTEHIPEIILNNFTTRLGHSIGRMFASLFPHNPQF
+IGRQVATFHNQRDYIFFRFHRYIFRSEKKVGIQELGPRFTLKLR
+>Q9H7B2_PF04427_40 <unknown description>
+NANATVTKVLKDVYALKKPYGVLYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKR
+PNNLVIGRMYDYHVLDMIELGIENFVSLKDIKNSKCPEGTKPMLIFAGDDFDVTEDYRRL
+KSLLIDFFRGPTVSNIRLAGLEYVLHFTALNGKIYFRSYKLLLKKSGCRTPRIELEEMGP
+SLDLVLRR
+>O95398_PF00610_116 <unknown description>
+IRDRKYHLRLYRQCCSGRELVDGILALGLGVHSRSQVVGICQVLLDEGALCHVKHDWAFQ
+DRDAQFYRF
+>O95398_PF00027_268 <unknown description>
+KAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGLVTTLHEGDDFGQLALVNDAPRAATIIL
+REDNCHFLRVDKQDFNRIIK
+>O95398_PF00618_388 <unknown description>
+VMSGTPEKILELLLEAMGPDSSAHDPTETFLSDFLLTHRVFMPSAQLCAALLHHFHVEPA
+GGSEQERSTYVCNKRQQILRLVSQWVALYGSMLHTDPVATSFLQK
+>O95398_PF00617_665 <unknown description>
+DLAGQLTDHDWSLFNSIHQVELIHYVLGPQHLRDVTTANLERFMRRFNELQYWVATELCL
+CPVPGPRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAISRLAHTWERLPHKVRKLY
+SALERLLDPSWNHRVYRLALAKLSPPVIPFMPLLLKDMTFIHEGNHTLVENLINFEKMR
+>Q8WZA2_PF00027_63 <unknown description>
+NLEKGITLFRQGDIGTNWYAVLAGSLDVKVSETSSHQDAVTICTLGIGTAFGESILDNTP
+RHATIVTRESSELLRIEQKDFKALWEKY
+>Q8WZA2_PF00610_222 <unknown description>
+IRDRKYHLKTYRQCCVGTELVDWMMQQTPCVHSRTQAVGMWQVLLEDGVLNHVDQEHHFQ
+DKYLFYRF
+>Q8WZA2_PF00027_378 <unknown description>
+AKGGTVLFNQGEEGTSWYIILKGSVNVVIYGKGVVCTLHEGDDFGKLALVNDAPRAASIV
+LREDNCHFLRVDKEDFNRILR
+>Q8WZA2_PF00618_500 <unknown description>
+VMSGTPEKILEHFLETIRLEATLNEATDSVLNDFIMMHCVFMPNTQLCPALVAHYHAQPS
+QGTEQEKMDYALNNKRRVIRLVLQWAAMYGDLLQEDDVSMAFLEEF
+>Q8WZA2_PF00617_775 <unknown description>
+DLAYQMTIYDWELFNCVHELELIYHTFGRHNFKKTTANLDLFLRRFNEIQFWVVTEICLC
+SQLSKRVQLLKKFIKIAAHCKEYKNLNSFFAIVMGLSNVAVSRLALTWEKLPSKFKKFYA
+EFESLMDPSRNHRAYRLTVAKLEPPLIPFMPLLIKDMTFTHEGNKTFIDNLVNFEKMR
+>Q8TEU7_PF00027_303 <unknown description>
+QAGAIILEDGQELDSWYVILNGTVEISHPDGKVENLFMGNSFGITPTLDKQYMHGIVRTK
+VDDCQFVCIAQQDYWRILN
+>Q8TEU7_PF00618_416 <unknown description>
+VIKATPERLIMHLIEEHSIVDPTYIEDFLLTYRTFLESPLDVGIKLLEWFKIDSLRDKVT
+RIVLLWVNNHFNDFEGDPAMTRFLEEF
+>Q8TEU7_PF00595_531 <unknown description>
+VVLQKASRESPLQFSLNGGSEKGFGIFVEGVEPGSKAADSGLKRGDQIMEVNGQNFENIT
+FMKAVEILRNNTHLALTV
+>Q8TEU7_PF00788_750 <unknown description>
+DQVIRVFKVDQQSCYIIISKDTTAKEVVFHAVHEFGLTGASDTYSLCEVSVTPEGVIKQR
+RLPDQFSKLADRIQLNGRYYLKNN
+>Q8TEU7_PF00617_863 <unknown description>
+EVATQLSMRDFDLFRNIEPTEYIDDLFKLNSKTGNTHLKRFEDIVNQETFWVASEILTEA
+NQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLASVARLRGTWEKLPSKYEKHLQD
+LQDIFDPSRNMAKYRNILSSQSMQPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLR
+>P47736_PF02188_1 <unknown description>
+MIEKMQGSRMDEQRCSF
+>P47736_PF02145_211 <unknown description>
+FSTNEESPAFVEFLEFLGQKVKLQDFKGFRGGLDVTHGQTGTESVYCNFRNKEIMFHVST
+KLPYTEGDAQQLQRKRHIGNDIVAVVFQDENTPFVPDMIASNFLHAYVVVQAEGGGPDGP
+LYKVSVTARDDVPFFGPPLPDPAVFRKGPEFQEFLLTKLINAEYACYKAEKFAKLEERTR
+>Q684P5_PF02145_278 <unknown description>
+FGNNEESPAFKEFLDLLGDTITLQDFKGFRGGLDVTHGQTGVESVYTTFRDREIMFHVST
+KLPFTDGDAQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASNFLHAYIVVQVETPGTETP
+SYKVSVTAREDVPTFGPPLPSPPVFQKGPEFREFLLTKLTNAENACCKSDKFAKLEDRTR
+>Q96KN7_PF11618_619 <unknown description>
+SLLHQGENLFELHIHQAFLTSAALAQAGDTQPTTFCTYSFYDFETHCTPLSVGPQPLYDF
+TSQYVMETDSLFLHYLQEASARLDIHQAMASEHSTLAAGWICFDRVLETVEKVHGLATLI
+GAGGEEFGVLEYWMRLRFP
+>Q96KN7_PF00168_801 <unknown description>
+LWIEITKCCGLRSRWLGTQPSPYAVYRFFTFSDHDTAIIPASNNPYFRDQARFPVLVTSD
+LDHYLRREALSIHVFDDEDLEPGSYLGRARVPLLPLAKNESIKGDFNL
+>Q96KN7_PF18111_1114 <unknown description>
+DSEKMCIEIVSLAFYPEAEVMSDENIKQVYVEYKFYDLPLSETETPVSLRKPRAGEEIHF
+HFSKVIDLDPQEQQGRRRFLFDMLNGQDPDQGHLKFTVVSDPLDEEKKECEEVGYAYLQL
+WQILESGRDILEQELDIVSPEDLATPIGRLKVSLQAAAVLHAIYKE
+>Q92834_PF00415_55 <unknown description>
+KLYMFGSNNWGQLGLGSKSAISKPTCVKALKPEKVKLAACGRNHTLV
+>Q92834_PF00415_106 <unknown description>
+GNVYATGGNNEGQLGLGDTEERNTFHVISFFTSEHKIKQLSAGSNTSAAL
+>Q92834_PF00415_158 <unknown description>
+DGRLFMWGDNSEGQIGLKNVSNVCVPQQVTIGKPVSWISCGYYHSAFV
+>Q92834_PF00415_208 <unknown description>
+DGELYVFGEPENGKLGLPNQLLGNHRTPQLVSEIPEKVIQVACGGEHTVVL
+>Q92834_PF00415_262 <unknown description>
+AVYTFGLGQFGQLGLGTFLFETSEPKVIENIRDQTISYISCGENHTALI
+>Q92834_PF00415_314 <unknown description>
+GLMYTFGDGRHGKLGLGLENFTNHFIPTLCSNFLRFIVKLVACGGCHMVV
+>Q9UNE2_PF02318_46 <unknown description>
+HLSPAEVEAILQVIQRAERLDVLEQQRIGRLVERLETMRRNVMGNGLSQCLLCGEVLGFL
+GSSSVFCKDCRKKVCTKCGIEASPGQKRPLWLCKICSEQREVWKRSGAWFYKG
+>P49247_PF06026_127 <unknown description>
+VCIPTSFQARQLILQYGLTLSDLDRHPEIDLAIDGADEVDADLNLIKGGGGCLTQEKIVA
+GYASRFIVIADFRKDSKNLGDQWHKGIPIEVIPMAYVPVSRAVSQKFGGVVELRMAVNKA
+GPVVTDNGNFILDWKFDRVHKWSEVNTAIKMIPGVVDTGLFINMAERVYFGMQDG
+>Q9Y6S9_PF04212_51 <unknown description>
+VDAATQIRLALERDVSEDYEAAFNHYQNGVDVLLRGIHVDPNKERREAVKLKITKYLRRA
+EEI
+>Q9Y6S9_PF00069_383 <unknown description>
+SVREEQVKQWAAEMLVALEALHEQGVLCRDLHPGNLLLDQAGHIRLTYFGQWSEVEPQCC
+GEAVDNLYSAPEVGGISELTEACDWWSFGSLLYELLTGMALSQSHPSGIQAHTQLQLPEW
+LSRPAASLLTELLQFEPTRRLGMGEGGVSKLKSHPFF
+>P04843_PF04597_32 <unknown description>
+INEDVKRTVDLSSHLAKVTAEVVLAHLGGGSTSRATSFLLALEPELEARLAHLGVQVKGE
+DEEENNLEVRETKIKGKSGRFFTVKLPVALDPGAKISVIVETVYTHVLHPYPTQITQSEK
+QFVVFEGNHYFYSPYPTKTQTMRVKLASRNVESYTKLGNPTRSEDLLDYGPFRDVPAYSQ
+DTFKVHYENNSPFLTITSMTRVIEVSHWGNIAVEENVDLKHTGAVLKGPFSRYDYQRQPD
+SGISSIRSFKTILPAAAQDVYYRDEIGNVSTSHLLILDDSVEMEIRPRFPLFGGWKTHYI
+VGYNLPSYEYLYNLGDQYALKMRFVDHVFDEQVIDSLTVKIILPEGAKNIEIDSPYEISR
+APDELHYTYLDTFGRPVIVAYKKNLVEQHIQDIVVHYTFNKVLMLQEPLLVVAAFYILFF
+TVIIY
+>P04844_PF05817_10 <unknown description>
+FLLALTIIASTWALTPTHYLTKHDVERLKASLDRPFTNLESAFYSIVGLSSLGAQVPDAK
+KACTYIRSNLDPSNVDSLFYAAQASQALSGCEISISNETKDLLLAAVSEDSSVTQIYHAV
+AALSGFGLPLASQEALSALTARLSKEETVLATVQALQTASHLSQQADLRSIVEEIEDLVA
+RLDELGGVYLQFEEGLETTALFVAATYKLMDHVGTEPSIKEDQVIQLMNAIFSKKNFESL
+SEAFSVASAAAVLSHNRYHVPVVVVPEGSASDTHEQAILRLQVTNVLSQPLTQATVKLEH
+AKSVASRATVLQKTSFTPVGDVFELNFMNVKFSSGYYDFLVEVEGDNRYIANTVELRVKI
+STEVGITNVDLSTVDKDQSIAPKTTRVTYPAKAKGTFIADSHQNFALFFQLVDVNTGAEL
+TPHQTFVRLHNQKTGQEVVFVAEPDNKNVYKFELDTSERKIEFDSASGTYTLYLIIGDAT
+LKNPILWNVADVVIKFPEEEAPSTVLSQNLFTPKQEIQHLFREPEKRPPTVVSNTFTALI
+LSPLLLLFALWIRIGANVSNFTFAPSTIIFHLGHAAMLGLMYVYWTQLNMFQTLKYLAIL
+GSVTFLAGNRMLAQQAV
+>O00411_PF14700_429 <unknown description>
+HARKTLKTLRDQWEKALCRALRETKNRLEREVYEGRFSLYPFLCLLDEREVVRMLLQVLQ
+ALPAQGESFTTLARELSARTFSRHVVQRQRVSGQVQALQNHYRKYLCLLASDAEVPEPCL
+PRQYWEELGAPEALREQPWPLPVQMELGKLLAEMLVQATQMPCSLDKPHRSSRLVPVLYH
+VYSFRNVQQIGILKPHPAYVQLLEKAAEPTLTFEAVDVPMLCPPLPWTSPHSGAFLLSPT
+KLMRTVEGATQHQELLETCPPTALHGALDALTQLGN
+>O00411_PF00940_831 <unknown description>
+RPLGPHGLDWLKIHLVNLTGLKKREPLRKRLAFAEEVMDDILDSADQPLTGRKWWMGAEE
+PWQTLACCMEVANAVRASDPAAYVSHLPVHQDGSCNGLQHYAALGRDSVGAASVNLEPSD
+VPQDVYSGVAAQVEVFRRQDAQRGMRVAQVLEGFITRKVVKQTVMTVVYGVTRYGGRLQI
+EKRLRELSDFPQEFVWEASHYLVRQVFKSLQEMFSGTRAIQHWLTESARLISHMGSVVEW
+VTPLGVPVIQPYRLDSKVKQIGGGIQSITYTHNGDISRKPNTRKQKNGFPPNFIHSLDSS
+HMMLTALHCYRKGLTFVSVHDCYWTHAADVSVMNQVCREQFVRLHSEPILQDLSRFLVKR
+FCSEPQKILEASQLKETLQAVPKPGAFDLEQVKRSTYFFS
+>O95059_PF01900_34 <unknown description>
+GLNAAQFKQLLISAVKDLFGEVDAALPLDILTYEEKTLSAILRICSSGLVKLWSSLTLLG
+SYKGKKCAFRVIQVS
+>Q9H633_PF04032_13 <unknown description>
+RLNFLYQAAHCVLAQDPENQALARFYCYTERTIAKRLVLRRDPSVKRTLCRGCSSLLVPG
+LTCTQRQRRCRGQRWTVQTCLTCQ
+>Q9BUL9_PF01918_37 <unknown description>
+HMRVKEGSKIRNLMAFATASMAQPATRAIVFSGCGRATTKTVTCAEILKRRLAGLHQVTR
+LRYR
+>O95707_PF01868_129 <unknown description>
+KLLKADLHGAIISVTKSKCPSYVGITGILLQETKHIFKIITKEDRLKVIPKLNCVFTVET
+DGFISYIYGSKFQLRSSERSAK
+>P78346_PF01876_17 <unknown description>
+ALRGLVETAAHLGYSVVAINHIVDFKEKKQEIEKPVAVSELFTTLPIVQGKSRPIKILTR
+LTIIVSDPSHCNVLRATSSRARLYDVVAVFPKTEKLFHIACTHLDVDLVCITVTEKLPFY
+FKRPPINVAIDRGLAFELVYSPAIKDSTMRRYTISSALNLMQICKGKNVIISSAAERPLE
+IRGPYDVANLGLLFGLSESDAKAAVSTN
+>P78345_PF01248_101 <unknown description>
+VSGWTPAHVRKQLAIGVNEVTRALERRELLLVLVCKSVKPAMITSHLIQLSLSRSVPACQ
+VPRLSERIAPVIGLKCVLALAFKKNT
+>O75818_PF08584_75 <unknown description>
+HELITPEFISTFIKKGSCYALTYNTHIDEDNTVALLPNGKLILSLDKDTYEETGLQGHPS
+QFSGRKIMKFIVSIDLMELSLNLDSKKYERISWSFKEKKPLKFDFLLAWHKTGSEESTMM
+SYFSKYQIQEHQPKVALSTLRDLQCPVLQSSELEGTPEVSCRALELFDWLGAVFSNVDLN
+NEPNNFISTYCCPEPSTVVAKAYLCTITGFILPEKICLLLEHLCHYFDEPKLAPWVTLSV
+QGFADSPVSWEKNEHGFRKGGEHLYNFVIFNN
+>Q96P16_PF04818_8 <unknown description>
+ALEKKLSELSNSQQSVQTLSLWLIHHRKHSRPIVTVWERELRKAKPNRKLTFLYLANDVI
+QNSKRKGPEFTKDFAPVIVEAFKHVSSETDESCKKHLGRVLSIWEERSVYENDVLEQL
+>Q96P16_PF16566_165 <unknown description>
+DLVRALQDLENAASGDAAVHQRIASLPVEVQEVSLLDKITDKESGERLSKMVEDACMLLA
+DYNGRLAAEIDDRKQLTRMLADFLRCQKEALAEKEHKLEEYKRKLARVSLVRKELRSRIQ
+SLPDLSRLPNVTGSHMHLPFAGDIYS
+>Q9NQG5_PF04818_8 <unknown description>
+ALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFLYLANDVI
+QNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGEFIQQL
+>Q9NQG5_PF16566_178 <unknown description>
+EELIKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLL
+AEYNGRLAAELEDRRQLARMLVEYTQNQKDVLSEKEKKLEEYKQKLARVTQVRKELKSHI
+QSLPDLSLLPNVTGGLAPLPSAGDLFS
+>Q5VT52_PF04818_26 <unknown description>
+SLDRKFQSVTNTMESIQGLSSWCIENKKHHSTIVYHWMKWLRRSAYPHRLNLFYLANDVI
+QNCKRKNAIIFRESFADVLPEAAALVKDPSVSKSVERIFKIWEDRNVYPEEMI
+>Q5VT52_PF16566_212 <unknown description>
+KQLSTMRVDVCSTETLKCLKDKTGGKKFSKEFEEASSKLEEFVNGLDKQVKNGPSLTEAL
+ENAGIFYEAQYKEVKVVANAYKTFANRVNNLKKKLDQLKSTLPDPEESPV
+>Q6XPR3_PF01023_4 <unknown description>
+LLNSILSVIDVFHKYAKGNGDCALLCKEELKQLLLAEFGDILQRP
+>Q8N122_PF14538_55 <unknown description>
+MKTVSVALVLCLNVGVDPPDVVKTTPCARLECWIDPLSMGPQKALETIGANLQKQYENWQ
+PRARYKQSLDPTVDEVKKLCTSLRRNAKEERVLFHYNGHGVPRPTVNGEVWVFNKNYTQY
+IPLSIYDLQTWMGSPSIFVYDCSNAGLIVKSF
+>Q8N122_PF02985_604 <unknown description>
+LYSLLSDPIPEVRCAAVFALGTFV
+>Q8N122_PF00400_1159 <unknown description>
+QDIPTGADSCVTSLSCDSHRSLIVAGLGDGSIRVYD
+>Q7L523_PF04670_9 <unknown description>
+KVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNLWDCGGQDT
+FMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDAKIFCLVHK
+MDLVQEDQRDLIFKEREEDLRRLSRPLECACFRTSIWDETLYKAWSSIVYQLIPNVQQLE
+MNLRNFAQIIEADEVLLFERATFLVISHYQCKEQRDVHRFEKISNII
+>Q5VZM2_PF04670_42 <unknown description>
+KVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNLWDCGGQDT
+FMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDAKIFCLVHK
+MDLVQEDQRDLIFKEREEDLRRLSRPLECSCFRTSIWDETLYKAWSSIVYQLIPNVQQLE
+MNLRNFAEIIEADEVLLFERATFLVISHYQCKEQRDAHRFEKISNII
+>Q9HB90_PF04670_63 <unknown description>
+RILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKIYKDDISNSSFVNFQIWDFPGQMD
+FFDPTFDYEMIFRGTGALIYVIDAQDDYMEALTRLHITVSKAYKVNPDMNFEVFIHKVDG
+LSDDHKIETQRDIHQRANDDLADAGLEKLHLSFYLTSIYDHSIFEAFSKVVQKLIPQLPT
+LENLLNIFISNSGIEKAFLFDVVSKIYIATDSSPVDMQSYELCCDMI
+>Q9NQL2_PF04670_64 <unknown description>
+RILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKICREDVSNSSFVNFQIWDFPGQID
+FFDPTFDYEMIFRGTGALIFVIDSQDDYMEALARLHLTVTRAYKVNTDINFEVFIHKVDG
+LSDDHKIETQRDIHQRANDDLADAGLEKIHLSFYLTSIYDHSIFEAFSKVVQKLIPQLPT
+LENLLNIFISNSGIEKAFLFDVVSKIYIATDSTPVDMQTYELCCDMI
+>P62070_PF00071_16 <unknown description>
+RLVVVGGGGVGKSALTIQFIQSYFVTDYDPTIEDSYTKQCVIDDRAARLDILDTAGQEEF
+GAMREQYMRTGEGFLLVFSVTDRGSFEEIYKFQRQILRVKDRDEFPMILIGNKADLDHQR
+QVTQEEGQQLARQLKVTYMEASAKIRMNVDQAFHELVRVIR
+>P10301_PF00071_31 <unknown description>
+KLVVVGGGGVGKSALTIQFIQSYFVSDYDPTIEDSYTKICSVDGIPARLDILDTAGQEEF
+GAMREQYMRAGHGFLLVFAINDRQSFNEVGKLFTQILRVKDRDDFPVVLVGNKADLESQR
+QVPRSEASAFGASHHVAYFEASAKLRLNVDEAFEQLVRAVR
+>Q9P2E9_PF05104_33 <unknown description>
+MKETSYEEALANQRKEMAKTHHQKVEKKKKEKTVEKKGKTKKKEEKPNGKIPDHDPAPNV
+TVLLREPVRAPAVAVAPTPVQPPIIVAPVATVPAMPQEKLASSPKDKKKKEKKVAKVEPA
+VSSVVNSIQVLTSKAA
+>Q92766_PF00096_97 <unknown description>
+HSCSICGKSLSSASSLDRHMLVH
+>Q92766_PF00096_125 <unknown description>
+YKCTVCGQSFTTNGNMHRHMKIH
+>Q92766_PF13912_315 <unknown description>
+RFVCDTCDKAFPMLCSLALHKQTH
+>Q92766_PF13909_671 <unknown description>
+YQCNICDYIAADKAALIRHLRTHSG
+>Q92766_PF00096_1247 <unknown description>
+TCPHCPRVFPWASSLQRHMLTH
+>Q92766_PF13912_1568 <unknown description>
+VCSVCNKRFWSLQDLTRHMRSH
+>Q92766_PF00096_1595 <unknown description>
+YKCQTCERTFTLKHSLVRHQRIH
+>Q969S9_PF00009_69 <unknown description>
+KIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERGITIQSAAV
+TFDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVWRQADKHNI
+PRICFLNKMDKTGASFKYAVESIREKLKAKPLLLQLPIGEAKTFKGVVDVVMKEKLLWNC
+NSNDGKDFERKPLLEMNDPELLKETTEARNALIEQVADLDDEFADLVLEEFSENFDLLPA
+EKLQTAIHRVTLAQTAVPVLCGSALKNKGIQPLLDAVTMYLPS
+>Q969S9_PF03144_381 <unknown description>
+GPLVFMRIYSGTIKPQLAIHNINGNCTERISRLLLPFADQHVEIPSLTAGNIALTVGLKH
+TATGDTI
+>Q969S9_PF14492_485 <unknown description>
+IPEPVFFCTIEPPSLSKQPDLEHALKCLQREDPSLKVRLDPDSGQTVLCGMGELHIEIIH
+DRIKREYGLETYLG
+>Q969S9_PF03764_561 <unknown description>
+QVAYRETILNSVRATDTLDRTLGDKRHLVTVEVEARPIETSSVMPVIEFEYAESINEGLL
+KVSQEAIENGIHSACLQGPLLGSPIQDVAITLHSLTIHPGTSTTMISACVSRCVQKALKK
+A
+>Q969S9_PF00679_685 <unknown description>
+VLEPLMNLEVTVARDYLSPVLADLAQRRGNIQEIQTRQDNKVVIGFVPLAEIMGYSTVLR
+TLTSGSATFALELSTYQAMNPQDQNT
+>Q96E11_PF01765_99 <unknown description>
+LKDNFNKTLNIRTSPGSLDKIAVVTADGKLALNQISQISMKSPQLILVNMASFPECTAAA
+IKAIRESGMNLNPEVEGTLIRVPIPQVTREHREMLVKLAKQNTNKAKDSLRKVRTNSMNK
+LKKSKDTVSEDTIRLIEKQISQMADDTVAELDRHLAVKTKELL
+>Q9NYV6_PF05327_55 <unknown description>
+TVTEVLLKYKKGETNDFELLKNQLLDPDIKDDQIINWLLEFRSSIMYLTKDFEQLISIIL
+RLPWLNRSQTVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIIKEGDVDVSDSDD
+EDDNLPANFDTCHRALQIIARYVPSTPWFLMPILVEKFPFVRKSERTLECYVHNLLRISV
+YFPTLRHEILELIIEKLLKLDVNASRQGIEDAEETATQTCGGTDSTEGLFNMDEDEETEH
+ETKAGPERLDQMVHPVAERLDILMSLVLSYMKDVCYVDGKVDNGKTKDLYRDLINIFDKL
+LLPTHASCHVQFFMFYLCSFKLGFAEAFLEHLWKKLQDPSNPAIIRQAAGNYIGSFLARA
+KFIPLITVKSCLDLLVNWLHIYLNNQDSGTKAFCDVALHGPFYSACQAVFYTFVFRHKQL
+LSGNLKEGLQYLQSLNFERIVMSQLNPLKICLPSVVNFFAAITNKYQLVFCYTIIERNNR
+QMLPVIRSTAGGDSVQICTNPLDTFFPFDPCVLKRSKKFIDPIYQVWEDMS
+>Q5JTH9_PF08161_470 <unknown description>
+KMFRAVEEGLTYKFHAAWSSVLQLLCVFFEACGRQAHPVMRKCLQSLCDLRLSPHFPHTA
+ALDQAVGAAVTSMGPEVVLQAVPLEIDGSEETLDFPRSWLLPVIRDHVQETRLGFFTTYF
+LPLANTLKSKAMDLAQAGSTVESKIYDTLQWQMWTLLPGFCTRPTDVAISFKGLARTLGM
+AISERPDLRVTVCQALRTLITK
+>Q9Y3B9_PF07890_92 <unknown description>
+WADAMAKVLNKKTPESKPTILVKNKKLEKEKEKLKQERLEKIKQRDKRLEWEMMCRVKPD
+VVQDKETERNLQRIATRGVVQLFNAVQKHQKNVDEKVKEAGSSMRKRAKLISTVSKKDFI
+SVLR
+>Q14684_PF05997_11 <unknown description>
+QFAQRLASSEKGIRDRAVKKLRQYISVKTQRETGGFSQEELLKIWKGLFYCMWVQDEPLL
+QEELANTIAQLVHAVNNSAAQHLFIQTFWQTMNREWKGIDRLRLDKYYMLIRLVLRQSFE
+VLKRNGWEESRIKVFLDVLMKEVLCPESQSPNGVRFHFIDIYLDELSKVGGKELLADQNL
+KFIDPFCKIAAKTKDHTLVQTIARGVFE
+>P56182_PF05997_12 <unknown description>
+QLAQRLAGNEQVTRDRAVRKLRKYIVARTQRAAGGFTHDELLKVWKGLFYCMWMQDKPLL
+QEELGRTISQLVHAFQTTEAQHLFLQAFWQTMNREWTGIDRLRLDKFYMLMRMVLNESLK
+VLKMQGWEERQIEELLELLMTEILHPSSQAPNGVKSHFIEIFLEELTKVGAEELTADQNL
+KFIDPFCRIAARTKDSLVLNNITRGIF
+>Q96EU6_PF06102_90 <unknown description>
+DKHRPLEMSAKIRVPFLRQVVPISKKVARDPRFDDLSGEYNPEVFDKTYQFLNDIRAKEK
+ELVKKQLKKHLSGEEHEKLQQLLQRMEQQEMAQQERKQQQELHLALKQERRAQAQQGHRP
+YFLKKSEQRQLALAEKFKELKRSKKLENFLSRKRRRNAGKDRR
+>Q9Y2L1_PF13638_68 <unknown description>
+PDTNVLLHQIDVLEDPAIRNVIVLQTVLQEVRNRSAPVYKRIRDVTNNQEKHFYTFTNEH
+HRETYVEQEQGENANDRNDRAIRVAAKWYNEHLKKMSADNQLQVIFITNDRRNKEKAIEE
+GIPAFT
+>Q9Y2L1_PF17216_227 <unknown description>
+IFSEHLPLSKLQQGIKSGTYLQGTFRASRENYLEATVWIHGDNEENKEIILQGLKHLNRA
+VHEDIVAVELLPKSQWVAPSSVVLHDEGQNEED
+>Q9Y2L1_PF17849_372 <unknown description>
+LFTPADKRIPRIRIETRQASTLEGRRIIVAIDGWPRNSRYPNGHFVRNLGDVGEKETETE
+VLLLEHD
+>Q9Y2L1_PF00773_467 <unknown description>
+REDLRHLCICSVDPPGCTDIDDALHCRELENGNLEVGVHIADVSHFIRPGNALDQESARR
+GTTVYLCEKRIDMVPELLSSNLCSLKCDVDRLAFSCIWEMNHNAEILKTKFTKSVINSKA
+SLTYAEAQLRIDSANMNDDITTSLRGLNKLAKILKKRRIEKGALTLSSPEVRFHMDSETH
+DPIDLQTKELRETNSMVEEFMLLANISVAKKIHEEFSEHALLRKHPAPPPSNYEILVKAA
+RSRNLEIKTDTAKSLAESLDQAESPTFPYLNTLLRILATRCMMQAVYFCSGMDNDFHHYG
+LASPIYTHFTSPIRRYADVIVHRLLAV
+>Q9Y2L1_PF17215_847 <unknown description>
+SEEAYILFVRKNAIVVLIPKYGLEGTVFFEEKDKPNPQLIYDDEIPSLKIEDTVFHVFDK
+VKVKIMLDSSNL
+>Q14690_PF00575_451 <unknown description>
+EPGAVVKGTVLTIKSYGMLVKVGEQMRGLVPPMHLADILMKNPEKKYHIGDEVKCRVLLC
+DPEAKKLMMTL
+>Q14690_PF00575_547 <unknown description>
+GFIIRVKDYGCIVKFYNNVQGLVPKHELSTEYIPDPERVFYTGQVVKVVVLNCEPSKERM
+LLSF
+>Q14690_PF00575_734 <unknown description>
+GFVKSIKDYGVFIQFPSGLSGLAPKAIMSDKFVTSTSDHFVEGQTVAAKVTNVDEEKQRM
+LLSLR
+>Q14690_PF00575_1035 <unknown description>
+IGDMVTGTVKSIKPTHVVVTLEDGIIGCIHASHILDDVPEGTSPTTKLKVGKTVTARVIG
+GR
+>Q14690_PF05843_1727 <unknown description>
+VWIKYGAFLLRRSQAAASHRVLQRALECLPSKEHVDVIAKFAQLEFQLGDAERAKAIFEN
+TLSTYPKRTDVWSVYIDMTIKHGSQKDVRDIFERVIHLSLAPKRMKFFFKRYLDYEKQHG
+TEKDVQAVKAKALEYVEAKSSV
+>Q9Y3A4_PF17799_22 <unknown description>
+GYAAIPIKFSEKQQASHYLYVRAHGVRQGTKSTWPQKRTLFVLNVPPYCTEESLSRLLST
+CGLVQSVE
+>Q9Y3A4_PF12923_162 <unknown description>
+SVPDPEALRVEVDTFMEAYDQKIAEEEAKAKEEEGVPDEEGWVKVTRRGRRPVLPRTEAA
+SLRVLERERRKRSRKELLNFYAWQHRESKMEHLAQLRKKFEEDKQRIELLRAQRKFRPY
+>O43159_PF05148_238 <unknown description>
+LRARMAQRLDGARFRYLNEQLYSGPSSAAQRLFQEDPEAFLLYHRGFQSQVKKWPLQPVD
+RIARDLRQRPASLVVADFGCGDCRLASSIRNPVHCFDLASLDPRVTVCDMAQVPLEDESV
+DVAVFCLSLMGTNIRDFLEEANRVLKPGGLLKVAEVSSRFEDVRTFLRAVTKLGFKIVSK
+DLTNSHFFLFDFQKTGPPLVGPKAQLSGLQLQPCLYKRR
+>Q15050_PF04939_32 <unknown description>
+LQFDLGNLLASDRNPPTGLRCAGPTPEAELQALARDNTQLLINQLWQLPTERVEEAIVAR
+LPEPTTRLPREKPLPRPRPLTRWQQFARLKGIRPKKKTNLVWDEVSGQWRRRWGYQRARD
+DTKEWLIEVPGNADPLEDQFAKRIQAKKERVAKNELNRLRNL
+>P46783_PF03501_3 <unknown description>
+MPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKEQF
+AWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRS
+>P62280_PF16205_5 <unknown description>
+QTERAYQKQPTIFQNKKRVLLGETGKEKLPRYYKNIGLGFKTPKEAIEGTYIDKKCPFTG
+NVSIRGRIL
+>P62280_PF00366_75 <unknown description>
+GVVTKMKMQRTIVIRRDYLHYIRKYNRFEKRHKNMSVHLSPCFRDVQIGDIVTVGECRPL
+SKTVRFNVLK
+>P25398_PF01248_17 <unknown description>
+ALQEVLKTALIHDGLARGIREAAKALDKRQAHLCVLASNCDEPMYVKLVEALCAEHQINL
+IKVDDNKKLGEWVGLCKIDREGKPRKVVGCSCVV
+>P62277_PF08069_1 <unknown description>
+MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGVILRDSHGV
+>P62277_PF00312_75 <unknown description>
+LKSKGLAPDLPEDLYHLIKKAVAVRKHLERNRKDKDAKFRLILIESRIHRLARYYKTKRV
+LPPNWKYESSTA
+>P62263_PF00411_29 <unknown description>
+GVCHIFASFNDTFVHVTDLSGKETICRVTGGMKVKADRDESSPYAAMLAAQDVAQRCKEL
+GITALHIKLRATGGNRTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKGGRR
+>P62244_PF00410_6 <unknown description>
+VLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGKIVVNL
+TGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKHTGGKI
+LGFF
+>P62841_PF00203_43 <unknown description>
+RRLNRGLRRKQHSLLKRLRKAKKEAPPMEKPEVVKTHLRDMIILPEMVGSMVGVYNGKTF
+NQVEIKPEMIGHYLGEFSITYKPVKH
+>P62249_PF00380_14 <unknown description>
+GRKKTATAVAHCKRGNGLIKVNGRPLEMIEPRTLQYKLLEPVLLLGKERFAGVDIRVRVK
+GGGHVAQIYAIRQSISKALVAYYQKYVDEASKKEIKDILIQYDRTLLVADPRRCESKKFG
+GPGARARYQKSYR
+>P08708_PF00833_1 <unknown description>
+MGRVRTKTVKKAARVIIEKYYTRLGNDFHTNKRVCEEIAIIPSKKLRNKIAGYVTHLMKR
+IQRGPVRGISIKLQEEERERRDNYVPEVSALDQEIIEVDPDTKEMLKLLDFGSLSNLQVT
+Q
+>P62269_PF00416_14 <unknown description>
+RVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELTEDEVERVITIMQN
+PRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAHRGLRHFWGLRVRG
+QHTKTTGRR
+>P39019_PF01090_5 <unknown description>
+TVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAASTARHL
+YLRGGAGVGSMTKIYGGRQRNGVMPSHFSRGSKSVARRVLQALEGLKMVEKDQDGGRKLT
+PQGQRDLDRIAGQVAA
+>P60866_PF00338_21 <unknown description>
+RITLTSRNVKSLEKVCADLIRGAKEKNLKVKGPVRMPTKTLRITTRKTPCGEGSKTWDRF
+QMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVT
+>P63220_PF01249_1 <unknown description>
+MQNDAGEFVDLYVPRKCSASNRIIGAKDHASIQMNVAEVDKVTGRFNGQFKTYAICGAIR
+RMGESDDSILRLAKADGIV
+>P62266_PF00164_30 <unknown description>
+AHLGTALKANPFGGASHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKITAFVPNDG
+CLNFIEENDEVLVAGFGRKGHAVGDIPGVRFKVVKVANVSLLALYKGKKERPR
+>P62847_PF01282_24 <unknown description>
+VIDVLHPGKATVPKTEIREKLAKMYKTTPDVIFVFGFRTHFGGGKTTGFGMIYDSLDYAK
+KNEPKHRLARHGLYEKKK
+>P62851_PF03297_13 <unknown description>
+GKSAKKDKDPVNKSGGKAKKKKWSKGKVRDKLNNLVLFDKATYDKLCKEVPNYKLITPAV
+VSERLKIRGSLARAALQELLSKGLIKLVSKHRAQVIYTRN
+>P62854_PF01283_1 <unknown description>
+MTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFD
+AYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPA
+>P62979_PF00240_3 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P62979_PF01599_102 <unknown description>
+VLKYYKVDENGKISRLRRECPSDECGAGVFMASHFDRHYCGKCCLT
+>Q71UM5_PF01667_28 <unknown description>
+PNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRK
+>P42677_PF01667_28 <unknown description>
+PNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRK
+>P62857_PF01200_7 <unknown description>
+QPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLESEREAR
+RL
+>P62273_PF00253_8 <unknown description>
+WSHPRKFGQGSRSCRVCSNRHGLIRKYGLNMCRQCFRQYAKDIGFIK
+>P15880_PF00333_102 <unknown description>
+LKDEVLKIMPVQKQTRAGQRTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLS
+IVPVR
+>P15880_PF03719_186 <unknown description>
+GRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKATFDAIS
+KTYSYLTPDLWKE
+>P62861_PF00240_4 <unknown description>
+FVRAQELHTFEVTGQETVAQIKAHVASLEGIAPEDQVVLLAGAPLEDEATLGQCGVEALT
+TLEVAGRM
+>P62861_PF04758_75 <unknown description>
+KVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVVPTFGKKKGPNAN
+>P61247_PF01015_17 <unknown description>
+AKKKVVDPFSKKDWYDVKAPAMFNIRNIGKTLVTRTQGTKIASDGLKGRVFEVSLADLQN
+DEVAFRKFKLITEDVQGKNCLTNFHGMDLTRDKMCSMVKKWQTMIEAHVDVKTTDGYLLR
+LFCVGFTKKRNNQIRKTSYAQHQQVRQIRKKMMEIMTREVQTNDLKEVVNKLIPDSIGKD
+IEKACQSIYPLHDVFVRKVKMLKKP
+>P23396_PF07650_20 <unknown description>
+ELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLGEKGRRIRELTAVVQKRFGF
+PEGSVELYAEKVAT
+>P23396_PF00189_106 <unknown description>
+RYKLLGGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRGQRAKSMKFVDGLMIHSGDPVN
+YYVDTAVRHVLLRQGVLGIKVKI
+>P62701_PF08071_3 <unknown description>
+RGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLR
+>P62701_PF01479_43 <unknown description>
+PLIIFLRNRLKYALTGDEVKKICMQRFIKIDGKVRTDITYPAGFMDVI
+>P62701_PF00900_95 <unknown description>
+TGENFRLIYDTKGRFAVHRITPEEAKYKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLI
+KVNDTIQIDLETGKI
+>P62701_PF00467_178 <unknown description>
+GNLCMVTGGANLGRIGVITNRERHPGSFDVVHVK
+>P62701_PF16121_212 <unknown description>
+DANGNSFATRLSNIFVIGKGNKPWISLPRGKGIRLTIAEERDKRLAAK
+>P22090_PF08071_3 <unknown description>
+RGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLR
+>P22090_PF00900_95 <unknown description>
+TGEHFRLVYDTKGRFAVHRITVEEAKYKLCKVRKITVGVKGIPHLVTHDARTIRYPDPVI
+KVNDTVQIDLGTGKI
+>P22090_PF00467_178 <unknown description>
+GNLCMVIGGANLGRVGVITNRERHPGSFDVVHVK
+>P22090_PF16121_212 <unknown description>
+DANGNSFATRLSNIFVIGNGNKPWISLPRGKGIRLTVAEERDKRLAT
+>Q8TD47_PF08071_3 <unknown description>
+RGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLR
+>Q8TD47_PF00900_95 <unknown description>
+TGEHFRLVYNTKGCFAVHRITVEEAKYKLCKVRKITVGTKGIPHLVTHDARTIRYPDPLI
+KVNDTVQIDLGTGKI
+>Q8TD47_PF00467_178 <unknown description>
+GNVCMVIAGANLGRVGVITNRERHPGSCDVVHVK
+>Q8TD47_PF16121_212 <unknown description>
+DANGNSFATRISNIFVIGNGNKPWISLPRGKGIRLTIAEERDKRLAAK
+>P46782_PF00177_62 <unknown description>
+RKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSGP
+REDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINAA
+KGSSNSYAIKKKDELERVAKSNR
+>P62753_PF01092_1 <unknown description>
+MKLNISFPATGCQKLIEVDDERKLRTFYEKRMATEVAADALGEEWKGYVVRISGGNDKQG
+FPMKQGVLTHGRVRLLLSKGHSCYRPRRTGERKRKSVRGCIVDANLSVLNLVIVKKGEKD
+IPGLTD
+>P62081_PF01251_7 <unknown description>
+KIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAIIIFVPV
+PQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTRKSRTKNKQKRPRSRTLTAVH
+DAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKLTGKDVN
+FEF
+>P62241_PF01201_5 <unknown description>
+RDNWHKRRKTGGKRKPYHKKRKYELGRPAANTKIGPRRIHTVRVRGGNKKYRALRLDVGN
+FSWGSECCTRKTRIIDVVYNASNNELVRTKTLVKNCIVLIDSTPYRQWYESHYALPLGRK
+KGAKLTPEEEEILNKKRSKKIQKKYDERKKNAKISSLLEEQFQQGKLLACIASRPGQCGR
+ADGYVL
+>P46781_PF00163_19 <unknown description>
+PFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAARELLTL
+>P46781_PF01479_108 <unknown description>
+RRLQTQVFKLGLAKSIHHARVLIRQRHIRVRKQVVNIPSFIVRL
+>Q9HA92_PF04055_44 <unknown description>
+VHWPYCEKRCSYCNFNKYIPRRLEEAAMQKCLVTEAQTLLRLSGVQRVESVFFGGGTPSL
+ASPHTVAAVLEAVAQAAHLPADLEVTLEANPTSAPGSRLAEFGAAGVNRLSIGLQSLDDT
+ELRLLGRTHSACDALRTLAEARRLFPGRVSVDLMLGLPAQ
+>Q9HA92_PF06969_349 <unknown description>
+LELLEEVLALGLRTDVGITHQHWQQFEPQLTLWDVFGANKEVQELLERGLLQLDHRGLRC
+SWEGL
+>Q8WXG1_PF13353_77 <unknown description>
+YHFTRQCNYKCGFCFHTAKTSFVLPLEEAKRGLLLLKEAGMEKINFSGGEPFLQDRGEYL
+GKLVRFCKVELRLPSVSIVSNGSLIRERWFQNYGEYLD
+>Q8WXG1_PF04055_79 <unknown description>
+FTRQCNYKCGFCFHTAKTSFVLPLEEAKRGLLLLKEAGMEKINFSGGEPFLQDRGEYLGK
+LVRFCKVELRLPSVSIVSNGSLIRERWFQNYGEYLDILAISCDSFDEEVNVLIGRGQGKK
+NHVENLQKLRR
+>Q96T23_PF15612_97 <unknown description>
+EFNSTWAWEMEKKGYLEMSVECKLALLKYLCECQFDDNLKFKNIIN
+>Q96T23_PF00628_894 <unknown description>
+CKKCGLPNHPELILLCDSCDSGYHTACLRPPLMIIPDGEWFCPPCQH
+>Q5TD94_PF04712_214 <unknown description>
+AKAYLLKTSSNSGFNLYDHLSNMLTKILNERPENAVDIFENISQDVKMAHFSKKFDALQN
+ENELLPTYEIAEKQKALFLQGHLEGVDQELEDEIAENALPNVMESAFYFEQAGVGLGTDE
+TYRIFLALKQLTDTHPIQRCRFWGKILGLEMNYIVAEVEFREGEDEEEVEEEDVAEERDN
+GESEAHEDEEDELPKSFYKAPQAIPKEESRTGANKYVYFVCNEPGRPWVKLPPVIPAQIV
+IARKIKKFFTGRLDAPIISYPPFPGNESNYLRAQIARISAGTHVSPLGFYQFGEEEGEEE
+EEAEGGRNSFEENPDFEGIQVIDLVESLSNWVHHVQHILSQGRCNWFNSIQKNEEEEEEE
+DEEKDDSDYIEQEVGLPLLTPISEDLEIQNIPPWTTRLSSNLIPQYAIAVLQSNLWPGAY
+AFSNGKKFENFYIGWGHKYSPDNYTPPVPPPVYQEYPSGPEITEMDDPSVEEEQ
+>Q9H0K4_PF04712_202 <unknown description>
+AKAYLLQTSINCDLSLYEHLVNLLTKILNQRPEDPLSVLESLNRTTQWEWFHPKLDTLRD
+DPEMQPTYKMAEKQKALFTRSGGGTEGEQEMEEEVGETPVPNIMETAFYFEQAGVGLSSD
+ESFRIFLAMKQLVEQQPIHTCRFWGKILGIKRSYLVAEVEFREGEEEAEEEEVEEMTEGG
+EVMEAHGEEEGEEDEEKAVDIVPKSVWKPPPVIPKEESRSGANKYLYFVCNEPGLPWTRL
+PHVTPAQIVNARKIKKFFTGYLDTPVVSYPPFPGNEANYLRAQIARISAATQVSPLGFYQ
+FSEEEGDEEEEGGAGRDSYEENPDFEGIPVLELVDSMANWVHHTQHILPQGRCTWVNPLQ
+KTEEEEDLGEEEEKADEGPEEVEQEVGPPLLTPLSEDAEIMHLAPWTTRLSCSLCPQYSV
+AVVRSNLWPGAYAYASGKKFENIYIGWGHKYSPESFNPALPAPIQQEYPSGPEIMEMSDP
+TVEEEQ
+>Q92737_PF00071_7 <unknown description>
+VAVLGAPGVGKTAIIRQFLFGDYPERHRPTDGPRLYRPAVLLDGAVYDLSIRDGDVAGPG
+SSPGGPEEWPDAKDWSLQDTDAFVLVYDICSPDSFDYVKALRQRIAETRPAGAPEAPILV
+VGNKRDRQRLRFGPRRALAALVRRGWRCGYLECSAKYNWHVLRLFRELLRC
+>Q96S79_PF00071_7 <unknown description>
+VAVLGARGVGKSAIVRQFLYNEFSEVCVPTTARRLYLPAVVMNGHVHDLQILDFPPISAF
+PVNTLQEWADTCCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRVIGTSETPIIIV
+GNKRDLQRGRVIPRWNVSHLVRKTWKCGYVECSAKYNWHILLLFSELLKSV
+>Q6T310_PF00071_29 <unknown description>
+KLAVLGAGRVGKSAMIVRFLTKRFIGDYEPNTGKLYSRLVYVEGDQLSLQIQDTPGGVQI
+QDSLPQVVDSLSKCVQWAEGFLLVYSITDYDSYLSIRPLYQHIRKVHPDSKAPVIIVGNK
+GDLLHARQVQTQDGIQLANELGSLFLEISTSENYEDVCDVFQHLCKEVS
+>Q9BPW5_PF00071_35 <unknown description>
+KIAVVGASGVGKTALVVRFLTKRFIGDYERNAGNLYTRQVQIEGETLALQVQDTPGIQVH
+ENSLSCSEQLNRCIRWADAVVIVFSITDYKSYELISQLHQHVQQLHLGTRLPVVVVANKA
+DLLHIKQVDPQLGLQLASMLGCSFYEVSVSENYNDVYSAFHVLCKEV
+>P14678_PF01423_10 <unknown description>
+LQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNSKQAEREEKRVLGL
+VLLRGENLVSMT
+>P63162_PF01423_10 <unknown description>
+LQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNAKQPEREEKRVLGL
+VLLRGENLVSMT
+>Q9UHP6_PF00514_221 <unknown description>
+REGKKQVCHFDVIPILVHLLKDPVEHVKSNAAGAL
+>Q8WYR4_PF02493_28 <unknown description>
+GERHGRGRARLPNGDT
+>Q8WYR4_PF02493_44 <unknown description>
+YEGSYEFGKRHGQGIYKFKNGAR
+>Q8WYR4_PF02493_67 <unknown description>
+YIGEYVRNKKHGQGTFIYPDGSR
+>Q8WYR4_PF02493_90 <unknown description>
+YEGEWANDLRHGHGVYYYINNDT
+>Q8WYR4_PF02493_113 <unknown description>
+YTGEWFAHQRHGQGTYLYA
+>Q8WYR4_PF02493_137 <unknown description>
+YVGTWVNGQQEG
+>Q8WYR4_PF02493_159 <unknown description>
+YQGKFLNKNPVGPGKYVFDVGC
+>Q2MKA7_PF15913_42 <unknown description>
+KGCELCSEVNGCLKCSPKLFILLERNDIRQVGVCLPSCPPGYFDARNPDMNKCIKCKIEH
+CEACFSHNFCTKCKEGLYLHKGRCYPACPEGSSAANGTMEC
+>Q2MKA7_PF00090_151 <unknown description>
+SEWSPWGPCSKKQQLCGFRRGSEERTRRVLHAPVGDHAACSDTKETRRCTV
+>Q6UXX9_PF15913_40 <unknown description>
+CKGCLSCSKDNGCSRCQQKLFFFLRREGMRQYGECLHSCPSGYYGHRAPDMNRCARCRIE
+NCDSCFSKDFCTKCKVGFYLHRGRCFDECPDGFAPLEETMEC
+>Q9BXY4_PF15913_41 <unknown description>
+CQGGCATCSDYNGCLSCKPRLFFALERIGMKQIGVCLSSCPSGYYGTRYPDINKCTKCKA
+DCDTCFNKNFCTKCKSGFYLHLGKCLDNCPEGLEANNHTMEC
+>Q9BXY4_PF19028_148 <unknown description>
+CEVSEWNPWSPCTKKGKTCGFKRGTETRVREIIQHPSAKGNLCPPTNETRKCTVQR
+>Q2I0M5_PF15913_35 <unknown description>
+CTGCIICSEENGCSTCQQRLFLFIRREGIRQYGKCLHDCPPGYFGIRGQEVNRCKKCGAT
+CESCFSQDFCIRCKRQFYLYKGKCLPTCPPGTLAHQNTREC
+>Q96DX4_PF00622_361 <unknown description>
+WYYEVTVVTSGVMQIGWATRDSKFLNHEGYGIGDDEYSCAYDGCRQLIWYNARSKPHIHP
+CWKEGDTVGFLLDLNEKQMIFFLNGNQLPPEKQVFSSTVSGFFAAASFMSYQQCEFNFGA
+>Q96DX4_PF13920_524 <unknown description>
+ENCCSLCCDEVADTQLKPCGHSDLCMDCALQLETCPLCRKEIV
+>Q7L4I2_PF15477_354 <unknown description>
+WEKLNFGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQ
+THTQRGMGLG
+>Q9BUV0_PF17069_1 <unknown description>
+MSNYVNDMWPGSPQEKDSPSTSRSGGSSRLSSRSRSRSFSRSSRSHSRVSSRFSSRSRRS
+KSRSRSRRRHQRKYRRYSRSYSRSRSRSRSRRYRERRYGFTRRYYRSPSRYRSRSRSRSR
+SRGRSYCGRAYAIARGQRYYGFGRTVYPEEHSRWRDRSRTRSRSRTPFRLSEKDRMELLE
+IAKTNAAKALGTTNIDLPASLRTVPSAKETSRGIGVSSNGAKPELSEKVTEDGTRNPNEK
+PTQQRSIAFSSNNSVAKPIQKSAKAATEEASSRSPKIDQKKSPYGLWIPI
+>P08865_PF00318_19 <unknown description>
+LAAGTHLGGTNLDFQMEQYIYKRKSDGIYIINLKRTWEKLLLAARAIVAIENPADVSVIS
+SRNTGQRAVLKFAAATGATPIAGRFTPGTFTNQI
+>P08865_PF00318_113 <unknown description>
+QAAFREPRLLVVTDPRADHQPLTEASYVNLPTIALCNTDSPLRYVDIAIPCNNKGAHSVG
+LMWWMLAREV
+>P08865_PF16122_202 <unknown description>
+YFYRDPEEIEKEEQAAAEKAVTKEEFQGEWTAPAPEFTATQPEVADWSEGVQVPSVPIQQ
+FPTEDWSAQPATEDWSAAPTAQATEWVGATTDWS
+>Q15404_PF13855_41 <unknown description>
+HITQLVLSHNKLTMVPPNIAELKNLEVLNFFNNQIEELPTQISSLQKLKHLNLGMNRL
+>Q15404_PF13855_135 <unknown description>
+TLRALYLSDNDFEILPPDIGKLTKLQILSLRDNDLISLPKEIGELTQLKELHIQGNRL
+>Q9Y399_PF00318_84 <unknown description>
+LFDARVHLGHKAGCRHRFMEPYIFGSRLDHDIIDLEQTATHLQLALNFTAHMAYRKGIIL
+FISRNRQFSYLIENMARDCGEYAHTRYFRGGMLTNAR
+>Q9Y399_PF00318_188 <unknown description>
+RLPDLIIFLHTLNNIFEPHVAVRDAAKMNIPTVGIVDTNCNPCLITYPVPGNDDSPLAVH
+LYCRLFQTAITR
+>P82675_PF00333_218 <unknown description>
+FDTRILEVRNVFTMTAKEGRKKSIRVLVAVGNGKGAAGFSIGKATDRMDAFRKAKNRAVH
+HLHYIE
+>P82675_PF03719_297 <unknown description>
+RFKRTHIKMKKQPKGYGLRCHRAIITICRLIGIKDMYAKVSGSINMLSLTQGLFRGLSRQ
+ETHQQLADK
+>P82932_PF01250_4 <unknown description>
+YELALILKAMQRPETAATLKRTIEALMDRGAIVRDLENLGERALPYRISAHSQQHNRGGY
+FLVDFYAPTAAVESMVEHLSRDIDVIRGNIVK
+>Q9Y2R9_PF00177_82 <unknown description>
+TSSVFEDPVISKFTNMMMIGGNKVLARSLMIQTLEAVKRKQFEKYHAASAEEQATIERNP
+YTIFHQALKNCEPMIGLVPILKGGRFYQVPVPLPDRRRRFLAMKWMITECRDKKHQRTLM
+PEKLSHKLLEAFHNQGPVIKRKHDLHKMAEANR
+>P82933_PF00380_274 <unknown description>
+GKRKTAKAEAIVYKHGSGRIKVNGIDYQLYFPITQDREQLMFPFHFVDRLGKHDVTCTVS
+GGGRSAQAGAIRLAMAKALCSFVTEDEVEWMRQAGLLTTDPRVRERKKPGQEGARRKFTW
+KKR
+>P82664_PF00338_80 <unknown description>
+VKGHDKAVLDSYEYFAVLAAKELGISIKVHEPPRKIERFTLLQSVHIYKKHRVQYEMRTL
+YRCLELEHLTGSTADVYLEYIQRNLPEGVAMEVT
+>P82912_PF00411_85 <unknown description>
+IAHIKASHNNTQIQVVSASNEPLAFASCGTEGFRNAKKGTGIAAQTAGIAAAARAKQKGV
+IHIRVVVKGLGPGRLSAMHGLIMGGLEVISITDNTPIPHNGCRPRKAR
+>O15235_PF00164_48 <unknown description>
+KLGPTEGRPQLKGVVLCTFTRKPKKPNSANRKCCRVRLSTGREAVCFIPGEGHTLQEHQI
+VLVEGGRTQDLPGVKLTVVRGKYDC
+>O60783_PF00253_75 <unknown description>
+IAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGQLSGIQRA
+>P82914_PF00312_108 <unknown description>
+QEQFMKKIVANPEDTRSLEARIIALSVKIRSYEEHLEKHRKDKAHKRYLLMSIDQRKKML
+KNLRNTNYDVFEKICWGLGIE
+>Q9Y3D3_PF00886_25 <unknown description>
+GCTNRPFYRIVAAHNKCPRDGRFVEQLGSYDPLPNSHGEKLVALNLDRIRHWIGCGAHLS
+>Q9Y2R5_PF00366_15 <unknown description>
+GKVIGTKMQKTAKVRVTRLVLDPYLLKYFNKRKTYFAHDALQQCTVGDIVLLRALPVPRA
+KHVKHELA
+>Q9NVS2_PF01084_79 <unknown description>
+HKYNYDDVLLLSQFIRPHGGMLPRKITGLCQEEHRKIEECVKMAHRAGLL
+>Q9Y676_PF01084_112 <unknown description>
+KLHVDFRNVKLLEQFVCAHTGIIFYAPYTGVCVKQHKRLTQAIQKARDHGLL
+>Q9Y3D5_PF01084_70 <unknown description>
+KHVDYKNVQLLSQFVSPFTGCIYGRHITGLCGKKQKEITKAIKRAQIMGFM
+>P82921_PF01165_11 <unknown description>
+TVMVQEGNVESAYRTLNRILTMDGLIEDIKHRRYYEKPCCRRQRESYERCRRIY
+>P82650_PF10245_68 <unknown description>
+PTFMDEEVQSILTKMTGLNLQKTFKPAIQELKPPTYKLMTQAQLEEATRQAVEAAKVRLK
+MPPVLEERVPINDVLAEDKILEGTETTKYVFTDISYSIPHRERFIVVREPSGTLRKASWE
+ERDRMIQVYFPKEGRKILTPIIFKEENLRTMYSQDRHVDVLNLCFAQFEPDSTEYIKVHH
+KTYEDIDKRGKYDLLRSTRYFGGMVWYFVNNKKIDGLLIDQIQRDLIDDATNLVQLYHVL
+HP
+>Q9Y3D9_PF10484_2 <unknown description>
+AGSRLETVGSIFSRTRDLVRAGVLKEKPLWFDVYDAFPPLREPVFQRPRVRYGKAKAPIQ
+DIWYHEDRIRAKFYSVYGSGQRAFDLFNPNFKSTCQRFVEKYTELQKLGETDEEKLFVET
+GKALLAEG
+>Q96EL2_PF14955_36 <unknown description>
+KNRAARVRVSKGDKPVTYEEAHAPHYIAHRKGWLSLHTGNLDGEDHAAERTVEDVFLRKF
+MWGTFPGCLADQLVLKRRGNQLEICAVVLRQLSPHKYYFLVGYSETLLSYFYKCPVRLHL
+QTVPSKVVYKYL
+>P82663_PF05047_44 <unknown description>
+ARKFVFFNIPQIQYKNPWVQIMMFKNMTPSPFLRFYLDSGEQVLVDVETKS
+>Q9BYN8_PF14943_28 <unknown description>
+KTRHDPLAKSKIERVNMPPAVDPAEFFVLMERYQHYRQTVRALRMEFVSEVQRKVHEARA
+GVLAERKALKDAAEHRELMAWNQAENRRLHELRIARLRQEEREQEQRQALEQARKAEEVQ
+AWAQRKEREVLQLQEEVKNFITRENLEARVEAALDSRKNYNWAITREGL
+>Q92552_PF10037_1 <unknown description>
+MAASIVRRGMLLARQVVLPQLSPAGKRYLLSSAYVDSHKWEAREKEHYCLADLASLMDKT
+FERKLPVSSLTISRLIDNISSREEIDHAEYYLYKFRHSPNCWYLRNWTIHTWIRQCLKYD
+AQDKALYTLVNKVQYGIFPDNFTFNLLMDSFIKKENYKDALSVVFEVMMQEAFEVPSTQL
+LSLYVLFHCLAKKTDFSWEEERNFGASLLLPGLKQKNSVGFSSQLYGYALLGKVELQQGL
+RAVYHNMPLIWKPGYLDRALQVMEKVAASPEDIKLCREALDVLGAVLKALTSADGASEEQ
+SQNDEDNQGSEKLVEQLDIEETEQSKLPQYLERFKALHSKLQALGKIESEGLLSLTTQLV
+KEKLSTCEAEDIATYEQNLQQWHLDLVQLIQREQQQREQAKQEYQAQKAAKA
+>Q9Y2Q9_PF10246_75 <unknown description>
+KNVESFASMLRHSPLTQMGPAKDKLVIGRIFHIVENDLYIDFGGKFHCVCRRPEVDGEKY
+QKGTRVRLRLLDLELTSRFLGATTDTTVLEANAVLLGIQESKD
+>P51398_PF10236_99 <unknown description>
+LMVRKPALELLHYLKNTSFAYPAIRYLLYGEKGTGKTLSLCHVIHFCAKQDWLILHIPDA
+HLWVKNCRDLLQSSYNKQRFDQPLEASTWLKNFKTTNERFLNQIKVQEKYVWNKRESTEK
+GSPLGEVVEQGITRVRNATDAVGIVLKELKRQSSLGMFHLLVAVDGINALWGRTTLKRED
+KSPIAPEELALVHNLRKMMKNDWHGGAIVSALSQTGSLFKPRKAYLPQELLGKEGFDALD
+PFIPILVSNYNPKEFESCIQYYLENNWLQHEKAPTEEGKKELLFLSNANPSLLER
+>Q9NP92_PF07147_15 <unknown description>
+RLSLHTAANAAATATETTCQDVAATPVARYPPIVASMTADSKAARLRRIERWQATVHAAE
+SVDEKLRILTKMQFMKYMVYPQTFALNADRWYQYFTKTVFLSGLPPPPAEPEPEPEPEPE
+PALDLAALRAVACDCLLQEHFYLRRRRRVHRYEESEVISLPFLDQLVSTLVGLLSPHNPA
+LAAAALDYRCPVHFYWVRGEEIIPRGHRRGRIDDLRYQIDDKPNNQIRISKQLAEFVPLD
+YSVPIEIPTIKCKPDKLPLFKRQYENHIFVGSKTADPCCYGHTQFHLLPDKLRRERLLRQ
+NCADQIEVVFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQAVITDGKYFSFFCYQL
+NTLALTTQADQNNPRKNICWGTQSKPLYETIEDNDVKGFNDDVLLQIVHFLLNRP
+>Q92665_PF15433_96 <unknown description>
+KKDLLGIIKGMKVELSTVNVRTTKPPKRRPLKSLEATLGRLRRATEYAPKKRIEPLSPEL
+VAAASAVADSLPFDKQTTKSELLSQLQQHEEESRAQRDAKRPKISFSNIISDMKVARSAT
+ARVRSRPELRIQFDEGYDNYPGQEKTDDLKKRKNIFTGKRLNIFDMMAVTKEAPETDTSP
+SLWDVEFAKQLATVNEQPLQNGFEELIQWTKEGKLWEFPINNEAGFDDDGSEFHEHIFLE
+KHLESFPKQGPIRHFMELVTCGLSKNPYLSVKQKVEHIEWFRNYFNEKKDILKE
+>Q9Y291_PF08293_7 <unknown description>
+YAFRMSRLSARLFGEVTRPTNSKSMKVVKLFSELPLAKKKETYDWYPNHHTYAELMQTLR
+FLGLYRDEHQDFMDEQKRLKKLRGKEKPKK
+>P82930_PF16053_61 <unknown description>
+ESRLLQLLGRLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGKAWGILTF
+KGKTESEAREIEHVMYHDWRLVPKHEEEAFTAFTPAPEDSLASVPYPPLLRAMIIAERQK
+NGDTST
+>P82673_PF10213_147 <unknown description>
+FPIEIDSTDYVSSGPSVRNPRARVVVLRVKLSSLNLDDHAKKKLIKLVGERYCKTTDVLT
+IKTDRCPLRRQNYDYAVYLLTVLYHESWN
+>Q8WWV3_PF08240_71 <unknown description>
+PNEVIVKVHAASVNPIDVNMRSGYGATALNMKRDPLHVKIKGEEFPLTLGRDVSGVVMEC
+GLDVKYFKPGDEVWA
+>Q8WWV3_PF13602_247 <unknown description>
+LGADDVIDYKSGSVEEQLKSLKPFDFILDNVGGSTETWAPDFLKKWSGATYVTLVTPFLL
+NMDRLGIADGMLQTGVTVGSKALKHFWKGVHYRWAFFMASGPCLDDIAELVDAGKIRPVI
+EQTFPFSKVPEAFLKVERGHARGKTVI
+>Q9BQC6_PF14978_13 <unknown description>
+PGRQWIGKHRRPRFVSLRAKQNMIRRLEIEAENHYWLSMPYMTREQERGHAAVRRREAFE
+AIKAAATSKFPPHRFIADQLDHLNVTKKW
+>Q9BSG5_PF03024_28 <unknown description>
+CGGSRPLQARSQQHHGLAADLGKGKLHLAGPCCPSEMDTTETSGPGNHPERCGVPSPECE
+SFLEHLQRALRSRFRLRLLGVRQAQPLCEELCQAWFANCEDDITCGPTWLPLSEKRGCEP
+SCLTYGQTFADGTDLCRSALGHALPVAAPGARHCFN
+>O00442_PF01137_13 <unknown description>
+MEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLRPQHLSGLEMIRDLCDGQLEGAEI
+GSTEITFTPEKIKGGIHTADTKTAGSVCLLMQVSMPCVLFAASPSELHLKGGTNAEMAPQ
+IDYTVMVFKPIVEKFGFIFNCDIKTRGYYPKGGGEVIVRMSPVKQLNPINLTERGCVTKI
+YGRAFVAGVLPFKVAKDMAAAAVRCIRKEIRDLYVNIQPVQEPKDQAFGNGNGIIIIAET
+STGCLFAGSSLGKRGVNADKVGIEAAEMLLANLRHGGTVDEYLQDQLIVFMALANGVSRI
+KTGPVTLHTQTAIHFAEQIAKAKFI
+>O00442_PF05189_184 <unknown description>
+TERGCVTKIYGRAFVAGVLPFKVAKDMAAAAVRCIRKEIRDLYVNIQPVQEPKDQAFGNG
+NGIIIIAETSTGCLFAGSSLGKRGVNADKVGIEAAEMLLANL
+>Q9Y3I0_PF01139_68 <unknown description>
+QIGNVAALPGIVHRSIGLPDVHSGYGFAIGNMAAFDMNDPEAVVSPGGVGFDINCGVRLL
+RTNLDESDVQPVKEQLAQAMFDHIPVGVGSKGVIPMNAKDLEEALEMGVDWSLREGYAWA
+EDKEHCEEYGRMLQADPNKVSARAKKRGLPQLGTLGAGNHYAEIQVVDEIFNEYAAKKMG
+IDHKGQVCVMIHSGSRGLGHQVATDALVAMEKAMKRDKIIVNDRQLACARIASPEGQDYL
+KGMAAAGNYAWVNRSSMTFLTRQAFAKVFNTTPDDLDLHVIYDVSHNIAKVEQHVVDGKE
+RTLLVHRKGSTRAFPPHHPLIAVDYQLTGQPVLIGGTMGTCSYVLTGTEQGMTETFGTTC
+HGAGRALSRAKSRRNLDFQDVLDKLADMGIAIRVASPKLVMEEAPESYKNVTDVVNTCHD
+AGISKKAIKLRPIAVIKG
+>Q9NZ71_PF06733_111 <unknown description>
+YASRTHSQLTQVINELRNTSYRPKVCVLGSREQLCIHPEVKKQESNHLQIHLCRKKVASR
+SCHFYNNVEEKSLEQELASPILDIEDLVKSGSKHRVCPYYLSRNLKQQADIIFMPYNYLL
+DAKSRRAHNIDLKGTVVIFDEAHNVEKMCEESASFDLTPHDL
+>Q9NZ71_PF13307_546 <unknown description>
+GNIARVVPYGLLIFFPSYPVMEKSLEFWRARDLARKMEALKPLFVEPRSKGSFSETISAY
+YARVAAPGSTGATFLAVCRGKASEGLDFSDTNGRGVIVTGLPYPPRMDPRVVLKMQFLDE
+MKGQGGAGGQFLSGQEWYRQQASRAVNQAIGRVIRHRQDYGAVFLCDHRFAFADARAQLP
+SWVRP
+>Q92541_PF03126_358 <unknown description>
+ELNRVRLSRHKLERWCHMPFFAKTVTGCFVRIGIGNHNSKPVYRVAEITGVVETAKVYQL
+GGTRTNKGLQLRHGNDQRVFRLEFVSNQEFTESEFMKWKEAM
+>Q9BY42_PF04641_1 <unknown description>
+MGCDGGTIPKRHELVKGPKKVEKVDKDAELVAQWNYCTLSQEILRRPIVACELGRLYNKD
+AVIEFLLDKSAEKALGKAASHIKSIKNVTELKLSDNPAWEGDKGNTKGDKHDDLQRARFI
+CPVVGLEMNGRHRFCFLRCCGCVFSERALKEIKAEVCHTCGAAFQEDDVIMLNGTKEDVD
+VLKTRMEERRLRAKLEKKTKKPKAAESVSKPDVSEEAPGPSKVKTGKPEEASLDSREKKT
+NLAPKSTAMNESSSGKAGKPPCGATKRSIADSEESEAYKSLFTTHSSAK
+>Q8IZC4_PF08174_98 <unknown description>
+CKGKIAISDIRIPLMWKDSDHFSNKERSRRYAIFCLFKMGANVFDTDVVNVDKTITDICF
+ENVTIFNEAGPDFQIKVEVYSCCTEESSITNTPKKLAKKLKTSISKATGKKISSVLQEED
+DEMCLLLSSAVFGVKYNLLAHTTLTLESA
+>Q8IZC4_PF00169_291 <unknown description>
+GFLNQQQMVEGLISWRRLYCVLRGGKLYCFYSPEEIEAKVEPALVVPINKETRIRAMDKD
+AKKRIHNFSVINPVPGQAITQIFAVDNREDLQKWMEAF
+>Q9BST9_PF08174_117 <unknown description>
+CRGRVCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQLGEHIQDTEMILVDRTLTDISF
+QSNVLFAEAGPDFELRLELYGACVEEEGALTGGPKRLATKLSSSLGRSSGRRVRASLDSA
+GGSGSSPILLPTPVVGGPRYHLLAHTTLTLAAVQ
+>Q9BST9_PF00169_319 <unknown description>
+QQAGEMQNWAQVHGVLKGTNLFCYRQPEDADTGEEPLLTIAVNKETRVRAGELDQALGRP
+FTLSISNQYGDDEVTHTLQTESREALQSWMEAL
+>A6NKG5_PF16297_199 <unknown description>
+QLPAPKHFSGDRREFHEFIVLCQLTLQSYPRMFYNDRLRVGYVINHLSGLALEWAKALLQ
+ENSPLIGDFPAFLEAMSEVFEYR
+>A6NKG5_PF17919_845 <unknown description>
+WGVEEQEAFECLKRAFRKAPLLHHPKPQNPFYLETGVTGTALHASLIQIDDQTGKRACCA
+FYSRNISPIEVEYSQAEMKILPIRAAFMVWCRYLENT
+>Q8N8U3_PF16297_235 <unknown description>
+DFPLQYTLTFSGDSQKLPEFLVQLYSYMRVRGHLYPTEAALVSFVGNCFSGRAGWWFQLL
+LDIQSPLLEQCESFIPVLQDTFD
+>Q8N8U3_PF00098_445 <unknown description>
+CLYCGYPGHFARDCP
+>Q6ICC9_PF16297_96 <unknown description>
+TPPTSLPEPFSGDPGRLAGFLMQMDRFMIFQASRFPGEAERVAFLVSRLTGEAEKWAIPH
+MQPDSPLRNNYQGFLAELRRTY
+>Q9BWD3_PF16297_3 <unknown description>
+GRVQLMKALLAGPLRPAARRWRNPIPFPETFDGDTDRLPEFIVQTSSYMFVDENTFSNDA
+LKVTFLITRLTGPALQWVIPYIRKESPLLNDYRGFLAEMKRVFGWEEDEDF
+>Q17RB0_PF16297_5 <unknown description>
+VQLMKALLARPLRPAARRWRNPIPFPETFDGDTDRLPEFIVQTSSYMFVDENTFSNDALK
+VTFLITRLTGPALQWVIPYIKKESPLLSDYRGFLAEMKRVFGWEEDEDF
+>A6ZKI3_PF16297_3 <unknown description>
+GRVQLIKALLALPIRPATRRWRNPIPFPETFDGDTDRLPEFIVQTGSYMFVDENTFSSDA
+LKVTFLITRLTGPALQWVIPYIKKESPLLNDYRGFLAEMKRVFGWEEDEDF
+>Q16799_PF02453_590 <unknown description>
+IDLLYWRDIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLALAALSATISFRIYKSVLQAVQ
+KTDEGHPFKAYLELEITLSQEQIQKYTDCLQFYVNSTLKELRRLFLVQDLVDSLKFAVLM
+WLLTYVGALFNGLTLLLMAVVSMFTLPVVYVKHQAQIDQYLG
+>O75298_PF02453_345 <unknown description>
+VADLLYWKDTRTSGVVFTGLMVSLLCLLHFSIVSVAAHLALLLLCGTISLRVYRKVLQAV
+HRGDGANPFQAYLDVDLTLTREQTERLSHQITSRVVSAATQLRHFFLVEDLVDSLKLALL
+FYILTFVGAIFNGLTLLILGVIGLFTIPLLYRQHQAQIDQYVG
+>O95197_PF02453_844 <unknown description>
+VHDLIFWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRIYKSVIQAV
+QKSEEGHPFKAYLDVDITLSSEAFHNYMNAAMVHINRALKLIIRLFLVEDLVDSLKLAVF
+MWLMTYVGAVFNGITLLILAELLIFSVPIVYEKYKTQIDHYVG
+>Q9BZR6_PF13855_61 <unknown description>
+RIFLHGNRISHVPAASFRACRNLTILWLHSNVLARIDAAAFTGLALLEQLDLSDN
+>Q9BZR6_PF13855_156 <unknown description>
+LQYLYLQDNALQALPDDTFRDLGNLTHLFLHGNRISSVPERAFRGLHSLDRLLLHQNR
+>Q9NQC3_PF02453_1005 <unknown description>
+VVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAI
+QKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVDDLVDSLKFAVL
+MWVFTYVGALFNGLTLLILALISLFSVPVIYERHQAQIDHYLG
+>P59025_PF13695_88 <unknown description>
+ASGRFHCSWCWHTWQSPYVVILFHMFLDRAQRAGSVRMRVFKQLCYECGTARLDESSMLE
+ENIEGLVDNLITSLREQCYGERGGQYRIHVASRQDNRRHRGEFCEACQEG
+>Q5QGT7_PF13695_52 <unknown description>
+ASGRFHCSWCWHTWQSAHVVILFHMFLDRAQRAGSVRMRVFKQLCYECGTARLDESSMLE
+ENIEGLVDNLITSLREQCYEEDGGQYRIHVASRPDSGPHRAEFCEACQEG
+>Q9BQQ7_PF13695_49 <unknown description>
+TFARFQCSSCSRNWASAQVLVLFHMNWSEEKSRGQVKMRVFTQRCKKCPQPLFEDPEFTQ
+ENISRILKNLVFRILKKCYRGRFQLIEEVPMIKDISLEGPHNSDNCEACLQG
+>Q96DX8_PF13695_48 <unknown description>
+RAFGWFRCSSCQRSWASAQVQILCHTYWEHWTSQGQVRMRLFGQRCQKCSWSQYEMPEFS
+SDSTMRILSNLVQHILKKYYGNGTRKSPEMPVILEVSLEGSHDTANCEACTL
+>Q14D33_PF13695_52 <unknown description>
+SRLQCGHCPGTWDSAHVHVLFHLWWDRASHRGLVKMRIWGQRCRLCPAPGDCQVRPPGEQ
+PFLSRLVLHILQDCYGDGPGPARHPREAYEGCCEACE
+>Q9Y224_PF10036_2 <unknown description>
+FRRKLTALDYHNPAGFNCKDETEFRNFIVWLEDQKIRHYKIEDRGNLRNIHSSDWPKFFE
+KYLRDVNCPFKIQDRQEAIDWLLGLAVRLEYGDNAEKYKDLVPDNSKTADNATKNAEPLI
+NLDVNNPDFKAGVMALANLLQIQRHDDYLVMLKAIRILVQERLTQDAVAKANQTKEGLPV
+ALDKHILGFDTGDAVLNEAAQILRLLHIEELRELQTKINEAIVAVQAIIADPKTDHRLGK
+VGR
+>Q86VV8_PF14726_16 <unknown description>
+EIRERALKSILCKIEHNLICYADLIQERQLFLHLLEWFNFPSVPMKEEVLNLLSRLVKYP
+PAVQHLVDVGAVEFLSKLRSNVEPNLQAEIDGILDGL
+>P08621_PF12220_4 <unknown description>
+FLPPNLLALFAPRDPIPYLPPLEKLPHEKHHNQPYCGIAPYIREFEDPRDAPPPTRAETR
+EERMERKRREKIERRQQEVETELKMWDPHND
+>P08621_PF00076_105 <unknown description>
+LFVARVNYDTTESKLRREFEVYGPIKRIHMVYSKRSGKPRGYAFIEYEHERDMHSAYKHA
+DGKKIDGRRV
+>P09234_PF06220_1 <unknown description>
+MPKFYCDYCDTYLTHDSPSVRKTHCSGRKHKENVKDYY
+>P09661_PF14580_1 <unknown description>
+MVKLTAELIEQAAQYTNAVRDRELDLRGYKIPVIENLGATLDQFDAIDFSDNEIRKLDGF
+PLLRRLKTLLVNNNRICRIGEGLDQALPCLTELILTNNSLVELGDLDPLASLKSLTYLSI
+LRNPVTNKKHYRLYVIYKVPQVRVLDFQKVKLKERQEAEKMFKGKRGAQLAKDIA
+>P08579_PF00076_9 <unknown description>
+IYINNMNDKIKKEELKRSLYALFSQFGHVVDIVALKTMKMRGQAFVIFKELGSSTNALRQ
+LQGFPFYGKPM
+>P08579_PF00076_153 <unknown description>
+LFLNNLPEETNEMMLSMLFNQFPGFKEVRLVPGRHDIAFVEFENDGQAGAARDALQGFKI
+>Q92622_PF02759_56 <unknown description>
+RLCRDMQSILYHGLIRDQACRRQTDYWQFVKDIRWLSPHSALHVEKFISVHENDQSSADG
+ASERAVAELWLQHSLQYHCLSAQLRPLLGDRQYIRKFYTDAAFLLSDAHVTAMLQCLEAV
+E
+>Q92622_PF13901_737 <unknown description>
+RYCEYLGKYFCQCCHENAQMAIPSRVLRKWDFSKYYVSNFSKDLLIKIWNDPLFNVQDIN
+SALYRKVKLLNQVRLLRVQLCHMKNMFKTCRLAKELLDSFDTVPGHLTEDLHLYSLNDLT
+ATRKGELGPRLAELTRAGATHVERCMLCQAKGFICEFCQNEDDIIFPFELHKCRTCEECK
+ACYHKACFKSGSCPRCERLQ
+>Q96T51_PF02759_147 <unknown description>
+QFFVVMEHCLKHGLKVKKSFIGQNKSFFGPLELVEKLCPEASDIATSVRNLPELKTAVGR
+GRAWLYLALMQKKLADYLKVLIDNKHLLSEFYEPEALMMEEEGMVIVGLLVGLNVLDANL
+CLK
+>Q96T51_PF01363_638 <unknown description>
+AWLKDDEATHCRQCEKEFSISRRKHHCRNCGHIFCNTCSSNELALPSYPKPVRVCDSCHT
+L
+>Q8WXA3_PF02759_45 <unknown description>
+QFFVVMEHCLKHGLKVRKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGR
+ARAWLRLALMQKKMADYLRCLIIQRDLLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANL
+CVK
+>Q8WXA3_PF01363_537 <unknown description>
+WLKDKEATHCKLCEKEFSLSKRKHHCRNCGEIFCNACSDNELPLPSSPKPVRVCDSCHAL
+>Q7L099_PF02759_103 <unknown description>
+QFFVVMEHCLKHGLKAKKTFLGQNKSFWGPLELVEKLVPEAAEITASVKDLPGLKTPVGR
+GRAWLRLALMQKKLSEYMKALINKKELLSEFYEPNALMMEEEGAIIAGLLVGLNVIDANF
+CMK
+>Q6ZNE9_PF02759_53 <unknown description>
+DQKEQKSFLGPRKDYWDFLCTALRRQRGNMEPIHFVRSQDKLKTPLGKGRAFIRFCLARG
+QLAEALQLCLLNSELTREWYGPRSPLLCPERQEDILDSLYALNGVAFELDL
+>Q59EK9_PF02759_60 <unknown description>
+NFAAILEQILSHRFKACAPAGPVSWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENIS
+TARAKGRAWIRVALMEKRMSEYITTALRDTRTTRRFYDSGAIMLRDEATILTGMLIGLSA
+IDFSFCLK
+>Q96NL0_PF02759_65 <unknown description>
+NFAAILEQILSHRLKGQVTWFGYESPRSFWDYIRVACRKVSQNCICSIENMENVSSSRAK
+GRAWIRVALMEKHLSEYISTALRDFKTTRRFYEDGAIVLGEEANMLAGMLLGLNAIDFSF
+CLK
+>Q96C34_PF02759_430 <unknown description>
+LTVAVRDLLAHGLYASSPGMSLVMAPIACLLPAFSSAPEAMHPWELFVKYYHAKNGRAYV
+ESPARKLSQSFALPVTGGTVVTPKQSLLTAIHMVLTEHDPFKRSADSELKALVCMALNEQ
+RLVSWVNLICKSGSLIEPHYQPWSYMAHTGFESALNLLSRLSSLKFSLPVDL
+>Q01196_PF00853_79 <unknown description>
+VEVLADHPGELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVMAGNDE
+NYSAELRNATAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKITVD
+GPREPRRH
+>Q01196_PF08504_389 <unknown description>
+SQAQGGPFQASSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTNASTGSALLNPSLPN
+QSDVVEAEGSHSNSPTNMAPSARLEEAVWRPY
+>Q13950_PF00853_103 <unknown description>
+VEIIADHPAELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDE
+NYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVD
+GPREPRRH
+>Q13950_PF08504_430 <unknown description>
+SQSQSGPFQTSSTPYLYYGTSSGSYQFPMVPGGDRSPSRMLPPCTTTSNGSTLLNPNLPN
+QNDGVDADGSHSSSPTVLNSSGRMDESVWRPY
+>Q13761_PF00853_56 <unknown description>
+VDVLADHAGELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGDVPDGTVVTVMAGNDE
+NYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPTQVATYHRAIKVTVD
+GPREPRRH
+>Q13761_PF08504_316 <unknown description>
+PQNQSGPFQANPSPYHLYYGTSSGSYQFSMVAGSSSGGDRSPTRMLASCTSSAASVAAGN
+LMNPSLGGQSDGVEADGSHSNSPTALSTPGRMDEAVWRPY
+>Q9BVN2_PF02759_530 <unknown description>
+TLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSSTRSLGTLYSQVSRLAPL
+SSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLARGGCPSLSTELLL
+LLQPLSVLTFHLDL
+>Q9BVN2_PF14604_851 <unknown description>
+ALCDHTAARPDQLSFRRGEVLRVITTVDEDWLRCGRDGMEGLVPVGY
+>Q8N2Y8_PF02759_1040 <unknown description>
+LCPAVRAVLEDGLKAFVLDVIIGQRKNMPWSVVEASTQLGPSTKVLHGLYNKVSQFPELT
+SHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHYQPWGFLSAAHTVCPGLFEELLLL
+LQPLALLPFSLDL
+>Q8N2Y8_PF07653_1452 <unknown description>
+VQALCHHLATGPGQLSFHKGDILRVLGRAGGDWLRCSRGPDSGLVPLAYV
+>Q9UJJ7_PF00849_17 <unknown description>
+DFLVVNKHWDVRIDSKAWRETLTLQKQLRYRFPELADPDTCYGFRFCHQLDFSTSGALCV
+ALNKAAAGSAYRCFKERRVTKAYLALLRGHIQESRVTISHAIGRNSTEGRAHTMCIEGSQ
+GCENPKPSLTDLVVLEHGLYAGDPVSKVLLKPLTGRTHQLRVHCSA
+>Q8IZ73_PF00849_231 <unknown description>
+DVVVVDKPSSIPVHPCGRFRHNTVIFILGKEHQLKELHPLHRLDRLTSGVLMFAKTAAVS
+ERIHEQVRDRQLEKEYVCRVEGEFPTEEVTCKEPILVVSYKVGVCRVDPRGKPCETVFQR
+LSYNGQSSVVRCRPLTGRTHQIRVHLQ
+>Q6P087_PF00849_82 <unknown description>
+LVTLNKPQGLPVTGKPGELTLFSVLPELSQSLGLREQELQVVRASGKESSGLVLLSSCPQ
+TASRLQKYFTHARRAQRPTATYCAVTDGIPAASEGKIQAALKLEHIDGVNLTVPVKAPSR
+KDILEGVKKTLSHFRVVATGSGCALVQLQPLTVFSSQLQVHM
+>Q96CM3_PF00849_105 <unknown description>
+NLVVINKPYGLPVHGGPGVQLCITDVLPILAKMLHGHKAEPLHLCHRLDKETTGVMVLAW
+DKDMAHQVQELFRTRQVVKKYWAITVHVPMPSAGVVDIPIVEKEAQGQQQHHKMTLSPSY
+RMDDGKMVKVRRSRNAQVAVTQYQVLSSTLSSALVELQPITGIKHQLRVHLS
+>Q96GQ5_PF04884_72 <unknown description>
+GLQAVFLPQGFPDSVSPDYLPYQLWDSVQAFASSLSGSLATQAVLLGIGVGNAKATVSAA
+TATWLVKDSTGMLGRIVFAWWKGSKLDCNAKQWRLFADILNDVAMFLEIMAPVYPICFTM
+TVSTSNLAKCIVSVAGGATRAALTVHQARRNNMADVSAKDSSQETLVNLAGLLVSLLMLP
+LVSGCPGFSLGCFFFLTALHIYANYRAVRALVMETLNEGRLRLVLKHYLQR
+>Q9Y265_PF06068_14 <unknown description>
+RIASHSHVKGLGLDESGLAKQAASGLVGQENAREACGVIVELIKSKKMAGRAVLLAGPPG
+TGKTALALAIAQELGSKVPFCPMVGSEVYSTEIKKTEVLMENFRRAIGLRIKETKEVYEG
+EVTELTPCETENPMGGYGKTISHVIIGLKTAKGTKQLKLDPSIFESLQKERVEAGDVIYI
+EANSGAVKRQGRCDTYATEFDLEAEEYVPLPKGDVHKKKEIIQDVTLHDLDVANARPQGG
+QDILSMMGQLMKPKKTEITDKLRGEINKVVNKYIDQGIAELVPGVLFVDEVHMLDIECFT
+YLHRALESSIAPIVIFASNRGNCVIRGTEDITSPHGIPLDLLDRVMIIRTMLYTP
+>Q9Y265_PF17856_374 <unknown description>
+IIKIRAQTEGINISEEALNHLGEIGTKTTLRYSVQLLTPANLLAKINGKDSIEKEHVEEI
+SELFYD
+>Q9Y230_PF06068_21 <unknown description>
+RIGAHSHIRGLGLDDALEPRQASQGMVGQLAARRAAGVVLEMIREGKIAGRAVLIAGQPG
+TGKTAIAMGMAQALGPDTPFTAIAGSEIFSLEMSKTEALTQAFRRSIGVRIKEETEIIEG
+EVVEIQIDRPATGTGSKVGKLTLKTTEMETIYDLGTKMIESLTKDKVQAGDVITIDKATG
+KISKLGRSFTRARDYDAMGSQTKFVQCPDGELQKRKEVVHTVSLHEIDVINSRTQGFLAL
+FSGDTGEIKSEVREQINAKVAEWREEGKAEIIPGVLFIDEVHMLDIESFSFLNRALESDM
+APVLIMATNRGITRIRGTSYQSPHGIPIDLLDRLLIVSTTPYSE
+>Q9Y230_PF17856_370 <unknown description>
+ILRIRCEEEDVEMSEDAYTVLTRIGLETSLRYAIQLITAASLVCRKRKGTEVQVDDIKRV
+YSLFLD
+>P62304_PF01423_24 <unknown description>
+YLQNRSRIQVWLYEQVNMRIEGCIIGFDEYMNLVLDDAEEIHSKTKSRKQLGRIMLKGDN
+ITLLQ
+>P62306_PF01423_10 <unknown description>
+FLNGLTGKPVMVKLKWGMEYKGYLVSVDGYMNMQLANTEEYIDGALSGHLGEVLIRCNNV
+LYI
+>P62308_PF01423_8 <unknown description>
+ELKKFMDKKLSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQNNIGMVVIRGNSI
+IMLE
+>Q9UIY3_PF05773_11 <unknown description>
+LQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLEGTREALPPKIEFVITLQIEEPKVKI
+DLQVTMPHSYPYVALQLFGRSSELDRHQQLLLNKGLTSYIGTFDPGELCVCAAIQWLQDN
+S
+>Q9UIY3_PF06544_159 <unknown description>
+WIYSHHIYQQDLRKKILDVGKRLDVTGFCMTGKPGIICVEGFKEHCEEFWHTIRYPNWKH
+ISCKHAESVETEGNGEDLRLFHSFEELLLEAHGDYGLRNDYHMNLGQFLEFLKKHKSEHV
+F
+>P57060_PF05773_37 <unknown description>
+EAQLAELDLLASMFPGENELIVNDQLAVAELKDCIEKKTMEGRSSKVYFTINMNLDVSDE
+KMAMFSLACILPFKYPAVLPEITVRSVLLSRSQQTQLNTDLTAFLQKHCHGDVCILNATE
+WVREH
+>P57060_PF06544_191 <unknown description>
+WIYSHHIYNKCKRKNILEWAKELSLSGFSMPGKPGVVCVEGPQSACEEFWSRLRKLNWKR
+ILIRHREDIPFDGTNDETERQR
+>Q9H446_PF05773_6 <unknown description>
+EEQRNELEALESIYPDSFTVLSENPPSFTITVTSEAGENDETVQTTLKFTYSEKYPDEAP
+LYEIFSQENLEDNDVSDILKLLALQAEENLGMVMIFTLVTAVQEKL
+>Q9Y3V2_PF05773_4 <unknown description>
+PVQEELSVLAAIFCRPHEWEVLSRSETDGTVFRIHTKAEGFMDVDIPLELVFHLPVNYPS
+CLPGISINSEQLTRAQCVTVKENLLEQAESLLSEPMVHELVLWIQQNL
+>Q6NW29_PF05773_5 <unknown description>
+EDQEMELEALRSIYEGDESFRELSPVSFQYRIGENGDPKAFLIEISWTETYPQTPPILSM
+NAFFNNTISSAVKQSILAKLQEAVEANLGTAMTYTLFEYAKDN
+>Q9HBX9_PF00057_26 <unknown description>
+KCSLGYFPCGNITKCLPQLLHCNGVDDCGNQADEDNC
+>Q9HBX9_PF13855_127 <unknown description>
+NVTAMSLQWNLIRKLPPDCFKNYHDLQKLYLQNNKITSISIYAFRGLNSLTKLYLSHNRI
+>Q9HBX9_PF13855_247 <unknown description>
+PRLHWLDLEGNHIHNLRNLTFISCSNLTVLVMRKNKINHLNENTFAPLQKLDELDLGSNK
+I
+>Q9HBX9_PF00001_422 <unknown description>
+GNIFVICMRPYIRSENKLYAMSIISLCCADCLMGIYLFVIGGFDLKFRGEYNKHAQLWME
+STHCQLVGSLAILSTEVSVLLLTFLTLEKYICIVYPFRCVRPGKCRTITVLILIWITGFI
+VAFIPLSNKEFFKNYYGTNGVCFPLHSEDTESIGAQIYSVAIFLGINLAAFIIIVFSYGS
+MFYSVHQSAITATEIRNQVKKEMILAKRFFFIVFTDALCWIPIFVVKFLSLLQVEIPGTI
+TSWVVIFILPINSALNPILY
+>Q8WXD0_PF00057_44 <unknown description>
+SCQKGYFPCGNLTKCLPRAFHCDGKDDCGNGADEENC
+>Q8WXD0_PF13855_138 <unknown description>
+NVTLLSLKKNKIHSLPDKVFIKYTKLKKIFLQHNCIRHISRKAFFGLCNLQILYLNHN
+>Q8WXD0_PF13855_210 <unknown description>
+QLTWLILDDNPITRISQRLFTGLNSLFFLSMVNNYLEALPKQMCAQMPQLNWVDLEGNRI
+>Q8WXD0_PF13855_282 <unknown description>
+SLTVLFLPRNQIGFVPEKTFSSLKNLGELDLSSNTITELSPHLFKDLKLLQKLNLSSNPL
+>Q8WXD0_PF00001_432 <unknown description>
+GNLFVIGMRSFIKAENTTHAMSIKILCCADCLMGVYLFFVGIFDIKYRGQYQKYALLWME
+SVQCRLMGFLAMLSTEVSVLLLTYLTLEKFLVIVFPFSNIRPGKRQTSVILICIWMAGFL
+IAVIPFWNKDYFGNFYGKNGVCFPLYYDQTEDIGSKGYSLGIFLGVNLLAFLIIVFSYIT
+MFCSIQKTALQTTEVRNCFGREVAVANRFFFIVFSDAICWIPVFVVKILSLFRVEIPDTM
+TSWIVIFFLPVNSALNPILY
+>P19793_PF11825_17 <unknown description>
+SSLTSPTGRGSMAAPSLHPSLGPGIGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHS
+MSVPTTPTLGFSTGSPQLSSPMNPVSSSEDIKPPLGLNGVLKVPAHPSGNM
+>P19793_PF00105_134 <unknown description>
+ICAICGDRSSGKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQYCRYQ
+KCLAMGMKR
+>P19793_PF00104_265 <unknown description>
+VTNICQAADKQLFTLVEWAKRIPHFSELPLDDQVILLRAGWNELLIASFSHRSIAVKDGI
+LLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMQMDKTELGCLRAIVLFNPDSKGLS
+NPAEVEALREKVYASLEAYCKHKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLI
+>P28702_PF00105_204 <unknown description>
+LCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRDNKDCTVDKRQRNRCQYCRYQ
+KCLATGMKR
+>P28702_PF00104_336 <unknown description>
+VTNICQAADKQLFTLVEWAKRIPHFSSLPLDDQVILLRAGWNELLIASFSHRSIDVRDGI
+LLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMRMDKTELGCLRAIILFNPDAKGLS
+NPSEVEVLREKVYASLETYCKQKYPEQQGRFAKLLLRLPALRSIGLKCLEHLFFFKLI
+>P48443_PF11825_25 <unknown description>
+TSMSPSAALSTGKPMDSHPSYTDTPVSAPRTLSAVGTPLNALGSPYRVITSAMGPPSGAL
+AAPPGINLVAPPSSQLNVVNSVSSSEDIKPLPGLPGIGNMNYPSTSPGSL
+>P48443_PF00105_138 <unknown description>
+ICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKDCLIDKRQRNRCQYCRYQ
+KCLVMGMKR
+>P48443_PF00104_263 <unknown description>
+NDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVILLRAGWNELLIASFSHRSVSVQ
+DGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKDMQMDKSELGCLRAIVLFNPDAK
+GLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKL
+I
+>Q9Y2V3_PF00046_137 <unknown description>
+RRNRTTFTTYQLHELERAFEKSHYPDVYSREELAGKVNLPEVRVQVWFQNRRAKWRR
+>Q9Y2V3_PF03826_319 <unknown description>
+DPRNSSIAALRLKAKEH
+>P34925_PF02019_66 <unknown description>
+LYLSEDEVRRLIGLDAELYYVRNDLISHYALSFSLLVPSETNFLHFTWHAKSKVEYKLGF
+QVDNVLAMDMPQVNISVQGEVPRTLSVFRVELSCTGKVDSEVMILMQLNLTVNSSKNFTV
+LNFKRRKMC
+>P34925_PF07714_331 <unknown description>
+TLKDVLQEGTFGRIFHGILIDEKDPNKEKQAFVKTVKDQASEIQVTMMLTESCKLRGLHH
+RNLLPITHVCIEEGEKPMVILPYMNWGNLKLFLRQCKLVEANNPQAISQQDLVHMAIQIA
+CGMSYLARREVIHKDLAARNCVIDDTLQVKITDNALSRDLFPMDYHCLGDNENRPVRWMA
+LESLVNNEFSSASDVWAFGVTLWELMTLGQTPYVDIDPFEMAAYLKDGYRIAQPINCPDE
+LFAVMACCWALDPEERPKFQQLVQCL
+>P21817_PF08709_9 <unknown description>
+EVQFLRTDDEVVLQCSATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQNVPPDLAICCFVL
+EQSLSVRALQEMLANTVEAGVESSQGGGHRTLLYGHAILLRHAHSRMYLSCLTTSRSMTD
+KLAFDVGLQEDATGEACWWTMHPASKQRSEGEKVRVGDDIILVSVSSERYLHLSTASGEL
+QVDASFMQTLWNMNPICSRCE
+>P21817_PF02815_211 <unknown description>
+GFVTGGHVLRLFHGHMDECLTISPADSDDQRRLVYYEGGAVCTHARSLWRLEPLRISWSG
+SHLRWGQPLRVRHVTTGQYLALTEDQGLVVVDASKAHTKATSFCFRISKEKLDVAPKRDV
+EGMGPPEIKYGESLCFVQHVASGLWLTYAAPDPKALRLGVLKKKAMLHQEGHMDDALSL
+>P21817_PF01365_443 <unknown description>
+SLQDLIIYFEPPSEDLQHEEKQSKLRSLRNRQSLFQEEGMLSMVLNCIDRLNVYTTAAHF
+AEFAGEEAAESWKEIVNLLYELLASLIRGNRSNCALFSTNLDWLVSKLDRLEASSGILEV
+LYCVLIESPEVLNIIQENHIKSIISLLDKHGRNHKVLDVLCSLCVCNGVAVRSNQDLITE
+NLLPGRELLLQTN
+>P21817_PF00622_660 <unknown description>
+KWYFEVMVDEVTPFLTAQATHLRVGWALTEGYTPYPGAGEGWGGNGVGDDLYSYGFDGLH
+LWTGHVARPVTSPGQHLLAPEDVISCCLDLSVPSISFRINGCPVQGVFESFNLDGLFFPV
+VSFSAGVKVRFLLGG
+>P21817_PF02026_850 <unknown description>
+FVPCPVDTVQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGPVRDDNKRLHPCLVDF
+HSLPEPERNYNLQMSGETLKTLLALGCHVG
+>P21817_PF02026_964 <unknown description>
+YKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVGQGWSYSAVQDIPARRNPRLVPY
+RLLDEATKRSNRDSLCQAVRTLLGYGYNIE
+>P21817_PF00622_1086 <unknown description>
+RWYFEFEAVTTGEMRVGWARPELRPDVELGADELAYVFNGHRGQRWHLGSEPFGRPWQPG
+DVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFREIEIGDGFLPVCSLGPGQVGHLNLGQ
+>P21817_PF00622_1432 <unknown description>
+YYYSVRVFAGQEPSCVWAGWVTPDYHQHDMSFDLSKVRVVTVTMGDEQGNVHSSLKCSNC
+YMVWGGDFVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAV
+FVLPTHQNVIQFELGK
+>P21817_PF01365_2160 <unknown description>
+GQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGE
+SKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPLDVAAAS
+VIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLVAKGYPDIGWNPCGGERYLDFLRFAV
+FVNGESVEENANVVVRLLIRKPECFGPALR
+>P21817_PF02026_2735 <unknown description>
+FDPRPVETLNVIIPEKLDSFINKFAEYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPY
+KTFSEKDKEIYRWPIKESLKAMIAWEWTIEK
+>P21817_PF02026_2855 <unknown description>
+YNPQPPDLSAVTLSRELQAMAEQLAENYHNTWGRKKKQELEAKGGGTHPLLVPYDTLTAK
+EKARDREKAQELLKFLQMNGYAVT
+>P21817_PF08454_3876 <unknown description>
+DDEFTQDLFRFLQLLCEGHNNDFQNYLRTQTGNTTTINIIICTVDYLLRLQESISDFYWY
+YSGKDVIEEQGKRNFSKAMSVAKQVFNSLTEYIQGPCTGNQQSLAHSRLWDAVVGF
+>P21817_PF06459_4383 <unknown description>
+MPDPTSDEVHGEQPAGPGGDADGEGASEGAGDAAEGAGDEEEAVHEAGPGGADGAVAVTD
+GGPFRPEGAGGLGDMGDTTPAEPPTPEGSPILKRKLGVDGVEEELPPEPEPEPEPELEPE
+KADAENGEKEEVPEPTPEPPKKQAPPSPPPKKEEAGGEFWGELEVQRVKFLNYLSRNFYT
+LRFLALFLAFAINFILLFYKVSDSPPGEDDMEGSAAGDVSGAGSGGSSGWGLGAGEEAEG
+DEDENMVYYFLEESTGYMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVI
+>P21817_PF00520_4804 <unknown description>
+HYNNFFFAAHLLDIAMGVKTLRTILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKF
+YNKSEDEDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFF
+FVIVILLAIIQGLIIDAFGELRDQQ
+>Q92736_PF08709_10 <unknown description>
+EIQFLRTDDEVVLQCTATIHKEQQKLCLAAEGFGNRLCFLESTSNSKNVPPDLSICTFVL
+EQSLSVRALQEMLANTVEKSEGQVDVEKWKFMMKTAQGGGHRTLLYGHAILLRHSYSGMY
+LCCLSTSRSSTDKLAFDVGLQEDTTGEACWWTIHPASKQRSEGEKVRVGDDLILVSVSSE
+RYLHLSYGNGSLHVDAAFQQTLWSVAPISSGSE
+>Q92736_PF02815_226 <unknown description>
+GYLIGGDVLRLLHGHMDECLTVPSGEHGEEQRRTVHYEGGAVSVHARSLWRLETLRVAWS
+GSHIRWGQPFRLRHVTTGKYLSLMEDKNLLLMDKEKADVKSTAFTFRSSKEKLDVGVRKE
+VDGMGTSEIKYGDSVCYIQHVDTGLWLTYQSVDVKSVRMGSIQRKAIMHHEGHMDDGISL
+>Q92736_PF01365_455 <unknown description>
+SLQDLIGYFHPPDEHLEHEDKQNRLRALKNRQNLFQEEGMINLVLECIDRLHVYSSAAHF
+ADVAGREAGESWKSILNSLYELLAALIRGNRKNCAQFSGSLDWLISRLERLEASSGILEV
+LHCVLVESPEALNIIKEGHIKSIISLLDKHGRNHKVLDVLCSLCVCHGVAVRSNQHLICD
+NLLPGRDLLLQTRL
+>Q92736_PF00622_672 <unknown description>
+KWYYELMVDHTEPFVTAEATHLRVGWASTEGYSPYPGGGEEWGGNGVGDDLFSYGFDGLH
+LWSGCIARTVSSPNQHLLRTDDVISCCLDLSAPSISFRINGQPVQGMFENFNIDGLFFPV
+VSFSAGIKVRFLLGG
+>Q92736_PF02026_862 <unknown description>
+FTPIPVDTSQIVLPPHLERIREKLAENIHELWVMNKIELGWQYGPVRDDNKRQHPCLVEF
+SKLPEQERNYNLQMSLETLKTLLALGCHVG
+>Q92736_PF02026_976 <unknown description>
+YKPAPMDLSFIKLTPSQEAMVDKLAENAHNVWARDRIRQGWTYGIQQDVKNRRNPRLVPY
+TLLDDRTKKSNKDSLREAVRTLLGYGYNLE
+>Q92736_PF00622_1100 <unknown description>
+RWYFEFETVTAGDMRVGWSRPGCQPDQELGSDERAFAFDGFKAQRWHQGNEHYGRSWQAG
+DVVGCMVDMNEHTMMFTLNGEILLDDSGSELAFKDFDVGDGFIPVCSLGVAQVGRMNFGK
+>Q92736_PF00622_1426 <unknown description>
+YYYSVRIFPGQEPANVWVGWITSDFHQYDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNC
+YMVCAGESMSPGQGRNNNGLEIGCVVDAASGLLTFIANGKELSTYYQVEPSTKLFPAVFA
+QATSPNVFQFELG
+>Q92736_PF01365_2124 <unknown description>
+GQIRSLLSVRMGKEEEKLMIRGLGDIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGE
+SKEITFPKMVANCCRFLCYFCRISRQNQKAMFDHLSYLLENSSVGLASPAMRGSTPLDVA
+AASVMDNNELALALREPDLEKVVRYLAGCGLQSCQMLVSKGYPDIGWNPVEGERYLDFLR
+FAVFCNGESVEENANVVVRLLIRRPECFGPALR
+>Q92736_PF02026_2701 <unknown description>
+FNPQPVDTSNITIPEKLEYFINKYAEHSHDKWSMDKLANGWIYGEIYSDSSKVQPLMKPY
+KLLSEKEKEIYRWPIKESLKTMLAWGWRIER
+>Q92736_PF02026_2821 <unknown description>
+YSPRAIDMSNVTLSRDLHAMAEMMAENYHNIWAKKKKMELESKGGGNHPLLVPYDTLTAK
+EKAKDREKAQDILKFLQINGYAVS
+>Q92736_PF08454_3831 <unknown description>
+QDDEFTCDLFRFLQLLCEGHNSDFQNYLRTQTGNNTTVNIIISTVDYLLRVQESISDFYW
+YYSGKDVIDEQGQRNFSKAIQVAKQVFNTLTEYIQGPCTGNQQSLAHSRLWDAVVGF
+>Q92736_PF06459_4333 <unknown description>
+NMPDPTQDEVRGDGEEGERKPLEAALPSEDLTDLKELTEESDLLSDIFGLDLKREGGQYK
+LIPHNPNAGLSDLMSNPVPMPEVQEKFQEQKAKEEEKEEKEETKSEPEKAEGEDGEKEEK
+AKEDKGKQKLRQLHTHRYGEPEVPESAFWKKIIAYQQKLLNYFARNFYNMRMLALFVAFA
+INFILLFYKVSTSSVVEGKELPTRSSSENAKVTSLDSSSHRIIAVHYVLEESSGYMEPTL
+RILAILHTVISFFCIIGYYCLKVPLVI
+>Q92736_PF00520_4730 <unknown description>
+VLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFF
+RKFYNKSEDGDTPDMKCDDMLTCYMFHMYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDIT
+FFFFVIVILLAIIQGLIIDAFGELRDQQ
+>Q15413_PF08709_11 <unknown description>
+EIQFLRTEDEVVLQCIATIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIPPDLCVCNFVL
+EQSLSVRALQEMLANTGENGGEGAAQGGGHRTLLYGHAVLLRHSFSGMYLTCLTTSRSQT
+DKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSERYLHLSVSNGN
+IQVDASFMQTLWNVHPTCSG
+>Q15413_PF02815_216 <unknown description>
+GYLLGGHVVRLFHGHDECLTIPSTDQNDSQHRRIFYEAGGAGTRARSLWRVEPLRISWSG
+SNIRWGQAFRLRHLTTGHYLALTEDQGLILQDRAKSDTKSTAFSFRASKELKEKLDSSHK
+RDIEGMGVPEIKYGDSVCFVQHIASGLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGL
+TL
+>Q15413_PF01365_440 <unknown description>
+LQTLQDLIAYFQPPEEEMRHEDKQNKLRSLKNRQNLFKEEGMLALVLNCIDRLNVYNSVA
+HFAGIAREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSNNLDWLISKLDRLESSSGIL
+EVLHCILTESPEALNLIAEGHIKSIISLLDKHGRNHKVLDILCSLCLCNGVAVRANQNLI
+CDNLLPRRNLLLQTRL
+>Q15413_PF00622_659 <unknown description>
+KWYFELIIDQVDPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLH
+LWSGRIPRAVASINQHLLRSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPV
+MSFSAGVKVRFLMGG
+>Q15413_PF02026_849 <unknown description>
+FIPCPVDTSQVILPPHLEKIRDRLAENIHELWGMNKIELGWTFGKIRDDNKRQHPCLVEF
+SKLPETEKNYNLQMSTETLKTLLALGCHIA
+>Q15413_PF02026_963 <unknown description>
+YKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLVPY
+ALLDERTKKSNRDSLREAVRTFVGYGYNIE
+>Q15413_PF00622_1086 <unknown description>
+KWYFEFEVVTGGDMRVGWARPGCRPDVELGADDQAFVFEGNRGQRWHQGSGYFGRTWQPG
+DVVGCMINLDDASMIFTLNGELLITNKGSELAFADYEIENGFVPICCLGLSQIGRMNLGT
+>Q15413_PF00622_1328 <unknown description>
+CYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHESVKRSNC
+YMVWGGDIVASSQRSNRSNVDLEIGCLVDLAMGMLSFSANGKELGTCYQVEPNTKVFPAV
+FLQPTSTSLF
+>Q15413_PF01365_2022 <unknown description>
+GQIRSLLSVRMGKEEELLMINGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEK
+SQIAFPKMVASCCRFLCYFCRISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAA
+SSVMDNNELALSLEEPDLEKVVTYLAGCGLQSCPMLLAKGYPDVGWNPIEGERYLSFLRF
+AVFVNSESVEENASVVVKLLIRRPECFGPALR
+>Q15413_PF02026_2598 <unknown description>
+FDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSQSGWKYGISLDENVKTHPLIRPF
+KTLTEKEKEIYRWPARESLKTMLAVGWTVER
+>Q15413_PF02026_2716 <unknown description>
+YSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGSHPLLVPYDTLTAK
+EKFKDREKAQDLFKFLQVNGIIVS
+>Q15413_PF08454_3727 <unknown description>
+QNDEFTRDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNVIISTVDYLLRLQESISDFYW
+YYSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVGF
+>Q15413_PF06459_4234 <unknown description>
+DMPDPTQFGIHDDTMEAERAEVMEPGITTELVHFIKGEKGDTDIMSDLFGLHPKKEGSLK
+HGPEVGLGDLSEIIGKDEPPTLESTVQKKRKAQAAEMKAANEAEGKVESEKADMEDGEKE
+DKDKEEEQAEYLWTEVTKKKKRRCGQKVEKPEAFTANFFKGLEIYQTKLLHYLARNFYNL
+RFLALFVAFAINFILLFYKVTEEPLEEETEDVANLWNSFNDEEEEEAMVFFVLQESTGYM
+APTLRALAIIHTIISLVCVVGYYCLKVPLVV
+>Q15413_PF00520_4636 <unknown description>
+HYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKF
+YNKSEDDDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDPYEMYRIVFDITFFF
+FVIVILLAIIQGLIIDAFGELRDQQ
+>P04271_PF01023_4 <unknown description>
+LEKAMVALIDVFHQYSGREGDKHKLKKSELKELINNELSHFLEE
+>P04271_PF00036_54 <unknown description>
+VDKVMETLDNDGDGECDFQEFMAFVAM
+>P29377_PF01023_12 <unknown description>
+RIFEKYAAKEGDPDQLSKDELKLLIQAEFPSLLKG
+>P29377_PF00036_50 <unknown description>
+LDDLFQELDKNGDGEVSFEEFQVLVKK
+>P25815_PF01023_4 <unknown description>
+LETAMGMIIDVFSRYSGSEGSTQTLTKGELKVLMEKELPGFLQS
+>Q8WXG8_PF01023_5 <unknown description>
+LEMAMDTMIRIFHRYSGKERKRFKLSKGELKLLLQRELTEFLSCQ
+>P23297_PF01023_5 <unknown description>
+LETAMETLINVFHAHSGKEGDKYKLSKKELKELLQTELSGFLD
+>P23297_PF00036_55 <unknown description>
+VDKVMKELDENGDGEVDFQEYVVLVAA
+>P29034_PF01023_6 <unknown description>
+LEQALAVLVTTFHKYSCQEGDKFKLSKGEMKELLHKELPSFVG
+>P33764_PF01023_5 <unknown description>
+LEQAVAAIVCTFQEYAGRCGDKYKLCQAELKELLQKELATWTP
+>P26447_PF01023_5 <unknown description>
+LEKALDVMVSTFHKYSGKEGDKFKLNKSELKELLTRELPSFLGK
+>P33763_PF01023_5 <unknown description>
+LEKALTTMVTTFHKYSGREGSKLTLSRKELKELIKKEL
+>P06703_PF01023_6 <unknown description>
+DQAIGLLVAIFHKYSGREGDKHTLSKKELKELIQKEL
+>P31151_PF01023_7 <unknown description>
+ERSIIGMIDMFHKYTRRDDKIEKPSLLTMMKENFPNFLS
+>P05109_PF01023_5 <unknown description>
+LEKALNSIIDVYHKYSLIKGNFHAVYRDDLKKLLETECPQYIRK
+>P06702_PF01023_8 <unknown description>
+LERNIETIINTFHQYSVKLGHPDTLNQGEFKELVRKDLQNFLKK
+>P60903_PF01023_5 <unknown description>
+MEHAMETMMFTFHKFAGDKGYLTKEDLRVLMEKEFPGFLENQ
+>P31949_PF01023_10 <unknown description>
+TERCIESLIAVFQKYAGKDGYNYTLSKTEFLSFMNTELAAFTKNQ
+>P31949_PF00036_60 <unknown description>
+LDRMMKKLDTNSDGQLDFSEFLNLI
+>P80511_PF01023_4 <unknown description>
+LEEHLEGIVNIFHQYSVRKGHFDTLSKGELKQLLTKELANTIKN
+>Q99584_PF01023_9 <unknown description>
+LEESIETVVTTFFTFARQEGRKDSLSVNEFKELVTQQLPHLLKD
+>Q9HCY8_PF01023_19 <unknown description>
+ERAIETLIKNFHQYSVEGGKETLTPSELRDLVTQQLPHLMP
+>Q96FQ6_PF01023_8 <unknown description>
+LEKAVIVLVENFYKYVSKYSLVKNKISKSSFREMLQKELNHMLSD
+>Q8N1F8_PF15904_6 <unknown description>
+RDSLLWKLAGLLRESGDVVLSGCSTLSLLTPTLQQLNHVFELHLGPWGPGQTGFVALPSH
+PADSPVILQLQFLFDVLQKTLSLKLVHV
+>Q13621_PF08403_90 <unknown description>
+DSHTNTYYLQTFGHNTMDAVPKIEYYRNTGSISGPKVNRPSLLEIHEQLAKNVAVTPSSA
+DRVANGDG
+>Q13621_PF00324_182 <unknown description>
+GVLVRCMLNIWGVMLFIRLSWIVGEAGIGLGVLIILLSTMVTSITGLSTSAIATNGFVRG
+GGAYYLISRSLGPEFGGSIGLIFAFANAVAVAMYVVGFAETVVDLLKESDSMMVDPTNDI
+RIIGSITVVILLGISVAGMEWEAKAQVILLVILLIAIANFFIGTVIPSNNEKKSRGFFNY
+QASIFAENFGPRFTKGEGFFSVFAIFFPAATGILAGANISGDLEDPQDAIPRGTMLAIFI
+TTVAYLGVAICVGACVVRDATGNMNDTIISGMNCNGSAACGLGYDFSRCRHEPCQYGLMN
+NFQVMSMVSGFGPLITAGIFSATLSSALASLVSAPKVFQALCKDNIYKALQFFAKGYGKN
+NEPLRGYILTFLIAMAFILIAELNTIAPIISNFFLASYALINFSCFHASYAKSPGWRPAY
+GIYNMWVSLFGAVLCCAVMFVINWWAAVITYVIEFFLYVYVTCKKPDVNWGSSTQALSYV
+SALDNALELTTVEDHVKNFRPQCI
+>Q13621_PF03522_694 <unknown description>
+RPALLDITHAFTKNSGLCICCEVFVGPRKLCVKEMNSGMAKKQAWLIKNKIKAFYAAVAA
+DCFRDGVRSLLQASGLGRMKPNTLVIGYKKNWRKAPLTEIENYVGIIHDAFDFEIGVVIV
+RISQGFDISQVLQVQEELERLEQERLALEATIKDNECEEESGGIRGLFKKAGKLNITKTT
+PKKDGSINTSQSMHVGEFNQKLVEASTQFKKKQEKGTIDVWWLFDDGGLTLLIPYILTLR
+KKWKDCKLRIYVGGKINRIEEEKIVMASLLSKFRIKFADIHIIGDINIRPNKESWKVFEE
+MIEPYRLHESCKDLTTAEKLKRETPWKITDAELEAVKEKSYRQVRLNELLQEHSRAANLI
+VLSLPVARKGSISDLLYMAWLEILTKNLPPVLLVRGNHKNVLTFYS
+>P55011_PF08403_202 <unknown description>
+DTHTNTYYLRTFGHNTMDAVPRIDHYRHTAAQLGEKLLRPSLAELHDELEKE
+>P55011_PF00324_290 <unknown description>
+GVLVRCMLNIWGVMLFIRLSWIVGQAGIGLSVLVIMMATVVTTITGLSTSAIATNGFVRG
+GGAYYLISRSLGPEFGGAIGLIFAFANAVAVAMYVVGFAETVVELLKEHSILMIDEINDI
+RIIGAITVVILLGISVAGMEWEAKAQIVLLVILLLAIGDFVIGTFIPLESKKPKGFFGYK
+SEIFNENFGPDFREEETFFSVFAIFFPAATGILAGANISGDLADPQSAIPKGTLLAILIT
+TLVYVGIAVSVGSCVVRDATGNVNDTIVTELTNCTSAACKLNFDFSSCESSPCSYGLMNN
+FQVMSMVSGFTPLISAGIFSATLSSALASLVSAPKIFQALCKDNIYPAFQMFAKGYGKNN
+EPLRGYILTFLIALGFILIAELNVIAPIISNFFLASYALINFSVFHASLAKSPGWRPAFK
+YYNMWISLLGAILCCIVMFVINWWAALLTYVIVLGLYIYVTYKKPDVNWGSSTQALTYLN
+ALQHSIRLSGVEDHVKNFRPQCL
+>P55011_PF03522_801 <unknown description>
+RPALLHLVHDFTKNVGLMICGHVHMGPRRQAMKEMSIDQAKYQRWLIKNKMKAFYAPVHA
+DDLREGAQYLMQAAGLGRMKPNTLVLGFKKDWLQADMRDVDMYINLFHDAFDIQYGVVVI
+RLKEGLDISHLQGQEELLSSQEKSPGTKDVVVSVEYSKKSDLDTSKPLSEKPITHKVEEE
+DGKTATQPLLKKESKGPIVPLNVADQKLLEASTQFQKKQGKNTIDVWWLFDDGGLTLLIP
+YLLTTKKKWKDCKIRVFIGGKINRIDHDRRAMATLLSKFRIDFSDIMVLGDINTKPKKEN
+IIAFEEIIEPYRLHEDDKEQDIADKMKEDEPWRITDNELELYKTKTYRQIRLNELLKEHS
+STANIIVMSLPVARKGAVSSALYMAWLEALSKDLPPILLVRGNHQSVLTFYS
+>P55017_PF08403_46 <unknown description>
+THSSTFCMRTFGYNTIDVVPTYEHYANSTQPGEPRKVRPTLADLHSFLKQEGRHLHALAF
+DSRPSHEMTDG
+>P55017_PF00324_141 <unknown description>
+GVMIRCMLNIWGVILYLRLPWITAQAGIVLTWIIILLSVTVTSITGLSISAISTNGKVKS
+GGTYFLISRSLGPELGGSIGLIFAFANAVGVAMHTVGFAETVRDLLQEYGAPIVDPINDI
+RIIAVVSVTVLLAISLAGMEWESKAQVLFFLVIMVSFANYLVGTLIPPSEDKASKGFFSY
+RADIFVQNLVPDWRGPDGTFFGMFSIFFPSATGILAGANISGDLKDPAIAIPKGTLMAIF
+WTTISYLAISATIGSCVVRDASGVLNDTVTPGWGACEGLACSYGWNFTECTQQHSCHYGL
+INYYQTMSMVSGFAPLITAGIFGATLSSALACLVSAAKVFQCLCEDQLYPLIGFFGKGYG
+KNKEPVRGYLLAYAIAVAFIIIAELNTIAPIISNFFLCSYALINFSCFHASITNSPGWRP
+SFQYYNKWAALFGAIISVVIMFLLTWWAALIAIGVVLFLLLYVIYKKPEVNWGSSVQAGS
+YNLALSYSVGLNEVEDHIKNYRPQCL
+>P55017_PF03522_655 <unknown description>
+RPALVDFVGTFTRNLSLMICGHVLIGPHKQRMPELQLIANGHTKWLNKRKIKAFYSDVIA
+EDLRRGVQILMQAAGLGRMKPNILVVGFKKNWQSAHPATVEDYIGILHDAFDFNYGVCVM
+RMREGLNVSKMMQAH
+>P55017_PF03522_815 <unknown description>
+EEQATTIFQSEQGKKTIDIYWLFDDGGLTLLIPYLLGRKRRWSKCKIRVFVGGQINRMDQ
+ERKAIISLLSKFRLGFHEVHILPDINQNPRAEHTKRFEDMIAPFRLNDGFKDEATVNEMR
+RDCPWKISDEEITKNRVKSLRQVRLNEIVLDYSRDAALIVITLPIGRKGKCPSSLYMAWL
+ETLSQDLRPPVILIRGNQENVLTFYC
+>Q9UP95_PF00324_123 <unknown description>
+GVYLPCLQNIFGVILFLRLTWMVGTAGVLQALLIVLICCCCTLLTAISMSAIATNGVVPA
+GGSYFMISRSLGPEFGGAVGLCFYLGTTFAAAMYILGAIEILLTYIAPPAAIFYPSGAHD
+TSNATLNNMRVYGTIFLTFMTLVVFVGVKYVNKFASLFLACVIISILSIYAGGIKSIF
+>Q9UP95_PF00324_418 <unknown description>
+TSFTVLVGIFFPSVTGIMAGSNRSGDLRDAQKSIPVGTILAIITTSLVYFSSVVLFGACI
+EGVVLRDKYGDGVSRNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDN
+IIPFLRVFGHGKVNGEPTWALLLTALIAELGILIASLDMVAPILSMFFLMCYLFVNLACA
+VQTLLRTPNWRPRFKYYHWALSFLGMSLCLALMFVSSWYYALVAMLIAGMIYKYIEYQGA
+EKEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLL
+>Q9UP95_PF03522_709 <unknown description>
+PRLLTFASQLKAGKGLTIVGSVIQGSFLESYGEAQAAEQTIKNMMEIEKVKGFCQVVVAS
+KVREGLAHLIQSCGLGGMRHNSVVLGWPYGWRQSEDPRAWKTFIDTVRCTTAAHLALLV
+>Q9UP95_PF03522_840 <unknown description>
+RYLEGHIDVWWIVHDGGMLMLLPFLLRQHKVWRKCRMRIFTVAQMDDNSIQMKKDLAVFL
+YHLRLEAEVEVVEMHNSDISAYTYERTLMMEQRSQMLRQMRLTKTEREREAQLVKDRHSA
+LRLESLYSDEEDESAVGADKIQMTWTRDKYMTETWDPSHAPDNFRELVHIKPDQSNVRRM
+HTAVKLNEVIVTRSHDARLVLLNMPGPPRNSEGDENYMEFLEVLTEGLERVLLVRGGGRE
+VITIYS
+>Q9H2X9_PF00324_102 <unknown description>
+GVYLPCLQNIFGVILFLRLTWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNGVVPA
+GGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEILLAYLFPAMAIFKAEDASG
+EAAAMLNNMRVYGTCVLTCMATVVFVGVKYVNKFALVFLGCVILSILAIYAGVI
+>Q9H2X9_PF00324_400 <unknown description>
+FTLLVGIYFPSVTGIMAGSNRSGDLRDAQKSIPTGTILAIATTSAVYISSVVLFGACIEG
+VVLRDKFGEAVNGNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAISRDGIV
+PFLQVFGHGKANGEPTWALLLTACICEIGILIASLDEVAPILSMFFLMCYMFVNLACAVQ
+TLLRTPNWRPRFRYYHWTLSFLGMSLCLALMFICSWYYALVAMLIAGLIYKYIEYRGAEK
+EWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLL
+>Q9H2X9_PF03522_689 <unknown description>
+PQLLSLTSQLKAGKGLTIVGSVLEGTFLENHPQAQRAEESIRRLMEAEKVKGFCQVVISS
+NLRDGVSHLIQSGGLGGLQHNTVLVGWPRNWRQKEDHQTWRNFIELVRETTAGHLALLV
+>Q9H2X9_PF03522_820 <unknown description>
+RFSEGSIDVWWIVHDGGMLMLLPFLLRHHKVWRKCKMRIFTVAQMDDNSIQMKKDLTTFL
+YHLRITAEVEVVEMHESDISAYTYEKTLVMEQRSQILKQMHLTKNEREREIQSITDESRG
+SIRRKNPANTRLR
+>Q9H2X9_PF03522_1048 <unknown description>
+RRMHTAVRLNEVIVKKSRDAKLVLLNMPGPPRNRNGDENYMEFLEVLTEHLDRVMLVRGG
+GREVITIYS
+>Q9UHW9_PF00324_190 <unknown description>
+GVYLPCLQNIFGVILFLRLTWVVGTAGVLQAFAIVLICCCCTMLTAISMSAIATNGVVPA
+GGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAAIFHSDDALK
+ESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYAGAI
+>Q9UHW9_PF00324_482 <unknown description>
+TTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGAC
+IEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKD
+NIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLAC
+ALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQG
+AEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLL
+>Q9UHW9_PF03522_774 <unknown description>
+PRLLTFASQLKAGKGLTIVGSVIVGNFLENYGEALAAEQTIKHLMEAEKVKGFCQLVVAA
+KLREGISHLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLV
+>Q9UHW9_PF03522_905 <unknown description>
+QFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDLATFL
+YHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKDRNSM
+LRLTSIGSDEDEETETYQEKVHMTWTKDKYMASRGQKAKSMEGFQDLLNMRPDQSNVRRM
+HTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLTEGLERVLLVRGGGSE
+VITIYS
+>Q9Y666_PF00324_123 <unknown description>
+GVYLPCLQNILGVILFLRLTWIVGVAGVLESFLIVAMCCTCTMLTAISMSAIATNGVVPA
+GGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEIFLTYISPGAAIFQAEAAGG
+EAAAMLHNMRVYGTCTLVLMALVVFVGVKYVNKLALVFLACVVLSILAIYAGV
+>Q9Y666_PF00324_419 <unknown description>
+SFTLLVGIYFPSVTGIMAGSNRSGDLKDAQKSIPTGTILAIVTTSFIYLSCIVLFGACIE
+GVVLRDKFGEALQGNLVIGMLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIARDGI
+VPFLQVFGHGKANGEPTWALLLTVLICETGILIASLDSVAPILSMFFLMCYLFVNLACAV
+QTLLRTPNWRPRFKFYHWTLSFLGMSLCLALMFICSWYYALSAMLIAGCIYKYIEYRGAE
+KEWGDGIRGLSLNAARYALLRVEHGPPHTKNWRPQVL
+>Q9Y666_PF03522_709 <unknown description>
+PRLLSFTSQLKAGKGLTIVGSVLEGTYLDKHMEAQRAEENIRSLMSTEKTKGFCQLVVSS
+SLRDGMSHLIQSAGLGGLKHNTVLMAWPASWKQEDNPFSWKNFVDTVRDTTAAHQALLV
+>Q9Y666_PF03522_830 <unknown description>
+NVDSFPQNQERFGGGHIDVWWIVHDGGMLMLLPFLLRQHKVWRKCRMRIFTVAQVDDNSI
+QMKKDLQMFLYHLRISAEVEVVEMVENDISAFTYERTLMMEQRSQMLKQMQLSKNEQERE
+AQLIHDRNTASHTAAAARTQAPPTPDKVQMTWTREKLIAEKYRSRDTSLSGFKDLFSMKP
+DQSNVRRMHTAVKLNGVVLNKSQDAQLVLLNMPGPPKNRQGDENYMEFLEVLTEGLNRVL
+LVRGGGREVITIYS
+>A0AV02_PF00324_45 <unknown description>
+VFTSCMINIFGVVLFLRTGWLVGNTGVLLGMFLVSFVILVALVTVLSGIGVGERSSIGSG
+GVYSMISSVLGGQTGGTIGLLYVFGQCVAGAMYITGFAESISDLLGLGNIWAVRGISVAV
+LLALLGINLAGVKWIIRLQLLLLFLLAVSTLDFVVGSFTHLDPEHGFIGYSPELLQNNTL
+PDYSPGESFFTVFGVFFPAATGVMAGFNMGGDLREPAASIPLGSLAAVGISWFLYIIFVF
+LLGAICTREALRYDFLIAEKVSLMGFLFLLGLYISSLASCMGGLYGAPRILQCIAQEKVI
+PALACLGQGKGPNKTPVAAICLTSLVTMAFVFVGQVNVLAPIVTINFMLTYVAVDYSYFS
+LSMCS
+>Q9BXP2_PF00324_42 <unknown description>
+GVVVPTVLSMFSIVVFLRIGFVVGHAGLLQALAMLLVAYFILALTVLSVCAIATNGAVQG
+GGAYFMISRTLGPEVGGSIGLMFYLANVCGCAVSLLGLVESVLDVFGADATGPSGLRVLP
+QGYGWNLLYGSLLLGLVGGVCTLGAGLYARASFLTFLLVSGSLASVLISFVAVGPRDIRL
+TPRPGPNGSSLPPRFGHFTGFNSSTLKDNLGAGYAEDYTTGAVMNFASVFAVLFNGCTGI
+MAGANMSGELKDPSRAIPLGTIVAVAYTFFVYVLLFFLSSFTCDRTLLQEDYGFFRAISL
+WPPLVLIGIYATALSASMSSLIGASRILHALARDDLFGVILAPAKVVSRGGNPWAAVLYS
+WGLVQLVLLAGKLNTLAAVVTVFYLVAYAAVDLSCLSLEWASAPNFRPTFSLFSWHTCLL
+GVASCLLMMFLISPGAAGGSLLLMGLLAALLTARGGPSSWGYVSQALLFHQVRKYLLRLD
+VRKDHVKFWRPQLL
+>Q9BXP2_PF03522_547 <unknown description>
+LLRLANQLKKGGLYVLGHVTLGDLDSLPSDPVQPQYGAWLSLVDRAQVKAFVDLTLSPSV
+RQGAQHLLRISGLGGMKPNTLVLGFYD
+>Q9BZW2_PF00939_9 <unknown description>
+VYRRFLFVVFTVLVLLPLPIVLHTKEAECAYTLFVVATFWLTEALPLSVTALLPSLMLPM
+FGIMPSKKVASAYFKDFHLLLIGVICLATSIEKWNLHKRIALKMVMMVGVNPAWLTLGFM
+SSTAFLSMWLSNTSTAAMVMPIAEAVVQQIINAEAEVEATQMTYFNGSTNHGLEIDESVN
+GHEINERKEKTKPVPGYNNDTGKISSKVELEKNSGMRTKYRTKKGHVTRKLTCLCIAYSS
+TIGGLTTITGTSTNLIFAEYFNTRYPDCRCLNFGSWFTFSFPAALIILLLSWIWLQWLFL
+GFNFKEMFKCGKTKTVQQKACAEVIKQEYQKLGPIRYQEIVTLVLFIIMALLWFSRDPGF
+VPGWSALFSEYPGFATDSTVALLIGLLFFLIPAKTLTKTTPTGEIVAFDYSPLITWKEFQ
+SFMPWDIAILVGGGFALADGCEESGLSKWIGNKLSPLGSLPAWLIILISSLMVTSLTEVA
+SNPATITLFLPILSPLAEAIHVNPLYILIPSTLCTSFAFLLPVANPPNAIVFSYGHLKVI
+DMVKAGLGVNIVGVAVVMLGICTWIVPMF
+>Q13183_PF00939_6 <unknown description>
+QALWAYRSYLIVFFVPILLLPLPILVPSKEAYCAYAIILMALFWCTEALPLAVTALFPLI
+LFPMMGIVDASEVAVEYLKDSNLLFFGGLLVAIAVEHWNLHKRIALRVLLIVGVRPAPLI
+LGFMLVTAFLSMWISNTATSAMMVPIAHAVLDQLHSSQASSNVEEGSNNPTFELQEPSPQ
+KEVTKLDNGQALPVTSASSEGRAHLSQKHLHLTQCMSLCVCYSASIGGIATLTGTAPNLV
+LQGQINSLFPQNGNVVNFASWFSFAFPTMVILLLLAWLWLQILFLGFNFRKNFGIGEKMQ
+EQQQAAYCVIQTEHRLLGPMTFAEKAISILFVILVLLWFTREPGFFLGWGNLAFPNAKGE
+SMVSDGTVAIFIGIIMFIIPSKFPGLTQDPENPGKLKAPLGLLDWKTVNQKMPWNIVLLL
+GGGYALAKGSERSGLSEWLGNKLTPLQSVPAPAIAIILSLLVATFTECTSNVATTTIFLP
+ILASMAQAICLHPLYVMLPCTLATSLAFMLPVATPPNAIVFSFGDLKVLDMARAGFLLNI
+IGVLIIALAINSWGIPLFSL
+>Q8WWT9_PF00939_10 <unknown description>
+KVWSARRLLVLLFTPLALLPVVFALPPKEGRCLFVILLMAVYWCTEALPLSVTALLPIVL
+FPFMGILPSNKVCPQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKILMLVGVQPARLIL
+GMMVTTSFLSMWLSNTASTAMMLPIANAILKSLFGQKEVRKDPSQESEENTAAVRRNGLH
+TVPTEMQFLASTEAKDHPGETEVPLDLPADSRKEDEYRRNIWKGFLISIPYSASIGGTAT
+LTGTAPNLILLGQLKSFFPQCDVVNFGSWFIFAFPLMLLFLLAGWLWISFLYGGLSFRGW
+RKNKSEIRTNAEDRARAVIREEYQNLGPIKFAEQAVFILFCMFAILLFTRDPKFIPGWAS
+LFNPGFLSDAVTGVAIVTILFFFPSQRPSLKWWFDFKAPNTETEPLLTWKKAQETVPWNI
+ILLLGGGFAMAKGCEESGLSVWIGGQLHPLENVPPALAVLLITVVIAFFTEFASNTATII
+IFLPVLAELAIRLRVHPLYLMIPGTVGCSFAFMLPVSTPPNSIAFASGHLLVKDMVRTGL
+LMNLMGVLLLSLAMNTWAQTIFQ
+>Q86YT5_PF00939_13 <unknown description>
+SFVILFVTPLLLLPLVILMPAKFVRCAYVIILMAIYWCTEVIPLAVTSLMPVLLFPLFQI
+LDSRQVCVQYMKDTNMLFLGGLIVAVAVERWNLHKRIALRTLLWVGAKPARLMLGFMGVT
+ALLSMWISNTATTAMMVPIVEAILQQMEATSAATEAGLELVDKGKAKELPGSQVIFEGPT
+LGQQEDQERKRLCKAMTLCICYAASIGGTATLTGTGPNVVLLGQMNELFPDSKDLVNFAS
+WFAFAFPNMLVMLLFAWLWLQFVYMRFNFKKSWGCGLESKKNEKAALKVLQEEYRKLGPL
+SFAEINVLICFFLLVILWFSRDPGFMPGWLTVAWVEGETKYVSDATVAIFVATLLFIVPS
+QKPKFNFRSQTEEERKTPFYPPPLLDWKVTQEKVPWGIVLLLGGGFALAKGSEASGLSVW
+MGKQMEPLHAVPPAAITLILSLLVAVFTECTSNVATTTLFLPIFASMSRSIGLNPLYIML
+PCTLSASFAFMLPVATPPNAIVFTYGHLKVADMVKTGVIMNIIGVFCVFLAVNTWGRAIF
+>Q92503_PF04707_17 <unknown description>
+ELIMAAYERRFPTCPLIPMFVGSDTVNEFKSEDGAIHVIERRCKLDVDAPRLLKKIAGVD
+YVYFVQKNSLNSRERTLHIEAYNETFSNRVIINEHCCYTVHPENEDWTCFEQSASLDIKS
+FFGFESTVEKIAMKQYTSNIKKGKEIIEYYLRQLEEE
+>Q92503_PF03765_275 <unknown description>
+PKDEHILRFLRARDFNIDKARE
+>Q92503_PF00650_325 <unknown description>
+DYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGEEALLRYVLSINEEGLRRCEENTKV
+FGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEVVEANYPETLGRLLILRAPRVFPVL
+WTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDKEIIPDFLSG
+>O76054_PF00650_82 <unknown description>
+QYLSGGMCGYDLDGCPVWYDIIGPLDAKGLLFSASKQDLLRTKMRECELLLQECAHQTTK
+LGRKVETITIIYDCEGLGLKHLWKPAVEAYGEFLCMFEENYPETLKRLFVVKAPKLFPVA
+YNLIKPFLSEDTRKKIMVLGANWKEVLLKHISPDQVPVEYGG
+>Q9UDX4_PF00650_82 <unknown description>
+KYMPGGLCGYDRDGCPVWYDIIGPLDPKGLLFSVTKQDLLKTKMRDCERILHECDLQTER
+LGKKIETIVMIFDCEGLGLKHFWKPLVEVYQEFFGLLEENYPETLKFMLIVKATKLFPVG
+YNLMKPFLSEDTRRKIIVLGNNWKEGLLKLISPEELPAQFGG
+>Q9UDX3_PF00650_86 <unknown description>
+GGLCGYDYEGCPVYFNIIGSLDPKGLLLSASKQDMIRKRIKVCELLLHECELQTQKLGRK
+IEMALMVFDMEGLSLKHLWKPAVEVYQQFFSILEANYPETLKNLIVIRAPKLFPVAFNLV
+KSFMSEETRRKIVILGDNWKQELTKFISPDQLPVEFGG
+>O43304_PF04707_17 <unknown description>
+ELVMAAYEKRFPTCPQIPVFLGSEVLRESRSPDGAVHVVERSCRLRVDAPRLLRKIAGVE
+HVVFVQTNILNWKERTLLIEAHNETFANRVVVNEHCSYTVHPENEDWTCFEQSASLDIRS
+FFGFENALEKIAMKQYTANVKRGKEVIEHYLNELI
+>O43304_PF03765_262 <unknown description>
+PKDEHILRFLRAHDFHLDKAREMLR
+>O43304_PF00650_314 <unknown description>
+YAGGWHYQDIDGRPLYILRLGQMDTKGLMKAVGEEALLRHVLSVNEEGQKRCEGSTRQLG
+RPISSWTCLLDLEGLNMRHLWRPGVKALLRMIEVVEDNYPETLGRLLIVRAPRVFPVLWT
+LISPFINENTRRKFLIYSGSNYQGPGGLVDYLDREVIPDFLGG
+>B5MCN3_PF00650_85 <unknown description>
+ANGICGHDGEGSPVWYHIVGSLDPKGLLLSASKQELLRDSFRSCELLLRECELQSQKLGK
+RVEKIIAIFGLEGLGLRDLWKPGIELLQEFFSALEANYPEILKSLIVVRAPKLFAVAFNL
+VKSYMSEETRRKVVILGDNWKQELTKFISPDQLPVEFGG
+>P46059_PF00854_81 <unknown description>
+FKTIVSLSIVYTIGQAVTSVSSINDLTDHNHDGTPDSLPVHVVLSLIGLALIALGTGGIK
+PCVSAFGGDQFEEGQEKQRNRFFSIFYLAINAGSLLSTIITPMLRVQQCGIHSKQACYPL
+AFGVPAALMAVALIVFVLGSGMYKKFKPQGNIMGKVAKCIGFAIKNRFRHRSKAFPKREH
+WLDWAKEKYDERLISQIKMVTRVMFLYIPLPMFWALFDQQGSRWTLQATTMSGKIGALEI
+QPDQMQTVNAILIVIMVPIFDAVLYPLIAKCGFNFTSLKKMAVGMVLASMAFVVAAIVQV
+EIDKTLPVFPKGNEVQIKVLNIGNNTMNISLPGEMVTLGPMSQTNAFMTFDVNKLTRINI
+SSPGSPVTAVTDDFKQGQRHTLLVWAPNH
+>P46059_PF00854_554 <unknown description>
+YTYIVQRKNDSCPEVKVFEDISANTVNMALQIPQYFLLTCGEVVFSVTGLEFSYSQAPSN
+MKSVLQAGWLLTVAVGNIIVLIV
+>Q16348_PF00854_111 <unknown description>
+FKTIIYLSLVYVLGHVIKSLGALPILGGQVVHTVLSLIGLSLIALGTGGIKPCVAAFGGD
+QFEEKHAEERTRYFSVFYLSINAGSLISTFITPMLRGDVQCFGEDCYALAFGVPGLLMVI
+ALVVFAMGSKIYNKPPPEGNIVAQVFKCIWFAISNRFKNRSGDIPKRQHWLDWAAEKYPK
+QLIMDVKALTRVLFLYIPLPMFWALLDQQGSRWTLQAIRMNRNLGFFVLQPDQMQVLNPL
+LVLIFIPLFDFVIYRLVSKCGINFSSLRKMAVGMILACLAFAVAAAVEIKINEMAPAQPG
+PQEVFLQVLNLADDEVKVTVVGNENNSLLIESIKSFQKTPHYSKLHLKTKSQDFHFHLKY
+HNLSLYTEHSVQEKNW
+>Q16348_PF00854_602 <unknown description>
+PANKMSIAWQLPQYALVTAGEVMFSVTGLEFSYSQAPSSMKSVLQAAWLLTIAVGNIIVL
+VVAQF
+>Q8IY34_PF00854_102 <unknown description>
+RAVALSLLLYLAASGLLPATAFPDGRSSFCGEMPASPLGPACPSAGCPRSSPSPYCAPVL
+YAGLLLLGLAASSVRSNLTSFGADQVMDLGRDATRRFFNWFYWSINLGAVLSLLVVAFIQ
+QNISFLLGYSIPVGCVGLAFFIFLFATPVFITKPPMGSQVSSMLKLALQNCCPQLWQRHS
+ARDRQCARVLADERSPQPGASPQEDIANFQVLVKILPVMVTLVPYWMVYFQMQSTYVLQG
+LHLHIPNIFPANPANISVALRAQGSSYTIPEAWLLLANVVVVLILVPLKDRLIDPLLLRC
+KLLPSALQKMALGMFFGFTSVIVAGVLEMERLHYIHHNETVSQQIGEVLYNAAPLSIWWQ
+IPQYLLIGISEIFASIPGLEFAYSEAPRSMQGAIMGIFFCLSGVGSLLGSSLVALLS
+>Q8N697_PF00854_104 <unknown description>
+RAILLSLALYLLGMLAFPLLAAPATRAALCGSARLLNCTAPGPDAAARCCSPATFAGLVL
+VGLGVATVKANITPFGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFV
+TGYAIPTVCVGLAFVVFLCGQSVFITKPPDGSAFTDMFKILTYSCCSQKRSGERQSNGEG
+IGVFQQSSKQSLFDSCKMSHGGPFTEEKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYV
+LQSLHLRIPEISNITTTPHTLPAAWLTMFDAVLILLLIPLKDKLVDPILRRHGLLPSSLK
+RIAVGMFFVMCSAFAAGILESKRLNLVKEKTINQTIGNVVYHAADLSLWWQVPQYLLIGI
+SEIFASIAGLEFAYSAAPKSMQSAIMGLFFFFSGVGSFVGSGLLALVSIKAIGW
+>A6NIM6_PF00854_113 <unknown description>
+ICLFLHFLGTALLSVVAFPLEDFYLGTYHAVNNIPKTEQHRLFYVALLTICLGIGGVRAI
+VCPLGAFGLQEYGSQKTMSFFNWFYWLMNLNATIVFLGISYIQHSQAWALVLLIPFMSML
+MAVITLHMIYYNLIYQSEKRCSLLTGVGVLVSALKTCHPQYCHLGRDVTSQLDHAKEKNG
+GCYSELHVEDTTFFLTLLPLFIFQLLYRMCIMQIPSGYYLQTMNSNLNLDGFLLPIAVMN
+AISSLPLLILAPFLEYFSTCLFPSKRVGSFLSTCIIAGNLFAALSVMIAGFFEIHRKHFP
+AVEQPLSGKVLTVSSMPCFYLILQYVLLGVAETLVNPALSVISYRFVPSNVRGTSMNFLT
+LFNGFGCFTGALLVKLVYLI
+>Q9Y2C5_PF07690_45 <unknown description>
+LCNFSIYTQQMNLSIAIPAMVNNTAPPSQPNASTERPSTDSQGYWNETLKEFKAMAPAYD
+WSPEIQGIILSSLNYGSFLAPIPSGYVAGIFGAKYVVGAGLFISSFLTLFIPLAANAGVA
+LLIVLRIVQGIAQVMVLTGQYSIWVKWAPPLERSQLTTIAGSGSMLGSFIVLLAGGLLCQ
+TIGWPYVFYIFGGIGCACCPLWFPLIYDDPVNHPFISAGEKRYIVCSLAQQDCSPGWSLP
+IRAMIKSLPLWAILVSYFCEYWLFYTIMAYTPTYISSVLQANLRDSGILSALPFVVGCIC
+IILGGLLADFLLSRKILRLITIRKLFTAIGVLFPSVILVSLPWVRSSHSMTMTFLVLSSA
+ISSFCESGALVNFLDIAPRYTGFLKGLLQVFAHIAGAISP
+>Q9NRA2_PF07690_47 <unknown description>
+FFGFFIVYALRVNLSVALVDMVDSNTTLEDNRTSKACPEHSAPIKVHHNQTGKKYQWDAE
+TQGWILGSFFYGYIITQIPGGYVASKIGGKMLLGFGILGTAVLTLFTPIAADLGVGPLIV
+LRALEGLGEGVTFPAMHAMWSSWAPPLERSKLLSISYAGAQLGTVISLPLSGIICYYMNW
+TYVFYFFGTIGIFWFLLWIWLVSDTPQKHKRISHYEKEYILSSLRNQLSSQKSVPWVPIL
+KSLPLWAIVVAHFSYNWTFYTLLTLLPTYMKEILRFNVQENGFLSSLPYLGSWLCMILSG
+QAADNLRAKWNFSTLCVRRIFSLIGMIGPAVFLVAAGFIGCDYSLAVAFLTISTTLGGFC
+SSGFSINHLDIAPSYAGILLGITNTFATIPGMVGP
+>Q9BYT1_PF07690_30 <unknown description>
+LLLGTCLLYCARSSMPICTVSMSQDFGWNKKEAGIVLSSFFWGYCLTQVVGGHLGDRIGG
+EKVILLSASAWGSITAVTPLLAHLSSAHLAFMTFSRILMGLLQGVYFPALTSLLSQKVRE
+SERAFTYSIVGAGSQFGTLLTGAVGSLLLEWYGWQSIFYFSGGLTLLWVWYVYRYLLSEK
+DLILALGVLAQSRPVSRHNRVPWRRLFRKPAVWAAVVSQLSAACSFFILLSWLPTFFEET
+FPDAKGWIFNVVPWLVAIPASLFSGFLSDHLINQGYRAITVRKLMQGMGLGLSSVFALCL
+GHTSSFCESVVFASASIGLQTFNHSGISVNIQDLAPSCAGFLFGVANTAGALAGVVG
+>Q6NT16_PF07690_44 <unknown description>
+NLGSMMCYSILGPFFPKEAEKKGASNTIIGMIFGCFALFELLASLVFGNYLVHIGAKFMF
+VAGMFVSGGVTILFGVLDRVPDGPVFIAMCFLVRVMDAVSFAAAMTASSSILAKAFPNNV
+ATVLGSLETFSGLGLILGPPVGGFLYQSFGYEVPFIVLGCVVLLMVPLNMYILPNYESDP
+GEHSFWKLIAL
+>Q6NT16_PF07690_251 <unknown description>
+FGFLDPTLSLFVLEKFNLPAGYVGLVFLGMALSYAISSPLFGLLSDKRPPLRKWLLVFGN
+LITAGCYMLLGPVPILHIKSQLWLLVLILVVSGLSAGMSIIPTFPEILSCAHENGFEEGL
+STLGLVSGLFSAMWSIGAFMGPTLGGFLYEKIGFEWAAAIQGLWALISGLAMGLFYLLEY
+SRRKRSKSQ
+>Q9UHA2_PF05030_13 <unknown description>
+KAEVNQETIQRLLEENDQLIRCIVEYQNKGRGNECVQYQHVLHRNLIYLATIADASPTST
+>P41440_PF01770_24 <unknown description>
+SWRHLVCYLCFYGFMAQIRPGESFITPYLLGPDKNFTREQVTNEITPVLSYSYLAVLVPV
+FLLTDYLRYTPVLLLQGLSFVSVWLLLLLGHSVAHMQLMELFYSVTMAARIAYSSYIFSL
+VRPARYQRVAGYSRAAVLLGVFTSSVLGQLLVTVGRVSFSTLNYISLAFLTFSVVLALFL
+KRPKRSLFFNRDDRGRCETSASELERMNPGPGGKLGHALRVACGDSVLARMLRELGDSLR
+RPQLRLWSLWWVFNSAGYYLVVYYVHILWNEVDPTTNSARVYNGAADAASTLLGAITSFA
+AGFVKIRWARWSKLLIAGVTATQAGLVFLLAHTRHPSSIWLCYAAFVLFRGSYQFLVPIA
+TFQIASSLSKELCALVFGVNTFFATIVKTIITFIVSDVRGLGLPVRKQFQ
+>O60779_PF01770_28 <unknown description>
+ECWFLPTALLCAYGFFASLRPSEPFLTPYLLGPDKNLTEREVFNEIYPVWTYSYLVLLFP
+VFLATDYLRYKPVVLLQGLSLIVTWFMLLYAQGLLAIQFLEFFYGIATATEIAYYSYIYS
+VVDLGMYQKVTSYCRSATLVGFTVGSVLGQILVSVAGWSLFSLNVISLTCVSVAFAVAWF
+LPMPQKSLFFHHIPSTCQRVNGIKVQNGGIVTDTPASNHLPGWEDIESKIPLNMEEPPVE
+EPEPKPDRLLVLKVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYTQGLWEKVMPSR
+YAAIYNGGVEAVSTLLGAVAVFAVGYIKISWSTWGEMTLSLFSLLIAAAVYIMDTVGNIW
+VCYASYVVFRIIYMLLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTLIVVDASG
+LGLEITTQFLI
+>Q9BZV2_PF01770_11 <unknown description>
+SWIYPTVILCLFGFFSMMRPSEPFLIPYLSGPDKNLTSAEITNEIFPVWTYSYLVLLLPV
+FVLTDYVRYKPVIILQGISFIITWLLLLFGQGVKTMQVVEFFYGMVTAAEVAYYAYIYSV
+VSPEHYQRVSGYCRSVTLAAYTAGSVLAQLLVSLANMSYFYLNVISLASVSVAFLFSLFL
+PMPKKSMFFHAKPSREIKKSSSVNPVLEETHEGEAPGCEEQKPTSEILSTSGKLNKGQLN
+SLKPSNVTVDVFVQWFQDLKECYSSKRLFYWSLWWAFATAGFNQVLNYVQILWDYKAPSQ
+DSSIYNGAVEAIATFGGAVAAFAVGYVKVNWDLLGELALVVFSVVNAGSLFLMHYTANIW
+ACYAGYLIFKSSYMLLITIAVFQIAVNLNVERYALVFGINTFIALVIQTIMTVIVVDQRG
+LNLPVSIQFLV
+>Q86SG5_PF01023_7 <unknown description>
+ERSIIGMIDMFHKYTGRDGKIEKPSLLTMMKENFPNFLS
+>Q96BU1_PF15427_22 <unknown description>
+APFSWDSLDEDGLDDSLLELSEGEEDDGDVNYTEEEIDALLKEDDPSYEQSSGEDDGGHV
+EKGERGSQILLDTPREKNSSYSLGPVAETPDLFKLPQLSTSSGHGPAHTKPLNRRSVLEK
+NLIKVTVAPFNPTVCDALLDKDETDSSKDTEKLSSLGEEMREDGLSPNESKLCTESEGIS
+PNNSAWNGPQLSSSNNNFQQTVSDKNMPDSENPTSVFSRISDHSETPNMELSCRNGGSHK
+SSCEMRSLVVSTSSNKQDVLNKDSGKMKGHERRLGKVIPVLQTKTRTNVPTFSQSNLEQQ
+KQLYLRSVIAHIEDPEDTNQGISGELCALMDQVHHMQHSKWQHPSDLTTRNYARRQKHLQ
+RYSLTQWVDRNMRSHHRFQRL
+>P21453_PF00001_62 <unknown description>
+ENIFVLLTIWKTKKFHRPMYYFIGNLALSDLLAGVAYTANLLLSGATTYKLTPAQWFLRE
+GSMFVALSASVFSLLAIAIERYITMLKMKLHNGSNNFRLFLLISACWVISLILGGLPIMG
+WNCISALSSCSTVLPLYHKHYILFCTTVFTLLLLSIVILYCRIYSLVRTRSRRLTFRKNI
+SKASRSSEKSLALLKTVIIVLSVFIACWAPLFILLLLDVGCKVKTCDILFRAEYFLVLAV
+LNSGTNPIIY
+>O95136_PF00001_50 <unknown description>
+ENLLVLIAVARNSKFHSAMYLFLGNLAASDLLAGVAFVANTLLSGSVTLRLTPVQWFARE
+GSAFITLSASVFSLLAIAIERHVAIAKVKLYGSDKSCRMLLLIGASWLISLVLGGLPILG
+WNCLGHLEACSTVLPLYAKHYVLCVVTIFSIILLAIVALYVRIYCVVRSSHADMAAPQTL
+ALLKTVTIVLGVFIVCWLPAFSILLLDYACPVHSCPILYKAHYFFAVSTLNSLLNPVIY
+>Q99500_PF00001_56 <unknown description>
+ENLMVLIAIWKNNKFHNRMYFFIGNLALCDLLAGIAYKVNILMSGKKTFSLSPTVWFLRE
+GSMFVALGASTCSLLAIAIERHLTMIKMRPYDANKRHRVFLLIGMCWLIAFTLGALPILG
+WNCLHNLPDCSTILPLYSKKYIAFCISIFTAILVTIVILYARIYFLVKSSSRKVANHNNS
+ERSMALLRTVVIVVSVFIACWSPLFILFLIDVACRVQACPILFKAQWFIVLAVLNSAMNP
+VIY
+>O95977_PF00001_63 <unknown description>
+ENLLVLAAITSHMRSRRWVYYCLVNITLSDLLTGAAYLANVLLSGARTFRLAPAQWFLRE
+GLLFTALAASTFSLLFTAGERFATMVRPVAESGATKTSRVYGFIGLCWLLAALLGMLPLL
+GWNCLCAFDRCSSLLPLYSKRYILFCLVIFAGVLATIMGLYGAIFRLVQASGQKAPRPAA
+RRKARRLLKTVLMILLAFLVCWGPLFGLLLADVFGSNLWAQEYLRGMDWILALAVLNSAV
+NPIIY
+>Q9H228_PF00001_53 <unknown description>
+ENLAVLLVLGRHPRFHAPMFLLLGSLTLSDLLAGAAYAANILLSGPLTLKLSPALWFARE
+GGVFVALTASVLSLLAIALERSLTMARRGPAPVSSRGRTLAMAAAAWGVSLLLGLLPALG
+WNCLGRLDACSTVLPLYAKAYVLFCVLAFVGILAAICALYARIYCQVRANARRLPARPGT
+AGTTSTRARRKPRSLALLRTLSVVLLAFVACWGPLFLLLLLDVACPARTCPVLLQADPFL
+GLAMANSLLNPIIY
+>Q8WUM9_PF01384_39 <unknown description>
+VGANDVANSFGTAVGSGVVTLKQACILASIFETVGSVLLGAKVSETIRKGLIDVEMYNST
+QGLLMAGSVSAMFGSAVWQLVASFLKLPISGTHCIVGATIGFSLVAKGQEGVKWSELIKI
+VMSWFVSPLLSGIMSGILFFLVRAFILHKADPVPNGLRALPVFYACTVGINLFSIMYTGA
+PLLGFDKLPLWGTILISVGCAVFCALIVWFFVCPRMKRKIEREIKCSPSESPLMEKKNSL
+KEDHEETKLSVGDIENKHPVSEVGPATVPLQAVVEERTVSFKLGDLEEAPERERLPSVDL
+KEETSIDSTVNGAVQLPNGNLVQFSQAVSNQINSSGHYQYHTVHKDSGLYKELLHKLHLA
+KVGDCMGDSGDKPLRRNNSYTSYTMAICGMPLDSFRAKEGEQKGEEMEKLTWPNADSKKR
+IRMDSYTSYCNAVSDLHSASEIDMSVKAEMGLGDRKGSNGSLEEWYDQDKPEVSLLFQFL
+QILTACFGSFAHGGNDVSNAIGPLVALYLVYDTGDVSSKVATPIWLLLYGGVGICVGLWV
+WGRRVIQTMGKDLTPITPSSGFSIELASALTVVIASNIGLPISTTHCKVGSVVSVGWLRS
+KKAVDWRLFRNIFMAWFVTVPISGVIS
+>Q08357_PF01384_24 <unknown description>
+VGANDVANSFGTAVGSGVVTLRQACILASIFETTGSVLLGAKVGETIRKGIIDVNLYNET
+VETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVGSTIGFSLVAIGTKGVQWMELVKI
+VASWFISPLLSGFMSGLLFVLIRIFILKKEDPVPNGLRALPVFYAATIAINVFSIMYTGA
+PVLGLVLPMWAIALISFGVALLFAFFVWLFVCPWMRRKITGKLQKEGALSRVSDESLSKV
+QEAESPVFKELPGAKANDDSTIPLTGAAGETLGTSEGTSAGSHPRAAYGRALSMTHGSVK
+SPISNGTFGFDGHTRSDGHVYHTVHKDSGLYKDLLHKIHIDRGPEEKPAQESNYRLLRRN
+NSYTCYTAAICGLPVHATFRAADSSAPEDSEKLVGDTVSYSKKRLRYDSYSSYCNAVAEA
+EIEAEEGGVEMKLASELADPDQPREDPAEEEKEEKDAPEVHLLFHFLQVLTACFGSFAHG
+GNDVSNAIGPLVALWLIYKQGGVTQEAATPVWLLFYGGVGICTGLWVWGRRVIQTMGKDL
+TPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGWIRSRKAVDWRLFRNIF
+VAWFVTVPVAGLFS
+>O15245_PF00083_145 <unknown description>
+SWKLDLFQSCLNAGFLFGSLGVGYFADRFGRKLCLLGTVLVNAVSGVLMAFSPNYMSMLL
+FRLLQGLVSKGNWMAGYTLITEFVGSGSRRTVAIMYQMAFTVGLVALTGLAYALPHWRWL
+QLAVSLPTFLFLLYYWCVPESPRWLLSQKRNTEAIKIMDHIAQKNGKLPPADLKMLSLEE
+DVTEKLSPSFADLFRTPRLRKRTFILMYLWFTDSV
+>O15245_PF00083_384 <unknown description>
+LVEIPGAFIALITIDRVGRIYPMAMSNLLAGAACLVMIFISPDLHWLNIIIMCVGRMGIT
+IAIQMICLVNAELYPTFVRNLGVMVCSSLCDIGGIITPFIVFRLREVWQALPLILFAVLG
+LLAAGVTLLLPETK
+>O15244_PF00083_147 <unknown description>
+WMLDLFQSSVNVGFFIGSMSIGYIADRFGRKLCLLTTVLINAAAGVLMAISPTYTWMLIF
+RLIQGLVSKAGWLIGYILITEFVGRRYRRTVGIFYQVAYTVGLLVLAGVAYALPHWRWLQ
+FTVSLPNFFFLLYYWCIPESPRWLISQNKNAEAMRIIKHIAKKNGKSLPASLQRLRLEEE
+TGKKLNPSFLDLVRTPQIRKHTMILMYNWFTSSVLYQGLIMHMGLAGDNIYLDFFYSALV
+EFPAAFMIILTIDRIGRRYPWAASNMVAGAACLASVFIPGDLQWLKIIISCLGRMGITMA
+YEIVCLVNAELYPTFIRNLGVHICSSMCDIGGIITPFLVYRLTNIWLELPLMVFGVLGLV
+AGGLVLLLPETKGKALPE
+>O75751_PF07690_159 <unknown description>
+AILNLGFLTGAFTLGYAADRYGRIVIYLLSCLGVGVTGVVVAFAPNFPVFVIFRFLQGVF
+GKGTWMTCYVIVTEIVGSKQRRIVGIVIQMFFTLGIIILPGIAYFIPNWQGIQLAITLPS
+FLFLLYYWVVPESPRWLITRKKGDKALQILRRIAKCNGKYLSSNYSEITVTDEEVSNPSF
+LDLVRTPQMRKCTLILMFAWFTSAVVYQGLVMRLGIIGGNLYIDFFISGVVELPGALLIL
+LTIERLGRRLPFAASNIVAGVACLVTAFLPEGIAWLRTTVATLGRLGITMAFEIVYLVNS
+ELYPTTLRNFGVSLCSGLCDFGGIIAP
+>Q9H015_PF00083_141 <unknown description>
+KVPLTTSLFFVGVLLGSFVSGQLSDRFGRKNVLFATMAVQTGFSFLQIFSISWEMFTVLF
+VIVGMGQISNYVVAFILGTEILGKSVRIIFSTLGVCTFFAVGYMLLPLFAYFIRDWRMLL
+LALTVPGVLCVPLWWFIPESPRWLISQRRFREAEDIIQKAAKMNNIAVPAVIFDSVEELN
+PLKQQKAFILDLFRTRNIAIMTIMSLLLWMLTSVGYFALSLDAPNLHGDAYLNCFLSALI
+EIPAYITAWLLLRTLPRRYIIAAVLFWGGGVLLFIQLVPVDYYFLSIGLVMLGKFGITSA
+FSMLYVFTAELYPTLVRNMAVGVTSTASRVGSIIAPYFVYLGAYNRMLPYIVMGSLTVLI
+GILTLFFPESLGMTLPE
+>Q4U2R8_PF00083_136 <unknown description>
+LAQSLYMVGVLLGAMVFGYLADRLGRRKVLILNYLQTAVSGTCAAFAPNFPIYCAFRLLS
+GMALAGISLNCMTLNVEWMPIHTRACVGTLIGYVYSLGQFLLAGVAYAVPHWRHLQLLVS
+APFFAFFIYSWFFIESARWHSSSGRLDLTLRALQRVARINGKREEGAKLSMEVLRASLQK
+ELTMGKGQASAMELLRCPTLRHLFLCLSMLWFATSFAYYGLVMDLQGFGVSIYLIQVIFG
+AVDLPAKLVGFLVINSLGRRPAQMAALLLAGICILLNGVIPQDQSIVRTSLAVLGKGCLA
+ASFNCIFLYTGELYPTMIRQTGMGMGSTMARVGSIVSPLVSMTAELYPSMPLFIYGAVPV
+AASAVTVLLPETLGQPL
+>Q9Y694_PF07690_143 <unknown description>
+LNRAASTFFFAGVLVGAVAFGYLSDRFGRRRLLLVAYVSTLVLGLASAASVSYVMFAITR
+TLTGSALAGFTIIVMPLELEWLDVEHRTVAGVLSSTFWTGGVMLLALVGYLIRDWRWLLL
+AVTLPCAPGILSLWWVPESARWLLTQGHVKEAHRYLLHCARLNGRPVCEDSFSQEAVSKV
+AAGERVVRRPSYLDLFRTPRLRHISLCCVVVWFGVNFSYYGLSLDVSGLGLNVYQTQLLF
+GAVELPSKLLVYLSVRYAGRRLTQAGTLLGTALAFGTRLLVSSDMKSWSTVLAVMGKAFS
+EAAFTTAYLFTSELYPTVLRQTGMGLTALVGRLGGSLAP
+>Q8TCC7_PF00083_118 <unknown description>
+SNKLKEMAQSIFMAGILIGGLVLGDLSDRFGRRPILTCSYLLLAASGSGAAFSPTFPIYM
+VFRFLCGFGISGITLSTVILNVEWVPTRMRAIMSTALGYCYTFGQFILPGLAYAIPQWRW
+LQLTVSIPFFVFFLSSWWTPESIRWLVLSGKSSKALKILRRVAVFNGKKEEGERLSLEEL
+KLNLQKEISLAKAKYTASDLFRIPMLRRMTFCLSLAWFATGFAYYSLAMGVEEFGVNLYI
+LQIIFGGVDVPAKFITILSLSYLGRHTTQAAALLLAGGAILALTFVPLDLQTVRTVLAVF
+GKGCLSSSFSCLFLYTSELYPTVIRQTGMGVSNLWTRVGSMVSPLVKITGEVQPFIPNII
+YGITALLGGSAALFLPETLNQPLPE
+>Q8IVM8_PF07690_143 <unknown description>
+SLTSVAKFVFMAGMMVGGILGGHLSDRFGRRFVLRWCYLQVAIVGTCAALAPTFLIYCSL
+RFLSGIAAMSLITNTIMLIAEWATHRFQAMGITLGMCPSGIAFMTLAGLAFAIRDWHILQ
+LVVSVPYFVIFLTSSWLLESARWLIINNKPEEGLKELRKAAHRSGMKNARDTLTLEILKS
+TMKKELEAAQKKKPSLCEMLHMPNICKRISLLSFTRFANFMAYFGLNLHVQHLGNNVFLL
+QTLFGAVILLANCVAPWALKYMNRRASQMLLMFLLAICLLAIIFVPQEMQTLREVLATLG
+LGASALANTLAFAHGNEVIPTIIRARAMGINATFANIAGALAP
+>Q63ZE4_PF00083_143 <unknown description>
+SLKSVVQFLLLTGMLVGGIIGGHVSDRFGRRFILRWCLLQLAITDTCAAFAPTFPVYCVL
+RFLAGFSSMIIISNNSLPITEWIRPNSKALVVILSSGALSIGQIILGGLAYVFRDWQTLH
+VVASVPFFVFFLLSRWLVESARWLIITNKLDEGLKALRKVARTNGIKNAEETLNIEVVRS
+TMQEELDAAQTKTTVCDLFRNPSMRKRICILVFLRFANTIPFYGTMVNLQHVGSNIFLLQ
+VLYGAVALIVRCLALLTLNHMGRRISQILFMFLVGLSILANTFVPKEMQTLRVALACLGI
+GCSAATFSSVAVHFIELIPTVLRARASGIDLTASRIGAALAPLLMTLTVFFTTLPWIIYG
+IFPIIGGLIVFLLPETKNLPL
+>Q9NSA0_PF00083_144 <unknown description>
+LSQSIFMSGILVGSFIWGLLSYRFGRKPMLSWCCLQLAVAGTSTIFAPTFVIYCGLRFVA
+AFGMAGIFLSSLTLMVEWTTTSRRAVTMTVVGCAFSAGQAALGGLAFALRDWRTLQLAAS
+VPFFAISLISWWLPESARWLIIKGKPDQALQELRKVARINGHKEAKNLTIEVLMSSVKEE
+VASAKEPRSVLDLFCVPVLRWRSCAMLVVNFSLLISYYGLVFDLQSLGRDIFLLQALFGA
+VDFLGRATTALLLSFLGRRTIQAGSQAMAGLAILANMLVPQDLQTLRVVFAVLGKGCFGI
+SLTCLTIYKAELFPTPVRMTADGILHTVGRLGAMMGPLILMSRQALPLLPPLLYGVISIA
+SSLVVLFFLPETQGLPL
+>Q96S37_PF00083_147 <unknown description>
+MAQSIYLAGILVGAAACGPASDRFGRRLVLTWSYLQMAVMGTAAAFAPAFPVYCLFRFLL
+AFAVAGVMMNTGTLLMEWTAARARPLVMTLNSLGFSFGHGLTAAVAYGVRDWTLLQLVVS
+VPFFLCFLYSWWLAESARWLLTTGRLDWGLQELWRVAAINGKGAVQDTLTPEVLLSAMRE
+ELSMGQPPASLGTLLRMPGLRFRTCISTLCWFAFGFTFFGLALDLQALGSNIFLLQMFIG
+VVDIPAKMGALLLLSHLGRRPTLAASLLLAGLCILANTLVPHEMGALRSALAVLGLGGVG
+AAFTCITIYSSELFPTVLRMTAVGLG
+>Q9Y226_PF00083_140 <unknown description>
+TQSVFMAGLLVGTLMFGPLCDRIGRKATILAQLLLFTLIGLATAFVPSFELYMALRFAVA
+TAVAGLSFSNVTLLTEWVGPSWRTQAVVLAQCNFSLGQMVLAGLAYGFRNWRLLQITGTA
+PGLLLFFYFWALPESARWLLTRGRMDEAIQLIQKAASVNRRKLSPELMNQLVPEKTGPSG
+NALDLFRHPQLRKVTLIIFCVWFVDSLGYYGLSLQVGDFGLDVYLTQLIFGAVEVPARCS
+SIFMMQRFGRKWSQLGTLVLGGLMCIIIIFIPADLPVVVTMLAVVGKMATAAAFTISYVY
+SAELFPTILRQTGMGLVGIFSRIGGILTPLVILLGEYHAALPMLIYGSLPIVAGLLCTLL
+PETHGQGLK
+>Q9Y267_PF00083_189 <unknown description>
+MFMAGLPIGSLIFRLITDKMGRYPAILLSLLGLIIFGFGTAFMNSFHLYLFFRFGISQSV
+VGYAISSISLATEWLVGEHRAHAIILGHCFFAVGAVLLTGIAYSLPHWQLLFLVGGILVI
+PFISYIWILPESPRWLMMKGKVKEAKQVLCYAASVNKKTIPSNLLDELQLPRKKVTRASV
+LDFCKNRQLCKVTLVMSCVWFTVSYTYFTLSLRMRELGVSVHFRHVVPSIMEVPARLCCI
+FLLQQIGRKWSLAVTLLQAIIWCLLLLFLPEGEDGLRLKWPRCPATELKSMTILVLMLRE
+FSLAATVTVFFLYTAELLPTVLRATGLGLVSLASVAGAILSLTIISQTPSLLPIFLCCVL
+AIVAFSLSSLLPETRDQPLSE
+>Q8IZD6_PF00083_107 <unknown description>
+RSYKVSAASSFFFSGVFVGVISFGQLSDRFGRKKVYLTGFALDILFAIANGFSPSYEFFA
+VTRFLVGMMNGGMSLVAFVLLNECVGTAYWALAGSIGGLFFAVGIAQYALLGYFIRSWRT
+LAILVNLQGTVVFLLSLFIPESPRWLYSQGRLSEAEEALYLIAKRNRKLKCTFSLTHPAN
+RSCRETGSFLDLFRYRVLLGHTLILMFIWFVCSLVYYGLTLSAGDLGGSIYANLALSGLI
+EIPSYPLCIYLINQKWFGRKRTLSAFLCLGGLACLIVMFLPEKKDTGVFAVVNSHSLSLL
+GKLTISAAFNIVYIYTSELYPTVIRNVGLGTCSMFSRVGGIIAPFIP
+>Q86VW1_PF00083_151 <unknown description>
+KWLAMLIQPLFMFGVLLGSVTFGYFSDRLGRRVVLWATSSSMFLFGIAAAFAVDYYTFMA
+ARFFLAMVASGYLVVGFVYVMEFIGMKSRTWASVHLHSFFAVGTLLVALTGYLVRTWWLY
+QMILSTVTVPFILCCWVLPETPFWLLSEGRYEEAQKIVDIMAKWNRASSCKLSELLSLDL
+QGPVSNSPTEVQKHNLSYLFYNWSITKRTLTVWLIWFTGSLGFYSFSLNSVNLGGNEYLN
+LFLLGVVEIPAYTFVCIAMDKVGRRTVLAYSLFCSALACGVVMVIPQKHYILGVVTAMVG
+KFAIGAAFGLIYLYTAELYPTIVRSLAVGSGSMVCRLASILAPFSVDLSSIWIFIPQLFV
+GTMALLSGVLTLKLPETLGKRL
+>Q96BI1_PF07690_27 <unknown description>
+LLTYVLAATELTCLFMQFSIVPYLSRKLGLDSIAFGYLQTTFGVLQLLGGPVFGRFADQR
+GARAALTLSFLAALALYLLLAAASSPALPGVYLLFASRLPGALMHTLPAAQMVITDLSAP
+EERPAALGRLGLCFGVGVILGSLLGGTLVSAYGIQCPAILAALATLLGAVLSFTCIPAST
+KGAKTDAQAPLPGGPRASVFDLKAIASLLRLPDVPRIFLVKVASNCPTGLFMVMFSIISM
+DFFQLEAAQAGYLMSFFGLLQMVTQGLVIGQLSSHFSEEVLLRASVLVFIVVGLAMAWMS
+SVFH
+>A1A5C7_PF00083_235 <unknown description>
+SLLVGLIFGYLITGCIADWVGRRPVLLFSIIFILIFGLTVALSVNVTMFSTLRFFEGFCL
+AGIILTLYALRIELCPPGKRFMITMVASFVAMAGQFLMPGLAALCRDWQVLQALIICPFL
+LMLLYWSIFPESLRWLMATQQFESAKRLILHFTQKNRMNPEGDIKGVIPELEKELSRRPK
+KVCIVKVVGTRNLWKNIVVLCVNSLTGYGIHHCFARSMMGHEVKVPLLENFYADYYTTAS
+IALVSCLAMCVVVRFLGRRGGLLLFMILTALASLLQLGLLNLIGKYSQHPDSGMSDSVKD
+KFSIAFSIVGMFASHAVGSLSVFFCAEITPTVIRCGGLGLVLASAGFGMLTAPIIELHNQ
+KGYFLHHIIFACCTLICIICILLLPESRDQNL
+>Q8N4F4_PF00083_137 <unknown description>
+LVCESQSLKSMVQSLFMAGSLLGGLIYGHLSDRVGRKIICKLCFLQLAISNTCAAFAPTF
+LVYCILRFLAGFSTMTILGNTFILSLEWTLPRSRSMTIMVLLCSYSVGQMLLGGLAFAIQ
+DWHILQLTVSTPIIVLFLSSWKMVESARWLIINNQLDEGLKELRRVAHINGKKNTEETLT
+TELVRSTMKKELDAVRIKTSIFSLFRAPKLRMRVFGLCFVRFAITVPFYGLILNLQHLGS
+NVSLFQILCGAVTFTARCVSLLTLNHMGRRISQILFTFPVGLFILVNTFLPQEMQILRVV
+LATLGIGSVSAASNSASVHHNELVPTILRSTVAGINAVSGRTGAALAPLLMTLMAYSPHL
+PWISYGVFPILAVPVILLLPETRD
+>Q6T423_PF07690_150 <unknown description>
+FLFMAGMMVGGNLYGHLSDRFGRKFVLRWSYLQLAIVGTCAAFAPTILVYCSLRFLAGAA
+TFSIIVNTVLLIVEWITHQFCAMALTLTLCAASIGHITLGSLAFVIRDQCILQLVMSAPC
+FVFFLFSRWLAESARWLIINNKPEEGLKELRKAAHRNGMKNAEDILTMEVLKSTMKQELE
+AAQKKHSLCELLRIPNICKRICFLSFVRFASTIPFWGLTLHLQHLGNNVFLLQTLFGAVT
+LLANCVAPWALNHMSRRLSQMLLMFLLATCLLAIIFVPQEMQTLRVVLATLGVGAASLGI
+TCSTAQENELIPSIIRGRATGITGNFANIGGALA
+>Q9UHI7_PF00860_45 <unknown description>
+ILLGFQHYLTCFSGTIAVPFLLAEALCVGHDQHMVSQLIGTIFTCVGITTLIQTTVGIRL
+PLFQASAFAFLVPAKAILALERWKCPPEEEIYGNWSLPLNTSHIWHPRIREVQGAIMVSS
+VVEVVIGLLGLPGALLNYIGPLTVTPTVSLIGLSVFQAAGDRAGSHWGISACSILLIILF
+SQYLRNLTFLLPVYRWGKGLTLLRIQIFKMFPIMLAIMTVWLLCYVLTLTDVLPTDPKAY
+GFQARTDARGDIMAIAPWIRIPYPCQWGLPTVTAAAVLGMFSATLAGIIESIGDYYACAR
+LAGAPPPPVHAINRGIFTEGICCIIAGLLGTGNGSTSSSPNIGVLGITKVGSRRVVQYGA
+AIMLVLGTIGKFTALFASLPDPILGGMFCTLFGMITAVGLSNLQFVDMNSSRNLFVLGFS
+MFFGLTLPNYL
+>Q9UGH3_PF00860_103 <unknown description>
+IFLGLQHYLTCFSGTIAVPFLLADAMCVGYDQWATSQLIGTIFFCVGITTLLQTTFGCRL
+PLFQASAFAFLAPARAILSLDKWKCNTTDVSVANGTAELLHTEHIWYPRIREIQGAIIMS
+SLIEVVIGLLGLPGALLKYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLL
+FSQYARNVKFPLPIYKSKKGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPPDSTK
+YGFYARTDARQGVLLVAPWFKVPYPFQWGLPTVSAAGVIGMLSAVVASIIESIGDYYACA
+RLSCAPPPPIHAINRGIFVEGLSCVLDGIFGTGNGSTSSSPNIGVLGITKVGSRRVIQCG
+AALMLALGMIGKFSALFASLPDPVLGALFCTLFGMITAVGLSNLQFIDLNSSRNLFVLGF
+SIFFGLVLPSY
+>Q6PIS1_PF00860_50 <unknown description>
+LLALQHVLVMASLLCVSHLLLLCSLSPGGLSYSPSQLLASSFFSCGMSTILQTWMGSRLP
+LVQAPSLEFLIPALVLTSQKLPRAIQTPGNSSLMLHLCRGPSCHGLGHWNTSLQEVSGAV
+VVSGLLQGMMGLLGSPGHVFPHCGPLVLAPSLVVAGLSAHREVAQFCFTHWGLALLVILL
+MVVCSQHLGSCQFHVCPWRRASTSSTHTPLPVFRLLSVLIPVACVWIVSAFVGFSVIPQE
+LSAPTKAPWIWLPHPGEWNWPLLTPRALAAGISMALAASTSSLGCYALCGRLLHLPPPPP
+HACSRGLSLEGLGSVLAGLLGSPMGTASSFPNVGKVGLIQAGSQQVAHLVGLLCVGLGLS
+PRLAQLLTTIPLPVVGGVLGVTQAVVLSAGFSSFYLADIDSGRNIFIVGFSIFMALLLPR
+WFR
+>Q9Y6Y8_PF00536_645 <unknown description>
+EVLTLQETLEALSLSEYFSTFEKEKIDMESLLMCTVDDLKEMGIPLGPRKKIANFVE
+>Q9Y6Y8_PF02862_779 <unknown description>
+LDFEPEIFFALGSPIAMFLTIRGVDRIDENYSLPTCKGFFNIYHPLDPVAYRLEPMIVPD
+LDLKAVLIPHHKGRKRLHLELKESLSRMGSDLKQGFISSLKSAWQTLNEFARAHTSSTQL
+QEELEKVANQIKEEEEKQVVEAEKVVESPDFSKDEDYLGKVGMLNGGRRIDYVLQEKPIE
+SFNEYLFALQSHLCYWESEDTALLLLKEIY
+>O75746_PF00153_328 <unknown description>
+YRFTLGSVAGAVGATAVYPIDLVKTRMQNQRGSGSVVGELMYKNSFDCFKKVLRYEGFFG
+LYRGLIPQLIGVAPEKAIKLTVNDFVRDKFTR
+>O75746_PF00153_424 <unknown description>
+VPLPAEVLAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALNVLRDLGIFGLYKG
+AKACFLRDIPFSAIYFPVYAHCKLLLAD
+>O75746_PF00153_519 <unknown description>
+LNLLAAGAMAGVPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPSAFWK
+GTAARVFRSSPQFGVTLVTYELLQRWFY
+>Q9UJS0_PF00153_330 <unknown description>
+YRFGLGSVAGAVGATAVYPIDLVKTRMQNQRSTGSFVGELMYKNSFDCFKKVLRYEGFFG
+LYRGLLPQLLGVAPEKAIKLTVNDFVRDKFMHK
+>Q9UJS0_PF00153_426 <unknown description>
+VPLAAEILAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALSVVRDLGFFGIYKG
+AKACFLRDIPFSAIYFPCYAHVKASFAN
+>Q9UJS0_PF00153_518 <unknown description>
+VSPGSLLLAGAIAGMPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPKA
+LWKGAGARVFRSSPQFGVTLLTYELLQRWFY
+>Q8N8R3_PF00153_4 <unknown description>
+DFLAGCAGGVAGVLVGHPFDTVKVRLQVQSVEKPQYRGTLHCFKSIIKQESVLGLYKGLG
+SPLMGLTFINALVFGVQGNTLRALG
+>Q8N8R3_PF00153_90 <unknown description>
+DSPLNQFLAGAAAGAIQCVICCPMELAKTRLQLQDAGPARTYKGSLDCLAQIYGHEGLRG
+VNRGMVSTLLRETPSFGVYFLTYDALTRAL
+>Q8N8R3_PF00153_189 <unknown description>
+VPKLLLAGGTSGIVSWLSTYPVDVVKSRLQADGLRGAPRYRGILDCVHQSYRAEGWRVFT
+RGLASTLLRAFPVNAATFATVTVVL
+>Q9BSK2_PF00153_9 <unknown description>
+ENTLLHLFAGGCGGTVGAIFTCPLEVIKTRLQSSRLALRTVYYPQVHLGTISGAGMVRPT
+SVTPGLFQVLKSILEKEGPKSLFRGLGPNLVGVAPSRAVYFACYSKAKEQFN
+>Q9BSK2_PF00153_128 <unknown description>
+NIVHIFSAGSAAFITNSLMNPIWMVKTRMQLEQKVRGSKQMNTLQCARYVYQTEGIRGFY
+RGLTASYAGISETIICFAIYESLKKYLKE
+>Q9BSK2_PF00153_231 <unknown description>
+TSFFGLMAAAALSKGCASCIAYPHEVIRTRLREEGTKYKSFVQTARLVFREEGYLAFYRG
+LFAQLIRQIPNTAIVLSTYELIVYLL
+>Q6PIV7_PF00153_4 <unknown description>
+VPPAVDLVLGASACCLACVFTNPLEVVKTRLQLQGELQARGTYPRPYHGFIASVAAVARA
+DGLWGLQKGLAAGLLYQGLMNGVRFYCYS
+>Q6PIV7_PF00153_104 <unknown description>
+GGTVVAGAVAGALGAFVGSPAYLIKTQLQAQTVAAVAVGHQHNHQTVLGALETIWRQQGL
+LGLWQGVGGAVPRVMVGSAAQLATFASAKAWVQKQ
+>Q6PIV7_PF00153_205 <unknown description>
+SWLVALAGGMISSIAVVVVMTPFDVVSTRLYNQPVDTAGRGQLYGGLTDCMVKIWRQEGP
+LALYKGLGPAYLRLGPHTILSMLFWDELRKLA
+>Q3KQZ1_PF00153_2 <unknown description>
+DFLMSGLAACGACVFTNPLEVVKTRMQLQGELQAPGTYQRHYRNVFHAFITIGKVDGLAA
+LQKGLAPALLYQFLMNGIRLGTYGL
+>Q3KQZ1_PF00153_100 <unknown description>
+HSPARSAAAGAMAGVMGAYLGSPIYMVKTHLQAQAASEIAVGHQYKHQGMFQALTEIGQK
+HGLVGLWRGALGGLPRVIVGSSTQLCTFSSTKDLLS
+>Q3KQZ1_PF00153_208 <unknown description>
+ALVAAMMSGIAVVLAMAPFDVACTRLYNQPTDAQGKGLMYRGILDALLQTARTEGIFGMY
+KGIGASYFRLGPHTILSLFFWDQLRSLYYT
+>Q96CQ1_PF00153_6 <unknown description>
+TLVHLFAGGCGGTVGAILTCPLEVVKTRLQSSSVTLYISEVQLNTMAGASVNRVVSPGPL
+HCLKVILEKEGPRSLFRGLGPNLVGVAPSRAIYFAAYSNCKEKLND
+>Q96CQ1_PF00153_117 <unknown description>
+STQVHMISAAMAGFTAITATNPIWLIKTRLQLDARNRGERRMGAFECVRKVYQTDGLKGF
+YRGMSASYAGISETVIHFVIYESIKQKLLEY
+>Q96CQ1_PF00153_226 <unknown description>
+FVGMMLAAATSKTCATTIAYPHEVVRTRLREEGTKYRSFFQTLSLLVQEEGYGSLYRGLT
+THLVRQIPNTAIMMATYELVVYLL
+>Q96DW6_PF00153_26 <unknown description>
+PVIKAFLCGSISGTCSTLLFQPLDLLKTRLQTLQPSDHGSRRVGMLAVLLKVVRTESLLG
+LWKGMSPSIVRCVPGVGIYFGTLYSLKQYFLR
+>Q96DW6_PF00153_122 <unknown description>
+TALESVMLGVGSRSVAGVCMSPITVIKTRYESGKYGYESIYAALRSIYHSEGHRGLFSGL
+TATLLRDAPFSGIYLMFYNQTKNIVP
+>Q96DW6_PF00153_218 <unknown description>
+ITNFSCGIFAGILASLVTQPADVIKTHMQLYPLKFQWIGQAVTLIFKDYGLRGFFQGGIP
+RALRRTLMAAMAWTVYEEMMAK
+>Q9BZJ4_PF00153_9 <unknown description>
+ISPLQQMVASGTGAVVTSLFMTPLDVVKVRLQSQRPSMASELMPSSRLWSLSYTKLPSSL
+QSTGKCLLYCNGVLEPLYLCPNGARCATWFQDPTRFTGTMDAFVKIVRHEGTRTLWSGLP
+ATLVMTVPATAIYFTAYDQLKAFLC
+>Q9BZJ4_PF00153_162 <unknown description>
+YAPMVAGALARLGTVTVISPLELMRTKLQAQHVSYRELGACVRTAVAQGGWRSLWLGWGP
+TALRDVPFSALYWFNYELVKSWLN
+>Q9BZJ4_PF00153_253 <unknown description>
+TSVGMSFVAGGISGTVAAVLTLPFDVVKTQRQVALGAMEAVRVNPLHVDSTWLLLRRIRA
+ESGTKGLFAGFLPRIIKAAPSCAIMISTYEFGKSFFQR
+>Q8TBP6_PF00153_13 <unknown description>
+VTPLQQMLASCTGAILTSVIVTPLDVVKIRLQAQNNPLPKGKCFVYSNGLMDHLCVCEEG
+GNKLWYKKPGNFQGTLDAFFKIIRNEGIKSLWSGLPPTLVMAVPATVIYFTCYDQLSALL
+RS
+>Q8TBP6_PF00153_145 <unknown description>
+IVAGIVARFGAVTVISPLELIRTKMQSKKFSYVELHRFVSKKVSEDGWISLWRGWAPTVL
+RDVPFSAMYWYNYEILKKWLCEK
+>Q8TBP6_PF00153_234 <unknown description>
+TFMINFTSGALSGSFAAVATLPFDVVKTQKQTQLWTYESHKISMPLHMSTWIIMKNIVAK
+NGFSGLFSGLIPRLIKIAPACAIMISTYEFGKAFFQKQ
+>Q8N5S1_PF00153_92 <unknown description>
+LWKFLLSGAMAGAVSRTGTAPLDRAKVYMQVYSSKTNFTNLLGGLQSMVQEGGFRSLWRG
+NGINVLKIAPEYAIKFSVFEQCKNYF
+>Q8N5S1_PF00153_185 <unknown description>
+PFQERLLAGSLAVAISQTLINPMEVLKTRLTLRRTGQYKGLLDCARQILQREGTRALYRG
+YLPNMLGIIPYACTDLAVYEMLQCFWVK
+>Q8N5S1_PF00153_286 <unknown description>
+LSSVTLSTTCGQMASYPLTLVRTRMQAQDTVEGSNPTMRGVLQRILAQQGWLGLYRGMTP
+TLLKVLPAGGISYVVYEAMKKTL
+>Q86VD7_PF00153_32 <unknown description>
+QVLSSLLSGALAGALAKTAVAPLDRTKIIFQVSSKRFSAKEAFRVLYYTYLNEGFLSLWR
+GNSATMVRVVPYAAIQFSAHEEYKRILG
+>Q86VD7_PF00153_129 <unknown description>
+LPPWPRLFAGALAGTTAASLTYPLDLVRARMAVTPKEMYSNIFHVFIRISREEGLKTLYH
+GFMPTVLGVIPYAGLSFFTYETLKSLHR
+>Q86VD7_PF00153_225 <unknown description>
+YPFERMIFGACAGLIGQSASYPLDVVRRRMQTAGVTGYPRASIARTLRTIVREEGAVRGL
+YKGLSMNWVKGPIAVGISFTTFDLMQILL
+>Q8WUT9_PF00153_13 <unknown description>
+GQRLLCAGLAGTLSLSLTAPLELATVLAQVGVVRGHARGPWATGHRVWRAEGLRALWKGN
+AVACLRLFPCSAVQLAAYRKFVVLFTD
+>Q8WUT9_PF00153_103 <unknown description>
+HISQWSSIMAGSLAGMVSTIVTYPTDLIKTRLIMQNILEPSYRGLLHAFSTIYQQEGFLA
+LYRGVSLTVVGALPFSAGSLLVYMNLEKIW
+>Q8WUT9_PF00153_200 <unknown description>
+SLPQNFANVCLAAAVTQTLSFPFETVKRKMQAQSPYLPHSGGVDVHFSGAVDCFRQIVKA
+QGVLGLWNGLTANLLKIVPYFGIMFSTFEFCKRIC
+>Q96H78_PF00153_22 <unknown description>
+VFGVAMTMMIRVSVYPFTLIRTRLQVQKGKSLYHGTFDAFIKILRADGITGLYRGFLVNT
+FTLISGQCYVTTYELTRKFVAD
+>Q96H78_PF00153_107 <unknown description>
+SNTVKSLVAGGSASLVAQSITVPIDVVSQHLMMQRKGEKMGRFQVRGNPEGQGVVAFGQT
+KDIIRQILQADGLRGFYRGYVASLLTYIPNSAVWWPFYHFYAE
+>Q96H78_PF00153_225 <unknown description>
+QAVSGPLAAATASILTNPMDVIRTRVQVEGKNSIILTFRQLMAEEGPWGLMKGLSARIIS
+ATPSTIVIVVGYESLKKL
+>Q8N413_PF00153_3 <unknown description>
+VEEFVAGWISGALGLVLGHPFDTVKVRLQTQTTYRGIVDCMVKIYRHESLLGFFKGMSFP
+IASIAVVNSVLFGVYSNTL
+>Q8N413_PF00153_97 <unknown description>
+PSYMHIFLAGCTGGFLQAYCLAPFDLIKVRLQNQTEPRAQPGSPPPRYQGPVHCAASIFR
+EEGPRGLFRGAWALTLRDTPTVGIYFITYEGLCR
+>Q8N413_PF00153_201 <unknown description>
+SATVLVAGGFAGIASWVAATPLDMIKSRMQMDGLRRRVYQGMLDCMVSSIRQEGLGVFFR
+GVTINSARAFPVNAVTFLSYEYLLRW
+>Q96AG3_PF00153_313 <unknown description>
+YFPELIANFAASLCSDVILYPLETVLHRLHIQGTRTIIDNTDLGYEVLPINTQYEGMRDC
+INTIRQEEGVFGFYKGFGAVIIQYTLHAAVLQITKIIYSTLL
+>Q6Q0C1_PF00153_2 <unknown description>
+DFVAGAIGGVCGVAVGYPLDTVKVRIQTEPKYTGIWHCVRDTYHRERVWGFYRGLSLPVC
+TVSLVSSVSFGTYRHCLA
+>Q6Q0C1_PF00153_100 <unknown description>
+LSGCASGLVRVFLTSPTEVAKVRLQTQTQAQKQQRRLSASGPLAVPPMCPVPPACPEPKY
+RGPLHCLATVAREEGLCGLYKGSSALVLRDGHSFATYFLSYAVLCEWL
+>Q6Q0C1_PF00153_219 <unknown description>
+GVLVAGGCAGVLAWAVATPMDVIKSRLQADGQGQRRYRGLLHCMVTSVREEGPRVLFKGL
+VLNCCRAFPVNMVVFVAYEAVLRL
+>Q6ZT89_PF00153_6 <unknown description>
+LEDFAAGWIGGAASVIVGHPLDTVKTRLQAGVGYGNTLSCIRVVYRRESMFGFFKGMSFP
+LASIAVYNSVVFGVFSNTQRFLSQH
+>Q6ZT89_PF00153_106 <unknown description>
+LLLASMVAGVVSVGLGGPVDLIKIRLQMQTQPFRDANLGLKSRAVAPAEQPAYQGPVHCI
+TTIVRNEGLAGLYRGASAMLLRDVPGYCLYFIPYVFLSEWI
+>Q6ZT89_PF00153_218 <unknown description>
+AVWLAGGMAGAISWGTATPMDVVKSRLQADGVYLNKYKGVLDCISQSYQKEGLKVFFRGI
+TVNAVRGFPMSAAMFLGYELSLQAI
+>Q9H1U9_PF00153_31 <unknown description>
+MKHYLCGCCAAFNNVAITFPIQKVLFRQQLYGIKTRDAILQLRRDGFRNLYRGILPPLMQ
+KTTTLALMFGLYEDLSCLLHKH
+>Q9H1U9_PF00153_118 <unknown description>
+FATSGVAAVLAGTTEAIFTPLERVQTLLQDHKHHDKFTNTYQAFKALKCHGIGEYYRGLV
+PILFRNGLSNVLFFGLRGPIKEHLP
+>Q9H1U9_PF00153_210 <unknown description>
+HLVNDFICGGLLGAMLGFLFFPINVVKTRIQSQIGGEFQSFPKVFQKIWLERDRKLINLF
+RGAHLNYHRSLISWGIINATYEFLLKV
+>Q3SY17_PF00153_31 <unknown description>
+MKHYLCGCCAAFNNVAITYPIQKVLFRQQLYGIKTRDAVLQLRRDGFRNLYRGILPPLMQ
+KTTTLALMFGLYEDLSCLLRK
+>Q3SY17_PF00153_118 <unknown description>
+FATHGVAAVLAGTAEAIFTPLERVQTLLQNHKHHDKFTNTYQAFKALKCHGIGEYYRGLV
+PILFRNGLSNVLFFGLRGPIKEHLP
+>Q3SY17_PF00153_210 <unknown description>
+HLVNDFIGGGLLGAMLGFLCFPINVVKTRLQSQIGGEFQSFPKVFQKIWLERDRKLINLF
+RGAHLNYHRSLISWGIINATYEFLLKF
+>Q5H9E4_PF00153_28 <unknown description>
+SQAYALGAVSNFMSTFLTFPIYKVVFRQQIHAMAVSEAVRQLWHEGPQYFYRGIYPPLLS
+KTLQGTLLFGTYDSLL
+>Q5H9E4_PF00153_114 <unknown description>
+LGHRWAAGLMSGVVEAVALSPFERVQNVLQDGRKQARFPSTFSILKEFNSYGLWGRLSLG
+YYRGFWPVLARNSLGSALYFSFKDPIQDGLA
+>Q86WA9_PF00916_48 <unknown description>
+LKMDFVAGLSVGLTAIPQALAYAEVAGLPPQYGLYSAFMGCFVYFFLGTSRDVTLGPTAI
+MSLLVSFYTFHEPAYAVLLAFLSGCIQLAMGVLRLGFLLDFISYPVIKGFTSAAAVTIGF
+GQIKNLLGLQNIPRPFFLQVYHTFLRIAETRVGDAVLGLVCMLLLLVLKLMRDHVPPVHP
+EMPPGVRLSRGLVWAATTARNALVVSFAALVAYSFEVTGYQPFILTGETAEGLPPVRIPP
+FSVTTANGTISFTEMVQDMGAGLAVVPLMGLLESIAVAKAFASQNNYRIDANQELLAIGL
+TNMLGSLVSSYPVTGSFGRTAVNAQSGVCTPAGGLVTGVLVLLSLDYLTSLFYYIPKSAL
+AAVIIMAVAPLFDTKIFRTLWRVKRLDLLPLCVT
+>Q86WA9_PF01740_472 <unknown description>
+ETKVSEGPVLVLQPASGLSFPAMEALREEILSRALEVSPPRCLVLECTHVCSIDYTVVLG
+LGELLQDFQKQGVALAFVGLQVPVLRVLLSADLKGFQYFSTLEE
+>Q9H2B4_PF00916_69 <unknown description>
+LAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRHVSVGIFSL
+LCLMVGQVVDRELQLAGFDPSQDGLQPGANSSTLNGSAAMLDCGRDCYAIRVATALTLMT
+GLYQVLMGVLRLGFVSAYLSQPLLDGFAMGASVTILTSQLKHLLGVRIPRHQGPGMVVLT
+WLSLLRGAGQANVCDVVTSTVCLAVLLAAKELSDRYRHRLRVPLPTELLVIVVATLVSHF
+GQLHKRFGSSVAGDIPTGFMPPQVPEPRLMQRVALDAVALALVAAAFSISLAEMFARSHG
+YSVRANQELLAVGCCNVLPAFLHCFATSAALAKSLVKTATGCRTQLSSVVSATVVLLVLL
+ALAPLFHDLQRSVLACVIVVSLRGALRKVWDLPRLWRMSPADALVWAG
+>Q9H2B4_PF01740_535 <unknown description>
+PGVRVFRFGGPLYYANKDFFLQSLYSLTGLDAGCMAARRKEGGSETGVGEGGPAQGEDLG
+PVSTRAALVPAAAGFHTVVIDCAPLLFLDAAGVSTLQDLRRDYGALGISLLLACCSPPVR
+DILSRGGFLGEGPGDTAEEEQLFLSVHDA
+>P50443_PF00916_109 <unknown description>
+LGDVMSGLIVGILLVPQSIAYSLLAGQEPVYGLYTSFFASIIYFLLGTSRHISVGIFGVL
+CLMIGETVDRELQKAGYDNAHSAPSLGMVSNGSTLLNHTSDRICDKSCYAIMVGSTVTFI
+AGVYQVAMGFFQVGFVSVYLSDALLSGFVTGASFTILTSQAKYLLGLNLPRTNGVGSLIT
+TWIHVFRNIHKTNLCDLITSLLCLLVLLPTKELNEHFKSKLKAPIPIELVVVVAATLASH
+FGKLHENYNSSIAGHIPTGFMPPKVPEWNLIPSVAVDAIAISIIGFAITVSLSEMFAKKH
+GYTVKANQEMYAIGFCNIIPSFFHCFTTSAALAKTLVKESTGCHTQLSGVVTALVLLLVL
+LVIAPLFYSLQKSVLGVITIVNLRGALRKFRDLPKMWSISRMDTVIWFVT
+>P50443_PF01740_569 <unknown description>
+YKNLQIKPGIKIFRFVAPLYYINKECFKSALYKQTVNPILIKVAWKKAAKRKIKEKVVTL
+GGIQDEMSVQLSHDPLELHTIVIDCSAIQFLDTAGIHTLKEVRRDYEAIGIQVLLAQCNP
+TVRDSLTNGEYCKKEEENLLFYSVYEA
+>P40879_PF00916_73 <unknown description>
+LLSDIVSGISTGIVAVLQGLAFALLVDIPPVYGLYASFFPAIIYLFFGTSRHISVGPFPI
+LSMMVGLAVSGAVSKAVPDRNATTLGLPNNSNNSSLLDDERVRVAAAASVTVLSGIIQLA
+FGILRIGFVVIYLSESLISGFTTAAAVHVLVSQLKFIFQLTVPSHTDPVSIFKVLYSVFS
+QIEKTNIADLVTALIVLLVVSIVKEINQRFKDKLPVPIPIEFIMTVIAAGVSYGCDFKNR
+FKVAVVGDMNPGFQPPITPDVETFQNTVGDCFGIAMVAFAVAFSVASVYSLKYDYPLDGN
+QELIALGLGNIVCGVFRGFAGSTALSRSAVQESTGGKTQIAGLIGAIIVLIVVLAIGFLL
+APLQKSVLAALALGNLKGMLMQFAEIGRLWRKDKYDCLIWIMT
+>P40879_PF01740_526 <unknown description>
+YYDMYEPEGVKIFRCPSPIYFANIGFFRRKLIDAVGFSPLRILRKRNKALRKIRKLQKQG
+LLQVTPKGFICTVDTIKDSDEELDNNQIEVLDQPINTTDLPFHIDWNDDLPLNIEVPKIS
+LHSLILDFSAVSFLDVSSVRGLKSILQEFIRIKVDVYIVGTDDDFIEKLNRYEFFDGEVK
+SSIFFLTIHDA
+>O43511_PF00916_84 <unknown description>
+LLSDVISGVSTGLVATLQGMAYALLAAVPVGYGLYSAFFPILTYFIFGTSRHISVGPFPV
+VSLMVGSVVLSMAPDEHFLVSSSNGTVLNTTMIDTAARDTARVLIASALTLLVGIIQLIF
+GGLQIGFIVRYLADPLVGGFTTAAAFQVLVSQLKIVLNVSTKNYNGVLSIIYTLVEIFQN
+IGDTNLADFTAGLLTIVVCMAVKELNDRFRHKIPVPIPIEVIVTIIATAISYGANLEKNY
+NAGIVKSIPRGFLPPELPPVSLFSEMLAASFSIAVVAYAIAVSVGKVYATKYDYTIDGNQ
+EFIAFGISNIFSGFFSCFVATTALSRTAVQESTGGKTQVAGIISAAIVMIAILALGKLLE
+PLQKSVLAAVVIANLKGMFMQLCDIPRLWRQNKIDAVIWVFT
+>O43511_PF01740_536 <unknown description>
+YKNIEEPQGVKILRFSSPIFYGNVDGFKKCIKSTVGFDAIRVYNKRLKALRKIQKLIKSG
+QLRATKNGIISDAVSTNNAFEPDEDIEDLEELDIPTKEIEIQVDWNSELPVKVNVPKVPI
+HSLVLDCGAISFLDVVGVRSLRVIVKEFQRIDVNVYFASLQDYVIEKLEQCGFFDDNIRK
+DTFFLTVHDA
+>P58743_PF00916_81 <unknown description>
+LGDLVSGISTGVLQLPQGLAFAMLAAVPPIFGLYSSFYPVIMYCFLGTSRHISIGPFAVI
+SLMIGGVAVRLVPDDIVIPGGVNATNGTEARDALRVKVAMSVTLLSGIIQFCLGVCRFGF
+VAIYLTEPLVRGFTTAAAVHVFTSMLKYLFGVKTKRYSGIFSVVYSTVAVLQNVKNLNVC
+SLGVGLMVFGLLLGGKEFNERFKEKLPAPIPLEFFAVVMGTGISAGFNLKESYNVDVVGT
+LPLGLLPPANPDTSLFHLVYVDAIAIAIVGFSVTISMAKTLANKHGYQVDGNQELIALGL
+CNSIGSLFQTFSISCSLSRSLVQEGTGGKTQLAGCLASLMILLVILATGFLFESLPQAVL
+SAIVIVNLKGMFMQFSDLPFFWRTSKIELTIWLTT
+>P58743_PF01740_526 <unknown description>
+YEEVKEIPGIKIFQINAPIYYANSDLYSNALKRKTGVNPAVIMGARRKAMRKYAKEVGNA
+NMANATVVKADAEVDGEDATKPEEEDGEVKYPPIVIKSTFPEEMQRFMPPGDNVHTVILD
+FTQVNFIDSVGVKTLAGIVKEYGDVGIYVYLAGCSAQVVNDLTRNRFFENPALWELLFHS
+IHDA
+>Q9BXS9_PF00916_90 <unknown description>
+LLGDLLSGLSVAIMQLPQGLAYALLAGLPPVFGLYSSFYPVFIYFLFGTSRHISVGTFAV
+MSVMVGSVTESLAPQALNDSMINETARDAARVQVASTLSVLVGLFQVGLGLIHFGFVVTY
+LSEPLVRGYTTAAAVQVFVSQLKYVFGLHLSSHSGPLSLIYTVLEVCWKLPQSKVGTVVT
+AAVAGVVLVVVKLLNDKLQQQLPMPIPGELLTLIGATGISYGMGLKHRFEVDVVGNIPAG
+LVPPVAPNTQLFSKLVGSAFTIAVVGFAIAISLGKIFALRHGYRVDSNQELVALGLSNLI
+GGIFQCFPVSCSMSRSLVQESTGGNSQVAGAISSLFILLIIVKLGELFHDLPKAVLAAII
+IVNLKGMLRQLSDMRSLWKANRADLLIWLVT
+>Q9BXS9_PF01740_531 <unknown description>
+YSEAKEVRGVKVFRSSATVYFANAEFYSDALKQRCGVDVDFLISQKKKLLKKQEQLKLKQ
+LQKEEKLRKQAASPKGASVSINVNTSLEDMRSNNVEDCKMMQVSSGDKMEDATANGQEDS
+KAPDGSTLKALGLPQPDFHSLILDLGALSFVDTVCLKSLKNIFHDFREIEVEVYMAACHS
+PVVSQLEAGHFFDASITKKHLFASVHDA
+>Q8TE54_PF00916_49 <unknown description>
+PDTVSGIMLAVQQVTQGLAFAVLSSVHPVFGLYGSLFPAIIYAIFGMGHHVATGTFALTS
+LISANAVERIVPQNMQNLTTQSNTSVLGLSDFEMQRIHVAAAVSFLGGVIQVAMFVLQLG
+SATFVVTEPVISAMTTGAATHVVTSQVKYLLGMKMPYISGPLGFFYIYAYVFENIKSVRL
+EALLLSLLSIVVLVLVKELNEQFKRKIKVVLPVDLVLIIAASFACYCTNMENTYGLEVVG
+HIPQGIPSPRAPPMNILSAVITEAFGVALVGYVASLALAQGSAKKFKYSIDDNQEFLAHG
+LSNIVSSFFFCIPSAAAMGRTAGLYSTGAKTQVACLISCIFVLIVIYAIGPLLYWLPMCV
+LASIIVVGLKGMLIQFRDLKKYWNVDKIDWGIWVST
+>Q8TE54_PF01740_495 <unknown description>
+DSETLQQVKIISINNPLVFLNAKKFYTDLMNMIQKENACNQPLDDISKCEQNTLLNSLSN
+GNCNEEASQSCPNEKCYLILDCSGFTFFDYSGVSMLVEVYMDCKGRSVDVLLAHCTASLI
+KAMTYYGNLDSEKPIFFESVSAA
+>Q96RN1_PF00916_92 <unknown description>
+LLGDLLAGISVGLVQVPQGLTLSLLARQLIPPLNIAYAAFCSSVIYVIFGSCHQMSIGSF
+FLVSALLINVLKVSPFNNGQLVMGSFVKNEFSAPSYLMGYNKSLSVVATTTFLTGIIQLI
+MGVLGLGFIATYLPESAMSAYLAAVALHIMLSQLTFIFGIMISFHAGPISFFYDIINYCV
+ALPKANSTSILVFLTVVVALRINKCIRISFNQYPIEFPMELFLIIGFTVIANKISMATET
+SQTLIDMIPYSFLLPVTPDFSLLPKIILQAFSLSLVSSFLLIFLGKKIASLHNYSVNSNQ
+DLIAIGLCNVVSSFFRSCVFTGAIARTIIQDKSGGRQQFASLVGAGVMLLLMVKMGHFFY
+TLPNAVLAGIILSNVIPYLETISNLPSLWRQDQYDCALWMMT
+>Q96RN1_PF01740_544 <unknown description>
+YREIITIPGVKIFQCCSSITFVNVYYLKHKLLKEVDMVKVPLKEEEIFSLFNSSDTNLQG
+GKICRCFCNCDDLEPLPRILYTERFENKLDPEASSINLIHCSHFESMNTSQTASEDQVPY
+TVSSVSQKNQGQQYEEVEEVWLPNNSSRNSSPGLPDVAESQGRRSLIPYSDASLLPSVHT
+IILDFSMVHYVDSRGLVVLRQICNAFQNANILILIAGCHSSIVRAFERNDFFDAGITKTQ
+LFLSVHDA
+>Q7LBE3_PF00916_73 <unknown description>
+PDLLGGLSGGSIQVPQGMAFALLANLPAVNGLYSSFFPLLTYFFLGGVHQMVPGTFAVIS
+ILVGNICLQLAPESKFQVFNNATNESYVDTAAMEAERLHVSATLACLTAIIQMGLGFMQF
+GFVAIYLSESFIRGFMTAAGLQILISVLKYIFGLTIPSYTGPGSIVFTFIDICKNLPHTN
+IASLIFALISGAFLVLVKELNARYMHKIRFPIPTEMIVVVVATAISGGCKMPKKYHMQIV
+GEIQRGFPTPVSPVVSQWKDMIGTAFSLAIVSYVINLAMGRTLANKHGYDVDSNQEMIAL
+GCSNFFGSFFKIHVICCALSVTLAVDGAGGKSQVASLCVSLVVMITMLVLGIYLYPLPKS
+VLGALIAVNLKNSLKQLTDPYYLWRKSKLDCCIWVV
+>Q7LBE3_PF01740_522 <unknown description>
+RAQDIQGIKIITYCSPLYFANSEIFRQKVIAKTGMDPQKVLLAKQKYLKKQEKRRMRPTQ
+QRRSLFMKTKTVSLQELQQDFENAPPTDPNNNQTPANGTSVSYITFSPDSSSPAQSEPPA
+SAEAPGEPSDMLASVPPFVTFHTLILDMSGVSFVDLMGIKALAKLSSTYGKIGVKVFLVN
+IHAQVYNDISHGGVFEDGSLECKHVFPSIHDA
+>Q6PCB7_PF00501_82 <unknown description>
+FQAVVQRQPERLALVDAGTGECWTFAQLDAYSNAVANLFRQLGFAPGDVVAIFLEGRPEF
+VGLWLGLAKAGMEAALLNVNLRREPLAFCLGTSGAKALIFGGEMVAAVAEVSGHLGKSLI
+KFCSGDLGPEGILPDTHLLDPLLKEASTAPLAQIPSKGMDDRLFYIYTSGTTGLPKAAIV
+VHSRYYRMAAFGHHAYRMQAADVLYDCLPLYHSAGNIIGVGQCLIYGLTVVLRKKFSASR
+FWDDCIKYNCTVVQYIGEICRYLLKQPVREAERRHRVRLAVGNGLRPAIWEEFTERFGVR
+QIGEFYGATECNCSIANMDGKVGSCGFNSRILPHVYPIRLVKVNEDTMELLRDAQGLCIP
+CQAGEPGLLVGQINQQDPLRRFDGYVSESATSKKIAHSVFSKGDSAYLSGDVLVMDELGY
+MYFRDRSGDTFRWR
+>Q6PCB7_PF13193_523 <unknown description>
+EVEGVLSRLLGQTDVAVYGVAVPGVEGKAGMAAVADPHSLLDPNAIYQELQKVLAPYARP
+IFLRLLPQVDTTGTFK
+>O14975_PF00501_60 <unknown description>
+LEKARQTPHKPFLLFRDETLTYAQVDRRSNQVARALHDHLGLRQGDCVALLMGNEPAYVW
+LWLGLVKLGCAMACLNYNIRAKSLLHCFQCCGAKVLLVSPELQAAVEEILPSLKKDDVSI
+YYVSRTSNTDGIDSFLDKVDEVSTEPIPESWRSEVTFSTPALYIYTSGTTGLPKAAMITH
+QRIWYGTGLTFVSGLKADDVIYITLPFYHSAALLIGIHGCIVAGATLALRTKFSASQFWD
+DCRKYNVTVIQYIGELLRYLCNSPQKPNDRDHKVRLALGNGLRGDVWRQFVKRFGDICIY
+EFYAATEGNIGFMNYARKVGAVGRVNYLQKKIITYDLIKYDVEKDEPVRDENGYCVRVPK
+GEVGLLVCKITQLTPFNGYAGAKAQTEKKKLRDVFKKGDLYFNSGDLLMVDHENFIYFHD
+RVGDTFRW
+>O14975_PF13193_500 <unknown description>
+TVGLVDFVQEVNVYGVHVPDHEGRIGMASIKMKENHEFDGKKLFQHIADYLPSYARPRFL
+RIQDTIEITGTFK
+>Q5K4L6_PF00501_165 <unknown description>
+SPGATVALLLPAGPEFLWLWFGLAKAGLRTAFVPTALRRGPLLHCLRSCGARALVLAPEF
+LESLEPDLPALRAMGLHLWAAGPGTHPAGISDLLAEVSAEVDGPVPGYLSSPQSITDTCL
+YIFTSGTTGLPKAARISHLKILQCQGFYQLCGVHQEDVIYLALPLYHMSGSLLGIVGCMG
+IGATVVLKSKFSAGQFWEDCQQHRVTVFQYIGELCRYLVNQPPSKAERGHKVRLAVGSGL
+RPDTWERFVRRFGPLQVLETYGLTEGNVATINYTGQRGAVGRASWLYKHIFPFSLIRYDV
+TTGEPIRDPQGHCMATSPGEPGLLVAPVSQQSPFLGYAGGPELAQGKLLKDVFRPGDVFF
+NTGDLLVCDDQGFLRFHDRTGDTFRW
+>Q5K4L6_PF13193_559 <unknown description>
+EVAEVFEALDFLQEVNVYGVTVPGHEGRAGMAALVLRPPHALDLMQLYTHVSENLPPYAR
+PRFLRLQESLATTETFK
+>Q6P1M0_PF00501_81 <unknown description>
+ASTVRRHPDKTALIFEGTDTHWTFRQLDEYSSSVANFLQARGLASGDVAAIFMENRNEFV
+GLWLGMAKLGVEAALINTNLRRDALLHCLTTSRARALVFGSEMASAICEVHASLDPSLSL
+FCSGSWEPGAVPPSTEHLDPLLKDAPKHLPSCPDKGFTDKLFYIYTSGTTGLPKAAIVVH
+SRYYRMAALVYYGFRMRPNDIVYDCLPLYHSAGNIVGIGQCLLHGMTVVIRKKFSASRFW
+DDCIKYNCTIVQYIGELCRYLLNQPPREAENQHQVRMALGNGLRQSIWTNFSSRFHIPQV
+AEFYGATECNCSLGNFDSQVGACGFNSRILSFVYPIRLVRVNEDTMELIRGPDGVCIPCQ
+PGEPGQLVGRIIQKDPLRRFDGYLNQGANNKKIAKDVFKKGDQAYLTGDVLVMDELGYLY
+FRDRTGDTFRW
+>Q6P1M0_PF13193_520 <unknown description>
+EVEGTLSRLLDMADVAVYGVEVPGTEGRAGMAAVASPTGNCDLERFAQVLEKELPLYARP
+IFLRLLPELHKTGTYK
+>Q9Y2P5_PF00501_120 <unknown description>
+FERRARAQPGRALLVWTGPGAGSVTFGELDARACQAAWALKAELGDPASLCAGEPTALLV
+LASQAVPALCMWLGLAKLGCPTAWINPHGRGMPLAHSVLSSGARVLVVDPDLRESLEEIL
+PKLQAENIRCFYLSHTSPTPGVGALGAALDAAPSHPVPADLRAGITWRSPALFIYTSGTT
+GLPKPAILTHERVLQMSKMLSLSGATADDVVYTVLPLYHVMGLVVGILGCLDLGATCVLA
+PKFSTSCFWDDCRQHGVTVILYVGELLRYLCNIPQQPEDRTHTVRLAMGNGLRADVWETF
+QQRFGPIRIWEVYGSTEGNMGLVNYVGRCGALGKMSCLLRMLSPFELVQFDMEAAEPVRD
+NQGFCIPVGLGEPGLLLTKVVSQQPFVGYRGPRELSERKLVRNVRQSGDVYYNTGDVLAM
+DREGFLYFRDRLGDTFRW
+>Q9Y2P5_PF13193_566 <unknown description>
+EVEGVLSQVDFLQQVNVYGVCVPGCEGKVGMAAVQLAPGQTFDGEKLYQHVRAWLPAYAT
+PHFIRIQDAMEVTSTFK
+>Q9Y2P4_PF00501_62 <unknown description>
+SHAKRQPRKPFIIYEGDIYTYQDVDKRSSRVAHVFLNHSSLKKGDTVALLMSNEPDFVHV
+WFGLAKLGCVVAFLNTNIRSNSLLNCIRACGPRALVVGADLLGTVEEILPSLSENISVWG
+MKDSVPQGVISLKEKLSTSPDEPVPRSHHVVSLLKSTCLYIFTSGTTGLPKAAVISQLQV
+LRGSAVLWAFGCTAHDIVYITLPLYHSSAAILGISGCVELGATCVLKKKFSASQFWSDCK
+KYDVTVFQYIGELCRYLCKQSKREGEKDHKVRLAIGNGIRSDVWREFLDRFGNIKVCELY
+AATESSISFMNYTGRIGAIGRTNLFYKLLSTFDLIKYDFQKDEPMRNEQGWCIHVKKGEP
+GLLISRVNAKNPFFGYAGPYKHTKDKLLCDVFKKGDVYLNTGDLIVQDQDNFLYFWDRTG
+DTFRW
+>Q9Y2P4_PF13193_495 <unknown description>
+EVADVIGMLDFIQEANVYGVAISGYEGRAGMASIILKPNTSLDLEKVYEQVVTFLPAYAC
+PRFLRIQEKMEATGTFK
+>O00337_PF01773_184 <unknown description>
+GICVFVALLFACSKHHCAVSWRAVSWGLGLQFVLGLLVIRTEPGFIAFEWLGEQIRIFLS
+YTKAGSSFVFGE
+>O00337_PF07670_264 <unknown description>
+FQVLPIIVFFSCVISVLYHVGLMQWVILKIAWLMQVTMGTTATETLSVAGNIFVSQTEAP
+LLIRPYLADMTLSEVHVVMTGGYATIAGSLLGAYISF
+>O00337_PF07662_367 <unknown description>
+LIAASVMAAPCALALSKLVYPEVEESKFRREEGVKLTYGDAQNLIEAASTGAAISVKVVA
+NIAANLIAFLAVLDFINAALSWLGDMVDIQGLSFQLICSYILRPVAFLMGVAWEDCPVVA
+ELLGIKLFLNEFVAYQDLSKYKQRRLAGAEEWVGDRKQWISVRAEVLTTFALCGFANFSS
+IGIMLGGLTSMVPQRKSDFSQIVLRALFTGACVSLVNACMAG
+>O43868_PF01773_179 <unknown description>
+GICMFILILFACSKHHSAVSWRTVFSGLGLQFVFGILVIRTDLGYTVFQWLGEQVQIFLN
+YTVAGSSFVFGD
+>O43868_PF07670_259 <unknown description>
+FQALPIIIFFGCVVSILYYLGLVQWVVQKVAWFLQITMGTTATETLAVAGNIFVGMTEAP
+LLIRPYLGDMTLSEIHAVMTGGFATISGTVLGAFIA
+>O43868_PF07662_362 <unknown description>
+LISASVMAAPCALASSKLAYPEVEESKFKSEEGVKLPRGKERNVLEAASNGAVDAIGLAT
+NVAANLIAFLAVLAFINAALSWLGELVDIQGLTFQVICSYLLRPMVFMMGVEWTDCPMVA
+EMVGIKFFINEFVAYQQLSQYKNKRLSGMEEWIEGEKQWISVRAEIITTFSLCGFANLSS
+IGITLGGLTSIVPHRKSDLSKVVVRALFTGACVSLISACMAG
+>Q9HAS3_PF01773_206 <unknown description>
+GLIMYIVLLFLFSKYPTRVYWRPVLWGIGLQFLLGLLILRTDPGFIAFDWLGRQVQTFLE
+YTDAGASFVFGE
+>Q9HAS3_PF07670_286 <unknown description>
+FKVLPIVVFFSTVMSMLYYLGLMQWIIRKVGWIMLVTTGSSPIESVVASGNIFVGQTESP
+LLVRPYLPYITKSELHAIMTAGFSTIAGSVLGAYISF
+>Q9HAS3_PF07662_388 <unknown description>
+HLLTASVMSAPASLAAAKLFWPETEKPKITLKNAMKMESGDSGNLLEAATQGASSSISLV
+ANIAVNLIAFLALLSFMNSALSWFGNMFDYPQLSFELICSYIFMPFSFMMGVEWQDSFMV
+ARLIGYKTFFNEFVAYEHLSKWIHLRKEGGPKFVNGVQQYISIRSEIIATYALCGFANIG
+SLGIVIGGLTSMAPSRKRDIASGAVRALIAGTVACFMTACIAG
+>Q99808_PF01733_144 <unknown description>
+MIKIVLINSFGAILQGSLFGLAGLLPASYTAPIMSGQGLAGFFASVAMICAIASGSELSE
+SAFGYFITACAVIILTIICYLGLPRLEFYRYYQQLKLEGPGEQETKLDLISKGEEPRAGK
+EESGVSVSNSQPTNESHSIKAILKNISVLAFSVCFIFTITIGMFPAVTVEVKSSIAGSST
+WERYFIPVSCFLTFNIFDWLGRSLTAVFMWPGKDSRWLPSLVLARLVFVPLLLLCNIKPR
+RYLTVVFEHDAWFIFFMAAFAFSNGYLASLCMCFGPKKVKPAEAETAGAIMAFFLCLGLA
+LGAVFSFLFRA
+>Q14542_PF01733_131 <unknown description>
+MASVCFINSFSAVLQGSLFGQLGTMPSTYSTLFLSGQGLAGIFAALAMLLSMASGVDAET
+SALGYFITPCVGILMSIVCYLSLPHLKFARYYLANKSSQAQAQELETKAELLQSDENGIP
+SSPQKVALTLDLDLEKEPESEPDEPQKPGKPSVFTVFQKIWLTALCLVLVFTVTLSVFPA
+ITAMVTSSTSPGKWSQFFNPICCFLLFNIMDWLGRSLTSYFLWPDEDSRLLPLLVCLRFL
+FVPLFMLCHVPQRSRLPILFPQDAYFITFMLLFAVSNGYLVSLTMCLAPRQVLPHEREVA
+GALMTFFLALGLSCGASLSFLFKA
+>Q9BZD2_PF01733_172 <unknown description>
+MVILSGASTVFSSSIYGMTGSFPMRNSQALISGGAMGGTVSAVASLVDLAASSDVRNSAL
+AFFLTATVFLVLCMGLYLLLSRLEYARYYMRPVLAAHVFSGEEELPQDSLSAPSVASRFI
+DSHTPPLRPILKKTASLGFCVTYVFFITSLIYPAICTNIESLNKGSGSLWTTKFFIPLTT
+FLLYNFADLCGRQLTAWIQVPGPNSKALPGFVLLRTCLIPLFVLCNYQPRVHLKTVVFQS
+DVYPALLSSLLGLSNGYLSTLALLYGPKIVPRELAEATGVVMSFYVCLGLTLGSACSTLL
+V
+>Q7RTT9_PF01733_172 <unknown description>
+AVGTVAFGCTVQQSSFYGYTGMLPKRYTQGVMTGESTAGVMISLSRILTKLLLPDERAST
+LIFFLVSVALELLCFLLHLLVRRSRFVLFYTTRPRDSHRGRPGLGRGYGYRVHHDVVAGD
+VHFEHPAPALAPNESPKDSPAHEVTGSGGAYMRFDVPRPRVQRSWPTFRALLLHRYVVAR
+VIWADMLSIAVTYFITLCLFPGLESEIRHCILGEWLPILIMAVFNLSDFVGKILAALPVD
+WRGTHLLACSCLRVVFIPLFILCVYPSGMPALRHPAWPCIFSLLMGISNGYFGSVPMILA
+AGKVSPKQRELAGNTMTVSYMSGLTLGSAVAYC
+>Q9UHR5_PF07818_119 <unknown description>
+IPPEPPGRCSNHLQDKIQKLYERKIKEGMDMNYIIQRKKEFRNPSIYEKLIQFCAIDELG
+TNYPKDMFDPHGWSEDSYYEALAKAQKIEMDKLE
+>Q5TZJ5_PF15371_71 <unknown description>
+RPRGRMKNHSLRAGRECPRGLQETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERA
+PDGASQSSHEPMEDAAPILSPLASPDP
+>Q5TZJ5_PF14650_396 <unknown description>
+QKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCP
+IQRETTMSPLLFQAQPPSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFP
+SLIKNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPN
+LPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQ
+AKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLG
+VTSEESERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSW
+>Q5VYP0_PF15371_71 <unknown description>
+RPRGRMKNHSLRAGRECRRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERA
+PDGASQSSHEPMEDAAPILSLLASPDP
+>Q5VYP0_PF14650_396 <unknown description>
+QKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCP
+IQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFP
+SLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPN
+LPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLQDESPGTSQ
+AKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLG
+VTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSW
+>Q5VU36_PF15371_71 <unknown description>
+RPRGRMKNHSLRAGRECRRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERA
+PDGASQSSHEPMEDAAPILSPLASPDP
+>Q5VU36_PF14650_396 <unknown description>
+QKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCP
+IQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFP
+SLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPN
+LPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQ
+AKGKPSPWQSSMSTGEGSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLG
+VTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVCVRRSW
+>Q5VVP1_PF15371_71 <unknown description>
+RPRGRMKNHSLRAGRECPRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERA
+PDGASQSSHEPMEDAAPILSPLASPDP
+>Q5VVP1_PF14650_396 <unknown description>
+QKCSDPRLLQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCP
+IQRETTMSPLLFQAQPLSHRQPFISSTPQFLPTPMAQAEAQAHLQSSFPVLSPAFPSLIK
+NTGVACPASQNKVQALSLPETQHPEWPLLRKQLEGRLALPSRVQKSQDVFSVSTPNLPQE
+SLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQAKGK
+PSPWQSSTSTGESSKEAQKVKFQLERDLCPHLGQILGETPQNLSRDMKSFPRKVLGVTSE
+ESERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSW
+>Q8IWB4_PF15371_71 <unknown description>
+RPRGRMKNHSLRAGRECPRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERA
+PDGASQSSHEPMEDAAPILSPLASPDP
+>Q8IWB4_PF14650_396 <unknown description>
+QKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCP
+IQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFP
+SLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPN
+LPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQ
+AKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLG
+VTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVCVRRSW
+>Q6ZQQ2_PF15371_66 <unknown description>
+RAKRRRKGGTFKGFPDWKSFQREEEEERKLLSLLKSFGPPVSCSPRGQHHDTNHFRRLLC
+PDPVCRVCKRATADIQQLLSWESLKDAAPSVSPLASSAS
+>Q6ZQQ2_PF14650_484 <unknown description>
+QQPPHSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVLVQRGHSSMFVFFNGITNTSISHE
+SPVLPPPQPLSLPSTQPLPLPQTLPQGQSPHLTQVKSLAQPQSPFRALLPSPLFLIRICG
+VCFHRPQNEARSLLPSEINHLEWNVLQKVQESLWGLPSVVQKSQEDFCPPAPNPELVRKS
+FKVHVPISIIPGDFPLSSEVRKKLEQHIRRRLIQRRWGLPRRIHESLSLLRPQSKISELS
+VSERIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGYSQETVPKD
+HLLHGPETSSDKDLRSNSERDLETHMMHLSGNDSGVRLGQKQLENALTVRLSKKFEEINE
+GRMPGTVHSSW
+>P0C874_PF15371_66 <unknown description>
+RARRKRKSVTFKDRKSLQKEAEEERKLHSFLKSFGPPVSCSPLGQHHDTTLFRRLLCPDP
+VCRVCNRATADIQRLLSWESLKDAAPSVSPLASSAS
+>P0C874_PF14650_481 <unknown description>
+QQPPYSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVFVQHGRSSMFVFFNGITNTSMSHE
+SPVLPPPQPLFLPSTQPLPLPQTLPRGQSLHLTQVKSLAQPQSPFPALPPSPLFLIRVCG
+VCFHRPQNEARSLMPSEINHLEWNVLQKVQESVWGLPSVVQKSQEDFCPPAPNPVLVRKS
+FKVHVPISIIPGDFPLSSEVRKKLEQHIRKRLIQRRWGLPRRIHESLSLLRPQNKISELS
+VSESIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGCSQETAPKN
+HLLHDPETSSEEDLRSNSERDLGTHMMHLSGNDSGVRLGQKQLENALTVHLSKKFEEINE
+GRMPGTVHSSW
+>Q6ZUB0_PF15371_66 <unknown description>
+RARRKRKSVTFKDRKSLQKEAEEERKLHSFLKSFGPPVSCSPLGQHHDTTLFRRLLCPDP
+VCRVCNRATADIQRLLSWESLKDAAPSVSPLASSAS
+>Q6ZUB0_PF14650_481 <unknown description>
+QQPPYSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVFVQHGRSSMFVFFNGITNTSMSHE
+SPVLPPPQPLFLPSTQPLPLPQTLPRGQSLHLTQVKSLAQPQSPFPALPPSPLFLIRVCG
+VCFHRPQNEARSLMPSEINHLEWNVLQKVQESVWGLPSVVQKSQEDFCPPAPNPVLVRKS
+FKVHVPISIIPGDFPLSSEVRKKLEQHIRKRLIQRRWGLPRRIHESLSLLRPQNKISELS
+VSESIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGCSQETAPKN
+HLLHDPETSSDEDLRSNSERDLGTHMMHLSGNDSGVRLGQKQLENALTVHLSKKFEEINE
+GRMPGTVHSSW
+>Q6ZUB1_PF15371_112 <unknown description>
+SRSRKISALKACRILLRELEETRDLNYLLESHLRKLAGEGSSHLPLGGDPLGDVCKPVPA
+KAHQPHGKCMQDPSPASLSPPA
+>Q6ZUB1_PF14650_420 <unknown description>
+QQVSDATTVGNHLQQKRSQLFWDLPSLNSESLATTVWVSRNPSSQNAHSVPLDKASTSLP
+GEPEVEASSQLSQAPPQPHHMAQPQHFTPAWPQSQPPPLAEIQTQAHLSPPVPSLGCSSP
+PQIRGCGASYPTSQERTQSVIPTGKEYLEWPLKKRPKWKRVLPSLLKKSQAVLSQPTAHL
+PQERPASWSPKSAPILPGVVTSPELPEHWWQGRNAIHQEQSCGPPSRLQASGDLLQPDGE
+FPGRPQSQAEDTQQALLPSQPSDFAGKGRKDVQKTGFRSSGRFSDKGCLGSKLGPDPSRD
+QGSGRTSVKALDEDKEAEGDLRRSWKYQSVSSTPRDPDKEHLENKLQIHLARKVGEIKEG
+WIPMPVRRSW
+>P0CK96_PF03151_74 <unknown description>
+RALLYLTLWFFFSFCTLFLNKYILSLLGGEPSMLGAVQMLSTTVIGCVKTLVPCCLYQHK
+ARLSYPPNFLMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAPIFTVIMSRMILGE
+YTGLLVNLSLIPVMGGLALCTATEISFNVLGFSAALSTNIMDCLQNVFSKKLLSGDKYRF
+SAPELQFYTSAAAVAMLVPARVFFTDVPVIGRSGKSFSYNQDVVLLLLTDGVLFHLQSVT
+AYALMGKISPVTFSVASTVKHALSIWLSVIVFGNKITSLSAVGTALVTVGVLLYN
+>P78382_PF04142_11 <unknown description>
+LFKLYCLAVMTLMAAVYTIALRYTRTSDKELYFSTTAVCITEVIKLLLSVGILAKETGSL
+GRFKASLRENVLGSPKELLKLSVPSLVYAVQNNMAFLALSNLDAAVYQVTYQLKIPCTAL
+CTVLMLNRTLSKLQWVSVFMLCAGVTLVQWKPAQATKVVVEQNPLLGFGAIAIAVLCSGF
+AGVYFEKVLKSSDTSLWVRNIQMYLSGIIVTLAGVYLSDGAEIKEKGFFYGYTYYVWFVI
+FLASVGGLYTSVVVKYTDNIMKGFSAAAAIVLSTIASVMLFGLQITLTFALGTLLVCVSI
+YLYG
+>P78381_PF04142_32 <unknown description>
+HRRLKYISLAVLVVQNASLILSIRYARTLPGDRFFATTAVVMAEVLKGLTCLLLLFAQKR
+GNVKHLVLFLHEAVLVQYVDTLKLAVPSLIYTLQNNLQYVAISNLPAATFQVTYQLKILT
+TALFSVLMLNRSLSRLQWASLLLLFTGVAIVQAQQAGGGGPRPLDQNPGAGLAAVVASCL
+SSGFAGVYFEKILKGSSGSVWLRNLQLGLFGTALGLVGLWWAEGTAVATRGFFFGYTPAV
+WGVVLNQAFGGLLVAVVVKYADNILKGFATSLSIVLSTVASIRLFGFHVDPLFALGAGLV
+IGAVYLYS
+>Q9Y2D2_PF04142_4 <unknown description>
+NLKYVSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAELLKIMACILLVYKDSK
+CSLRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLKILT
+TALFSVSMLSKKLGVYQWLSLVILMTGVAFVQWPSDSQLDSKELSAGSQFVGLMAVLTAC
+FSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYIYDGELVSKNGFFQGYNRL
+TWIVVVLQALGGLVIAAVIKYADNILKGFATSLSIILSTLISYFWLQDFVPTSVFFLGAI
+LVITATFLYG
+>Q96G79_PF04142_87 <unknown description>
+APFALSALLYGANNNLVIYLQRYMDPSTYQVLSNLKIGSTAVLYCLCLRHRLSVRQGLAL
+LLLMAAGACYAAGGLQVPGNTLPSPPPAAAASPMPLHITPLGLLLLILYCLISGLSSVYT
+ELLMKRQRLPLALQNLFLYTFGVLLNLGLHAGGGSGPGLLEGFSGWAALVVLSQALNGLL
+MSAVMKHGSSITRLFVVSCSLVVNAVLSAVLLRLQLTAAFFLATLLIGLAMRLY
+>Q9BS91_PF04142_25 <unknown description>
+IFIALSSSRILLVKYSANEENKYDYLPTTVNVCSELVKLVFCVLVSFCVIKKDHQSRNLK
+YASWKEFSDFMKWSIPAFLYFLDNLIVFYVLSYLQPAMAVIFSNFSIITTALLFRIVLKR
+RLNWIQWASLLTLFLSIVALTAGTKTLQHNLAGRGFHHDAFFSPSNSCLLFRSECPRKDN
+CTAKEWTFPEAKWNTTARVFSHIRLGMGHVLIIVQCFISSMANIYNEKILKEGNQLTESI
+FIQNSKLYFFGILFNGLTLGLQRSNRDQIKNCGFFYGHSAFSVALIFVTAFQGLSVAFIL
+KFLDNMFHVLMAQVTTVIITTVSVLVFDFRPSLEFFLEAPSVLLSIFIYN
+>P78383_PF08449_16 <unknown description>
+LCFLGVFVCYFYYGILQEKITRGKYGEGAKQETFTFALTLVFIQCVINAVFAKILIQFFD
+TARVDRTRSWLYAACSISYLGAMVSSNSALQFVNYPTQVLGKSCKPIPVMLLGVTLLKKK
+YPLAKYLCVLLIVAGVALFMYKPKKVVGIEEHTVGYGELLLLLSLTLDGLTGVSQDHMRA
+HYQTGSNHMMLNINLWSTLLLGMGILFTGELWEFLSFAERYPAIIYNILLFGLTSALGQS
+FIFMTVVYFGPLTCSIITTTRKFFTILASVILFANPISPMQWVGTVLVFLGLGLDA
+>Q8TB61_PF08449_112 <unknown description>
+LLFCATGLQVSYLTWGVLQERVMTRSYGATATSPGERFTDSQFLVLMNRVLALIVAGLSC
+VLCKQPRHGAPMYRYSFASLSNVLSSWCQYEALKFVSFPTQVLAKASKVIPVMLMGKLVS
+RRSYEHWEYLTATLISIGVSMFLLSSGPEPRSSPATTLSGLILLAGYIAFDSFTSNWQDA
+LFAYKMSSVQMMFGVNFFSCLFTVGSLLEQGALLEGTRFMGRHSEFAAHALLLSICSACG
+QLFIFYTIGQFGAAVFTIIMTLRQAFAILLSCLLYGHTVTVVGGLGVAVVFAALLLRVYA
+>Q9H1N7_PF08449_80 <unknown description>
+QFFICVAGVFVFYLIYGYLQELIFSVEGFKSCGWYLTLVQFAFYSIFGLIELQLIQDKRR
+RIPGKTYMIIAFLTVGTMGLSNTSLGYLNYPTQVIFKCCKLIPVMLGGVFIQGKRYNVAD
+VSAAICMSLGLIWFTLADSTTAPNFNLTGVVLISLALCADAVIGNVQEKAMKLHNASNSE
+MVLYSYSIGFVYILLGLTCTSGLGPAVTFCAKNPVRTYGYAFLFSLTGYFGISFVLALIK
+IFGALIAVTVTTGRKAMTIVLSFIFFAKPFTFQYVWSGLLVVLGIFLNVYS
+>Q969S0_PF08449_4 <unknown description>
+ALAVGLVFAGCCSNVIFLELLARKHPGCGNIVTFAQFLFIAVEGFLFEADLGRKPPAIPI
+RYYAIMVTMFFTVSVVNNYALNLNIAMPLHMIFRSGSLIANMILGIIILKKRYSIFKYTS
+IALVSVGIFICTFMSAKQVTSQSSLSENDGFQAFVWWLLGIGALTFALLMSARMGIFQET
+LYKRFGKHSKEALFYNHALPLPGFVFLASDIYDHAVLFNKSELYEIPVIGVTLPIMWFYL
+LMNIITQYVCIRGVFILTTECASLTVTLVVTLRKFVSLIFSILYFQNPFTLWHWLGTLFV
+FIGTLMYTEV
+>Q9NQQ7_PF03151_19 <unknown description>
+GLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFSALSRALVQCSSHRARVVL
+SWADYLRRVAPTALATALDVGLSNWSFLYVTVSLYTMTKSSAVLFILIFSLIFKLEELRA
+ALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRWTLTQMLLQKAELGLQNPI
+DTMFHLQPLMFLGLFPLFAVFEGLHLSTSEKIFRFQDTGLLLRVLGSLFLGGILAFGLGF
+SEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNWLGFALCLSGISL
+>Q9NTN3_PF03151_44 <unknown description>
+LAAGFYGVSSFLIVVVNKSVLTNYRFPSSLCVGLGQMVATVAVLWVGKALRVVKFPDLDR
+NVPRKTFPLPLLYFGNQITGLFSTKKLNLPMFTVLRRFSILFTMFAEGVLLKKTFSWGIK
+MTVFAMIIGAFVAASSDLAFDLEGYAFILINDVLTAANGAYVKQKLDSKELGKYGLLYYN
+ALFMILPTLAIAYFTGDAQKAVEFEGWADTLFLLQFTLSCVMGFILMYATVLCTQYNSAL
+TTTIVGCIKNILITYIGMVFGGDYIFTWTNFIGLNISIAGSLVYS
+>Q76EJ3_PF03151_30 <unknown description>
+SALFYGTCSFLIVLVNKALLTTYGFPSPIFLGIGQMAATIMILYVSKLNKIIHFPDFDKK
+IPVKLFPLPLLYVGNHISGLSSTSKLSLPMFTVLRKFTIPLTLLLETIILGKQYSLNIIL
+SVFAIILGAFIAAGSDLAFNLEGYIFVFLNDIFTAANGVYTKQKMDPKELGKYGVLFYNA
+CFMIIPTLIISVSTGDLQQATEFNQWKNVVFILQFLLSCFLGFLLMYSTVLCSYYNSALT
+TAVVGAIKNVSVAYIGILIGGDYIFSLLNFVGLNICMAGGLRYS
+>Q96K37_PF03151_29 <unknown description>
+RVAALCLLWYALSAGGNVVNKVILSAFPFPVTVSLCHILALCAGLPPLLRAWRVPPAPPV
+SGPGPSPHPSSGPLLPPRFYPRYVLPLAFGKYFASVSAHVSIWKVPVSYAHTVKATMPIW
+VVLLSRIIMKEKQSTKVYLSLIPIISGVLLATVTELSFDMWGLVSALAATLCFSLQNIFS
+KKVLRDSRIHHLRLLNILGCHAVFFMIPTWVLVDLSAFLVSSDLTYVYQWPWTLLLLAVS
+GFCNFAQNVIAFSILNLVSPLSYSVANATKRIMVITVSLIMLRNPVTSTNVLGMMTAILG
+VFLYN
+>Q7Z769_PF03151_22 <unknown description>
+LLVSICIVFLNKWIYVYHGFPNMSLTLVHFVVTWLGLYICQKLDIFAPKSLPPSRLLLLA
+LSFCGFVVFTNLSLQNNTIGTYQLAKAMTTPVIIAIQTFCYQKTFSTRIQLTLIPITLGV
+ILNSYYDVKFNFLGMVFAALGVLVTSLYQVWVGAKQHELQVNSMQLLYYQAPMSSAMLLV
+AVPFFEPVFGEGGIFGPWSVSALLMVLLSGVIAFMVNLSIYWIIGNTSPVTYNMFGHFKF
+CITLFGGYVLFKDPLSINQALGILCTLFGILAY
+>Q6ICL7_PF03151_49 <unknown description>
+VAMAALVWLLAGASMSSLNKWIFTVHGFGRPLLLSALHMLVAALACHRGARRPMPGGTRC
+RVLLLSLTFGTSMACGNVGLRAVPLDLAQLVTTTTPLFTLALSALLLGRRHHPLQLAAMG
+PLCLGAACSLAGEFRTPPTGCGFLLAATCLRGLKSVQQSALLQEERLDAVTLLYATSLPS
+FCLLAGAALVLEAGVAPPPTAGDSRLWACILLSCLLSVLYNLASFSLLALTSALTVHVLG
+NLTVVGNLILSRLLFGSRLSALSYVGIALTLSGMFLY
+>Q5T1Q4_PF06027_57 <unknown description>
+NREMLISVALGQVLSLLICGIGLTSKYLSEDFHANTPVFQSFLNYILLFLVYTTTLAVRQ
+GEENLLAILRRRWWKYMILGLIDLEANYLVVKAYQYTTLTSIQLLDCFVIPVVILLSWFF
+LLIRYKAVHFIGIVVCILGMGCMVGADVLVGRHQGAGENKLVGDLLVLGGATLYGISNVW
+EEYIIRTLSRVEFLGMIGLFGAFFSGIQLAIMEHKELLKVPWDWQIGLLYVGFSACMFGL
+YSFMPVVIKKTSATSVNLSLLTADLYSLFCGLFLFHYKFSGLYLLSFFTILIGLVLYSS
+>Q8IXU6_PF06027_35 <unknown description>
+FTWNILKTIALGQMLSLCICGTAITSQYLAERYKVNTPMLQSFINYCLLFLIYTVMLAFR
+SGSDNLLVILKRKWWKYILLGLADVEANYVIVRAYQYTTLTSVQLLDCFGIPVLMALSWF
+ILHARYRVIHFIAVAVCLLGVGTMVGADILAGREDNSGSDVLIGDILVLLGASLYAISNV
+CEEYIVKKLSRQEFLGMVGLFGTIISGIQLLIVEYKDIASIHWDWKIALLFVAFALCMFC
+LYSFMPLVIKVTSATSVNLGILTADLYSLFVGLFLFGYKFSGLYILSFTVIMVGFILYCS
+>Q8IY50_PF00892_137 <unknown description>
+WGVAVVLCVCSSWAGSTQLAKLTFRKFDAPFTLTWFATNWNFLFFPLYYVGHVCKSTEKQ
+SVKQRYRECCRFFGDNGLTLKVFFTKAAPFGVLWTLTNYLYLHAIKKINTTDVSVLFCCN
+KAFVFLLSWIVLRDRFMGVRIVAAILAIAGIVMMT
+>Q8WV83_PF00892_235 <unknown description>
+LTATQVAKISFFFCFVWFLANLSYQEALSDTQVAIVNILSSTSGLFTLILAAVFPSNSGD
+RFTLSKLLAVILSIGGVVLVN
+>Q8N357_PF06027_95 <unknown description>
+ALCDMTGTSLMYVALNMTSASSFQMLRGAVIIFTGLFSVAFLGRRLVLSQWLGILATIAG
+LVVVGLADLLSKHDSQHKLSEVITGDLLIIMAQIIVAIQMVLEEKFVYKHNVHPLRAVGT
+EGLFGFVILS
+>Q2M3R5_PF00892_70 <unknown description>
+LGLFYTLLSAFLFSVGSLFVKKVQDVHAVEISAFRCVFQMLVVIPCLIYRKTGFIGPKGQ
+RIFLILRGVLGSTAMMLIYYAYQTMSLADATVITFSSPVFTSIFAWICLKEKYSPWDALF
+TVFTITGVILIV
+>Q2M3R5_PF00892_223 <unknown description>
+KGTFAAIGSAVFAASTLVILRKMGKSVDYFLSIWYYVVLGLVESVIILSVLGEWSLPYCG
+LDRLFLIFIGLFGLGGQIFITKALQIEKAGPVAIMKTMDVVFAFIFQIIFFNNVPTWWTV
+GGALCVVAS
+>Q8TBE7_PF00892_104 <unknown description>
+MWIVLFGSALAHGCVALITRLVSDRSKVPSLELIFIRSVFQVLSVLVVCYYQEAPFGPSG
+YRLRLFFYGVCNVISITCAYTSFSIVPPSNGTTMWRATTTVFSAILAFLLVDEKMAYVDM
+ATVVCSILGVCLVM
+>Q8TBE7_PF00892_256 <unknown description>
+FGYTMTVMAGLTTALSMIVYRSIKEKISMWTALFTFGWTGTIWGISTMFILQEPIIPLDG
+ETWSYLIAICVCSTAAFLGVYYALDKFHPALVSTVQHLEIVVAMVLQLLVLHIFPSIYDV
+FGGVIIMISVFVL
+>Q8N808_PF00892_44 <unknown description>
+LLGGGLPAGFVGPLSRMAYQASNLPSLELLIWRCLFHLPIALLLKLRGDPLLGTPDIRSR
+AFFCALLNILSIGCAYSAVQVVPAGNAATVRKGSSTVCSAVLTLCLESQGLSGYDWCGLL
+GCILGLIIIV
+>Q7Z2H8_PF01490_46 <unknown description>
+STTWFQTLIHLLKGNIGTGLLGLPLAVKNAGIVMGPISLLIIGIVAVHCMGILVKCAHHF
+CRRLNKSFVDYGDTVMYGLESSPCSWLRNHAHWGRRVVDFFLIVTQLGFCCVYFVFLADN
+FKQVIEAANGTTNNCHNNETVILTPTMDSRLYMLSFLPFLVLLVFIRNLRALSIFSLLAN
+ITMLVSLVMIYQFIVQRIPDPSHLPLVAPWKTYPLFFGTAIFSFEGIGMVLPLENKMKDP
+RKFPLILYLGMVIVTILYISLGCLGYLQFGANIQGSITLNLPNCWLYQSVKLLYSIGIFF
+TYALQFYVPAEIIIPFFVSRAPEHCELVVDLFVRTVLVCLTCILAILIPRLDLVISLVGS
+VSSSALALIIPPLLEVTTFYSEGMSPLTIFKDALISILGFVGFVVGTYE
+>Q495M3_PF01490_53 <unknown description>
+GITVFQALIHLVKGNMGTGILGLPLAVKNAGILMGPLSLLVMGFIACHCMHILVKCAQRF
+CKRLNKPFMDYGDTVMHGLEANPNAWLQNHAHWGRHIVSFFLIITQLGFCCVYIVFLADN
+LKQVVEAVNSTTNNCYSNETVILTPTMDSRLYMLSFLPFLVLLVLIRNLRILTIFSMLAN
+ISMLVSLVIIIQYITQEIPDPSRLPLVASWKTYPLFFGTAIFSFESIGVVLPLENKMKNA
+RHFPAILSLGMSIVTSLYIGMAALGYLRFGDDIKASISLNLPNCWLYQSVKLLYIAGILC
+TYALQFYVPAEIIIPFAISRVSTRWALPLDLSIRLVMVCLTCLLAILIPRLDLVISLVGS
+VSGTALALIIPPLLEVTTFYSEGMSPLTIFKDALISILGFVGFVVGTYQA
+>Q495N2_PF01490_42 <unknown description>
+LSMMQTLIHLLKCNIGTGLLGLPLAIKNAGLLVGPVSLLAIGVLTVHCMVILLNCAQHLS
+QRLQKTFVNYGEATMYGLETCPNTWLRAHAVWGRYTVSFLLVITQLGFCSVYFMFMADNL
+QQMVEKAHVTSNICQPREILTLTPILDIRFYMLIILPFLILLVFIQNLKVLSVFSTLANI
+TTLGSMALIFEYIMEGIPYPSNLPLMANWKTFLLFFGTAIFTFEGVGMVLPLKNQMKHPQ
+QFSFVLYLGMSIVIILYILLGTLGYMKFGSDTQASITLNLPNCWLYQSVKLMYSIGIFFT
+YALQFHVPAEIIIPFAISQVSESWALFVDLSVRSALVCLTCVSAILIPRLDLVISLVGSV
+SSSALALIIPALLEIVIFYSEDMSCVTIAKDIMISIVGLLGCIFGTYQA
+>Q6YBV0_PF01490_58 <unknown description>
+GISFVQTLMHLLKGNIGTGLLGLPLAIKNAGIVLGPISLVFIGIISVHCMHILVRCSHFL
+CLRFKKSTLGYSDTVSFAMEVSPWSCLQKQAAWGRSVVDFFLVITQLGFCSVYIVFLAEN
+VKQVHEGFLESKVFISNSTNSSNPCERRSVDLRIYMLCFLPFIILLVFIRELKNLFVLSF
+LANVSMAVSLVIIYQYVVRNMPDPHNLPIVAGWKKYPLFFGTAVFAFEGIGVVLPLENQM
+KESKRFPQALNIGMGIVTTLYVTLATLGYMCFHDEIKGSITLNLPQDVWLYQSVKILYSF
+GIFVTYSIQFYVPAEIIIPGITSKFHTKWKQICEFGIRSFLVSITCAGAILIPRLDIVIS
+FVGAVSSSTLALILPPLVEILTFSKEHYNIWMVLKNISIAFTGVVGFLLGTYIT
+>Q9H2H9_PF01490_70 <unknown description>
+TTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLVLLTSVTLLSIYSINLLLICSKET
+GCMVYEKLGEQVFGTTGKFVIFGATSLQNTGAMLSYLFIVKNELPSAIKFLMGKEETFSA
+WYVDGRVLVVIVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCIVPE
+LNSTISANSTNADTCTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQM
+VSNISFFAMFVMYFLTAIFGYLTFYDNVQSDLLHKYQSKDDILILTVRLAVIVAVILTVP
+VLFFTVRSSLFELAKKTKFNLCRHTVVTCILLVVINLLVIFIPSMKDIFGVVGVTSANML
+IFILPSSLYLKITDQDGDKGTQRIWAALFLGLGVLFSLVSIP
+>Q96QD8_PF01490_70 <unknown description>
+TTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEG
+GSLLYEQLGYKAFGLVGKLAASGSITMQNIGAMSSYLFIVKYELPLVIQALTNIEDKTGL
+WYLNGNYLVLLVSLVVILPLSLFRNLGYLGYTSGLSLLCMVFFLIVVICKKFQVPCPVEA
+ALIINETINTTLTQPTALVPALSHNVTENDSCRPHYFIFNSQTVYAVPILIFSFVCHPAV
+LPIYEELKDRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSSILGTD
+ILLLIVRLAVLMAVTLTVPVVIFPIRSSVTHLLCASKDFSWWRHSLITVSILAFTNLLVI
+FVPTIRDIFGFIGASAASMLIFILPSAFYIKLVKKEPMKSVQKIGALFFLLSGVLVMTGS
+MALI
+>Q99624_PF01490_65 <unknown description>
+KTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGVV
+GIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEEKTSD
+WYMNGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFHVPCPLPP
+NFNNTTGNFSHVEIVKEKVQLQVEPEASAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVL
+PIYTELKDPSKKKMQHISNLSIAVMYIMYFLAALFGYLTFYNGVESELLHTYSKVDPFDV
+LILCVRVAVLTAVTLTVPIVLFPVRRAIQQMLFPNQEFSWLRHVLIAVGLLTCINLLVIF
+APNILGIFGVIGATSAPFLIFIFPAIFYFRIMPTEKEPARSTPKILALCFAMLGFLLMTM
+SLSFIII
+>Q969I6_PF01490_74 <unknown description>
+TTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLAVAILSLYSVHLLLKTAKEG
+GSLIYEKLGEKAFGWPGKIGAFVSITMQNIGAMSSYLFIIKYELPEVIRAFMGLEENTGE
+WYLNGNYLIIFVSVGIILPLSLLKNLGYLGYTSGFSLTCMVFFVSVVIYKKF
+>Q969I6_PF01490_324 <unknown description>
+KYFVFNSRTAYAIPILVFAFVCHPEVLPIYSELKDRSRRKMQTVSNISITGMLVMYLLAA
+LFGYLTFYGEVEDELLHAYSKVYTLDIPLLMVRLAVLVAVTLTVPIVLFPIRTSVITLLF
+PKRPFSWIRHFLIAAVLIALNNVLVILVPTIKYIFGFIGASSATMLIFILPAVFYLKLVK
+KETFRSPQKVGALIFLVVGIFFMIGSMALII
+>Q8WUX1_PF01490_47 <unknown description>
+KTSFGMSVFNLSNAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCAGIA
+GIRAYEQLGQRAFGPAGKVVVATVICLHNVGAMSSYLFIIKSELPLVIGTFLYMDPEGDW
+FLKGNLLIIIVSVLIILPLALMKHLGYLGYTSGLSLTCMLFFLVSVIYKKFQLGCAIGHN
+ETAMESEALVGLPSQGLNSSCEAQMFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCRPS
+KRRMQAVANVSIGAMFCMYGLTATFGYLTFYSSVKAEMLHMYSQKDPLILCVRLAVLLAV
+TLTVPVVLFPIRRALQQLLFPGKAFSWPRHVAIALILLVLVNVLVICVPTIRDIFGVIGS
+TSAPSLIFILPSIFYLRIVPSEVEPFLSWPKIQALCFGVLGVLFMAVSLGFMF
+>Q8IZM9_PF01490_46 <unknown description>
+SFGLSVFNLMNAIMGSGILGLAYVLANTGVFGFSFLLLTVALLASYSVHLLLSMCIQTAV
+TSYEDLGLFAFGLPGKLVVAGTIIIQNIGAMSSYLLIIKTELPAAIAEFLTGDYSRYWYL
+DGQTLLIIICVGIVFPLALLPKIGFLGYTSSLSFFFMMFFALVVIIKKWSIPCPLTLNYV
+EKGFQISNVTDDCKPKLFHFSKESAYALPTMAFSFLCHTSILPIYCELQSPSKKRMQNVT
+NTAIALSFLIYFISALFGYLTFYDKVESELLKGYSKYLSHDVVVMTVKLCILFAVLLTVP
+LIHFPARKAVTMMFFSNFPFSWIRHFLITLALNIIIVLLAIYVPDIRNVFGVVGASTSTC
+LIFIFPGLFYLKLSREDFLSWKKLGAFVLLIFGILVGNFSLALII
+>Q9NVC3_PF01490_50 <unknown description>
+TTSTLGAIFIVVNACLGAGLLNFPAAFSTAGGVAAGIALQMGMLVFIISGLVILAYCSQA
+SNERTYQEVVWAVCGKLTGVLCEVAIAVYTFGTCIAFLIIIGDQQDKIIAVMAKEPEGAS
+GPWYTDRKFTISLTAFLFILPLSIPREIGFQKYASFLSVVGTWYVTAIVIIKYIWPDKEM
+TPGNILTRPASWMAVFNAMPTICFGFQCHVSSVPVFNSMQQPEVKTWGGVVTAAMVIALA
+VYMGTGICGFLTFGAAVDPDVLLSYPSEDMAVAVARAFIILSVLTSYPILHFCGRAVVEG
+LWLRYQGVPVEEDVGRERRRRVLQTLVWFLLTLLLALFIPDIGKVISVIGGLAACFIFVF
+PGLCLIQAKLSEMEEVKPASWWVLVSYGVLLVTLGAFI
+>A6NNN8_PF01490_24 <unknown description>
+LSSMGAVFILMKSALGAGLLNFPWAFSKAGGVVPAFLVELVSLVFLISGLVILGYAAAVS
+GQATYQGVVRGLCGPAIGKLCEACFLLNLLMISVAFLRVIGDQLEKLCDSLLSGTPPAPQ
+PWYADQRFTLPLLSVLVILPLSAPREIAFQKYTSILGTLAACYLALVITVQYYLWPQGLV
+RESHPSLSPASWTSVFSVFPTICFGFQCHEAAVSIYCSMRKRSLSHWALVSVLSLLACCL
+IYSLTGVYGFLTFGTEVSADVLMSYPGNDMVIIVARVLFAVSIVTVYPIVLFLGRSVMQD
+FWRRSCLGGWGPSALADPSGLWVRMPLTILWVTVTLAMALFMPDLSEIVSIIGGISSFFI
+FIFPGLCLICAMGVEPIGPRVKCCLEVWGVVSVLVGTF
+>Q8NBW4_PF01490_119 <unknown description>
+SLVTIFMIWNTMMGTSILSIPWGIKQAGFTTGMCVIILMGLLTLYCCYRVVKSRTMMFSL
+DTTSWEYPDVCRHYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFNFIHHI
+N
+>Q8NBW4_PF01490_299 <unknown description>
+LLPLLNFKSPSFFSKFNILGTVSVLYLIFLVTFKAVRLGFHLEFHWFIPTEFFVPEIRFQ
+FPQLTGVLTLAFFIHNCIITLLKNNKKQENNVRDLCIAYMLVTLTYLYIGVLVFASFPSP
+PLSKDCIEQNFLDNFPSSDTLSFIARIFLLFQMMTVYPLLGYLARVQLLGHIFGDIYPSI
+FHVLILNLIIVGAGVIMACFYPNIGGIIRYSGAACGLAFVFIYPSLIYIISLHQEERLTW
+PKLIFHVFIIILGVANLIV
+>Q9HBR0_PF01490_6 <unknown description>
+ASNWGLITNIVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKSASLSK
+RRTYAGLAFHAYGKAGKMLVETSMIGLMLGTCIAFYVVIGDLGSNFFARLFGFQVGGTFR
+MFLLFAVSLCIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSGQWLRR
+VSYVRWEGVFRCIPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTTFYVMVG
+FFGYVSFTEATAGNVLMHFPSNLVTEMLRVGFMMSVAVGFPMMILPCRQALSTLLCEQQQ
+KDGTFAAGGYMPPLRFKALTLSVVFGTMVGGILIPNVETILGLTGATMGSLICFICPALI
+YKKIHKNALSSQVVLWV
+>Q9NY26_PF02535_28 <unknown description>
+EVKLGALVLLLVLTLLCSLVPICVLRRPGANHEGSASRQKALSLVSCFAGGVFLATCLLD
+LLPDYLAAIDEALAALHVTLQFPLQEFILAMGFFLVLVMEQITLAYKEQSGPSPLEETRA
+LLGTVNGGPQHWHDGPGVPQASGAPATPSALRACVLVFSLALHSVFEGLAVGLQRDRARA
+MELCLALLLHKGILAVSLSLRLLQSHLRAQVVAGCGILFSCMTPLGIGLGAALAESAGPL
+HQLAQSVLEGMAAGTFLYITFLEILPQELASSEQRILKVILLLAGFALLTG
+>Q9NP94_PF02535_6 <unknown description>
+GIKLGCLFALLALTLGCGLTPICFKWFQIDAARGHHRLVLRLLGCISAGVFLGAGFMHMT
+AEALEEIESQIQKFMVQNRSASERNSSGDADSAHMEYPYGELIISLGFFFVFFLESLALQ
+CCPGAAGGSTVQDEEWGGAHIFELHSHGHLPSPSKGPLRALVLLLSLSFHSVFEGLAVGL
+QPTVAATVQLCLAVLAHKGLVVFGVGMRLVHLGTSSRWAVFSILLLALMSPLGLAVGLAV
+TGGDSEGGRGLAQAVLEGVAAGTFLYVTFLEILPRELASPEAPLAKWSCVAAGFAFMAFI
+A
+>Q9BRY0_PF02535_7 <unknown description>
+AKILCMVGVFFFMLLGSLLPVKIIETDFEKAHRSKKILSLCNTFGGGVFLATCFNALLPA
+VREKLQKVLSLGHISTDYPLAETILLLGFFMTVFLEQLILTFRKEKPSFIDLETFNAGSD
+VGSDSEYESPFMGGARGHALYVEPHGHGPSLSVQGLSRASPVRLLSLAFALSAHSVFEGL
+ALGLQEEGEKVVSLFVGVAVHETLVAVALGISMARSAMPLRDAAKLAVTVSAMIPLGIGL
+GLGIESAQGVPGSVASVLLQGLAGGTFLFITFLEILAKELEEKSDRLLKVLFLVLGYTVL
+AG
+>Q6P5W5_PF18292_47 <unknown description>
+LLNTLADRVHCANGPCGKCLSVEDALGLGEPEGSGLPPGPVLEARYVARLSAAAVLYLSN
+PEGTCEDARAGLWASHADHLLALLESPKALTPGLSWLLQRMQARAAGQTPKMACVDIPQL
+LEEAVGAGAPGSAGGVLAALLDHVRSGSCF
+>Q6P5W5_PF02535_328 <unknown description>
+LYGSLATLLICLCAVFGLLLLTCTGCRGVTHYILQTFLSLAVGAVTGDAVLHLTPKVLGL
+HTHSEEGLSPQPTWRLLAMLAGLYAFFLFENLFNLLLPRDPEDLEDGPCGHSSHSHGGHS
+HGVSLQLAPSELRQPKPPHEGSRADLVAEESPELLNPEPRRLSPELRLLPYMITLGDAVH
+NFADGLAVGAAFASSWKTGLATSLAVFCHELPHELGDFAALLHAGLSVRQALLLNLASAL
+TAFAGLYVALAVGVSEESEAWILAVATGLFLYVALCDMLPAMLKVRDPRPWLLFLLHNVG
+LLGGWTVLLLL
+>Q6ZMH5_PF02535_213 <unknown description>
+LLQSALAVLLLSLPSPLSLLLLRLLGPRLLRPLLGFLGALAVGTLCGDALLHLLPHAQEG
+RHAGPGGLPEKDLGPGLSVLGGLFLLFVLENMLGLLRHRGLRPRCCRRKRRNLETRNLDP
+ENGSGMALQPLQAAPEPGAQGQREKNSQHPPALAPPGHQGHSHGHQGGTDITWMVLLGDG
+LHNLTDGLAIGAAFSDGFSSGLSTTLAVFCHELPHELGDFAMLLQSGLSFRRLLLLSLVS
+GALGLGGAVLGVGLSLGPVPLTPWVFGVTAGVFLYVALVDMLPALLRPPEPLPTPHVLLQ
+GLGLLLGGGLMLAI
+>Q13433_PF02535_323 <unknown description>
+IAWVGGFIAISIISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDAFLHLLPHSH
+ASHHHSHSHEEPAMEMKRGPLFSHLSSQNIEESAYFDSTWKGLTALGGLYFMFLVEHVLT
+LIKQFKDKKKKNQKKPENDDDVEIKKQLSKYESQLSTNEEKVDTDDRTEGYLRADSQEPS
+HFDSQQPAVLEEEEVM
+>Q13433_PF02535_524 <unknown description>
+PQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHHPHSHSQRYS
+REELKDAGVATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFCHELPHELGD
+FAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTAGLFMYVALV
+DMVPEMLHNDASDHGCSRWGYFFLQNAGMLLGFGIMLLI
+>Q92504_PF02535_133 <unknown description>
+TLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQILLSFASGGLLGDAFLHLIPHA
+LEPHSHHTLEQPGHGHSHSGQGPILSVGLWVLSGIVAFLVVEKFVRHVKGGHGHSHGHGH
+AHSHTRGSHGHGRQERSTKEKQSSEEEEKETRGVQKRRGGSTVPKDGPVRPQNAEEEKRG
+LDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTMTVLLHEVPHEVGDFAILVQ
+SGCSKKQAMRLQLLTAVGALAGTACALLTEGGAVGSEIAGGAGPGWVLPFTAGGFIYVAT
+VSVLPELLREASPLQSLLEVLGLLGGVIMMVLIA
+>Q9C0K1_PF02535_127 <unknown description>
+EVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTFFVGLAIGTLFSNAIFQLIPEAF
+GFDPKVDSYVEKAVAVFGGFYLLFFFERMLKMLLKTYGQNGHTHFGNDNFGPQEKTHQPK
+ALPAINGVTCYANPAVTEANGHIHFDNVSVVSLQDGKKEPSSCTCLKGPKLSEIGTIAWM
+ITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNAGMSTRQAL
+LFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDMLREKVTGR
+KTDFTFFMIQNAGMLTGFTAILLI
+>Q9NUM3_PF02535_92 <unknown description>
+VHEHEHSHDHTQLHAYIGVSLVLGFVFMLLVDQIGNSHVHSTDDPEAARSSNSKITTTLG
+LVVHAAADGVALGAAASTSQTSVQLIVFVAIMLHKAPAAFGLVSFLMHAGLERNRIRKHL
+LVFALAAPVMSMVTYLGLSKSSKEALSEVNATGVAMLFSAGTFLYVATVHVLPEVGGIGH
+SHKPDATGGRGLSRLEVAALVLGCLIPLIL
+>Q9ULF5_PF02535_405 <unknown description>
+SAWICGIISITVISLLSLLGVILVPIINQGCFKFLLTFLVALAVGTMSGDALLHLLPHSQ
+GGHDHSHQHAHGHGHSHGHESNKFLEEYDAVLKGLVALGGIYLLFIIEHCIRMFKHYKQQ
+RGKQKWFMKQNTEESTIGRKLSDHKLNNTPDSDWLQLKPLAGTDDSVVSEDRLNETELTD
+LEGQQESPPKNYLCIEEEKIIDHSHSDGLHTIHEHDLHAAAHNHHGENKTVLRKHNHQWH
+HKHSHHSHGPCHSGSDLKETGIANIAWMVIMGDGIHNFSDGLAIGAAFSAGLTGGISTSI
+AVFCHELPHELGDFAVLLKAGMTVKQAIVYNLLSAMMAYIGMLIGTAVGQYANNITLWIF
+AVTAGMFLYVALVDMLPEMLHGDGDNEEHGFCPVGQFILQNLGLLFGFAIMLVIA
+>Q8N1S5_PF02535_34 <unknown description>
+SGQRRILDGSLGFAAGVMLAASYWSLLAPAVEMATSSGGFGAFAFFPVAVGFTLGAAFVY
+LADLLMPHLGAAEDPQTTLALNFGSTLMKKKSDPEGPALLFPESELSIRIDKSENGEAYQ
+RKKAAATGLPEGPAVPVPSRGNLAQPGGSSWRRIALLILAITIHNVPEGLAVGVGFGAIE
+KTASATFESARNLAIGIGIQNFPEGLAVSLPLRGAGFSTWRAFWYGQLSGMVEPLAGVFG
+AFAVVLAEPILPYALAFAAGAMVYVVMDDIIPEAQISGNGKLASWASILGFVVMMSL
+>Q504Y0_PF18292_63 <unknown description>
+SLIKTLLEKTGCPRRRNGMQGDCNLCFEPDALLLIAGGNFEDQLREEVVQRVSLLLLYYI
+IHQEEICSSKLNMSNKEYKFYLHSLLSLRQDEDSSFLSQNETEDILAFTRQYFDTSQSQC
+METKTLQKKSGIVSSEGANESTLPQLAAMIITLSLQGVCL
+>Q504Y0_PF02535_369 <unknown description>
+YSTVAVTLLTLGSMLGTALVLFHSCEENYRLILQLFVGLAVGTLSGDALLHLIPQVLGLH
+KQEAPEFGHFHESKGHIWKLMGLIGGIHGFFLIEKCFILLVSPNDKQGLSLVNGHVGHSH
+HLALNSELSDQAGRGKSASTIQLKSPEDSQAAEMPIGSMTASNRKCKAISLLAIMILVGD
+SLHNFADGLAIGAAFSSSSESGVTTTIAILCHEIPHEMGDFAVLLSSGLSMKTAILMNFI
+SSLTAFMGLYIGLSVSADPCVQDWIFTVTAGMFLYLSLVEMLPEMTHVQTQRPWMMFLLQ
+NFGLILGWLSLLLLA
+>Q96H72_PF02535_66 <unknown description>
+DTWICSLLGSLMVGLSGVFPLLVIPLEMGTMLRSEAGAWRLKQLLSFALGGLLGNVFLHL
+LPEAWAYTCSASPGGEGQSLQQQQQLGLWVIAGILTFLALEKMFLDSKEEGTSQAPNK
+>Q96H72_PF02535_204 <unknown description>
+EPGLGAVVRSIKVSGYLNLLANTIDNFTHGLAVAASFLVSKKIGLLTTMAILLHEIPHEV
+GDFAILLRAGFDRWSAAKLQLSTALGGLLGAGFAICTQSPKGVVGCSPAAEETAAWVLPF
+TSGGFLYIALVNVLPDLLEEEDPWRSLQQLLLLCAGIVVMVLF
+>Q15043_PF02535_152 <unknown description>
+EVWGFGFLSVSLINLASLLGVLVLPCTEKAFFSRVLTYFIALSIGTLLSNALFQLIPEAF
+GFNPLEDYYVSKSAVVFGGFYLFFFTEKILKILLKQKNEHHHGHSHYASESLPSKKDQEE
+GVMEKLQNGDLDHMIPQHCSSELDGKAPMVDEKVIVGSLSVQDLQASQSACYWLKGVRYS
+DIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNA
+GMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYISLADMFPEMNEV
+CQEDERKGSILIPFIIQNLGLLTGFTIMVVL
+>Q8TF17_PF00018_274 <unknown description>
+KALTGYEPGEKDELNFYQGESIEIIGFVIPGLQWFIGKSTSSGQVGFVPT
+>Q9NP59_PF06963_23 <unknown description>
+SAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLLTAVYGLVVAGSVLVLGAIIGDW
+VDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKHELLTMYHGWVLTSCYILIITIANI
+ANLASTATAITIQRDWIVVVAGEDRSKLANMNATIRRIDQLTNILAPMAVGQIMTFGSPV
+IGCGFISGWNLVSMCVEYVLLWKVYQKTPALAVKAGLKEEETELKQLNLHKDTEPKPLEG
+THLMGVKDSNIHELEHEQEPTCASQMAEPFRTFRDGWVSYYNQPVFLAGMGLAFLYMTVL
+GFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAFTWLRRKCGLVRTGLISGLAQLS
+CLILCVISVFMPGSPLDLSVSPFEDIRSRFIQGESITPTKIPEITTEIYMSNGSNSANIV
+PETSPESVPIISVSLLFAGVIAARIGLWSFDLTVTQLLQENVIESERGIINGVQNSMNYL
+LDLLHFIMVILAPNPEAFGLLVLISVSFV
+>Q8IVJ1_PF01769_139 <unknown description>
+VPALLGLKGNLEMTLASRLSTAANIGHMDTPKELWRMITGNMALIQVQATVVGFLASIAA
+VVFGWIPDGHFSIPHAFLLCASSVATAFIASLVLGMIMIGVIIGSRKIGINPDNVATPIA
+ASLGDLITLALLSG
+>Q8IVJ1_PF01769_353 <unknown description>
+TPVINGVGGNLVAVQASRISTFLHMNGMPGENSEQAPRRCPSPCTTFFSPDVNSRSARVL
+FLLVVPGHLVFLYTISCMQGGHTTLTLIFIIFYMTAALLQVLILLYIADWMVHWMWGRGL
+DPDNFSIPYLTALGDLLGTGLLAL
+>Q96JW4_PF01769_200 <unknown description>
+VPALLGLKGNLEMTLASRLSTAVNIGKMDSPIEKWNLIIGNLALKQVQATVVGFLAAVAA
+IILGWIPEGKYYLDHSILLCSSSVATAFIASLLQGIIMVGVIVGSKKTGINPDNVATPIA
+ASFGDLITLAILAW
+>Q96JW4_PF01769_414 <unknown description>
+TPVINGIGGNLVAIQASRISTYLHLHSIPGELPDEPKGCYYPFRTFFGPGVNNKSAQVLL
+LLVIPGHLIFLYTIHLMKSGHTSLTIIFIVVYLFGAVLQVFTLLWIADWMVHHFWRKGKD
+PDSFSIPYLTALGDLLGTALLAL
+>Q96GZ6_PF01769_106 <unknown description>
+VPPLVGLKGNLEMTLASRLSTAANTGQIDDPQEQHRVISSNLALIQVQATVVGLLAAVAA
+LLLGVVSREEVDVAKVELLCASSVLTAFLAAFALGVLMVCIVIGARKLGVNPDNIATPIA
+ASLGDLITLSILAL
+>Q96GZ6_PF01769_319 <unknown description>
+TPVICGVGGNLVAIQTSRISTYLHMWSAPGVLPLQMKKFWPNPCSTFCTSEINSMSARVL
+LLLVVPGHLIFFYIIYLVEGQSVINSQTFVVLYLLAGLIQVTILLYLAEVMVRLTWHQAL
+DPDNHCIPYLTGLGDLLGTGLLAL
+>Q8NBI5_PF07690_65 <unknown description>
+AQDERFSLIFTLGSFMNNFMTFPTGYIFDRFKTTVARLIAIFFYTTATLIIAFTSAGSAV
+LLFLAMPMLTIGGILFLITNLQIGNLFGQHRSTIITLYNGAFDSSSAVFLIIKLLYEKGI
+SLRASFIFISVCSTWHVARTFLLMPRGHIPYPLPPNYSYGLCPGNGTTKEEKETAEHENR
+ELQSKEFLSAKEETPGAGQKQELRSFWSYAFSRRFAWHLVWLSVIQLWHYLFIGTLNSLL
+TNMAGGDMARVSTYTNAFAFTQFGVLCAPWNGLLMDRLKQKYQKEARKTGSSTLAVALCS
+TVPSLALTSLLCLGFALCASVPILPLQYLTFILQVISRSFLYGSNAAFLTLAFPSEHFGK
+LFGLVMALSAVVSLL
+>Q9Y2W3_PF07690_109 <unknown description>
+TPVLLQMGLPDQLYSLVWFISPILGFLLQPLLGAWSDRCTSRFGRRRPFILVLAIGALLG
+LSLLLNGRDIGIALADVTGNHKWGLLLTVCGVVLMDFSADSADNPSHAYMMDVCSPADQD
+RGLNIHALLAGLGGGFGYVVGGIHWDKTGFGRALGGQLRVIYLFTAVTLSVTTVLTLVSI
+PERPLRPPSEKRAAMK
+>Q9UMX9_PF13347_39 <unknown description>
+SMAMFGREFCYAVEAAYVTPVLLSVGLPSSLYSIVWFLSPILGFLLQPVVGSASDHCRSR
+WGRRRPYILTLGVMMLVGMALYLNGATVVAALIANPRRKLVWAISVTMIGVVLFDFAADF
+IDGPIKAYLFDVCSHQDKEKGLHYHALFTGFGGALGYLLGAIDWAHLELGRLLGTEFQVM
+FFFSALVLTLCFTVHLC
+>Q96JT2_PF07690_38 <unknown description>
+YVPPLLLEVGVEEKFMTMVLGIGPVLGLVCVPLLGSASDHWRGRYGRRRPFIWALSLGIL
+LSLFLIPRAGWLAGLLCPDPRPLELALLILGVGLLDFCGQVCFTPLEALLSDLFRDPDHC
+RQAYSVYAFMISLGGCLGYLLPAIDWDTSALAPYLGTQEECLFGLLTLIFLTCVAATLLV
+AEEAALGPTEPAEGLSAPSLSPHCCPCRARLAFRNLGALLPRLHQLCCRMPRTLRRLFVA
+ELCSWMALMTFTLF
+>Q7Z3Q1_PF07690_10 <unknown description>
+IFLSAFAMTLTGPLTTQYVYRRIWEETGNYTFSSDSNISECEKNKSSPIFAFQEEVQKKV
+SRFNLQMDISGLIPGLVSTFILLSISDHYGRKFPMILSSVGALATSVWLCLLCYFAFPFQ
+LLIASTFIGAFCGNYTTFWGACFAYIVDQCKEHKQKTIRIAIIDFLLGLVTGLTGLSSGY
+FIRELGFEWSFLIIAVSLAVNLIYILFFLGDPVKECSSQNVTMSCSEGFKNLFYRTYMLF
+KNASGKRRFLLCLLLFTVITYFFVVIGIAPIFILYELDSPLCWNEVFIGYGSALGSASFL
+TSFLGIWLFSYCMEDIHMAFIGIFTTMTGMAMTAFASTTLMMFLARVPFLFTIVPFSVLR
+SMLSKVVRSTEQGTLFACIAFLETLGGVTA
+>Q96FL8_PF01554_44 <unknown description>
+PAFLVQLMVFLISFISSVFCGHLGKLELDAVTLAIAVINVTGVSVGFGLSSACDTLISQT
+YGSQNLKHVGVILQRSALVLLLCCFPCWALFLNTQHILLLFRQDPDVSRLTQTYVTIFIP
+ALPATFLYMLQVKYLLNQGIVLPQIVTGVAANLVNALANYL
+>Q96FL8_PF01554_265 <unknown description>
+PSMLMLCMEWWAYEVGSFLSGILGMVELGAQSIVYELAIIVYMVPAGFSVAASVRVGNAL
+GAGDMEQARKSSTVSLLITVLFAVAFSVLLLSCKDHVGYIFTTDRDIINLVAQVVPIYAV
+SHLFEALACTSGGVLRGSGNQKVGAIVNTIGYYVVGLPIG
+>Q86VL8_PF01554_40 <unknown description>
+PLFLFQVLTFMIYIVSTVFCGHLGKVELASVTLAVAFVNVCGVSVGVGLSSACDTLMSQS
+FGSPNKKHVGVILQRGALVLLLCCLPCWALFLNTQHILLLFRQDPDVSRLTQDYVMIFIP
+GLPVIFLYNLLAKYLQNQKITWPQVLSGVVGNCVNGVANY
+>Q86VL8_PF01554_261 <unknown description>
+PSMLMICVEWWAYEIGSFLMGLLSVVDLSAQAVIYEVATVTYMIPLGLSIGVCVRVGMAL
+GAADTVQAKRSAVSGVLSIVGISLVLGTLISILKNQLGHIFTNDEDVIALVSQVLPVYSV
+FHVFEAICCVYGGVLRGTGKQAFGAAVNAITYYIIGLPLGI
+>Q6UXD7_PF07690_37 <unknown description>
+SLLNCSNATLWLSFAPVADVIAEDLVLSMEQINWLSLVYLVVSTPFGVAAIWILDSVGLR
+AATILGAWLNFAGSVLRMVPCMVVGTQNPFAFLMGGQSLCALAQSLVIFSPAKLAALWFP
+EHQRATANMLATMSNPLGVLVANVLSPVLVKKGEDIPLMLGVYTIPAGVVCLLSTICLWE
+SVPPTPPSAGAASSTSEKFLDGLKLLMWNKAYVILAVCLGGMIGISASFSALLEQILCAS
+GHSSGFSGLCGALFITFGILGALALGPYVDRTKHFTEATKIGLCLFSLACVPFALVSQLQ
+GQTLALAATCSLLGLFGFSVGPVAMELAVECSFPVGEGAATGMIFVLGQAEGI
+>Q6U841_PF07565_146 <unknown description>
+DVEDGGERWSKPYVATLSLHSLFELRSCILNGTVLLDMHANTLEEIADMVLDQQVSSGQL
+NEDVRHRVHEALMKQHHHQNQKKLTNRIPIVRSFADIGKKQSEPNSMDKNAGQVVSPQSA
+PACVENKNDVSRENSTVDFSKGLGGQQKGHTSPCGMKQRHEKGPPHQQEREVDLHFMKKI
+PPGAEASNILVGELEFLDRTVVAFVRLSPAVLLQGLAEVPIPTRFLFILLGPLGKGQQYH
+EIGRSIATLMTDEVFHDVAYKAKDRNDLVSGIDEFLDQVTVLPPGEWDP
+>Q6U841_PF00955_481 <unknown description>
+RIFGGLILDIKRKAPYFWSDFRDAFSLQCLASFLFLYCACMSPVITFGGLLGEATEGRIS
+AIESLFGASMTGIAYSLFGGQPLTILGSTGPVLVFEKILFKFCKEYGLSYLSLRASIGLW
+TATLCIILVATDASSLVCYITRFTEEAFASLICIIFIYEALEKLFELSEAYPINMHNDLE
+LLTQYSCNCVEPHNPSNGTLKEWRESNISASDIIWENLTVSECKSLHGEYVGRACGHDHP
+YVPDVLFWSVILFFSTVTLSATLKQFKTSRYFPTKVRSIVSDFAVFLTILCMVLIDYAIG
+IPSPKLQVPSVFKPTRDDRGWFVTPLGPNPWWTVIAAIIPALLCTILIFMDQQITAVIIN
+RKEHKLKKGCGYHLDLLMVAVMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQ
+PKFLGIREQRVTGLMIFILMGSSVFMTSILKFIPMPVLYGVFLYMGASSLKGIQFFDRIK
+LFWMPAKHQPDFIYLRHVPLRKVHLFTII
+>Q8NBS3_PF00955_328 <unknown description>
+KGIREDIARRFPLYPLDFTDGIIGKNKAVGKYITTTLFLYFACLLPTIAFGSLNDENTDG
+AIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLALYIQVIRVICDDYDLDFNSFYAWT
+GLWNSFFLALYAFFNLSLVMSLFKRSTEEIIALFISITFVLDAVKGTVKIFWKYYYGHYL
+DDYHTKRTSSLVSLSGLGASLNASLHTALNASFLASPTELPSATHSGQATAVLSLLIMLG
+TLWLGYTLYQFKKSPYLHPCVREILSDCALPIAVLAFSLISSHGFREIEMSKFRYNPSES
+PFAMAQIQSLSLRAVSGAMGLGFLLSMLFFIEQNLVAALVNAPENRLVKGTAYHWDLLLL
+AIINTGLSLFGLPWIHAAYPHSPLHVRALALVEERVENGHIYDTIVNVKETRLTSLGASV
+LVGLSLLLLPVPLQWIPKPVLYGLFLYIALTSLDGNQLVQRVALLLKEQTAYPPTHYIRR
+VPQRKIHYFTG
+>Q9Y6R1_PF07565_138 <unknown description>
+VEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLPQLVEMIVDHQIETGLLK
+PELKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFTNPDNGSPAMTHRNLTSSS
+LNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDTPFIAFVRLQQAVMLGALTEV
+PVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKAKDRHDLIAGIDEFLDEV
+IVLPPGEWDP
+>Q9Y6R1_PF00955_441 <unknown description>
+RFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLATVTNAITFGGLLGDATDNMQG
+VLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLFNFSKDNNFDYLEFRLWIGLW
+SAFLCLILVATDASFLVQYFTRFTEEGFSSLISFIFIYDAFKKMIKLADYYPINSNFKVG
+YNTLFSCTCVPPDPANISISNDTTLAPEYLPTMSSTDMYHNTTFDWAFLSKKECSKYGGN
+LVGNNCNFVPDITLMSFILFLGTYTSSMALKKFKTSPYFPTTARKLISDFAIILSILIFC
+VIDALVGVDTPKLIVPSEFKPTSPNRGWFVPPFGENPWWVCLAAAIPALLVTILIFMDQQ
+ITAVIVNRKEHKLKKGAGYHLDLFWVAILMVICSLMALPWYVAATVISIAHIDSLKMETE
+TSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFIPMPVLYGVFLYMGVASLNGV
+QFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFL
+>Q9BY07_PF07565_142 <unknown description>
+VEEGGERWSKPHVSTLSLHSLFELRTCLQTGTVLLDLDSGSLPQIIDDVIEKQIEDGLLR
+PELRERVSYVLLRRHRHQTKKPIHRSLADIGKSVSTTNRSPARSPGAGPSLHHSTEDLRM
+RQSANYGRLCHAQSRSMNDISLTPNTDQRKNKFMKKIPKDSEASNVLVGEVDFLDQPFIA
+FVRLIQSAMLGGVTEVPVPTRFLFILLGPSGRAKSYNEIGRAIATLMVDDLFSDVAYKAR
+NREDLIAGIDEFLDEVIVLPPGEWDPN
+>Q9BY07_PF00955_493 <unknown description>
+RFFGGLCLDIKRKLPWFPSDFYDGFHIQSISAILFIYLGCITNAITFGGLLGDATDNYQG
+VMESFLGTAMAGSLFCLFSGQPLIILSSTGPILIFEKLLFDFSKGNGLDYMEFRLWIGLH
+SAVQCLILVATDASFIIKYITRFTEEGFSTLISFIFIYDAIKKMIGAFKYYPINMDFKPN
+FITTYKCECVAPDTVNTTVFNASAPLAPDTNASLYNLLNLTALDWSLLSKKECLSYGGRL
+LGNSCKFIPDLALMSFILFFGTYSMTLTLKKFKFSRYFPTKVRALVADFSIVFSILMFCG
+IDACFGLETPKLHVPSVIKPTRPDRGWFVAPFGKNPWWVYPASILPALLVTILIFMDQQI
+TAVIVNRKENKLKKAAGYHLDLFWVGILMALCSFMGLPWYVAATVISIAHIDSLKMETET
+SAPGEQPQFLGVREQRVTGIIVFILTGISVFLAPILKCIPLPVLYGVFLYMGVASLNGIQ
+FWERCKLFLMPAKHQPDHAFLRHVPLRRIHLFTLV
+>Q9Y6M7_PF07565_150 <unknown description>
+DVEDGGDRWSKPYVATLSLHSLFELRSCILNGTVMLDMRASTLDEIADMVLDNMIASGQL
+DESIRENVREALLKRHHHQNEKRFTSRIPLVRSFADIGKKHSDPHLLERNGEGLSASRHS
+L
+>Q9Y6M7_PF07565_396 <unknown description>
+LDNSKSGEIKGNGSGGSRENSTVDFSKVDMNFMRKIPTGAEASNVLVGEVDFLERPIIAF
+VRLAPAVLLTGLTEVPVPTRFLFLLLGPAGKAPQYHEIGRSIATLMTDEIFHDVAYKAKD
+RNDLLSGIDEFLDQVTVLPPGEWDP
+>Q9Y6M7_PF00955_589 <unknown description>
+RLFGGLILDIKRKAPFFLSDFKDALSLQCLASILFLYCACMSPVITFGGLLGEATEGRIS
+AIESLFGASLTGIAYSLFAGQPLTILGSTGPVLVFEKILYKFCRDYQLSYLSLRTSIGLW
+TSFLCIVLVATDASSLVCYITRFTEEAFAALICIIFIYEALEKLFDLGETYAFNMHNNLD
+KLTSYSCVCTEPPNPSNETLAQWKKDNITAHNISWRNLTVSECKKLRGVFLGSACGHHGP
+YIPDVLFWCVILFFTTFFLSSFLKQFKTKRYFPTKVRSTISDFAVFLTIVIMVTIDYLVG
+VPSPKLHVPEKFEPTHPERGWIISPLGDNPWWTLLIAAIPALLCTILIFMDQQITAVIIN
+RKEHKLKKGAGYHLDLLMVGVMLGVCSVMGLPWFVAATVLSISHVNSLKVESECSAPGEQ
+PKFLGIREQRVTGLMIFILMGLSVFMTSVLKFIPMPVLYGVFLYMGVSSLKGIQLFDRIK
+LFGMPAKHQPDLIYLRYVPLWKVHIFTVI
+>Q2Y0W8_PF07565_145 <unknown description>
+DVEDGGERWSKPYVATLSLHSLFELRSCLINGTVLLDMHANSIEEISDLILDQQELSSDL
+NDSMRVKVREALLKKHHHQNEKKRNNLIPIVRSFAEVGKKQSDPHLMDKHGQTVSPQSVP
+TTNLEVKNGVNCEHSPVDLSKVDLHFMKKIPTGAEASNVLVGEVDILDRPIVAFVRLSPA
+VLLSGLTEVPIPTRFLFILLGPVGKGQQYHEIGRSMATIMTDEIFHDVAYKAKERDDLLA
+GIDEFLDQVTVLPPGEWDP
+>Q2Y0W8_PF00955_450 <unknown description>
+RLFGGLVLDIKRKAPWYWSDYRDALSLQCLASFLFLYCACMSPVITFGGLLGEATEGRIS
+AIESLFGASMTGIAYSLFAGQALTILGSTGPVLVFEKILFKFCKDYALSYLSLRACIGLW
+TAFLCIVLVATDASSLVCYITRFTEEAFASLICIIFIYEAIEKLIHLAETYPIHMHSQLD
+HLSLYYCRCTLPENPNNHTLQYWKDHNIVTAEVHWANLTVSECQEMHGEFMGSACGHHGP
+YTPDVLFWSCILFFTTFILSSTLKTFKTSRYFPTRVRSMVSDFAVFLTIFTMVIIDFLIG
+VPSPKLQVPSVFKPTRDDRGWIINPIGPNPWWTVIAAIIPALLCTILIFMDQQITAVIIN
+RKEHKLKKGCGYHLDLLMVAIMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQ
+PKFLGIREQRVTGLMIFVLMGCSVFMTAILKFIPMPVLYGVFLYMGVSSLQGIQFFDRLK
+LFGMPAKHQPDFIYLRHVPLRKVHLFTLI
+>Q9NWF4_PF06237_278 <unknown description>
+HGAFLLGLMAFTSAVTNGVLPSVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCR
+SLAGLVGLSLLGMLFGAYLMALAILSPCPPLVGTTAG
+>Q9HAB3_PF06237_275 <unknown description>
+RSACLLGLLAATNALTNGVLPAVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCR
+SLAGLGGLSLLGVFCGGYLMALAVLSPCPPLVGTSAG
+>Q9NQ40_PF06237_299 <unknown description>
+HLAFIYTLVAFVNALTNGMLPSVQTYSCLSYGPVAYHLAATLSIVANPLASLVSMFLPNR
+SLLFLGVLSVLGTCFGGYNMAMAVMSPCPLLQGHWGG
+>P18405_PF02544_111 <unknown description>
+PMPLLACTMAIMFCTCNGYLQSRYLSHCAVYADDWVTDPRFLIGFGLWLTGMLINIHSDH
+ILRNLRKPGDTGYKIPRGGLFEYVTAANYFGEIMEWCGYALASWSVQGAAFAFFTFCFLS
+GRAKEHHEWYLRKFEEYPKFRKIIIPFLF
+>P31213_PF02544_106 <unknown description>
+PYPAILILRGTAFCTGNGVLQGYYLIYCAEYPDGWYTDIRFSLGVFLFILGMGINIHSDY
+ILRQLRKPGEISYRIPQGGLFTYVSGANFLGEIIEWIGYALATWSLPALAFAFFSLCFLG
+LRAFHHHRFYLKMFEDYPKSRKALIPFIF
+>P61619_PF10559_40 <unknown description>
+FIFLVCCQIPLFGIMSSDSADPFYWMRVILASNRG
+>P61619_PF00344_75 <unknown description>
+TLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMIITIGQSIVYVMT
+GMYGDPSEMGAGICLLITIQLFVAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWK
+AFSPTTVNTGRGMEFEGAIIALFHLLATRTDKVRALREAFYRQNLPNLMNLIATIFVFAV
+VIYFQGFRVDLPIKSARYRGQYNTYPIKLFYTSNIPIILQSALVSNLYVISQMLSARFSG
+NLLVSLLGTWSDTSSGGPARAYPVGGLCYYLSPPESFGSVLEDPVHAVVYIVFMLGSCAF
+FSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRETSMVHELNRYIPTAAAFGGLCIGALSVL
+ADFLGAIGSGTGILLAVTIIYQY
+>Q9H9S3_PF10559_40 <unknown description>
+FIFLVCCQIPLFGIMSSDSADPFYWMRVILASNRG
+>Q9H9S3_PF00344_75 <unknown description>
+TLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMIITIGQAIVYVMT
+GMYGDPAEMGAGICLLIIIQLFVAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWK
+AFSPTTINTGRGTEFEGAVIALFHLLATRTDKVRALREAFYRQNLPNLMNLIATVFVFAV
+VIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLSVRFSG
+NFLVNLLGQWADVSGGGPARSYPVGGLCYYLSPPESMGAIFEDPVHVVVYIIFMLGSCAF
+FSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRDTSMVHELNRYIPTAAAFGGLCIGALSVL
+ADFLGAIGSGTGILLAVTIIYQY
+>Q8N2U9_PF04193_18 <unknown description>
+SWGAAAAMVFGGVVPYVPQYRDIRRTQNADGFSTYVCLVLLVANILRILFWFGRRFES
+>Q8N2U9_PF04193_171 <unknown description>
+VETLGFLAVLTEAMLGVPQLYRNHRHQSTEGMSIKMVLMWTSGDAFKTAYFLLKGAPLQ
+>Q8N755_PF04193_8 <unknown description>
+LCNWSTLGVCAALKLPQISAVLAARSARGLSLPSLLLELAGFLVFLRYQCYYGYP
+>P48066_PF00209_50 <unknown description>
+RGHWNNKVEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFLIPYVVFFICCGIPVFFLETA
+LGQFTSEGGITCWRKVCPLFEGIGYATQVIEAHLNVYYIIILAWAIFYLSNCFTTELPWA
+TCGHEWNTENCVEFQKLNVSNYSHVSLQNATSPVMEFWEHRVLAISDGIEHIGNLRWELA
+LCLLAAWTICYFCIWKGTKSTGKVVYVTATFPYIMLLILLIRGVTLPGASEGIKFYLYPD
+LSRLSDPQVWVDAGTQIFFSYAICLGCLTALGSYNNYNNNCYRDCIMLCCLNSGTSFVAG
+FAIFSVLGFMAYEQGVPIAEVAESGPGLAFIAYPKAVTMMPLSPLWATLFFMMLIFLGLD
+SQFVCVESLVTAVVDMYPKVFRRGYRRELLILALSVISYFLGLVMLTEGGMYIFQLFDSY
+AASGMCLLFVAIFECICIGWVYGSNRFYDNIEDMIGYRPPSLIKWCWMIMTPGICAGIFI
+FFLIKYKPLKYNNIYTYPAWGYGIGWLMALSSMLCIPLWICITVWK
+>P48065_PF00209_36 <unknown description>
+RGQWTNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYFIFFFVCGIPVFFLEVA
+LGQYTSQGSVTAWRKICPLFQGIGLASVVIESYLNVYYIIILAWALFYLFSSFTSELPWT
+TCNNFWNTEHCTDFLNHSGAGTVTPFENFTSPVMEFWERRVLGITSGIHDLGSLRWELAL
+CLLLAWVICYFCIWKGVKSTGKVVYFTATFPYLMLVILLIRGVTLPGAYQGIIYYLKPDL
+FRLKDPQVWMDAGTQIFFSFAICQGCLTALGSYNKYHNNCYKDCIALCFLNSATSFVAGF
+VVFSILGFMSQEQGVPISEVAESGPGLAFIAFPKAVTMMPLSQLWSCLFFIMLIFLGLDS
+QFVCVECLVTASIDMFPRQLRKSGRRELLILTIAVMCYLIGLFLVTEGGMYIFQLFDYYA
+SSGICLLFLSLFEVVCISWVYGADRFYDNIEDMIGYRPWPLVKISWLFLTPGLCLATFLF
+SLSKYTPLKYNNVYVYPPWGYSIGWFLALSSMVCVPLFVVITLLK
+>Q9NSD5_PF00209_32 <unknown description>
+RGHWNNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYLVFLFTCGIPVFLLETA
+LGQYTSQGGVTAWRKICPIFEGIGYASQMIVILLNVYYIIVLAWALFYLFSSFTIDLPWG
+GCYHEWNTEHCMEFQKTNGSLNGTSENATSPVIEFWERRVLKISDGIQHLGALRWELALC
+LLLAWVICYFCIWKGVKSTGKVVYFTATFPYLMLVVLLIRGVTLPGAAQGIQFYLYPNLT
+RLWDPQVWMDAGTQIFFSFAICLGCLTALGSYNKYHNNCYRDCIALCFLNSGTSFVAGFA
+IFSILGFMSQEQGVPISEVAESGPGLAFIAYPRAVVMLPFSPLWACCFFFMVVLLGLDSQ
+FVCVESLVTALVDMYPHVFRKKNRREVLILGVSVVSFLVGLIMLTEGGMYVFQLFDYYAA
+SGMCLLFVAIFESLCVAWVYGAKRFYDNIEDMIGYRPWPLIKYCWLFLTPAVCTATFLFS
+LIKYTPLTYNKKYTYPWWGDALGWLLALSSMVCIPAWSLYRL
+>Q9UN76_PF00209_36 <unknown description>
+RGNWSKKSDYLLSMIGYAVGLGNVWRFPYLTYSNGGGAFLIPYAIMLALAGLPLFFLECS
+LGQFASLGPVSVWRILPLFQGVGITMVLISIFVTIYYNVIIAYSLYYMFASFQSELPWKN
+CSSWSDKNCSRSPIVTHCNVSTVNKGIQEIIQMNKSWVDINNFTCINGSEIYQPGQLPSE
+QYWNKVALQRSSGMNETGVIVWYLALCLLLAWLIVGAALFKGIKSSGKVVYFTALFPYVV
+LLILLVRGATLEGASKGISYYIGAQSNFTKLKEAEVWKDAATQIFYSLSVAWGGLVALSS
+YNKFKNNCFSDAIVVCLTNCLTSVFAGFAIFSILGHMAHISGKEVSQVVKSGFDLAFIAY
+PEALAQLPGGPFWSILFFFMLLTLGLDSQFASIETITTTIQDLFPKVMKKMRVPITLGCC
+LVLFLLGLVCVTQAGIYWVHLIDHFCAGWGILIAAILELVGIIWIYGGNRFIEDTEMMIG
+AKRWIFWLWWRACWFVITPILLIAIFIWSLVQFHRPNYGAIPYPDWGVALGWCMIVFCII
+WIPIMAIIKIIQ
+>Q9H2J7_PF00209_61 <unknown description>
+RPAWNSKLQYILAQVGFSVGLGNVWRFPYLCQKNGGGAYLLPYLILLMVIGIPLFFLELS
+VGQRIRRGSIGVWNYISPKLGGIGFASCVVCYFVALYYNVIIGWSLFYFSQSFQQPLPWD
+QCPLVKNASHTFVEPECEQSSATTYYWYREALNISSSISESGGLNWKMTICLLAAWVMVC
+LAMIKGIQSSGKIIYFSSLFPYVVLICFLIRAFLLNGSIDGIRHMFTPKLEIMLEPKVWR
+EAATQVFFALGLGFGGVIAFSSYNKRDNNCHFDAVLVSFINFFTSVLATLVVFAVLGFKA
+NVINEKCITQNSETIMKFLKMGNISQDIIPHHINLSTVTAEDYHLVYDIIQKVKEEEFPA
+LHLNSCKIEEELNKAVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLVNLGLGSMFGTIE
+GIVTPIVDTFKVRKEILTVICCLLAFCIGLIFVQRSGNYFVTMFDDYSATLPLLIVVILE
+NIAVCFVYGIDKFMEDLKDMLGFAPSRYYYYMWKYISPLMLLSLLIASVVNMGLSPPGYN
+AWIEDKASEEFLSYPTWGLVVCVSLVVFAILPVPVVFIVRRFN
+>Q9GZN6_PF00209_103 <unknown description>
+RPFWSSKTEYILAQVGFSMKPSCLWRFAYLWLNSGGCSFAAIYIFMLFLVGVPLLFLEMA
+AGQSMRQGGMGVWKIIAPWIGGVGYSSFMVCFILGLYFNVVNSWIIFYMSQSFQFPVPWE
+KCPLTMNSSGFDPECERTTPSIYFWYQQALKASDRIEDGGSPVYSLVLPFFLCWCLVGAF
+MINGLKSTGKVIYVLVLLPCFIIVGFFIRTLLLEGAKFGLQQLVVAKISDVYNMSVWSLA
+GGQVLSNTGIGLGSVASLASYMPQSNNCLSDAFLVSVINLLTLLVFTSFNFCVLGFWATV
+ITHRCCERNAEILLKLINLGKLPPDAKPPVNLLYNPTSIYNAWLSGLPQHIKSMVLREVT
+ECNIETQFLKASEGPKFAFLSFVEAMSFLPPSVFWSFIFFLMLLAMGLSSAIGIMQGIIT
+PLQDTFSFFRKHTKLLIVGVFLLMFVCGLFFTRPSGSYFIRLLSDYWIVFPIIVVVVFET
+MAVSWAYGARRFLADLTILLGHPISPIFGWLWPHLCPVVLLIIFVTMMVHLCMKPITYMS
+WDSSTSKEVLRPYPPWALLLMITLFAIVILPIPAYFVYCRI
+>Q9H1V8_PF00209_60 <unknown description>
+RPAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFLELA
+VGQRIRRGSIGVWHYICPRLGGIGFSSCIVCLFVGLYYNVIIGWSIFYFFKSFQYPLPWS
+ECPVVRNGSVAVVEAECEKSSATTYFWYREALDISDSISESGGLNWKMTLCLLVAWSIVG
+MAVVKGIQSSGKVMYFSSLFPYVVLACFLVRGLLLRGAVDGILHMFTPKLDKMLDPQVWR
+EAATQVFFALGLGFGGVIAFSSYNKQDNNCHFDAALVSFINFFTSVLATLVVFAVLGFKA
+NIMNEKCVVENAEKILGYLNTNVLSRDLIPPHVNFSHLTTKDYMEMYNVIMTVKEDQFSA
+LGLDPCLLEDELDKSVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMIGTMA
+GITTPIIDTFKVPKEMFTVGCCVFAFLVGLLFVQRSGNYFVTMFDDYSATLPLTLIVILE
+NIAVAWIYGTKKFMQELTEMLGFRPYRFYFYMWKFVSPLCMAVLTTASIIQLGVTPPGYS
+AWIKEEAAERYLYFPNWAMALLITLIVVATLPIPVVFVLRHFH
+>Q96N87_PF00209_18 <unknown description>
+RPKWDNKAQYLLSCTGFAVGLGNIWRFPYLCQTYGGGAFLIPYVIALVFEGIPIFHVELA
+IGQRLRKGSVGVWTAISPYLSGVGLGCVTLSFLISLYYNTIVAWVLWYLLNSFQHPLPWS
+SCPPDLNRTGFVEECQGSSAVSYFWYRQTLNITADINDSGSIQWWLLICLAASWAVVYMC
+VIRGIETTGKVIYFTALFPYLVLTIFLIRGLTLPGATKGLIYLFTPNMHILQNPRVWLDA
+ATQIFFSLSLAFGGHIAFASYNSPRNDCQKDAVVIALVNRMTSLYASIAVFSVLGFKATN
+DYEHCLDRNILSLINDFDFPEQSISRDDYPAVLMHLNATWPKRVAQLPLKACLLEDFLDK
+SASGPGLAFVVFTETDLHMPGAPVWAMLFFGMLFTLGLSTMFGTVEAVITPLLDVGVLPR
+WVPKEALTGLVCLVCFLSATCFTLQSGNYWLEIFDNFAASPNLLMLAFLEVVGVVYVYGM
+KRFCDDIAWMTGRRPSPYWRLTWRVVSPLLLTIFVAYIILLFWKPLRYKAWNPKYELFPS
+RQEKLYPGWARAACVLLSLLPVLWVPVAALAQLL
+>Q695T7_PF00209_32 <unknown description>
+RPKWDNKAQYMLTCLGFCVGLGNVWRFPYLCQSHGGGAFMIPFLILLVLEGIPLLYLEFA
+IGQRLRRGSLGVWSSIHPALKGLGLASMLTSFMVGLYYNTIISWIMWYLFNSFQEPLPWS
+DCPLNENQTGYVDECARSSPVDYFWYRETLNISTSISDSGSIQWWMLLCLACAWSVLYMC
+TIRGIETTGKAVYITSTLPYVVLTIFLIRGLTLKGATNGIVFLFTPNVTELAQPDTWLDA
+GAQVFFSFSLAFGGLISFSSYNSVHNNCEKDSVIVSIINGFTSVYVAIVVYSVIGFRATQ
+RYDDCFSTNILTLINGFDLPEGNVTQENFVDMQQRCNASDPAAYAQLVFQTCDINAFLSE
+AVEGTGLAFIVFTEAITKMPLSPLWSVLFFIMLFCLGLSSMFGNMEGVVVPLQDLRVIPP
+KWPKEVLTGLICLGTFLIGFIFTLNSGQYWLSLLDSYAGSIPLLIIAFCEMFSVVYVYGV
+DRFNKDIEFMIGHKPNIFWQVTWRVVSPLLMLIIFLFFFVVEVSQELTYSIWDPGYEEFP
+KSQKISYPNWVYVVVVIVAGVPSLTIPGYAIYKLIR
+>Q9NP91_PF00209_5 <unknown description>
+RPLWANSLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLIVEGMPLLYLELA
+VGQRMRQGSIGAWRTISPYLSGVGVASVVVSFFLSMYYNVINAWAFWYLFHSFQDPLPWS
+VCPLNGNHTGYDEECEKASSTQYFWYRKTLNISPSLQENGGVQWEPALCLLLAWLVVYLC
+ILRGTESTGKVVYFTASLPYCVLIIYLIRGLTLHGATNGLMYMFTPKIEQLANPKAWINA
+ATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSLINSFTSIFASIVTFSIYGFKATF
+NYENCLKKVSLLLTNTFDLEDGFLTASNLEQVKGYLASAYPSKYSEMFPQIKNCSLESEL
+DTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLMLGIGSMLGNTAAILTPLTDSKII
+SSHLPKEAISGLVCLVNCAIGMVFTMEAGNYWFDIFNDYAATLSLLLIVLVETIAVCYVY
+GLRRFESDLKAMTGRAVSWYWKVMWAGVSPLLIVSLFVFYLSDYILTGTLKYQAWDASQG
+QLVTKDYPAYALAVIGLLVASSTMCIPLAALGTFV
+>Q8N1H7_PF15676_31 <unknown description>
+IQRINKCCEDIKENKVTICRIHETINATDEEIDHYCKHSEEIKDNCRNWKPTCDVFRKHE
+DYMQDQFTVYQGTVEKDKEMYHDYICQYKEVLKQYQLKYSETPFSREYYEKKREHEEIQS
+RVLACTEQLKMNETIFMKFRVPAPFPSLTKWTLNIVNLRCETQDILKHASNLTKSSSELK
+KEVDEMEIEINYLNQQISRHNETKALSETLEEKNKNTENRKELKERIFGKDEHVLTLNKT
+QSSQLFLPYESQKLVRPIKMHSSEPRVADIKEESSAKQSKLANIDFRQKENDTQIFNDSA
+VDNHSKCSHITTITSSQKFMQVRLLTPQKQSNSNQWSEKGDKDAEYGDKGTVRQVRESKC
+TSQAIYTEHFGKSVENDSDEVEERAENFPRTSEIPIFLGTPKAVKAPESLEKIKFPKTPP
+FEINRNRNAVPEVQTEKESPGLSFLMSYTSRSPGLNLFDSSVFDTEISSDQFNEHYSARN
+LNPLSSEQEIGNLLEKPEGEDGFTFSFPSDTSTHTFGAGKDDFSFPFSFGQGQNSIPSSS
+LKGFSSSSQNTTQFTFF
+>Q8TCU3_PF13520_16 <unknown description>
+WWGTSFLLINIIGAGIFVSPKGVLAYSCMNVGVSLCVWAGCAILAMTSTLCSAEISISFP
+CSGAQYYFLKRYFGSTVAFLNLWTSLFLGSGVVAGQALLLAEYSIQPFFPSCSVPKLPKK
+CLALAMLWIVGILTSRGVKEVTWLQIASSVLKVSILSFISLTGVVFLIRGKKENVERFQN
+AFDAELPDISHLIQAIFQGYFAYSGGACFTLIAGELKKPRTTIPKCIFTALPLVTVVYLL
+VNISYLTVLTPREILSSDAVAITWADRAFPSLAWIMPFAISTSLFSNLLISIFKSSRPIY
+LASQEGQLPLLFNTLNSHSSPFTAVLLLVTLGSLAIILTSLIDLINYIFFTGSLWSILLM
+IGILRRRYQEPNLSIPYKVFLSFPLATIVID
+>Q8TBB6_PF13520_53 <unknown description>
+LTTVDLISLGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRV
+PKTTGSAYTYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHTISRWMAD
+SVGTLNGLGKGEESYPDLLALLIAVIVTIIVALGVKNSIGFNNVLNVLNLAVWVFIMIAG
+LFFINGKYWAEGQFLPHGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITAS
+LVICLTAYVSVSVILTLMVPYYTIDTESPLMEMFVAHGFYAAKFVVAIGSVAGLTVSLLG
+SLFPMPRVIYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLALLVSLRDLIEMMS
+IGTLLAYTLVSVCVLLLRYQP
+>Q8TBB6_PF13906_627 <unknown description>
+YMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAVWCFVGLLIYFGYGIWNS
+>Q96CW6_PF08574_194 <unknown description>
+DDYVYDIYYLETATPGWIENILSVQPYSQEWELVNDDQEPEDIYDDEDDENSENNWRNEY
+PEEE
+>P0DJI8_PF00277_23 <unknown description>
+SFLGEAFDGARDMWRAYSDMREANYIGSDKYFHARGNYDAAKRGPGGAWAAEVITDAREN
+IQRFFGHGAEDSLADQAANEWGRSGKDPNHFRPAGLPEKY
+>P0DJI9_PF00277_23 <unknown description>
+SFLGEAFDGARDMWRAYSDMREANYIGSDKYFHARGNYDAAKRGPGGAWAAEVISNAREN
+IQRLTGRGAEDSLADQAANKWGRSGRDPNHFRPAGLPEKY
+>P35542_PF00277_23 <unknown description>
+SFFKEALQGVGDMGRAYWDIMISNHQNSNRYLYARGNYDAAQRGPGGVWAAKLISRSRVY
+LQGLIDCYLFGNSSTVLEDSKSNEKAEEWGRSGKDPDRFRPDGLPKKY
+>Q9NTJ5_PF02383_58 <unknown description>
+FGILGTIHLVAGNYLIVITKKIKVGEFFSHVVWKATDFDVLSYKKTMLHLTDIQLQDNKT
+FLAMLNHVLNVDGFYFSTTYDLTHTLQRLSNTSPEFQEMSLLERADQRFVWNGHLLRELS
+AQPEVHRFALPVLHGFITMHSCSINGKYFDWILISRRSCFRAGVRYYVRGIDSEGHAANF
+VETEQIVHYNGSKASFVQTRGSIPVFWSQRPNLKYKPLPQISKVANHMDGFQRHFDSQVI
+IYGKQVIINLINQKGSEKPLEQTFATMVSSLGSGMMRYIAFDFHKECK
+>Q9Y2H2_PF02383_50 <unknown description>
+GVIGKIQLHSDLPWWLILIRQKALVGKLPGDHEVCKVTKIAVLSLSEMEPQDLELELCKK
+HHFGINKPEKIIPSPDDSKFLLKTFTHIKSNVSAPNKKKVKESKEKEKLERRLLEELLKM
+FMDSESFYYSLTYDLTNSVQRQSTGERDGRPLWQKVDDRFFWNKYMIQDLTEIGTPDVDF
+WIIPMIQGFVQIEELVVNYTESSDDEKSSPETPPQESTCVDDIHPRFLVALISRRSRHRA
+GMRYKRRGVDKNGNVANYVETEQLIHVHNHTLSFVQTRGSVPVFWSQVGYRYNPRPRLDR
+SEKETVAYFCAHFEEQLNIYKKQVIINLVDQAGREKIIGDAYLKQVLLFNNSHLTYVSFD
+FHEHCR
+>Q9Y2H2_PF12456_592 <unknown description>
+QRSHQELISQLLQSYMKLLLPDDEKFHGGWALIDCDPSLIDATHRDVDVLLLLSNSAYYV
+AYYDDEVDKVNQYQRLSLENLEKIEIGPEPTLFGKPKFSCMRLHYR
+>Q8IXA5_PF00062_89 <unknown description>
+LYGRCELARVLHDFGLDGYRGYSLADWVCLAYFTSGFNAAALDYEADGSTNNGIFQINSR
+RWCSNLTPNVPNVCRMYCSDLLNPNLKDTVICAMKITQEPQGLGYWEAWRHHCQGKDLTE
+WVDGC
+>Q8TDM5_PF00021_23 <unknown description>
+CVFCELTDSMQCPGTYMHCGDDEDCFTGHGVAPGTGPVINKGCLRATSCGLEEPVSYRGV
+TYSLTTNCCTGRLCN
+>Q96E40_PF15120_2 <unknown description>
+NEVKESLRSIEQKYKLFQQQQLTFTAALEHCRENAHDKIRPISSIGQVQSYMEHYCNSST
+DRRVLLMFLDICSELNKLCQHFEAVHSGTPVTNNLLEKCKTLVSQSNDLSSLRAKYPHDV
+VNHLSCDEARNHYGGVVSLIPLILDLMKEWIAHSEKLPRKVLQH
+>Q8IZ16_PF15775_22 <unknown description>
+VFFWRQKIKPTISGHPDSKKHSLKKMEKTLQVVETLRLVELPKEAKPKLGESPELADPCV
+LAKTTEETEVELGQQGQSLLQLPRTAVKSVSTLMVSALQSGWQMCSWKSSVSSASVSSQV
+RTQSPLKTPEAELLWEVYLVLWAVRKHLRRLYRRQERHRRHHVRCHAAPRPNPAQSLKLD
+AQSPL
+>Q9NZJ4_PF00240_27 <unknown description>
+ASWTVRDVKERIFAETGFPVSEQRLWRGGRELSDWIKIGDL
+>Q9NZJ4_PF05168_4447 <unknown description>
+ARRWLRQARANFSAARNDLHKNANEWVCFKCYLSTKLALIAADYAVRGKSDKDVKPTALA
+QKIEEYSQQLEGLTNDVHTLEAYGVDSLKTRYPDLLPFPQIPNDRFTSEVAMRVMECTAC
+II
+>Q9UBE0_PF00899_19 <unknown description>
+YDRQIRLWGLEAQKRLRASRVLLVGLKGLGAEIAKNLILAGVKGLTMLDHEQVTPEDPGA
+QFLIRTGSVGRNRAEASLERAQNLNPMVDVKVDTEDIEKKPESFFTQFDAVCLTCCSRDV
+IVKVDQICHKNSIKFFTGDVFGYHGYTFANLGEHEFVEEKTKVAKVSQGVEDGPDTKRAK
+LDSSETTMVKKKVVFCPVKEALEVDWSSEKAKAALKRTTSDYFLLQVLLKFRTDKGRDPS
+SDTYEEDSELLLQIRNDVLDSLGISPDLLPEDFVRYCFSEMAPVCAVVGGILAQEIVKAL
+SQRDPPHNNFFFFDGMKGN
+>Q9UBT2_PF00899_10 <unknown description>
+ELAEAVAGGRVLVVGAGGIGCELLKNLVLTGFSHIDLIDLDTIDVSNLNRQFLFQKKHVG
+RSKAQVAKESVLQFYPKANIVAYHDSIMNPDYNVEFFRQFILVMNALDNRAARNHVNRMC
+LAADVPLIESGTAGYLGQVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPSEPIHCIVWA
+KYLFNQLFGEEDADQEVSPDRADPEAAWEPTEAEARARASNEDGDIKRISTKEWAKSTGY
+DPVKLFTKLFKDDIRYLLTMDKLWRKRKPPVPLDWAEVQSQGEETNASDQQNEPQLGLKD
+QQVLDVKSYARLFSKSIETLRVHLAEKGDGAELIWDKDDPSAMDFVTSAANLRMHIFSMN
+MKSRFDIKSMAGNIIPAIATTNAVIAGLIVLEGLKILSGKIDQCRTIFLNKQPNPRKKLL
+VPCALDPPNPNCY
+>Q9UBT2_PF14732_452 <unknown description>
+VRLNVHKVTVLTLQDKIVKEKFAMVAPDVQIEDGKGTILISSEEGETEANNHKKLSEFGI
+RNGSRLQADDFLQDYTLLINILHSED
+>Q9UBT2_PF16195_549 <unknown description>
+DAPEKVGPKQAEDAAKSITNGSDDGAQPSTSTAQEQDDVLIVDSDEEDSSNNADVSEEER
+SRKRKLDEKENLSAKRSRIEQKE
+>Q15424_PF02037_31 <unknown description>
+LSDLRVIDLRAELRKRNVDSSGNKSVLMERLKKAI
+>Q15424_PF00076_409 <unknown description>
+WVSGLSSTTRATDLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTAEEATKCINHLH
+KTELHGKMI
+>Q14151_PF02037_30 <unknown description>
+LSELRVIDLRAELKKRNLDTGGNKSVLMERLKKA
+>Q14151_PF00076_409 <unknown description>
+LWVSGLSSTTRATDLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTSDEATKCISHL
+HRTELHGRMI
+>Q9NXZ1_PF15300_822 <unknown description>
+KINDDIKYQLMKEVRRFGQNYERIFILLEEVQGSMKVKRQFVEFTIKEAARFKKVVLIQQ
+LEK
+>O43865_PF05221_104 <unknown description>
+NFCVKNIKQAEFGRREIEIAEQDMSALISLRKRAQGEKPLAGAKIVGCTHITAQTAVLIE
+TLCALGAQCRWSACNIYSTQNEVAAALAEAGVAVFAWKGESEDDFWWCIDRCVNMDGWQA
+NMILDDGGDLTHWVYK
+>O43865_PF00670_289 <unknown description>
+NLYCCRESILDGLKRTTDVMFGGKQVVVCGYGEVGKGCCAALKALGAIVYITEIDPICAL
+QACMDGFRVVKLNEVIRQVDVVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVTS
+LRTPELTWERVRSQVDHVIWPDGKRVVLLAEGRLLNLSCST
+>Q96HN2_PF05221_185 <unknown description>
+DFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKPLAGAKIVGCTHITAQTAVLME
+TLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKGESEDDFWWCIDRCVNVEGWQP
+NMILDDGGDLTHWIYK
+>Q96HN2_PF00670_370 <unknown description>
+NLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEVGKGCCAALKAMGSIVYVTEIDPICAL
+QACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVAS
+LRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRLLNLSCST
+>P23526_PF05221_7 <unknown description>
+YKVADIGLAAWGRKALDIAENEMPGLMRMRERYSASKPLKGARIAGCLHMTVETAVLIET
+LVTLGAEVQWSSCNIFSTQDHAAAAIAKAGIPVYAWKGETDEEYLWCIEQTLYFKDGPLN
+MILDDGGDLTNLIHT
+>P23526_PF00670_191 <unknown description>
+NLYGCRESLIDGIKRATDVMIAGKVAVVAGYGDVGKGCAQALRGFGARVIITEIDPINAL
+QAAMEGYEVTTMDEACQEGNIFVTTTGCIDIILGRHFEQMKDDAIVCNIGHFDVEIDVKW
+LNENAVEKVNIKPQVDRYRLKNGRRIILLAEGRLVNLGCAMG
+>Q9NSC2_PF00096_477 <unknown description>
+FKCNICGNRFSTKGNLKVHFQRH
+>Q9NSC2_PF00096_734 <unknown description>
+FKCKICGRAFTTKGNLKTHYSVH
+>Q9NSC2_PF12874_767 <unknown description>
+SCPICQKKFTNAVVLQQHIR
+>Q9NSC2_PF12874_1003 <unknown description>
+CDICGKTFACQSALDIHYRSH
+>Q9NSC2_PF00096_1029 <unknown description>
+FICTVCNRGFSTKGNLKQHMLTH
+>Q9NSC2_PF00096_1134 <unknown description>
+HYCNTCGKTFSSSSALQIHERTH
+>Q9NSC2_PF00096_1162 <unknown description>
+FACTICGRAFTTKGNLKVHMGTH
+>Q9BXA9_PF00096_448 <unknown description>
+FKCNICGNRFSTKGNLKVHFQRH
+>Q9BXA9_PF00096_707 <unknown description>
+FKCKICGRAFTTKGNLKTHFGVH
+>Q9BXA9_PF12874_740 <unknown description>
+SCPICQKKFTNAVVLQQHIR
+>Q9BXA9_PF12874_978 <unknown description>
+VCGVCGKPFACKSALEIHYRSH
+>Q9BXA9_PF00096_1113 <unknown description>
+HNCQSCGKTFSSASALQIHERTH
+>Q9BXA9_PF00096_1143 <unknown description>
+CTICGRAFTTKGNLKVHMGTH
+>Q9UJQ4_PF00096_382 <unknown description>
+HKCKYCSKVFGTDSSLQIHLRSH
+>Q9UJQ4_PF00096_410 <unknown description>
+FVCSVCGHRFTTKGNLKVHFHRH
+>Q9UJQ4_PF00096_594 <unknown description>
+FQCKICGRAFSTKGNLKTHLGVH
+>Q9UJQ4_PF00096_626 <unknown description>
+HSCPICQKKFTNAVMLQQHIRMH
+>Q9UJQ4_PF00096_872 <unknown description>
+CTRCGKNFSSASALQIHERTH
+>Q9UJQ4_PF00096_898 <unknown description>
+FVCNICGRAFTTKGNLKVHYMTH
+>Q9BYL1_PF07647_115 <unknown description>
+VVLWSQQDVCKWLKKHCPHNYLVYVEAFSQHAITGRALLRLNAEKLQRMGLAQEAQRQEV
+LQQVLRLQ
+>Q8N8I0_PF07647_75 <unknown description>
+ALWTQQDVCKWLKKHCPNQYQIYSESFKQHDITGRALLRLTDKKLERMGIAQENLRQHIL
+QQVLQLK
+>Q5VXD3_PF00536_30 <unknown description>
+DWAVMDVVNYFRTVGFEEQASAFQEQEIDGKSLLLMTRNDVLTGLQLKLGPALKIYE
+>Q8IZD0_PF07647_323 <unknown description>
+VHHWTSQQVGQWLQSLNLEQYAAEFAARQVDGPQLLQLDGSKLKSLGLSNSHDRALVKRK
+LKEM
+>Q9P1V8_PF07647_544 <unknown description>
+NWDPEEVAEWISQLGFPQYKECFITNFISGRKLIHVNCSNLPQMGITNFEDMKAISRHTQ
+EL
+>Q9Y512_PF01103_151 <unknown description>
+NLLGRAEKVTFQFSYGTKETSYGLSFFKPRPGNFERNFSVNLYKVTGQFPWSSLRETDRG
+MSAEYSFPIWKTSHTVKWEGVWRELGCLSRTASFAVRKESGHSLKSSLSHAMVIDSRNSS
+ILPRRGALLKVNQELAGYTGGDVSFIKEDFELQLNKQLIFDSVFSASFWGGMLVPIGDKP
+SSIADRFYLGGPTSIRGFSMHSIGPQSEGDYLGGEAYWAGGLHLYTPLPFRPGQGGFGEL
+FRTHFFLNAGNLCNLNYGEGPKAHIRKLAECIRWSYGAGIVLRLGNIARLELNYCVPMGV
+QTGDRICDGVQFGAGIRF
+>Q70HW3_PF00153_5 <unknown description>
+GFVAALVAGGVAGVSVDLILFPLDTIKTRLQSPQG
+>Q70HW3_PF00153_87 <unknown description>
+TPMKHMLAASAGEVVACLIRVPSEVVKQRAQVSASTRTFQIFSNILYEEGIQGLYRGYKS
+TVLREIPFSLVQFPLWESLKALW
+>Q70HW3_PF00153_179 <unknown description>
+SWQSAVCGAFAGGFAAAVTTPLDVAKTRITLAKAGSSTADGNVLSVLHGVWRSQGLAGLF
+AGVFPRMAAISLGGFIFLGAYDRTHSLLL
+>Q8N6K7_PF00536_3 <unknown description>
+TWSVEQVCSWLVEKNLGELVHRFQEEEVSGAALLALNDRMVQQLVKKIGHQAVLMDLIKK
+YK
+>Q5TGI4_PF00536_5 <unknown description>
+IVYEWLKALQLPQYAESFVDNGYDDLEVCKQIGDPDLDAIGVLAPAHRRRILEAVRRLR
+>Q7Z3H4_PF00536_326 <unknown description>
+KWTVDDVHSFIRSLPGCSDYAQVFKDHAIDGETLPLLTEEHLRGTMGLKLGPALKIQSQV
+S
+>Q96LT4_PF00536_11 <unknown description>
+RWTTKHVAVWLKDEGFFEYVDILCNKHRLDGITLLTLTEYDLRSPPLEIKVLGDIKRLML
+SVRKL
+>Q96LT4_PF14360_292 <unknown description>
+TCGDYMFSGHTVVLTMLNFFVTEYTPRSWNFLHTLSWVLNLFGIFFILAAHEHYSIDVFI
+AFYITTRLFLYYHT
+>Q5K651_PF07647_14 <unknown description>
+WTKEDVNQWLESHKIDQKHREILTEQDVNGAVLKWLKKEHLVDMGIT
+>Q9Y3Z3_PF07647_42 <unknown description>
+YKTWGPEQVCSFLRRGGFEEPVLLKNIRENEITGALLPCLDESRFENLGVSSLGERKKLL
+SYIQRL
+>Q9Y3Z3_PF01966_164 <unknown description>
+RFEHSLGVGYLAGCLVHALGEKQPELQISERDVLCVQIAGLCHDLGHGPFSHMFDGRFIP
+LARPEVKWTHEQGSVMMFEHLINSNGIKPVMEQYGLIPEEDICFIKEQIVGPLESPVEDS
+LWPYKGRPENKSFLYEIVSNKRNGIDVDKWDYFARD
+>Q9NSI8_PF12485_17 <unknown description>
+KPKRSSSFGNFDRFRNNSLSKPDDSTEAHEGDPTNGSGEQSKTSNNGGGLGKKMRAISWT
+MKKKVGKKYIKALSEEKDEEDGENAHPYRNSDPVIGTHTEKVSLKASDSMDSLYSGQSSS
+SGITSCSDGTSNRDSFRLDDDGPYSGPF
+>Q9NSI8_PF07653_167 <unknown description>
+RARVHTDFTPSPYDTDSLKIKKGDIIDIICKTPMGMWTGMLNNKVGNFKFIYV
+>Q9NSI8_PF07647_240 <unknown description>
+SKKSKTLQEFLERIHLQEYTSTLLLNGYETLEDLKDIKESHLIELNIENPDDRRRLLSAA
+ENF
+>P02743_PF00354_26 <unknown description>
+KVFVFPRESVTDHVNLITPLEKPLQNFTLCFRAYSDLSRAYSLFSYNTQGRDNELLVYKE
+RVGEYSLYIGRHKVTSKVIEKFPAPVHICVSWESSSGIAEFWINGTPLVKKGLRQGYFVE
+AQPKIVLGQEQDSYGGKFDRSQSFVGEIGDLYMWDSVLPPENILSAYQGTPLPANILDWQ
+ALNYEIRGYVIIKP
+>Q1RMZ1_PF11968_226 <unknown description>
+LKNPIDSLPGELFHVVVFSLLLSYFPSPYQRWICCKKAHELLVLNGLLLIITPDSSHQNR
+HAMMMKSWKIAIESLGFKRFKYSKFSHMHLMAFRK
+>Q6NSI8_PF11822_147 <unknown description>
+MVIHVCDEAKNLKEDFTCPRDLLISEMKYFAEYLSMDAQRWEEVDISVHCDVHIFNWLIK
+YIKRNTKENKDCEMPTLEPGNVISILISSEFLKMDSLVEQCI
+>O00422_PF06487_38 <unknown description>
+PIDREKTCPLLLRVFTTNNGRHHRMDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYP
+EARKKGTHFNFAIVFTDVKRPGYRVKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAI
+>O75446_PF13866_64 <unknown description>
+GQLCCLREDGERCGRAAGNASFSKRIQKSISQKKVKIELDKSARHLYICDYHKNLIQSVR
+NRRKRKGSDD
+>O75446_PF13867_153 <unknown description>
+LQVNTLRRYKRHFKLPTRPGLNKAQLVEIVGCHFRSIPVNEKDTLTYFIYSVK
+>P17900_PF02221_33 <unknown description>
+SFSWDNCDEGKDPAVIRSLTLEPDPIIVPGNVTLSVMGSTSVPLSSPLKVDLVLEKEVAG
+LWIKIPCTDYIGSCTFEHFCDVLDMLIPTGEPCPEPLRTYGLPCHCPFKEGTYSLPKSEF
+VVPDLELPSWLTTGNYRIESVLSSSGKRLGCIKIAASL
+>Q5SSQ6_PF11414_36 <unknown description>
+FLWLRRMQALEREQDALWQGLELLQHGQAWFEDHLREAQRQQLHLGALGENFLTDLHSEP
+GRPPLAQIQKVNICLQNLI
+>Q86UD0_PF11414_225 <unknown description>
+DCGLLKQMKELEQEKEVLLQGLEMMARGRDWYQQQLQRVQERQRRLGQSRASADFGAAGS
+PRPLGRLLPKVQEVARCLGELL
+>Q6NUJ1_PF02199_24 <unknown description>
+QECAKGSTVWCQDLQTAARCGAVGYCQGAVWN
+>Q6NUJ1_PF05184_293 <unknown description>
+TCEVCMNVVQKLDHWLMSNSSELMITHALERVCSVMP
+>Q6NUJ1_PF03489_334 <unknown description>
+KECIILVDTYSPSLVQLVAKITPEKVCKFIRLC
+>Q6NUJ1_PF03489_437 <unknown description>
+QCKHFVTQYEPVLIESLKDMMDPVAVCKKVGAC
+>Q6NUJ1_PF02199_479 <unknown description>
+TDQCALGPSFWCRSQEAAKLCNAVQHCQKHVWK
+>P07602_PF02199_23 <unknown description>
+KECTRGSAVWCQNVKTASDCGAVKHCLQTVWN
+>P07602_PF05184_62 <unknown description>
+PCDICKDVVTAAGDMLKDNATEEEILVYLEKTCDWLP
+>P07602_PF03489_106 <unknown description>
+CKEIVDSYLPVILDIIKGEMSRPGEVCSALNLC
+>P07602_PF05184_196 <unknown description>
+DVCQDCIQMVTDIQTAVRTNSTFVQALVEHVKEECDRLG
+>P07602_PF03489_239 <unknown description>
+DICKNYISQYSEIAIQMMMHMQPKEICALVGFC
+>P07602_PF05184_314 <unknown description>
+YCEVCEFLVKEVTKLIDNNKTEKEILDAFDKMCSKLP
+>P07602_PF03489_356 <unknown description>
+ECQEVVDTYGSSILSILLEEVSPELVCSMLHLC
+>P07602_PF05184_408 <unknown description>
+FCEVCKKLVGYLDRNLEKNSTKQEILAALEKGCSFLP
+>P07602_PF03489_449 <unknown description>
+KQCDQFVAEYEPVLIEILVEVMDPSFVCLKIGAC
+>P07602_PF02199_492 <unknown description>
+TEKCIWGPSYWCQNTETAAQCNAVEHCKRHVWN
+>Q9NR31_PF00025_12 <unknown description>
+FSSVLQFLGLYKKSGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPTSEELTIAGMTF
+TTFDLGGHEQARRVWKNYLPAINGIVFLVDCADHSRLVESKVELNALMTDETISNVPILI
+LGNKIDRTDAISEEKLREIFGLYGQTTGKGNVTLKELNARPMEVFMCSVLKRQGYGEGFR
+WLSQYI
+>Q9Y6B6_PF00025_12 <unknown description>
+FSSVLQFLGLYKKTGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPTSEELTIAGMTF
+TTFDLGGHVQARRVWKNYLPAINGIVFLVDCADHERLLESKEELDSLMTDETIANVPILI
+LGNKIDRPEAISEERLREMFGLYGQTTGKGSISLKELNARPLEVFMCSVLKRQGYGEGFR
+WMAQYI
+>Q96BY9_PF06682_19 <unknown description>
+HLFLLTAGPALGWNDPDRMLLRDVKALTLHYDRYTTSRRLDPIPQLKCVGGTAGCDSYTP
+KVIQCQNKGWDGYDVQWECKTDLDIAYKFGKTVVSCEGYESSEDQYVLRGSCGLEYNLDY
+TELGLQKLKESGKQHGFASFSDYYYKWSSADSCNMSGLITIVVLLGIAFVVYKLFLSDGQ
+YSPPPYSEYPPFSHRYQRFTNSAGPPPPGFKSEFTGPQNTGHGATSGFGSAFTGQQGYEN
+SGPGFWTGLGTGGILGYLFGSNRAATPFSDSWYYPSYPPSYPGTWNRAYSPLHGGSGSYS
+VCSNSDTKTRTASGYGGTRRR
+>O00631_PF05366_1 <unknown description>
+MGINTRELFLNFTIVLITVILMWLLVRSYQY
+>Q9UL12_PF01266_69 <unknown description>
+VVVIGGGSLGCQTLYHLAKLGMSGAVLLERERLTSGTTWHTAGLLWQLRPSDVEVELLAH
+TRRVVSRELEEETGLHTGWIQNGGLFIASNRQRLDEYKRLMSLGKAYGVESHVLSPAETK
+TLYPLMNVDDLYGTLYVPHDGTMDPAGTCTTLARAASARGAQVIENCPVTGIRVWTDDFG
+VRRVAGVETQHGSIQTPCVVNCAGVWASAVGRMAGVKVPLVAMHHAYVVTERIEGIQNMP
+NVRDHDASVYLRLQGDALSVGGYEANPIFWEEVSDKFAFGLFDLDWEVFTQHIEGAINRV
+PVLEKTGIKSTVCGPESFTPDHKPLMGEAPELRGFFLGCGFNSAGMMLGGGCGQELAHW
+>Q9UL12_PF16350_430 <unknown description>
+HGRPEKDMHGYDIRRFHHSLTDHPRWIRERSHESYAKNYSVVFPHDEPLAGRNMRR
+>Q9UL12_PF01571_488 <unknown description>
+LHEELLGQGCVFQERHGWERPGWFHPRGPAPVLEYDYYGAYGSRAHEDYAYRRLLADEYT
+FAFPPHHDTIKKECLACRGAAAVFDMSYFGKFYLVGLDARKAADWLFSADVSRPPGSTVY
+TCMLNHRGGTESDLTVSRLAPSHQASPLAPAFEGDGYYLAMGGAVAQHNWSHITTVLQDQ
+KSQCQLIDSSEDLGMISIQGPASRAILQEVLDADLSNEAFPFSTHKLLRAAGHLVRAMRL
+SFVGELGWELHIPKASCVPVYRAVMAAGAKHGLINAGYRAIDSLSIEKGYRHWHADLRPD
+DSPLEAGLAF
+>Q9UL12_PF08669_823 <unknown description>
+RRLVCFTMEDKVPMFGLEAIWRNGQVVGHVRRADFGFAIDKTIAYGYIHDPSGGPVSLDF
+VKSGDYALERMGVTYGAQAHLKSPFD
+>Q9BW04_PF15385_33 <unknown description>
+SGSSDSSYDFLSTEEKECLLFLEETIGSLDTEADSGLSTDESEPATTPRGFRALPITQPT
+PRGGPEETITQQGRTPRTVTESSSSHPPEPQGLGLRSGSYSLPRNIHIARSQNFRKSTTQ
+ASSHNPGEPGRLAPEPEKEQVSQSSQPRQAPASPQEAALDLDVVLIPPPEAFRDTQPEQC
+REASLPEGPGQQGHTPQLHTPSSSQEREQTPSEAMSQKAKETVSTRYTQPQPPPAGLPQN
+ARAEDAPLSSGEDPNSRLAPLTTPKPRKLPPNIVLKSSRSSFHSDPQHWLSRHTEAAPGD
+SGLISCSLQEQRKARKEALEKLGLPQDQDEPGLHLSKPTSSIRPKETRAQHLSPAPGLAQ
+PAAPAQASAAIPAAGKALAQAPAPAPGPAQGPLPMKSPAPGNVAASKSMPISIPKAPRAN
+SALTPPKPESGLTLQESNTPGLRQMNFKSNTLERSGVGLSSYLSTEKDASPKTSTSLGKG
+SFLDKISPSVLRNSRPRPASLGTGKDFAGIQVGKLADLEQEQSSKRLSYQGQSRDKLPRP
+PCVSVKISPKGVPNEHRREALKKLGLLKE
+>Q6SZW1_PF07647_409 <unknown description>
+VPSWKEAEVQTWLQQIGFSKYCESFREQQVDGDLLLRLTEEELQTDLGMKSGITRKRFFR
+ELTELK
+>Q6SZW1_PF07647_480 <unknown description>
+STCDRSNLADWLGSLDPRFRQYTYGLVSCGLDRSLLHRVSEQQLLEDCGIHLGVHRARIL
+TAAREM
+>Q6SZW1_PF13676_564 <unknown description>
+VFISYRRNSGSQLASLLKVHLQLHGFSVFIDVEKLEAGKFEDKLIQSVMGARNFVLVLSP
+GALDKCMQDHDCKDWVHKEIVTALSCGKNIVPIIDGFEWPEPQVLPEDMQAVLTFNGIKW
+SHE
+>P82979_PF02037_8 <unknown description>
+LHKLKLAELKQECLARGLETKGIKQDLIHRLQAYL
+>Q15020_PF00076_706 <unknown description>
+VFVSNLPYSMQEPDTKLRPLFEACGEVVQIRPIFSNRGDFRGYCYVEFKEEKSALQALEM
+DRKSVEGRP
+>Q15020_PF00076_803 <unknown description>
+LFISGLPFSCTKEELEEICKAHGTVKDLRLVTNRAGKPKGLAYVEYENESQASQAVMKMD
+GMTIKENII
+>Q15020_PF16605_877 <unknown description>
+NPPQRKVPEKPETRKAPGGPMLLPQTYGARGKGRTQLSLLPRALQRPSAAAPQAENGPAA
+A
+>Q15020_PF05391_944 <unknown description>
+AATEAPKMSNADFAKLFLR
+>Q9NQZ2_PF04000_231 <unknown description>
+EDLKVKLTEVKDELEPLLELVEQGIIPPGKGSQYLRTKYNLYLNYCSNISFYLILKARRV
+PAHGHPVIERLVTYRNLINK
+>Q9NQZ2_PF09368_406 <unknown description>
+NAKRAITYQIAKNRGLTPRRKKIDRNPRVKHREKFRRAKIRRRGQVREVRKEEQRYSGEL
+SGIRAGVKKSIKL
+>Q6UVJ0_PF16531_45 <unknown description>
+VIRLTDDTDPFFLYNLVISEEDFQSLKFQQGLLVDFLAFPQKFIDLLQQCTQEHAKEIPR
+FLLQLVSPAAILDNSPAFLNVVETNPFKHLTHLSLKL
+>Q6UVJ0_PF18594_146 <unknown description>
+DVEIKKFLAGCLKCSKEEKLSLMQSL
+>O94885_PF12485_401 <unknown description>
+SHGRTCSFGGFDLTNRSLHVGSNNSDPMGKEGDFVYKEVIKSPTASRISLGKKVKSVKET
+MRKRMSKKYSSSVSEQDSGLDGMPGSPPPSQPDPEHLDKPKLKAGGSVESLRSSLSGQSS
+MSGQTVSTTDSSTSNRESVKSEDGDDEEPPYRGPF
+>O94885_PF07653_558 <unknown description>
+RARVHTDFTPSPYDTDSLKLKKGDIIDIISKPPMGTWMGLLNNKVGTFKFIYV
+>O94885_PF00536_635 <unknown description>
+PKSVEDLLDRINLKEHMPTFLFNGYEDLDTFKLLEEEDLDELNIRDPEHRAVLLTAVEL
+>O94885_PF07647_1179 <unknown description>
+ISSVSDWLISIGLPMYAGTLSTAGFSTLSQVPSLSHTCLQEAGITEERHIRKLLSAARL
+>O75995_PF12485_21 <unknown description>
+SLQRSSSFKDFAKSKPSSPVVSEKEFNLDDNIPEDDSGVPTPEDAGKSGKKLGKKWRAVI
+SRTMNRKMGKMMVKALSEEMADTLEEGSASPTSPDYSLDSPGPEKMALAFSEQEEHELPV
+LSRQASTGSELCSPSPGSGSFGEEPPAPQYTGPF
+>O75995_PF07653_177 <unknown description>
+RARVHTDFTPSPYDHDSLKLQKGDVIQIIEKPPVGTWLGLLNGKVGSFKFIYV
+>O75995_PF00536_254 <unknown description>
+PKTLHELLERIGLEEHTSTLLLNGYQTLEDFKELRETHLNELNIMDPQHRAKLLTAAE
+>Q9NV23_PF00975_28 <unknown description>
+KLICFPWMGGGSTHFAKWGQDTHDLLEVHSLRLPGRESRVEEPLENDISQLVDEVVCALQ
+PVIQDKPFAFFGHSMGSYIAFRTALGLKENNQPEPLHLFLSSATPVHSKAWHRIPKDDEL
+SEEQISHYLMEFGGTPKHFAEAKEFVKQCSPIIRADLNIVRSCTSNVPSKAVLSCDLTCF
+VGSEDIAKDMEAWKDVTSGNAKIYQLPGGHFYLLDPANEKLIK
+>P21673_PF00583_42 <unknown description>
+LEDGFGEHPFYHCLVAEVPKEHWTPEGHSIVGFAMYYFTYDPWIGKLLYLEDFFVMSDYR
+GFGIGSEILKNLSQVAMRCRCSSMHFLVAEWNEPSINFYKRRG
+>Q96F10_PF00583_35 <unknown description>
+KISEEALRADGFGDNPFYHCLVAEILPAPGKLLGPCVVGYGIYYFIYSTWKGRTIYLEDI
+YVMPEYRGQGIGSKIIKKVAEVALDKGCSQFRLAVLDWNQRAMDLYKALG
+>Q01826_PF16534_73 <unknown description>
+MLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGYSHSSAAQAKGLI
+QVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQL
+>Q01826_PF16557_177 <unknown description>
+EDLPPEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEF
+GRWYKHFKKTK
+>Q01826_PF02376_370 <unknown description>
+EVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQSLLVNLRAM
+QNFLQLPEAERDRIYQ
+>Q01826_PF02376_493 <unknown description>
+NINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSPENRTLWENLSMI
+RRFLSLPQPERDAIY
+>Q01826_PF00046_646 <unknown description>
+RPRTKISVEALGILQSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRY
+>Q9UPW6_PF16534_59 <unknown description>
+MIPVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLVETALLALGYSHSSAAQAQGII
+KLGRWNPLPLSYVTDAPDATVADMLQDVYHVVTLKIQL
+>Q9UPW6_PF16557_163 <unknown description>
+EDLPAEQWNHATVRNALKELLKEMNQSTLAKECPLSQSMISSIVNSTYYANVSATKCQEF
+GRWYKKYKKIK
+>Q9UPW6_PF02376_357 <unknown description>
+SVEVSPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLR
+AMQNFLNLPEVERDRIYQ
+>Q9UPW6_PF02376_481 <unknown description>
+INITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENLCT
+IRRFLNLPQHERDVIY
+>Q9UPW6_PF00046_616 <unknown description>
+RSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHTIIKFFQNQRYHVK
+>Q86VE3_PF00583_567 <unknown description>
+LRDGFGDNPLFYCLIAEVNDQQKPSGKLTVGFAMYYFTYDSWTGKVLYLEDFYVTQAYQG
+LGIGAEMLKRLSQIAITTQCNCMHFLVVIWNQASINYYTSRG
+>P43007_PF00375_44 <unknown description>
+LVLLTVSGVLAGAGLGAALRGLSLSRTQVTYLAFPGEMLLRMLRMIILPLVVCSLVSGAA
+SLDASCLGRLGGIAVAYFGLTTLSASALAVALAFIIKPGSGAQTLQSSDLGLEDSGPPPV
+PKETVDSFLDLARNLFPSNLVVAAFRTYATDYKVVTQNSSSGNVTHEKIPIGTEIEGMNI
+LGLVLFALVLGVALKKLGSEGEDLIRFFNSLNEATMVLVSWIMWYVPVGIMFLVGSKIVE
+MKDIIVLVTSLGKYIFASILGHVIHGGIVLPLIYFVFTRKNPFRFLLGLLAPFATAFATC
+SSSATLPSMMKCIEENNGVDKRISRFILPIGATVNMDGAAIFQCVAAVFIAQLNNVELNA
+GQIFTILVTATASSVGAAGVPAGGVLTIAIILEAIGLPTHDLPLILAVDWIVDRTTTVVN
+VEGDALGAGILHH
+>Q9H4B6_PF00397_201 <unknown description>
+LPPGWSVDWTMRGRKYYIDHNTNTTHWSHP
+>Q9Y3A5_PF01172_14 <unknown description>
+NVAVVRMKRAGKRFEIACYKNKVVGWRSGVEKDLDEVLQTHSVFVNVSKGQVAKKEDLIS
+AFGTDDQTEICKQILTKGEVQVSDKERH
+>Q9Y3A5_PF09377_107 <unknown description>
+MFRDIATIVADKCVNPETKRPYTVILIERAMKDIHYSVKTNKSTKQQALEVIKQLKEKMK
+IERAHMRLRFILPVNEGKKLKEKLKPLIKVIESEDYGQQLEIVCLIDPGCFREIDELI
+>Q52WX2_PF00069_53 <unknown description>
+YELVRELGKGTYGKVDLVVYKGTGTKMALKFVNKSKTKLKNFLREVSITNSLSSSPFIIK
+VFDVVFETEDCYVFAQEYAPAGDLFDIIPPQVGLPEDTVKRCVQQLGLALDFMHGRQLVH
+RDIKPENVLLFDRECRRVKLADFGMTRRVGCRVKRVSGTIPYTAPEVCQAGRADGLAVDT
+GVDVWAFGVLIFCVLTGNFPWEAASGADAFFEEFVRWQRGRLPGLPSQWRRFTEPALRMF
+QRLLALEPERRGPAKEVF
+>P0C263_PF00069_62 <unknown description>
+YEEVRPLGQGCYGRVLLVTHRQKGTPLALKQLPKPRTSLRGFLYEFCVGLSLGAHSAIVT
+AYGIGIESAHSYSFLTEPVLHGDLMAFIQPKVGLPQPAVHRCAAQLASALEYIHARGLVY
+RDLKPENVLVCDPACRRFKLTDFGHTRPRGTLLRLAGPPIPYTAPELCAPPPLPEGLPIQ
+PALDAWALGVLLFCLLTGYFPWDRPLAEADPFYEDFLIWQASGQPRDRPQPWFGLAAAAD
+ALLRGLLDPHPRRRSAVIAIREH
+>P0C264_PF00069_43 <unknown description>
+YHLIRKLGSGSYGRVLLAQPHQGGPAVALKLLRRDLVLRSTFLREFCVGRCVSAHPGLLQ
+TLAGPLQTPRYFAFAQEYAPCGDLSGMLQERGLPELLVKRVVAQLAGALDFLHSRGLVHA
+DVKPDNVLVFDPVCSRVALGDLGLTRPEGSPTPAPPVPLPTAPPELCLLLPPDTLPLRPA
+VDSWGLGVLLFCAATACFPWDVALAPNPEFEAFAGWVTTKPQPPQPPPPWDQFAPPALAL
+LQGLLDLDPETRSP
+>A3KN83_PF13872_255 <unknown description>
+RHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAITYAAQQHETFLPNGDR
+AGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAERDLRDIGAKNILVH
+SLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQLLHWCGDDFDGVIV
+FDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASEPRNMAYMNRLGIW
+GEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGVTFKIEEVLLSQSY
+VKMYNK
+>A3KN83_PF13871_872 <unknown description>
+NTLDELIDELGGPENVAEMTGRKGRVVSNDDGSISYESRSELDVPVEILNITEKQRFMDG
+DKNIAIISEAASSGISLQADRRAKNQRRRVHMTLELPWSADRAIQQFGRTHRSNQVTAPE
+YVFLISELAGEQRFASIVAKRLESLGALTHGDRRATESRDLSRFNFDNKYGRNALEIVMK
+SIVNLDSPMVSPPPDYPGEFFKDVRQGLIGVGLINVEDRSGILTLDKDYNNIGKFLNRIL
+GMEVHQQNALFQYFADTLTAVVQNAKKNGRYDMGILD
+>Q9Y2G9_PF13872_215 <unknown description>
+QHPDRVVETSTLSSVPPPDITYTLALPSDSGALSALQLEAITYACQQHEVLLPSGQRAGF
+LIGDGAGVGKGRTVAGVILENHLRGRKKALWFSVSNDLKYDAERDLRDIEATGIAVHALS
+KIKYGDTTTSEGVLFATYSALIGESQAGGQHRTRLRQILDWCGEAFEGVIVFDECHKAKN
+AGSTKMGKAVLDLQNKLPLARVVYASATGASEPRNMIYMSRLGIWGEGTPFRNFEEFLHA
+IEKRGVGAMEIVAMDMKVSGMYIARQLSFSGVTFRIEEIPLAPAFECVYNR
+>Q9Y2G9_PF13871_732 <unknown description>
+NTLDELIDQLGGPQRVAEMTGRKGRVVSRPDGTVAFESRAEQGLSIDHVNLREKQRFMSG
+EKLVAIISEASSSGVSLQADRRVQNQRRRVHMTLELPWSADRAIQQFGRTHRSNQVSAPE
+YVFLISELAGERRFASIVAKRLESLGALTHGDRRATESRDLSKYNFENKYGTRALHCVLT
+TILSQTENKVPVPQGYPGGVPTFFRDMKQGLLSVGIGGRESRNGCLDVEKDCSITKFLNR
+ILGLEVHKQNALFQYFSDTFDHLIEMDKREGKYDMGILD
+>Q13228_PF05694_8 <unknown description>
+CGPGYSTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYCQVIHRLPMPN
+LKDELHHSGWNTCSSCFGDSTKSRTKLVLPSLISSRIYVVDVGSEPRAPKLHKVIEPKDI
+HAKCELAFLHTSHCLASGEVMISSLGDVKGNGKGGFVLLDGETFEVKGTWERPGGAAPLG
+YDFWYQPRHNVMISTEWAAPNVLRDGFNPADVEAGLYGSHLYVWDWQRHEIVQTLSLKDG
+LIPLEIRFLHNPDAAQGFVGCALSSTIQRFYKNEGGTWSVEKVIQVPPKKVKGWLLPEMP
+GLITDILLSLDDRFLYFSNWLHGDLRQYDISDPQRPRLTGQLFLGGSIVKGGPVQVLEDE
+ELKSQPEPLVVKGKRVAGGPQMIQLSLDGKRLYITTSLYSAWDKQFYPDLIREGSVMLQV
+DVDTVKGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWI
+>Q93073_PF01248_703 <unknown description>
+RIYQKDPVRAKARRRLVMGLREVTKHMKLNKIKCVIISPNCEKIQSKGGLDEALYNVIAM
+AREQEIPFVFALGRKALGRCVNKLVPVSVVGIFNYFGAES
+>Q8IVN8_PF19028_75 <unknown description>
+CFVGEWSPWSGCADQCKPTTRVRRRSVQQEPQNGGAPCPPLEERAGCL
+>P67812_PF00717_35 <unknown description>
+IWKGLMVITGSESPIVVVLSGSMEPAFHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPI
+VHRVLKIHEKQNGHIKFLTKGDNNAVDDRGLYK
+>Q9BY50_PF00717_50 <unknown description>
+GLIVLTGSESPIVVVLSGSMEPAFHRGDLLFLTNFREDPIRAGEIVVFKVEGRDIPIVHR
+VIKVHEKDNGDIKFLTKGDNNEVDDRGLYK
+>O15027_PF12932_1449 <unknown description>
+HVCARFGPGGQLIKVIPNLPSEGQPALVEVHSMEALLQHTSEQEEMRAFPGPLAKDDTHK
+VDVINFAQNKAMKCLQNENLIDKESASLLWNFIVLLCRQNG
+>O15027_PF12931_1622 <unknown description>
+RELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRFANSLPINDPLQTVYQL
+MSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMATMGDTLASRGLLDAAHF
+CYLMAQAGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEAYEYAQSLGAETCPLPS
+FQVFKFIYSCRLAEMGLATQAFHYCEAIAKSILTQPHLYSPVLISQLVQMASQLR
+>Q96JE7_PF12932_282 <unknown description>
+VSFGPGGQLVHVGPSSPTDGQAALVELHSMEVILNDSEEQEEMRSFSGPLIREDVHKVDI
+MTFCQQKAAQSCKSETLGSRDSALLWQLLVLLCRQNG
+>Q96JE7_PF12931_447 <unknown description>
+RLLYYGRKKEALEWAMKNHLWGHALFLSSKMDPQTYSWVMSGFTSTLALNDPLQTLFQLM
+SGRIPQAATCCGEKQWGDWRPHLAVILSNQAGDPELYQRAIVAIGDTLAGKGLVEAAHFC
+YLMAHVPFGHYTVKTDHLVLLGSSHSQEFLKFATTEAIQRTEIFEYCQMLGRPKSFIPSF
+QVYKLLYASRLADYGLVSQALHYCEAIGAAVLSQGESSHPVLLVELIKLAEKLKLS
+>Q96IW7_PF13774_38 <unknown description>
+KMLSRKLAQLPDRCTLKTGHYNINFISSLGVSYMMLCTENYPNVLAFSFLDELQKEFITT
+YNMMKTNTAVRPYCFIEFDN
+>O75396_PF13774_37 <unknown description>
+AKQLFRKLNEQSPTRCTLEAGAMTFHYIIEQGVCYLVLCEAAFPKKLAFAYLEDLHSEFD
+EQHGKKVPTVSRPYSFIEFDT
+>O75396_PF00957_133 <unknown description>
+RNLGSINTELQDVQRIMVANIEEVLQRGEALSALDSKANNLSSLSKKYRQDAKYLNMRST
+YAKLAAVAVFFIMLIVYV
+>Q9BRL7_PF13774_48 <unknown description>
+PGRGSAEGCDFSIHFSSFGDVACMAICSCQCPAAMAFCFLETLWWEFTASYDTTCIGLAS
+RPYAFLEFDS
+>Q15436_PF04810_58 <unknown description>
+PVLCSRTTCRAVLNPLCQVDYRAKLWACNFCYQRNQFPPSY
+>Q15436_PF04811_126 <unknown description>
+QMPLIFLYVVDTCMEDEDLQALKESMQMSLSLLPPTALVGLITFGRMVQVHELGCEGISK
+SYVFRGTKDLSAKQLQEMLGLSKVPLTQATRGPQVQQPPPSNRFLQPVQKIDMNLTDLLG
+ELQRDPWPVPQGKRPLRSSGVALSIAVGLLECTFPNTGARIMMFIGGPATQGPGMVVGDE
+LKTPIRSWHDIDKDNAKYVKKGTKHFEALANRAATTGHVIDIYACALDQTGLLEMKCCPN
+LTGGYMVMGDSFNTSLFKQTFQRVF
+>Q15436_PF08033_401 <unknown description>
+GFGGTLEIKTSREIKISGAIGPCVSLNSKGPCVSENEIGTGGTCQWKICGLSPTTTLAIY
+FEVVNQHNAPIPQGGRGAIQFVTQYQHSSGQRRIRVTTIARNWA
+>Q15436_PF04815_518 <unknown description>
+DQEAAAILMARLAIYRAETEEGPDVLRWLDRQLIRLCQKFGEYHKDDPSSFRFSETFSLY
+PQFMFHLRRSSFLQVFNNSPDESSYYRHHFMRQDLTQSL
+>Q15436_PF00626_632 <unknown description>
+PEPVLLDSSSILADRILLMDTFFQILIYHGETIAQWRKSGYQDMPEYENFRHLLQAPVDD
+AQEILHSRFPMPRYIDTEHGGSQARFL
+>Q15437_PF04810_58 <unknown description>
+PVLCSRPTCKAVLNPLCQVDYRAKLWACNFCFQRNQFPPAY
+>Q15437_PF04811_127 <unknown description>
+SPLIFLYVVDTCLEEDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSCEGISKS
+YVFRGTKDLTAKQIQDMLGLTKPAMPMQQARPAQPQEHPFASSRFLQPVHKIDMNLTDLL
+GELQRDPWPVTQGKRPLRSTGVALSIAVGLLEGTFPNTGARIMLFTGGPPTQGPGMVVGD
+ELKIPIRSWHDIEKDNARFMKKATKHYEMLANRTAANGHCIDIYACALDQTGLLEMKCCA
+NLTGGYMVMGDSFNTSLFKQTFQRIF
+>Q15437_PF08033_403 <unknown description>
+AFGATLDVKTSRELKIAGAIGPCVSLNVKGPCVSENELGVGGTSQWKICGLDPTSTLGIY
+FEVVNQHNTPIPQGGRGAIQFVTHYQHSSTQRRIRVTTIARNWA
+>Q15437_PF04815_520 <unknown description>
+DQEAAAVLMARLGVFRAESEEGPDVLRWLDRQLIRLCQKFGQYNKEDPTSFRLSDSFSLY
+PQFMFHLRRSPFLQVFNNSPDESSYYRHHFARQDLTQSL
+>Q15437_PF00626_634 <unknown description>
+PEPVLLDSSSILADRILLMDTFFQIVIYLGETIAQWRKAGYQDMPEYENFKHLLQAPLDD
+AQEILQARFPMPRYINTEHGGSQARFL
+>O95486_PF04810_428 <unknown description>
+IVRCRSCRTYINPFVSFLDQRRWKCNLCYRVNDVPEE
+>O95486_PF04811_501 <unknown description>
+PQPPVYLFVFDVSHNAVETGYLNSVCQSLLDNLDLLPGNTRTKIGFITFDSTIHFYGLQE
+SLSQPQMLIVSDIEDVFIPMPENLLVNLNESKELVQDLLKTLPQMFTKTLETQSALGPAL
+QAAFKLMSPTGGRMSVFQTQLPTLGVGALKPREEPNHRSSAKDIHMTPSTDFYKKLALDC
+SGQQVAVDLFLLSGQYSDLASLGCISRYSAGSVYYYPSYHHQHNPVQVQKLQKELQRYL
+>O95486_PF08033_744 <unknown description>
+GFEAVMRIRCTKGLSIHTFHGNFFVRSTDLLSLPNVNPDAGYAVQMSVEESLTDTQLVSF
+QSALLYTSSKGERRIRVHTLCLPVV
+>O95486_PF04815_839 <unknown description>
+DVQAISGLLANMAVDRSMTASLSDARDALVNAVIDSLSAYRSSVLSNQQPGLMVPFSLRL
+FPLFVLALLKQKSFQTGTNARLDERIFAMCQVKNQPLVYLM
+>O95486_PF00626_966 <unknown description>
+PQPPILQLSVEKLSRDGAFLMDAGSVLMLWVGKNCTQNFLSQVLGVQNYASIPQPMTDLP
+ELDTPESARIIAF
+>O95487_PF04810_602 <unknown description>
+IVRCRSCRTYINPFVSFIDQRRWKCNLCYRVNDVPEE
+>O95487_PF04811_675 <unknown description>
+PQPAVYLFVLDVSHNAVEAGYLTILCQSLLENLDKLPGDSRTRIGFMTFDSTIHFYNLQE
+GLSQPQMLIVSDIDDVFLPTPDSLLVNLYESKELIKDLLNALPNMFTNTRETHSALGPAL
+QAAFKLMSPTGGRVSVFQTQLPSLGAGLLQSREDPNQRSSTKVVQHLGPATDFYKKLALD
+CSGQQTAVDLFLLSSQYSDLASLACMSKYSAGCIYYYPSFHYTHNPSQAEKLQKDLKRYL
+>O95487_PF08033_919 <unknown description>
+GFEAVMRIRCTKGLSMHTFHGNFFVRSTDLLSLANINPDAGFAVQLSIEESLTDTSLVCF
+QTALLYTSSKGERRIRVHTLCLPVV
+>O95487_PF04815_1014 <unknown description>
+DVQAAICLLANMAVDRSVSSSLSDARDALVNAVVDSLSAYGSTVSNLQHSALMAPSSLKL
+FPLYVLALLKQKAFRTGTSTRLDDRVYAMCQIKSQPLVHLM
+>O95487_PF00626_1141 <unknown description>
+PQPPLQKLSAEKLTREGAFLMDCGSVFYIWVGKGCDNNFIEDVLGYTNFASIPQKMTHLP
+ELDTLSSERARSF
+>P53992_PF04810_422 <unknown description>
+PLRCNRCKAYMCPFMQFIEGGRRFQCCFCSCINDVPPQY
+>P53992_PF04811_499 <unknown description>
+PSPPAFIFMIDVSYNAIRTGLVRLLCEELKSLLDFLPREGGAEESAIRVGFVTYNKVLHF
+YNVKSSLAQPQMMVVSDVADMFVPLLDGFLVNVNESRAVITSLLDQIPEMFADTRETETV
+FVPVIQAGMEALKAAECAGKLFLFHTSLPIAEAPGKLKNRDDRKLINTDKEKTLFQPQTG
+AYQTLAKECVAQGCCVDLFLFPNQYVDVATLSVVPQLTGGSVYKYASFQVENDQERFLSD
+LRRDV
+>P53992_PF08033_748 <unknown description>
+GFDAVMRVRTSTGIRAVDFFGAFYMSNTTDVELAGLDGDKTVTVEFKHDDRLNEESGALL
+QCALLYTSCAGQRRLRIHNLALNC
+>P53992_PF04815_844 <unknown description>
+TDTLINYMAKFAYRGVLNSPVKAVRDTLITQCAQILACYRKNCASPSSAGQLILPECMKL
+LPVYLNCVLKSDVLQPGAEVTTDDRAYVRQLVTSMDVTET
+>P53992_PF00626_962 <unknown description>
+TTEPPAVRASEERLSNGDIYLLENGLNLFLWVGASVQQGVVQSLFSVSSFSQITSGLSVL
+PVLDNPLSKKVRG
+>O94855_PF04810_360 <unknown description>
+PVRCNRCKAYMCPFMQFIEGGRRYQCGFCNCVNDVPPFY
+>O94855_PF04811_437 <unknown description>
+PNPPAFIFMIDVSYSNIKNGLVKLICEELKTMLEKIPKEEQEETSAIRVGFITYNKVLHF
+FNVKSNLAQPQMMVVTDVGEVFVPLLDGFLVNYQESQSVIHNLLDQIPDMFADSNENETV
+FAPVIQAGMEALKAADCPGKLFIFHSSLPTAEAPGKLKNRDDKKLVNTDKEKILFQPQTN
+VYDSLAKDCVAHGCSVTLFLFPSQYVDVASLGLVPQLTGGTLYKYNNFQMHLDRQQFLND
+LRND
+>O94855_PF08033_686 <unknown description>
+GFDAIMRVRTSTGFRATDFFGGILMNNTTDVEMAAIDCDKAVTVEFKHDDKLSEDSGALI
+QCAVLYTTISGQRRLRIHNLGLNC
+>O94855_PF04815_782 <unknown description>
+TDALINFFAKSAFKAVLHQPLKVIREILVNQTAHMLACYRKNCASPSAASQLILPDSMKV
+LPVYMNCLLKNCVLLSRPEISTDERAYQRQLVMTMGVADS
+>O94855_PF00626_901 <unknown description>
+MLPAAVRCSESRLSEEGIFLLANGLHMFLWLGVSSPPELIQGIFNVPSFAHINTDMTLLP
+EVGNPYSQQLRMIM
+>Q4G0G5_PF01099_1 <unknown description>
+MRVTSATCALLLALICSVQLGDACLDIDKLLANVVFDVSQDLLKEELARYNPSPLTEESF
+LNVQQCFANVSVTERFAHSVVIKKILQSNDC
+>O94979_PF12931_573 <unknown description>
+ITQALLTGNFESAVDLCLHDNRMADAIILAIAGGQELLARTQKKYFAKSQSKITRLITAV
+VMKNWKEIVESCDLKNWREALAAVLTYAKPDEFSALCDLLGTRLENEGDSLLQTQACLCY
+ICAGNVEKLVACWTKAQDGSHPLSLQDLIEKVVILRKAVQLTQAMDTSTVGVLLAAKMSQ
+YANLLAAQGSIAAA
+>P13866_PF00474_58 <unknown description>
+FFLAGRSMVWWPIGASLFASNIGSGHFVGLAGTGAASGIAIGGFEWNALVLVVVLGWLFV
+PIYIKAGVVTMPEYLRKRFGGQRIQVYLSLLSLLLYIFTKISADIFSGAIFINLALGLNL
+YLAIFLLLAITALYTITGGLAAVIYTDTLQTVIMLVGSLILTGFAFHEVGGYDAFMEKYM
+KAIPTIVSDGNTTFQEKCYTPRADSFHIFRDPLTGDLPWPGFIFGMSILTLWYWCTDQVI
+VQRCLSAKNMSHVKGGCILCGYLKLMPMFIMVMPGMISRILYTEKIACVVPSECEKYCGT
+KVGCTNIAYPTLVVELMPNGLRGLMLSVMLASLMSSLTSIFNSASTLFTMDIYAKVRKRA
+SEKELMIAGRLFILVLIGISIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFW
+KRVNEPGAFWGLILG
+>P31639_PF00474_55 <unknown description>
+YFLAGRSMVWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFA
+PVYLTAGVITMPQYLRKRFGGRRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNI
+YASVIALLGITMIYTVTGGLAALMYTDTVQTFVILGGACILMGYAFHEVGGYSGLFDKYL
+GAATSLTVSEDPAVGNISSFCYRPRPDSYHLLRHPVTGDLPWPALLLGLTIVSGWYWCSD
+QVIVQRCLAGKSLTHIKAGCILCGYLKLTPMFLMVMPGMISRILYPDEVACVVPEVCRRV
+CGTEVGCSNIAYPRLVVKLMPNGLRGLMLAVMLAALMSSLASIFNSSSTLFTMDIYTRLR
+PRAGDRELLLVGRLWVVFIVVVSVAWLPVVQAAQGGQLFDYIQAVSSYLAPPVSAVFVLA
+LFVPRVNEQGAFWGLIGG
+>P53794_PF00474_39 <unknown description>
+YFLAGRSMTWVAIGASLFVSNIGSEHFIGLAGSGAASGFAVGAWEFNALLLLQLLGWVFI
+PIYIRSGVYTMPEYLSKRFGGHRIQVYFAALSLILYIFTKLSVDLYSGALFIQESLGWNL
+YVSVILLIGMTALLTVTGGLVAVIYTDTLQALLMIIGALTLMIISIMEIGGFEEVKRRYM
+LASPDVTSILLTYNLSNTNSCNVSPKKEALKMLRNPTDEDVPWPGFILGQTPASVWYWCA
+DQVIVQRVLAAKNIAHAKGSTLMAGFLKLLPMFIIVVPGMISRILFTDDIACINPEHCML
+VCGSRAGCSNIAYPRLVMKLVPVGLRGLMMAVMIAALMSDLDSIFNSASTIFTLDVYKLI
+RKSASSRELMIVGRIFVAFMVVISIAWVPIIVEMQGGQMYLYIQEVADYLTPPVAALFLL
+AIFWKRCNEQGAFYGGMAG
+>Q9NY91_PF00474_58 <unknown description>
+FFLAGRDMAWWPMGASLFASNIGSNHYVGLAGTGAASGVATVTFEWTSSVMLLILGWIFV
+PIYIKSGVMTMPEYLKKRFGGERLQVYLSILSLFICVVLLISADIFAGAIFIKLALGLDL
+YLAIFILLAMTAVYTTTGGLASVIYTDTLQTIIMLIGSFILMGFAFNEVGGYESFTEKYV
+NATPSVVEGDNLTISASCYTPRADSFHIFRDAVTGDIPWPGIIFGMPITALWYWCTNQVI
+VQRCLCGKDMSHVKAACIMCAYLKLLPMFLMVMPGMISRILYTDMVACVVPSECVKHCGV
+DVGCTNYAYPTMVLELMPQGLRGLMLSVMLASLMSSLTSIFNSASTLFTIDLYTKMRKQA
+SEKELLIAGRIFVLLLTVVSIVWVPLVQVSQNGQLIHYTESISSYLGPPIAAVFVLAIFC
+KRVNEQGAFWGLMVG
+>Q92911_PF00474_47 <unknown description>
+FFTGGRRLAALPVGLSLSASFMSAVQVLGVPSEAYRYGLKFLWMCLGQLLNSVLTALLFM
+PVFYRLGLTSTYEYLEMRFSRAVRLCGTLQYIVATMLYTGIVIYAPALILNQVTGLDIWA
+SLLSTGIICTFYTAVGGMKAVVWTDVFQVVVMLSGFWVVLARGVMLVGGPRQVLTLAQNH
+SRINLMDFNPDPRSRYTFWTFVVGGTLVWLSMYGVNQAQVQRYVACRTEKQAKLALLINQ
+VGLFLIVSSAACCGIVMFVFYTDCDPLLLGRISAPDQYMPLLVLDIFEDLPGVPGLFLAC
+AYSGTLSTASTSINAMAAVTVEDLIKPRLRSLAPRKLVIISKGLSLIYGSACLTVAALSS
+LLGGGVLQGSFTVMGVISGPLLGAFILGMFLPACNTPGVLAGLGAG
+>Q9Y289_PF00474_61 <unknown description>
+MADRKMGCLPVALSLLATFQSAVAILGVPSEIYRFGTQYWFLGCCYFLGLLIPAHIFIPV
+FYRLHLTSAYEYLELRFNKTVRVCGTVTFIFQMVIYMGVVLYAPSLALNAVTGFDLWLSV
+LALGIVCTVYTALGGLKAVIWTDVFQTLVMFLGQLAVIIVGSAKVGGLGRVWAVASQHGR
+ISGFELDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSRTEKAAVLSCYAVFPF
+QQVSLCVGCLIGLVMFAYYQEYPMSIQQAQAAPDQFVLYFVMDLLKGLPGLPGLFIACLF
+SGSLSTISSAFNSLATVTMEDLIRPWFPEFSEARAIMLSRGLAFGYGLLCLGMAYISSQM
+GPVLQAAISIFGMVGGPLLGLFCLGMFFPCANPPGAVVGLLAG
+>Q9GZV3_PF00474_43 <unknown description>
+IVGGRDIGLLVGGFTMTATWVGGGYINGTAEAVYVPGYGLAWAQAPIGYSLSLILGGLFF
+AKPMRSKGYVTMLDPFQQIYGKRMGGLLFIPALMGEMFWAAAIFSALGATISVIIDVDMH
+ISVIISALIATLYTLVGGLYSVAYTDVVQLFCIFVGLWISVPFALSHPAVADIGFTAVHA
+KYQKPWLGTVDSSEVYSWLDSFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCL
+VMAIPAILIGAIGASTDWNQTAYGLPDPKTTEEADMILPIVLQYLCPVYISFFGLGAVSA
+AVMSSADSSILSASSMFARNIYQLSFRQNASDKEIVWVMRITVFVFGASATAMALLTKTV
+YGLWYLSSDLVYIVIFPQLLCVLFVKGTNTYGAVAGYVSG
+>Q8N695_PF00474_45 <unknown description>
+FLMGGRRMTAVPVALSLTASFMSAVTVLGTPSEVYRFGAIFSIFAFTYFFVVVISAEVFL
+PVFYKLGITSTYEYLELRFNKCVRLCGTVLFIVQTILYTGIVIYAPALALNQVTGFDLWG
+AVVATGVVCTFYCTLGGLKAVIWTDVFQVGIMVAGFASVIIQAVVMQGGISTILNDAYDG
+GRLNFWNFNPNPLQRHTFWTIIIGGTFTWTSIYGVNQSQVQRYISCKSRFQAKLSLYINL
+VGLWAILTCSVFCGLALYSRYHDCDPWTAKKVSAPDQLMPYLVLDILQDYPGLPGLFVAC
+AYSGTLSTVSSSINALAAVTVEDLIKPYFRSLSERSLSWISQGMSVVYGALCIGMAALAS
+LMGALLQAALSVFGMVGGPLMGLFALGILVPFANSIGALVGLMAG
+>Q2M3M2_PF00474_67 <unknown description>
+YFLAGRSMSWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATWLLLALGWVFV
+PVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQMALGWNL
+YLSTGILLVVTAVYTIAGGLMAVIYTDALQTVIMVGGALVLMFLGFQDVGWYPGLEQRYR
+QAIPNVTVPNTTCHLPRPDAFHILRDPVSGDIPWPGLIFGLTVLATWCWCTDQVIVQRSL
+SAKSLSHAKGGSVLGGYLKILPMFFIVMPGMISRALFPDEVGCVDPDVCQRICGARVGCS
+NIAYPKLVMALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFTIDVWQRFRRKSTEQEL
+MVVGRVFVVFLVVISILWIPIIQSSNSGQLFDYIQAVTSYLAPPITALFLLAIFCKRVTE
+PGAFWGLVFG
+>A0PJK1_PF00474_50 <unknown description>
+YFLAGRDMTWWPIGASLFASSEGSGLFIGLAGSGAAGGLAVAGFEWNATYVLLALAWVFV
+PIYISSEIVTLPEYIQKRYGGQRIRMYLSVLSLLLSVFTKISLDLYAGALFVHICLGWNF
+YLSTILTLGITALYTIAGGLAAVIYTDALQTLIMVVGAVILTIKAFDQIGGYGQLEAAYA
+QAIPSRTIANTTCHLPRTDAMHMFRDPHTGDLPWTGMTFGLTIMATWYWCTDQVIVQRSL
+SARDLNHAKAGSILASYLKMLPMGLIIMPGMISRALFPDDVGCVVPSECLRACGAEVGCS
+NIAYPKLVMELMPIGLRGLMIAVMLAALMSSLTSIFNSSSTLFTMDIWRRLRPRSGEREL
+LLVGRLVIVALIGVSVAWIPVLQDSNSGQLFIYMQSVTSSLAPPVTAVFVLGVFWRRANE
+QGAFWGLIAG
+>Q8WWX8_PF00474_58 <unknown description>
+YFLAGGDMVWWPVGASLFASNVGSGHFIGLAGSGAATGISVSAYELNGLFSVLMLAWIFL
+PIYIAGQVTTMPEYLRKRFGGIRIPIILAVLYLFIYIFTKISVDMYAGAIFIQQSLHLDL
+YLAIVGLLAITAVYTVAGGLAAVIYTDALQTLIMLIGALTLMGYSFAAVGGMEGLKEKYF
+LALASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGVLFGMSIPSLWYWCTDQVIVQRTL
+AAKNLSHAKGGALMAAYLKVLPLFIMVFPGMVSRILFPDQVACADPEICQKICSNPSGCS
+DIAYPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTMDLWNHLRPRASEKEL
+MIVGRVFVLLLVLVSILWIPVVQASQGGQLFIYIQSISSYLQPPVAVVFIMGCFWKRTNE
+KGAFWGLISG
+>Q1EHB4_PF00474_41 <unknown description>
+FLVGGRQMSFGPVGLSLTASFMSAVTVLGTPSEVYRFGASFLVFFIAYLFVILLTSELFL
+PVFYRSGITSTYEYLQLRFNKPVRYAATVIYIVQTILYTGVVVYAPALALNQVTGFDLWG
+SVFATGIVCTFYCTLGGLKAVVWTDAFQMVVMIVGFLTVLIQGSTHAGGFHNVLEQSTNG
+SRLHIFDFDVDPLRRHTFWTITVGGTFTWLGIYGVNQSTIQRCISCKTEKHAKLALYFNL
+LGLWIILVCAVFSGLIMYSHFKDCDPWTSGIISAPDQLMPYFVMEIFATMPGLPGLFVAC
+AFSGTLSTVASSINALATVTFEDFVKSCFPHLSDKLSTWISKGLCLLFGVMCTSMAVAAS
+VMGGVVQASLSIHGMCGGPMLGLFSLGIVFPFVNWKGALGGLLTG
+>O75845_PF04116_124 <unknown description>
+ISFLFFTDMFIYWIHRGLHHRLVYKRLHKPHHIWKIPTPFASHAFHPIDGFLQSLPYHIY
+PFIFPLHKVVYLSLYILVNIWTISIHDGDFRVPQILQPFINGSAHHTDHHMFFDYNYGQY
+FTLWDRIGG
+>P60468_PF03911_53 <unknown description>
+GGMWRFYTEDSPGLKVGPVPVLVMSLLFIASVFMLHIWG
+>P60059_PF00584_13 <unknown description>
+QFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIPINNII
+>P30531_PF00209_44 <unknown description>
+RDTWKGRFDFLMSCVGYAIGLGNVWRFPYLCGKNGGGAFLIPYFLTLIFAGVPLFLLECS
+LGQYTSIGGLGVWKLAPMFKGVGLAAAVLSFWLNIYYIVIISWAIYYLYNSFTTTLPWKQ
+CDNPWNTDRCFSNYSMVNTTNMTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWI
+LVYFCIWKGVGWTGKVVYFSATYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSE
+VWLDAATQIFFSYGLGLGSLIALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVG
+FMAHVTKRSIADVAASGPGLAFLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEG
+FITALVDEYPRLLRNRRELFIAAVCIISYLIGLSNITQGGIYVFKLFDYYSASGMSLLFL
+VFFECVSISWFYGVNRFYDNIQEMVGSRPCIWWKLCWSFFTPIIVAGVFIFSAVQMTPLT
+MGNYVFPKWGQGVGWLMALSSMVLIPGYMAYMFLT
+>P23975_PF00209_56 <unknown description>
+RETWGKKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELA
+LGQYNREGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTLNLPWTD
+CGHTWNSPNCTDPKLLNGSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQW
+QLLLCLMVVVIVLYFSLWKGVKTSGKVVWITATLPYFVLFVLLVHGVTLPGASNGINAYL
+HIDFYRLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSSINCITSF
+VSGFAIFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAVVFFVMLLAL
+GLDSSMGGMEAVITGLADDFQVLKRHRKLFTFGVTFSTFLLALFCITKGGIYVLTLLDTF
+AAGTSILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFRPGLYWRLCWKFVSPAFLLFVVVV
+SIINFKPLTYDDYIFPPWANWVGWGIALSSMVLVPIYVIYKFLS
+>Q01959_PF00209_60 <unknown description>
+RETWGKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELA
+LGQFNREGAAGVWKICPILKGVGFTVILISLYVGFFYNVIIAWALHYLFSSFTTELPWIH
+CNNSWNSPNCSDAHPGDSSGDSSGLNDTFGTTPAAEYFERGVLHLHQSHGIDDLGPPRWQ
+LTACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAIDGIRAYLS
+VDFYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIVTTSINSLTSFS
+SGFVVFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEAIATLPLSSAWAVVFFIMLLTLG
+IDSAMGGMESVITGLIDEFQLLHRHRELFTLFIVLATFLLSLFCVTNGGIYVFTLLDHFA
+AGTSILFGVLIEAIGVAWFYGVGQFSDDIQQMTGQRPSLYWRLCWKLVSPCFLLFVVVVS
+IVTFRPPHYGAYIFPDWANALGWVIATSSMAMVPIYAAYKFCS
+>P31645_PF03491_24 <unknown description>
+NGVLQKVVPTPGDKVESGQISNGYSAVPSPGAGDDTRHSIP
+>P31645_PF00209_79 <unknown description>
+RETWGKKVDFLLSVIGYAVDLGNVWRFPYICYQNGGGAFLLPYTIMAIFGGIPLFYMELA
+LGQYHRNGCISIWRKICPIFKGIGYAICIIAFYIASYYNTIMAWALYYLISSFTDQLPWT
+SCKNSWNTGNCTNYFSEDNITWTLHSTSPAEEFYTRHVLQIHRSKGLQDLGGISWQLALC
+IMLIFTVIYFSIWKGVKTSGKVVWVTATFPYIILSVLLVRGATLPGAWRGVLFYLKPNWQ
+KLLETGVWIDAAAQIFFSLGPGFGVLLAFASYNKFNNNCYQDALVTSVVNCMTSFVSGFV
+IFTVLGYMAEMRNEDVSEVAKDAGPSLLFITYAEAIANMPASTFFAIIFFLMLITLGLDS
+TFAGLEGVITAVLDEFPHVWAKRRERFVLAVVITCFFGSLVTLTFGGAYVVKLLEEYATG
+PAVLTVALIEAVAVSWFYGITQFCRDVKEMLGFSPGWFWRICWVAISPLFLLFIICSFLM
+SPPQLRLFQYNYPYWSIILGYCIGTSSFICIPTYIAYRLI
+>Q9Y345_PF00209_191 <unknown description>
+RGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFFLEVS
+LGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVLPWGS
+CNNPWNTPECKDKTKLLLDSCVISDHPKIQIKNSTFCMTAYPNVTMVNFTSQANKTFVSG
+SEEYFKYFVLKISAGIEYPGEIRWPLALCLFLAWVIVYASLAKGIKTSGKVVYFTATFPY
+VVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLITLSS
+YNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIAFVVY
+PEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFTLGCC
+ICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIEMMIG
+FQPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSVIWIP
+IMFVIKM
+>P31641_PF00209_41 <unknown description>
+REKWSSKIDFVLSVAGGFVGLGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEII
+IGQYTSEGGITCWEKICPLFSGIGYASVVIVSLLNVYYIVILAWATYYLFQSFQKELPWA
+HCNHSWNTPHCMEDTMRKNKSVWITISSTNFTSPVIEFWERNVLSLSPGIDHPGSLKWDL
+ALCLLLVWLVCFFCIWKGVRSTGKVVYFTATFPFAMLLVLLVRGLTLPGAGAGIKFYLYP
+DITRLEDPQVWIDAGTQIFFSYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVS
+GFAIFSILGFMAQEQGVDIADVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGL
+DSQFVEVEGQITSLVDLYPSFLRKGYRREIFIAFVCSISYLLGLTMVTEGGMYVFQLFDY
+YAASGVCLLWVAFFECFVIAWIYGGDNLYDGIEDMIGYRPGPWMKYSWAVITPVLCVGCF
+IFSLVKYVPLTYNKTYVYPNWAIGLGWSLALSSMLCVPLVIVIRLCQT
+>Q99884_PF00209_37 <unknown description>
+RGNWTGKLDFLLSCIGYCVGLGNVWRFPYRAYTNGGGAFLVPYFLMLAICGIPLFFLELS
+LGQFSSLGPLAVWKISPLFKGAGAAMLLIVGLVAIYYNMIIAYVLFYLFASLTSDLPWEH
+CGNWWNTELCLEHRVSKDGNGALPLNLTCTVSPSEEYWSRYVLHIQGSQGIGSPGEIRWN
+LCLCLLLAWVIVFLCILKGVKSSGKVVYFTATFPYLILLMLLVRGVTLPGAWKGIQFYLT
+PQFHHLLSSKVWIEAALQIFYSLGVGFGGLLTFASYNTFHQNIYRDTFIVTLGNAITSIL
+AGFAIFSVLGYMSQELGVPVDQVAKAGPGLAFVVYPQAMTMLPLSPFWSFLFFFMLLTLG
+LDSQFAFLETIVTAVTDEFPYYLRPKKAVFSGLICVAMYLMGLILTTDGGMYWLVLLDDY
+SASFGLMVVVITTCLAVTRVYGIQRFCRDIHMMLGFKPGLYFRACWLFLSPATLLALMVY
+SIVKYQPSEYGSYRFPPWAELLGILMGLLSCLMIPAGMLVAVLR
+>P48029_PF00209_52 <unknown description>
+RETWTRQMDFIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEIS
+LGQFMKAGSINVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWAT
+CGHTWNTPDCVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSGGLEVPGAL
+NWEVTLCLLACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIY
+YLKPDWSKLGSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGT
+SFFAGFVVFSILGFMAAEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLL
+LLGLDSQFVGVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMVTDGGMYVFQ
+LFDYYSASGTTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVC
+MGIFIFNVVYYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLL
+>P48067_PF00209_27 <unknown description>
+RGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRNGGGAFMFPYFIMLIFCGIPLFFMELS
+FGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIGIYYNVVICIAFYYFFSSMTHVLPWAY
+CNNPWNTHDCAGVLDASNLTNGSRPAALPSNLSHLLNHSLQRTSPSEEYWRLYVLKLSDD
+IGNFGEVRLPLLGCLGVSWLVVFLCLIRGVKSSGKVVYFTATFPYVVLTILFVRGVTLEG
+AFDGIMYYLTPQWDKILEAKVWGDAASQIFYSLGCAWGGLITMASYNKFHNNCYRDSVII
+SITNCATSVYAGFVIFSILGFMANHLGVDVSRVADHGPGLAFVAYPEALTLLPISPLWSL
+LFFFMLILLGLGTQFCLLETLVTAIVDEVGNEWILQKKTYVTLGVAVAGFLLGIPLTSQA
+GIYWLLLMDNYAASFSLVVISCIMCVAIMYIYGHRNYFQDIQMMLGFPPPLFFQICWRFV
+SPAIIFFILVFTVIQYQPITYNHYQYPGWAVAIGFLMALSSVLCIPLYAMFRLCR
+>O95104_PF04818_7 <unknown description>
+FNQELFSLMDMKPPISRAKMILITKAAIKAIKLYKHVVQIVEKFIKKCKPEYKVPGLYVI
+DSIVRQSRHQFGTDKDVFGPRFSKNITATFQYLYLCPSEDKSKIVRVLNLWQKNGVFKIE
+IIQPL
+>O95104_PF00076_510 <unknown description>
+LWVGQLDKRTTQQDVASLLEEFGPIESINMIPPRGCAYIVMVHRQDAYRALQKLSRGNYK
+VNQK
+>Q9UPN6_PF04818_6 <unknown description>
+TFNSELYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKVPGLYV
+IDSIVRQSRHQFGQEKDVFAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKNNVFKS
+EIIQPL
+>Q9UPN6_PF00076_479 <unknown description>
+LWVGQVDKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDAFRALQKLSSGSYK
+IGSKVI
+>Q8N9R8_PF12070_65 <unknown description>
+LLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKLWKFQQQHRQVLDNRYGLKRWQIG
+EIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRSYYSQVNKEDRPELVVKKLRYYAR
+FIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQVEWNLVLQEVAAFIEADPVMVLN
+DDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALIIGNCNNQVKFSELTVDMFRMLQAL
+EREPMNLASQMNKPGMQESADKPTRRENPHKYLLYKPTFSQLYTFLAASFKELPANSVLL
+IYLSATGVFPTGRSDSEGPYDFGGVLTNSNRDIINGDAIHKRNQSHKEMHCLHPGDLYPF
+TRKPLFIIVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPKALQDQSQRGSLFTLFLNNPLM
+AFLFVSGLSSMRRGLWEKCQEYLRKINRDIAQLLTHSRSIDQAFLQFFGDEFLRLLLTRF
+IFCSATMRMHKIF
+>O15126_PF04144_117 <unknown description>
+KNNWPPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIFGCLAWFCV
+DSARAVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVHVLQA
+AGFHNWGNCGWISSLTGLNQNIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTT
+>O15127_PF04144_117 <unknown description>
+QNNWPPLPSWCPVKPCFYQDFSTEIPADYQRICKMLYYLWMLHSVTLFLNLLACLAWFSG
+NSSKGVDFGLSILWFLIFTPCAFLCWYRPIYKAFRSDNSFSFFVFFFVFFCQIGIYIIQL
+VGIPGLGDSGWIAALSTLDNHSLAISVIMMVVAGFFTLCAVLSVFLLQRVHSLYRRT
+>O14828_PF04144_132 <unknown description>
+QNNWPPLPSFCPVQPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLALLLNFLACLASFCV
+ETNNGAGFGLSILWVLLFTPCSFVCWYRPMYKAFRSDSSFNFFVFFFIFFVQDVLFVLQA
+IGIPGWGFSGWISALVVPKGNTAVSVLMLLVALLFTGIAVLGIVMLKRIHSLYRRT
+>Q969E2_PF04144_5 <unknown description>
+ENNFPPLPKFIPVKPCFYQNFSDEIPVEHQVLVKRIYRLWMFYCATLGVNLIACLAWWIG
+GGSGTNFGLAFVWLLLFTPCGYVCWFRPVYKAFRADSSFNFMAFFFIFGAQFVLTVIQAI
+GFSGWGACGWLSAIGFFQYSPGAAVVMLLPAIMFSVSAAMMAIAIMKVHRIYRG
+>Q8TAC9_PF04144_6 <unknown description>
+NNFPPLPKFIPLKPCFYQDFEADIPPQHVSMTKRLYYLWMLNSVTLAVNLVGCLAWLIGG
+GGATNFGLAFLWLILFTPCSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISIIQAVG
+IPGWGVCGWIATISFFGTNIGSAVVMLIPTVMFTVMAVFSFIALSMVHKFYRG
+>Q9BY12_PF16501_90 <unknown description>
+TRHPRKIDLRARYWAFLFDNLRRAVDEIYVTCESDQSVVECKEVLMMLDNYVRDFKALID
+WIQLQEKLEKTDAQSRPTSLAWEVKKMSPGRHVI
+>Q9BY12_PF12874_793 <unknown description>
+QCSLCNVLISSEVYLFSHVKGRKH
+>Q12770_PF12349_308 <unknown description>
+MVKSKWGLALAAVVTVLSSLLMSVGLCTLFGLTPTLNGGEIFPYLVVVIGLENVLVLTKS
+VVSTPVDLEVKLRIAQGLSSESWSIMKNMATELGIILIGYFTLVPAIQEFCLFAVVGLVS
+DFFLQMLFFTTVLSIDIRRMELADL
+>Q12770_PF00400_1110 <unknown description>
+CCLFTLQGHSGAITTVYIDQTMVLASGGQDGAICLWD
+>Q6AZY7_PF01391_456 <unknown description>
+RGAPGPPGPRGFKGDMGVKGPVGGRGPKGDPGSLGPLGPQGPQGQPGEAGPVGERGP
+>Q6AZY7_PF01391_499 <unknown description>
+GQPGEAGPVGERGPVGPRGFPGLKGSKGSFGTGGPRGQPGPKGDIGPPGPEGPPGSPGP
+>Q6AZY7_PF01391_544 <unknown description>
+GPPGPEGPPGSPGPSGPQGKPGIAGKTGSPGQRGAMGPKGEPGIQGPPGLPGPPGPPGS
+>Q6ZMJ2_PF01391_305 <unknown description>
+KGPPGPKGDQGDEGKEGRPGIPGLPGLRGLPGERGTPGLPGPKGDDGKLGATG
+>Q6ZMJ2_PF01391_327 <unknown description>
+GLPGLRGLPGERGTPGLPGPKGDDGKLGATGPMGMRGFKGDRGPKGEKGEKGD
+>Q6ZMJ2_PF00530_396 <unknown description>
+VNGSGPHEGRVEVYHDRRWGTVCDDGWDKKDGDVVCRMLGFRGVEEVYRTARFGQGTGRI
+WMDDVACKGTEETIFRCSFSKWGVTNCGHAEDASVTCN
+>Q9Y6X3_PF10345_24 <unknown description>
+SWYLALLGFAEHFRTSSPPKIRLCVHCLQAVFPFKPPQRIEARTHLQLGSVLYHHTKNSE
+QARSHLEKAWLISQQIPQFEDVKFEAASLLSELYCQENSVDAAKPLLRKAIQISQQTPYW
+HCRLLFQLAQLHTLEKDLVSACDLLGVGAEYARVVGSEYTRALFLLSKGMLLLMERKLQE
+VHPLLTLCGQIVENWQGNPIQKESLRVFFLVLQVTHYLDAGQVKSVKPCLKQLQQCIQTI
+STLHDDEILPSNPADLFHWLPKEHMCVLVYLVTVMHSMQAGYLEKAQKYTDKALMQLEKL
+KMLDCSPILSSFQVILLEHIIMCRLVTGHKATALQEISQVCQLCQQSPRLFSNHAAQLHT
+LLGLYCVSVNCMDNAEAQFTTALRLTNHQELWAFIVTNLASVYIREGNRHQEVLYSLLER
+INPDHSFPVSSHCLRAAAFYVRGLFSFFQGRYNEAKRFLRETLKMSNAEDLNRLTACSLV
+LLGHIFYVLGNHRESNNMVVPAMQLASKIPDMSVQLWSSALLRDLNKACGNAMDAHEAAQ
+MHQNFSQ
+>Q86SK9_PF00487_76 <unknown description>
+LWAYFCFLLAALGVTAGAHRLWSHRSYRAKLPLRIFLAVANSMAFQNDIFEWSRDHRAHH
+KYSETDADPHNARRGFFFSHIGWLFVRKHRDVIEKGRKLDVTDLLADPVVRIQRKYYKIS
+VVLMCFVVPTLVPWYIWGESLWNSYFLASILRYTISLNISWLVNSAAHMYGNRPYDKHIS
+PRQNPLVALGAIGEGFHNYHHTFP
+>Q8WVM8_PF00995_44 <unknown description>
+KVLIYDRFGQDIISPLLSVKELRDMGITLHLLLHSDRDPIPDVPAVYFVMPTEENIDRMC
+QDLRNQLYESYYLNFISAISRSKLEDIANAALAASAVTQVAKVFDQYLNFITLEDDMFVL
+CNQNKELVSYRAINRPDITDTEMETVMDTIVDSLFCFFVTLGAVPIIRCSRGTAAEMVAV
+KLDKKLRENLRDARNSLFTGDTLGAGQFSFQRPLLVLVDRNIDLATPLHHTWTYQALVHD
+VLDFHLNRVNLEESSGVENSPAGARPKRKNKKSYDLTPVDKFWQKHKGSPFPEVAESVQQ
+ELESYRAQEDEVKRLKSIMGLEGEDEGAISMLSDNTAKLTSAVSSLPELLEKKRLIDLHT
+NVATAVLEHIKARKLDVYFEYEEKIMSKTTLDKSLLDIISDPDAGTPEDKMRLFLIYYIS
+TQQAPSEADLEQYKKALTDAGCNLNPLQYIKQWKAFTKMASAPASYGSTTTKPMGLLSRV
+MNTGSQFVMEGVKNLVLKQQNLPVTRILDNLMEMKSNPETDDYRYFDPKMLRGNDSSVPR
+NKNPFQEAIVFVVGGGNYIEYQNLVDYIKGKQGKHILYGCSELFNATQFIKQ
+>Q8WU76_PF00995_382 <unknown description>
+TPGQLMSYIQLFKNNLKALMNHCGLLQLGLATAQTLKHPQTAKWDNFLAFERLLLQSIGE
+SAMSVVLNQLLPMIKPVTQRTNEDYSPEELLILLIYIYSVTGELTVDKDLCEAEEKVKKA
+LAQVFCEESGLSPLLQKITDWDSSINLTFHKSKIAVDELFTSLRDIAGARSLLKQFKSVY
+VPGNHTHQASYKPLLKQVVEEIFHPERPDSVDIEHMSSGLTDLLKTGFSMFMKVSRPHPS
+DYPLLILFVVGGVTVSEVKMVKDLVASLKPGTQVIVLSTRLLKP
+>P21583_PF02404_1 <unknown description>
+MKKTQTWILTCIYLQLLLFNPLVKTEGICRNRVTNNVKDVTKLVANLPKDYMITLKYVPG
+MDVLPSHCWISEMVVQLSDSLTDLLDKFSNISEGLSNYSIIDKLVNIVDDLVECVKENSS
+KDLKKSFKSPEPRLFTPEEFFRIFNRSIDAFKDFVVASETSDCVVSSTLSPEKDSRVSVT
+KPFMLPPVAASSLRNDSSSSNRKAKNPPGDSSLHWAAMALPALFSLIIGFAFGALYWKKR
+QPSLTRAVENIQINEEDNEISMLQEKEREFQEV
+>P05060_PF01271_26 <unknown description>
+RNHNEGMVTRCIIEVLSNALSKSSAPPITPECRQVLKTSRKDVKDKETTENENTKFEVRL
+LRDPADASEAHESSSRGEAGAPGEEDIQGPTKADTEKWAEGGGHSRERADEPQWSLYPSD
+SQVSEEVKTRHSEKSQREDEEEEEGENYQKGERGEDSSEEKHLEEPGETQNAFLNERKQA
+SAIKKEELVARSETHAAGHSQEKTHSREKSSQESGEETGSQENHPQESKGQPRSQEESEE
+GEEDATSEVDKRRTRPRHHHGRSRPDRSSQGGSLPSEEKGHPQEESEESNVSMASLGEKR
+DHHSTHYRASEEEPEYGEEIKGYPGVQAPEDLEWERYRGRGSEEYRAPRPQSEESWDEED
+KRNYPSLELDKMAHGYGEESEEERGLEPGKGRHHRGRGGEPRAYFMSDTREEKRFLGEGH
+HRVQENQMDKARRHPQGAWKELDRNYLNYGEEGAPGKWQQQGDLQDTKENREEARFQDKQ
+YSSHHTAEKRKRLGELFNPYYDPLQWKSSHFERRDNMNDNFLEGEEENELTLNEKNFFPE
+YNYDWWEKKPFSEDVNWGYEKRNLARVPKLDLKRQYDRVAQLDQLLHYRKKSAEFPDFYD
+SEEPVSTHQEAENEKDRADQTVLTEDEKKELENLAAMDLELQKIAEKFSQRG
+>P13521_PF01271_28 <unknown description>
+ASFQRNQLLQKEPDLRLENVQKFPSPEMIRALEYIENLRQQAHKEESSPDYNPYQGVSVP
+LQQKENGDESHLPERDSLSEEDWMRIILEALRQAENEPQSAPKENKPYALNSEKNFPMDM
+SDDYETQQWPERKLKHMQFPPMYEENSRDNPFKRTNEIVEEQYTPQSLATLESVFQELGK
+LTGPNNQKRERMDEEQKLYTDDEDDIYKANNIAYEDVVGGEDWNPVEEKIESQTQEEVRD
+SKENIEKNEQINDEMKRSGQLGIQEEDLRKESKDQLSDDVSKVIAYLKRLVNAAGSGRLQ
+NGQNGERATRLFEKPLDSQSIYQLIEISRNLQIPPEDLIEMLKTGEKPNGSVEPERELDL
+PVDLDDISEADLDHPDLFQNRMLSKSGYPKTPGRAGTEALPDGLSVEDILNLLGMESAAN
+QKTSYFPNPYNQEKVLPRLPYGAGRSRSNQLPKAAWIPHVENRQMAYENLNDKDQELGEY
+LARMLVKYPEIINSNQVKRVPGQGSSEDDLQEEEQIEQAIKEHLNQGSSQETDKLAPVSK
+RFPVGPPKNDDTPNRQYWDEDLLMKVLEYLNQEKAEKGREHIAKRAM
+>Q8WXD2_PF15467_17 <unknown description>
+IQAFPKPGGSQDKSLHNRELSAERPLNEQIAEAEEDKIKKTYPPENKPGQSNYSFVDNLN
+LLKAITEKEKIEKERQSIRSSPLDNKLNVEDVDSTKNRKLIDDYDSTKSGLDHKFQDDPD
+GLHQLDGTPLTAEDIVHKIAARIYEENDRAVFDKIVSKLLNLGLITESQAHTLEDEVAEV
+LQKLISKEANNYEEDPNKPTSWTENQAGKIPEKVTPMAAIQDGLAKGENDETVSNTLTLT
+NGLERRTKTYSEDNFEELQYFPNFYALLKSIDSEKEAKEKETLITIMKTLIDFVKMMVKY
+GTISPEEGVSYLENLDEMIALQTKNKLEKNATDNISKLFPAPSEKSHEETDSTKEEAAKM
+EKEYGSLKDSTKDDNSNPGGKTDEPKGKTEAYLEAIRKNIEWLKKHDKKGNKEDYDLSKM
+RDFINKQADAYVEKGILDKEEAEAIKRIYSSL
+>Q6UWF3_PF15050_12 <unknown description>
+MSWWRNNFWIILAVAIIVVSVGLGLILYCVCKWQLRRGKKWEIAKPLKHKQVDEEKMYEN
+VLNESPVQLPPLPPRNWPSLEDSSPQEAPSQPPATYSLVNKVKNKKTVSIPSYIEPEDDY
+DDVEIPANTEK
+>Q9Y6U3_PF00626_28 <unknown description>
+ELVPVPQSAHGDFYVGDAYLVLHTAKTSRGFTYHLHFWLGKECSQDESTAAAIFTVQMDD
+YLGGKPVQNRELQGYESNDF
+>Q9Y6U3_PF00626_148 <unknown description>
+VRATEVPLSWDSFNKGDCFIIDLGTEIYQWCGSSCNKYERLKANQVATGIRYNERKGRSE
+LIVVEEGSEPSEL
+>Q9Y6U3_PF00626_265 <unknown description>
+VVAEENPFSMAMLLSEECFILDHGAAKQIFVWKGKDANPQERKAAMKTAEEFLQQMNYSK
+NTQIQVLPEGGETPIF
+>Q9Y6U3_PF00626_410 <unknown description>
+QVDQNSYGEFYGGDCYIILYTYPRGQIIYTWQGANATRDELTTSAFLTVQLDRSLGGQAV
+QIRVSQGKEPVHL
+>Q9Y6U3_PF00626_525 <unknown description>
+IVEVDVDANSLNSNDVFVLKLPQNSGYIWVGKGASQEEEKGAEYVASVLKCKTLRIQEGE
+EPEEFW
+>Q9Y6U3_PF00626_629 <unknown description>
+EEIPGEFTQDDLAEDDVMLLDAWEQIFIWIGKDANEVEKKESLKSAKMYLETDPSGRDKR
+TPIVIIKQGHEPPTF
+>Q96I15_PF00266_32 <unknown description>
+VYMDYNATTPLEPEVIQAMTKAMWEAWGNPSSPYSAGRKAKDIINAARESLAKMIGGKPQ
+DIIFTSGGTESNNLVIHSVVKHFHANQTSKGHTGGHHSPVKGAKPHFITSSVEHDSIRLP
+LEHLVEEQVAAVTFVPVSKVSGQAEVDDILAAVRPTTRLVTIMLANNETGIVMPVPEISQ
+RIKALNQERVAAGLPPILVHTDAAQALGKQRVDVEDLGVDFLTIVGHKFYGPRIGALYIR
+GLGEFTPLYPMLFGGGQERNFRPGTENTPMIAGLGKAAELVTQNCEAYEAHMRDVRDYLE
+ERLEAEFGQKRIHLNSQFPGTQRLPNTCNFSIRGPRLQGHVVLAQCRVLMASVGAACHSD
+HGDQPSPVLLSYGVPFDVARNALRLSVGRSTTRAEVDL
+>Q6NUK1_PF13499_25 <unknown description>
+ETLFQALDRNGDGVVDIGELQEGLRNLGIPLGQDAEEKIFTTGDVNKDGKLDFEEFMKYL
+>Q6NUK1_PF13499_89 <unknown description>
+KKMKLAFKSLDKNNDGKIEASEIVQSLQTLGLTISEQQAELILQSIDVDGTMTVDWNEWR
+>Q6NUK1_PF00153_193 <unknown description>
+QWWRQLLAGGIAGAVSRTSTAPLDRLKIMMQVHGSKSDKMNIFGGFRQMVKEGGIRSLWR
+GNGTNVIKIAPETAVKFWAYEQYKKLLTE
+>Q6NUK1_PF00153_285 <unknown description>
+KIGTFERFISGSMAGATAQTFIYPMEVMKTRLAVGKTGQYSGIYDCAKKILKHEGLGAFY
+KGYVPNLLGIIPYAGIDLAVYELLKSYWLDN
+>Q6NUK1_PF00153_386 <unknown description>
+MVLLGCGALSSTCGQLASYPLALVRTRMQAQAMLEGSPQLNMVGLFRRIISKEGIPGLYR
+GITPNFMKVLPAVGISYVVYENMKQTL
+>Q6KCM7_PF13499_52 <unknown description>
+RLFQTLDVNRDGGLCVNDLAVGLRRLGLHRTEGELQKIVQAGDKDLDGQLDFEEFVHYL
+>Q6KCM7_PF13499_115 <unknown description>
+KKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKRIRTGHFWGPVTYMDK
+NGTMTIDWNE
+>Q6KCM7_PF00153_232 <unknown description>
+WWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASRSNNMGIVGGFTQMIREGGARSLWRG
+NGINVLKIAPESAIKFMAYEQIKRLVG
+>Q6KCM7_PF00153_324 <unknown description>
+LRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARRILAREGVAAFYK
+GYVPNMLGIIPYAGIDLAVYETLKNAWL
+>Q6KCM7_PF00153_422 <unknown description>
+GVFVLLACGTMSSTCGQLASYPLALVRTRMQAQASIEGAPEVTMSSLFKHILRTEGAFGL
+YRGLAPNFMKVIPAVSISYVVYENLKIT
+>Q9BV35_PF13202_17 <unknown description>
+LFEELDSNKDGRVDVHELRQ
+>Q9BV35_PF13499_80 <unknown description>
+QRLLLMFHSLDRNQDGHIDVSEIQQSFRALGISISLEQAEKILHSMDRDGTMTIDWQE
+>Q9BV35_PF00153_185 <unknown description>
+WWKQLVAGAVAGAVSRTGTAPLDRLKVFMQVHASKTNRLNILGGLRSMVLEGGIRSLWRG
+NGINVLKIAPESAIKFMAYEQIKRAIL
+>Q9BV35_PF00153_277 <unknown description>
+LHVQERFVAGSLAGATAQTIIYPMEVLKTRLTLRRTGQYKGLLDCARRILEREGPRAFYR
+GYLPNVLGIIPYAGIDLAVYETLKNWWL
+>Q9BV35_PF00153_376 <unknown description>
+ILVLLACGTISSTCGQIASYPLALVRTRMQAQASIEGGPQLSMLGLLRHILSQEGMRGLY
+RGIAPNFMKVIPAVSISYVVYENMKQAL
+>Q9UN30_PF00536_256 <unknown description>
+STWSVEAVVLFLKQTDPLALCPLVDLFRSHEIDGKALLLLTSDVLLKHLGVKLGTAVKLC
+YYIDRLK
+>Q9UQR0_PF02820_67 <unknown description>
+MKLEARDPRNATSVCIATVIGITGARLRLRLDGSDNRNDFWRLVDSPDIQPVGTCEKEGD
+LLQPPLGYQ
+>Q9UQR0_PF02820_176 <unknown description>
+MKLEAIDKKNPYLICPATIGDVKGDEVHITFDGWSGAFDYWCKYDSRDIFPAGWCRLTGD
+VLQPPG
+>Q9UQR0_PF17208_277 <unknown description>
+TQQVRRSSRIKPPGPTAVPKRSSSVKNITPRKKGPNSGKKEKPLPVIC
+>Q9UQR0_PF12140_356 <unknown description>
+TVCVYVNKHGNFGPHLDPKRIQQLPDHFGPGPVNVVLRRIVQACVDCALETKTVFGYLKP
+DNRGGEVITASFDGETHSIQLPPVNSASFALRFLENFCHSLQCDNLLSSQ
+>Q9UQR0_PF00536_629 <unknown description>
+STWSVDEVIQFMKHTDPQISGPLADLFRQHEIDGKALFLLKSDVMMKYMGLKLGPALKLC
+YYIEKLK
+>Q8N228_PF17208_1 <unknown description>
+MQSQRIPGRKRGRPSLHSTPMKMAVHNLYSASAGSLPAVKIPKKRGRKPGYKIKSRVLMT
+>Q8N228_PF12140_95 <unknown description>
+TVCLYINKQANAGPYLERKKVQQLPEHFGPERPSAVLQQAVQACIDCAHQQKLVFSLVKQ
+GYGGEMVSVSASFDGKQHLRSLPVVNSIGYVLRFLAKLCRSLLCDDLFSHQ
+>Q8N228_PF00536_344 <unknown description>
+SAWTVEDVVWFVKDADPQALGPHVELFRKHEIDGNALLLLKSDMVMKYLGLKLGPALKLC
+YHIDKLK
+>P35498_PF00520_127 <unknown description>
+HSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCLEDFTFL
+RDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALIGLQLFMGNLRNKCIQWPPTNASLEEHSIEKNITVNYNGTLIN
+ETVFEFDWKSYIQDSRYHYFLEGFLDALLCGNSSDAGQCPEGYMCVKAGRNPNYGYTSFD
+TFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAY
+EEQNQAT
+>P35498_PF11933_555 <unknown description>
+SLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFEDNESRRDSLFVPRRH
+GERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVPTSPVGQLLPEVIID
+KPATDDNGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIAS
+>P35498_PF00520_769 <unknown description>
+FVDLAITICIVLNTLFMAMEHYPMTDHFNNVLTVGNLVFTGIFTAEMFLKIIAMDPYYYF
+QEGWNIFDGFIVTLSLVELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKSYKDCVCKIASDCQLPRWHMNDFFHSFLIVFRVL
+CGEWIETMWDCMEVAGQAMCLTVFMMVMVIGNLVVLNLFLALLLSSFS
+>P35498_PF06512_1006 <unknown description>
+DNEMNNLQIAVDRMHKGVAYVKRKIYEFIQQSFIRKQKILDEIKPLDDLNNKKDSCMSNH
+TAEIGKDLDYLKDVNGTTSGIGTGSSVEKYIIDESDYMSFINNPSLTVTVPIAVGESDFE
+NLNTEDFSSESDLEESKEKLNESSSSSEGSTVDIGAPVEEQPVVEPEETLEPEACFTEGC
+VQRFKCCQINVEEGRGKQWWNLRRTCFR
+>P35498_PF00520_1217 <unknown description>
+HNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGY
+QTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVV
+VNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINTTTGDRFDIEDVNNHTDC
+LKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEES
+LYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKK
+>P35498_PF00520_1541 <unknown description>
+QVFDISIMILICLNMVTMMVETDDQSEYVTTILSRINLVFIVLFTGECVLKLISLRHYYF
+TIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQI
+TTSAGWDGLLAPILNSKPPDCDPNKVNPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNM
+YIAVILENFSVATEES
+>Q07699_PF07686_24 <unknown description>
+VDSETEAVYGMTFKILCISCKRRSETNAETFTEWTFRQKGTEEFVKILRYENEVLQLEED
+ERFEGRVVWNGSRGTKDLQDLSIFITNVTYNHSGDYECHVYRLLFFENY
+>Q99250_PF00520_128 <unknown description>
+HSLFNMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFL
+RDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSSFEINITSFFNNSLDGNGTTF
+NRTVSIFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNPNYGYTSF
+DTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMA
+YEEQNQAT
+>Q99250_PF11933_558 <unknown description>
+SLLSIRGSLFSPRRNSRASLFSFRGRAKDIGSENDFADDEHSTFEDNDSRRDSLFVPHRH
+GERRHSNVSQASRASRVLPILPMNGKMHSAVDCNGVVSLVGGPSTLTSAGQLLPEGTTTE
+TEIRKRRSSSYHVSMDLLEDPTSRQRAMSIAS
+>Q99250_PF00520_760 <unknown description>
+FVDLAITICIVLNTLFMAMEHYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYF
+QEGWNIFDGFIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVL
+CGEWIETMWDCMEVAGQTMCLTVFMMVMVIGNLVVLNLFLALLLSSFSS
+>Q99250_PF06512_997 <unknown description>
+DNEMNNLQIAVGRMQKGIDFVKRKIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNH
+TTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFEN
+LNTEEFSSESDMEESKEKLNATSSSEGSTVDIGAPAEGEQPEVEPEESLEPEACFTEDCV
+RKFKCCQISIEEGKGKLWWNLRKTCYK
+>Q99250_PF00520_1207 <unknown description>
+HNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGF
+QVYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVV
+VNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSVVNNYSEC
+KALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEDN
+LYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKK
+>Q99250_PF00520_1531 <unknown description>
+QVFDISIMILICLNMVTMMVETDDQSQEMTNILYWINLVFIVLFTGECVLKLISLRYYYF
+TIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQI
+TTSAGWDGLLAPILNSGPPDCDPDKDHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNM
+YIAVILENFSVATEES
+>O60939_PF07686_34 <unknown description>
+VPATLNVLNGSDARLPCTFNSCYTVNHKQFSLNWTYQECNNCSEEMFLQFRMKIINLKLE
+RFQDRVEFSGNPSKYDVSVMLRNVQPEDEGIYNCYIMNPPDRHRGHGKIHLQVL
+>Q9NY46_PF00520_127 <unknown description>
+HSLFSMLIMCTILTNCVFMTLSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFL
+RDPWNWLDFSVIVMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPSDSAFETNTTSYFNGTMDSNGTFV
+NVTMSTFNWKDYIGDDSHFYVLDGQKDPLLCGNGSDAGQCPEGYICVKAGRNPNYGYTSF
+DTFSWAFLSLFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLVNLILAVVAMA
+YEEQNQAT
+>Q9NY46_PF11933_558 <unknown description>
+SLLSIRGSLFSPRRNSKTSIFSFRGRAKDVGSENDFADDEHSTFEDSESRRDSLFVPHRH
+GERRNSNVSQASMSSRMVPGLPANGKMHSTVDCNGVVSLVGGPSALTSPTGQLPPEGTTT
+ETEVRKRRLSSYQISMEMLEDSSGRQRAVSIAS
+>Q9NY46_PF00520_761 <unknown description>
+FVDLAITICIVLNTLFMAMEHYPMTEQFSSVLTVGNLVFTGIFTAEMVLKIIAMDPYYYF
+QEGWNIFDGIIVSLSLMELGLSNVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINDDCTLPRWHMNDFFHSFLIVFRVL
+CGEWIETMWDCMEVAGQTMCLIVFMLVMVIGNLVVLNLFLALLLSSFSS
+>Q9NY46_PF06512_998 <unknown description>
+DNEMNNLQIAVGRMQKGIDYVKNKMRECFQKAFFRKPKVIEIHEGNKIDSCMSNNTGIEI
+SKELNYLRDGNGTTSGVGTGSSVEKYVIDENDYMSFINNPSLTVTVPIAVGESDFENLNT
+EEFSSESELEESKEKLNATSSSEGSTVDVVLPREGEQAETEPEEDLKPEACFTEGCIKKF
+PFCQVSTEEGKGKIWWNLRKTCY
+>Q9NY46_PF00520_1205 <unknown description>
+HNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGF
+QTYFTNAWCWLDFLIVDVSLVSLVANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVV
+VNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNMTTGNMFDISDVNNLSDC
+QALGKQARWKNVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRDVKLQPVYEENLYM
+YLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKK
+>Q9NY46_PF00520_1526 <unknown description>
+QVFDISIMILICLNMVTMMVETDDQGKYMTLVLSRINLVFIVLFTGEFVLKLVSLRHYYF
+TIGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGIDDMFNFETFGNSMICLFQI
+TTSAGWDGLLAPILNSAPPDCDPDTIHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNM
+YIAVILENFSVATEES
+>Q9NY72_PF07686_29 <unknown description>
+VPSETEAVQGNPMKLRCISCMKREEVEATTVVEWFYRPEGGKDFLIYEYRNGHQEVESPF
+QGRLQWNGSKDLQDVSITVLNVTLNDSGLYTCNVSREFEFEAHRPFVKTTRL
+>P35499_PF00520_130 <unknown description>
+HALFSMFIMITILTNCVFMTMSDPPPWSKNVEYTFTGIYTFESLIKILARGFCVDDFTFL
+RDPWNWLDFSVIMMAYLTEFVDLGNISALRTFRVLRALKTITVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALVGLQLFMGNLRQKCVRWPPPFNDTNTTWYSNDTWYGNDTWYGN
+EMWYGNDSWYANDTWNSHASWATNDTFDWDAYISDEGNFYFLEGSNDALLCGNSSDAGHC
+PEGYECIKTGRNPNYGYTSYDTFSWAFLALFRLMTQDYWENLFQLTLRAAGKTYMIFFVV
+IIFLGSFYLINLILAVVAMAYAEQNEA
+>P35499_PF00520_580 <unknown description>
+VDLGITICIVLNTLFMAMEHYPMTEHFDNVLTVGNLVFTGIFTAEMVLKLIAMDPYEYFQ
+QGWNIFDSIIVTLSLVELGLANVQGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGA
+LGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKIALDCNLPRWHMHDFFHSFLIVFRILC
+GEWIETMWDCMEVAGQAMCLTVFLMVMVIGNLVVLNLFLALLLSSFS
+>P35499_PF06512_816 <unknown description>
+DGEMNNLQIAIGRIKLGIGFAKAFLLGLLHGKILSPKDIMLSLGEADGAGEAGEAGETAP
+EDEKKEPPEEDLKKDNHILNHMGLADGPPSSLELDHLNFINNPYLTIQVPIASEESDLEM
+PTEEETDTFSEPEDSKKPPQPLYDGNSSVCSTADYKPPEEDPEEQAEENPEGEQPEECFT
+EACVQRWPCLYVDISQGRGKKWWTLRRACFK
+>P35499_PF00520_1030 <unknown description>
+HNWFETFIVFMILLSSGALAFEDIYIEQRRVIRTILEYADKVFTYIFIMEMLLKWVAYGF
+KVYFTNAWCWLDFLIVDVSIISLVANWLGYSELGPIKSLRTLRALRPLRALSRFEGMRVV
+VNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYYCINTTTSERFDISEVNNKSEC
+ESLMHTGQVRWLNVKVNYDNVGLGYLSLLQVATFKGWMDIMYAAVDSREKEEQPQYEVNL
+YMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKK
+>P35499_PF00520_1353 <unknown description>
+QAFDITIMILICLNMVTMMVETDNQSQLKVDILYNINMIFIIIFTGECVLKMLALRQYYF
+TVGWNIFDFVVVILSIVGLALSDLIQKYFVSPTLFRVIRLARIGRVLRLIRGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYSIFGMSNFAYVKKESGIDDMFNFETFGNSIICLFEI
+TTSAGWDGLLNPILNSGPPDCDPNLENPGTSVKGDCGNPSIGICFFCSYIIISFLIVVNM
+YIAIILENFNVATEES
+>Q8IWT1_PF07686_41 <unknown description>
+IYAVNGTEILLPCTFSSCFGFEDLHFRWTYNSSDAFKILIEGTVKNEKSDPKVTLKDDDR
+ITLVGSTKEKMNNISIVLRDLEFSDTGKYTCHVKNPKENNLQHHA
+>Q14524_PF00520_130 <unknown description>
+HSLFNMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARGFCLHAFTFL
+RDPWNWLDFSVIIMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIVGALIQSVKK
+LADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTALNGTNGSVEADGLVWESLDLYLS
+DPENYLLKNGTSDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAWAFLALFRLM
+TQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQNQA
+>Q14524_PF11933_508 <unknown description>
+HLSLTRGLSRTSMKPRSSRGSIFTFRRRDLGSEADFADDENSTAGESESHHTSLLVPWPL
+RRTSAQGQPSPGTSAPGHALHGKKNSTVDCNGVVSLLGAGDPEATSPGSHLLRPVMLEHP
+PDTTTPSEEPGGPQMLTSQAPCVDGFEEPGARQRALSAVS
+>Q14524_PF00520_718 <unknown description>
+FTDLTITMCIVLNTLFMALEHYNMTSEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYF
+QQGWNIFDSIIVILSLMELGLSRMSNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKNYSELRDSDSGLLPRWHMMDFFHAFLIIFRILCG
+EWIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFS
+>Q14524_PF06512_953 <unknown description>
+DREMNNLQLALARIQRGLRFVKRTTWDFCCGLLRQRPQKPAALAAQGQLPSCIATPYSPP
+PPETEKVPPTRKETRFEEGEQPGQGTPGDPEPVCVPIAVAESDTDDQEEDEENSLGTEEE
+SSKQESQPVSGGPEAPPDSRTWSQVSATASSEAEASASQADWRQQWKAEPQAPGCGETPE
+DSCSEGSTADMTNTAELLEQIPDLGQDVKDPEDCFTEGCVRRCPCCAVDTTQAPGKVWWR
+LRKTCYH
+>Q14524_PF00520_1203 <unknown description>
+HSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKWVAYGF
+KKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRFEGMRVV
+VNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIVNNKSQ
+CESLNLTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQPQWEYN
+LYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKK
+>Q14524_PF00520_1527 <unknown description>
+QAFDVTIMFLICLNMVTMMVETDDQSPEKINILAKINLLFVAIFTGECIVKLAALRHYYF
+TNSWNIFDFVVVILSIVGTVLSDIIQKYFFSPTLFRVIRLARIGRILRLIRGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYSIFGMANFAYVKWEAGIDDMFNFQTFANSMLCLFQI
+TTSAGWDGLLSPILNTGPPYCDPTLPNSNGSRGDCGSPAVGILFFTTYIIISFLIVVNMY
+IAIILENFSVATEES
+>Q01118_PF00520_117 <unknown description>
+HPFFQLFILISVLIDCVFMSLTNLPKWRPVLENTLLGIYTFEILVKLFARGVWAGSFSFL
+GDPWNWLDFSVTVFEVIIRYSPLDFIPTLQTARTLRILKIIPLNQGLKSLVGVLIHCLKQ
+LIGVIILTLFFLSIFSLIGMGLFMGNLKHKCFRWPQENENETLHNRTGNPYYIRETENFY
+YLEGERYALLCGNRTDAGQCPEGYVCVKAGINPDQGFTNFDSFGWALFALFRLMAQDYPE
+VLYHQILYASGKVYMIFFVVVSFLFSFYMASLFLGILAMAYEEEKQRV
+>Q01118_PF00520_506 <unknown description>
+FTDLFLIICIILNVCFLTLEHYPMSKQTNTLLNIGNLVFIGIFTAEMIFKIIAMHPYGYF
+QVGWNIFDSMIVFHGLIELCLANVAGMALLRLFRMLRIFKLGKYWPTFQILMWSLSNSWV
+ALKDLVLLLFTFIFFSAAFGMKLFGKNYEEFVCHIDKDCQLPRWHMHDFFHSFLNVFRIL
+CGEWVETLWDCMEVAGQSWCIPFYLMVILIGNLLVLYLFLALVSS
+>Q01118_PF06512_743 <unknown description>
+NEAKNLQLAVARIKKGINYVLLKILCKTQNVPKDTMDHVNEVYVKEDISDHTLSELSNTQ
+DFLKDKEKSSGTEKNATENESQSLIPSPSVSETVPIASGESDIENLDNKEIQSKSGDGGS
+KEKIKQSSSSECSTVDIAISEEEEMFYGGERSKHLKNGCRRGSSLGQISGASKKGKIWQN
+IRKTC
+>Q01118_PF00520_934 <unknown description>
+NWFKCFIGLVTLLSTGTLAFEDIYMDQRKTIKILLEYADMIFTYIFILEMLLKWMAYGFK
+AYFSNGWYRLDFVVVIVFCLSLIGKTREELKPLISMKFLRPLRVLSQFERMKVVVRALIK
+TTLPTLNVFLVCLMIWLIFSIMGVDLFAGRFYECIDPTSGERFPSSEVMNKSRCESLLFN
+ESMLWENAKMNFDNVGNGFLSLLQVATFNGWITIMNSAIDSVAVNIQPHFEVNIYMYCYF
+INFIIFGVFLPLSMLITVIIDNFNK
+>Q01118_PF00520_1251 <unknown description>
+QAFNVIVMVLICFQAIAMMIDTDVQSLQMSIALYWINSIFVMLYTMECILKLIAFRCFYF
+TIAWNIFDFMVVIFSITGLCLPMTVGSYLVPPSLVQLILLSRIIHMLRLGKGPKVFHNLM
+LPLMLSLPALLNIILLIFLVMFIYAVFGMYNFAYVKKEAGINDVSNFETFGNSMLCLFQV
+AIFAGWDGMLDAIFNSKWSDCDPDKINPGTQVRGDCGNPSVGIFYFVSYILISWLIIVNM
+YIVVVMEFL
+>Q9UQD0_PF00520_131 <unknown description>
+HSVFSMIIMCTILTNCVFMTFSNPPDWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFL
+RDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTKGFDWEEYINNKT
+NFYTVPGMLEPLLCGNSSDAGQCPEGYQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQD
+YWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQAT
+>Q9UQD0_PF11933_546 <unknown description>
+SLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPI
+RARERRSSYSGYSGYSQGSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGGPGSHIGGRLLP
+EATTEVEIKKKGPGSLLVSMDQLASYGRKDRINSIMS
+>Q9UQD0_PF00520_754 <unknown description>
+FVDLAITICIVLNTLFMAMEHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYF
+QEGWNIFDGFIVSLSLMELSLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINQDCELPRWHMHDFFHSFLIVFRVL
+CGEWIETMWDCMEVAGQAMCLIVFMMVMVIGNLVVLNLFLALLLSSFS
+>Q9UQD0_PF06512_991 <unknown description>
+DGEMNNLQISVIRIKKGVAWTKLKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTG
+ADIHRNGDFQKNGNGTTSGIGSSVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNT
+EDVSSESDPEGSKDKLDDTSSSEGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFK
+CCQVNIEEGLGKSWWILRKTCF
+>Q9UQD0_PF00520_1197 <unknown description>
+HNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGF
+VKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVV
+VNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIEDVNNKTEC
+EKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPKYED
+NIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKK
+>Q9UQD0_PF00520_1522 <unknown description>
+QAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYF
+TIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQI
+TTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMY
+IAIILENFSVATEES
+>Q9UQD0_PF00612_1898 <unknown description>
+SAVVLQRAYRGHLARRGF
+>Q15858_PF00520_125 <unknown description>
+HSLFSMLIMCTILTNCIFMTMNNPPDWTKNVEYTFTGIYTFESLVKILARGFCVGEFTFL
+RDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKK
+LSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRNSLENNETLESIMNTLESEEDFRKYFY
+YLEGSKDALLCGFSTDSGQCPEGYTCVKIGRNPDYGYTSFDTFSWAFLALFRLMTQDYWE
+NLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQA
+>Q15858_PF11933_535 <unknown description>
+SPLSIRGSLFSARRSSRTSLFSFKGRGRDIGSETEFADDEHSIFGDNESRRGSLFVPHRP
+QERRSSNISQASRSPPMLPVNGKMHSAVDCNGVVSLVDGRSALMLPNGQLLPEVIIDKAT
+SDDSGTTNQIHKKRRCSSYLLSEDMLNDPNLRQRAMSRAS
+>Q15858_PF00520_745 <unknown description>
+FVDLAITICIVLNTLFMAMEHHPMTEEFKNVLAIGNLVFTGIFAAEMVLKLIAMDPYEYF
+QVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVG
+ALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINDDCTLPRWHMNDFFHSFLIVFRVL
+CGEWIETMWDCMEVAGQAMCLIVYMMVMVIGNLVVLNLFLALLLSSFSS
+>Q15858_PF06512_982 <unknown description>
+DPDANNLQIAVTRIKKGINYVKQTLREFILKAFSKKPKISREIRQAEDLNTKKENYISNH
+TLAEMSKGHNFLKEKDKISGFGSSVDKHLMEDSDGQSFIHNPSLTVTVPIAPGESDLENM
+NAEELSSDSDSEYSKVRLNRSSSSECSTVDNPLPGEGEEAEAEPMNSDEPEACFTDGCVW
+RFSCCQVNIESGKGKIWWNIRKTCYK
+>Q15858_PF00520_1191 <unknown description>
+HSWFESFIVLMILLSSGALAFEDIYIERKKTIKIILEYADKIFTYIFILEMLLKWIAYGY
+KTYFTNAWCWLDFLIVDVSLVTLVANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVV
+VNALIGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYECINTTDGSRFPASQVPNRSEC
+FALMNVSQNVRWKNLKVNFDNVGLGYLSLLQVATFKGWTIIMYAAVDSVNVDKQPKYEYS
+LYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKK
+>Q15858_PF00520_1515 <unknown description>
+QAFDISIMVLICLNMVTMMVEKEGQSQHMTEVLYWINVVFIILFTGECVLKLISLRHYYF
+TVGWNIFDFVVVIISIVGMFLADLIETYFVSPTLFRVIRLARIGRILRLVKGAKGIRTLL
+FALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEDGINDMFNFETFGNSMICLFQI
+TTSAGWDGLLAPILNSKPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVSYIIISFLVVVNM
+YIAVILENFSVATEES
+>Q9Y5Y9_PF00520_129 <unknown description>
+HSWFSLFITVTILVNCVCMTRTDLPEKIEYVFTVIYTFEALIKILARGFCLNEFTYLRDP
+WNWLDFSVITLAYVGTAIDLRGISGLRTFRVLRALKTVSVIPGLKVIVGALIHSVKKLAD
+VTILTIFCLSVFALVGLQLFKGNLKNKCVKNDMAVNETTNYSSHRKPDIYINKRGTSDPL
+LCGNGSDSGHCPDGYICLKTSDNPDFNYTSFDSFAWAFLSLFRLMTQDSWERLYQQTLRT
+SGKIYMIFFVLVIFLGSFYLVNLILAVVTMAYEEQNQAT
+>Q9Y5Y9_PF00520_666 <unknown description>
+FAELTITLCIVVNTIFMAMEHHGMSPTFEAMLQIGNIVFTIFFTAEMVFKIIAFDPYYYF
+QKKWNIFDCIIVTVSLLELGVAKKGSLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVG
+ALGNLTIILAIIVFVFALVGKQLLGENYRNNRKNISAPHEDWPRWHMHDFFHSFLIVFRI
+LCGEWIENMWACMEVGQKSICLILFLTVMVLGNLVVLNLFIALLLNSFS
+>Q9Y5Y9_PF06512_904 <unknown description>
+DGEVNNLQVALARIQVFGHRTKQALCSFFSRSCPFPQPKAEPELVVKLPLSSSKAENHIA
+ANTARGSSGGLQAPRGPRDEHSDFIANPTVWVSVPIAEGESDLDDLEDDGGEDAQSFQQE
+VIPKGQQEQLQQVERCGDHLTPRSPGTGTSSEDLAPSLGETWKDESVPQVPAEGVDDTSS
+SEGSTVDCLDPEEILRKIPELADDLEEPDDCFTEGCIRHCPCCKLDTTKSPWDVGWQVRK
+TCYR
+>Q9Y5Y9_PF00520_1151 <unknown description>
+HSWFESFIIFMILLSSGSLAFEDYYLDQKPTVKALLEYTDRVFTFIFVFEMLLKWVAYGF
+KKYFTNAWCWLDFLIVNISLISLTAKILEYSEVAPIKALRTLRALRPLRALSRFEGMRVV
+VDALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFWRCINYTDGEFSLVPLSIVNNKS
+DCKIQNSTGSFFWVNVKVNFDNVAMGYLALLQVATFKGWMDIMYAAVDSREVNMQPKWED
+NVYMYLYFVIFIIFGGFFTLNLFVGVIIDNFNQQKKK
+>Q9Y5Y9_PF00520_1476 <unknown description>
+QAFDITIMVLICLNMITMMVETDDQSEEKTKILGKINQFFVAVFTGECVMKMFALRQYYF
+TNGWNVFDFIVVVLSIASLIFSAILKSLQSYFSPTLFRVIRLARIGRILRLIRAAKGIRT
+LLFALMMSLPALFNIGLLLFLVMFIYSIFGMSSFPHVRWEAGIDDMFNFQTFANSMLCLF
+QITTSAGWDGLLSPILNTGPPYCDPNLPNSNGTRGDCGSPAVGIIFFTTYIIISFLIMVN
+MYIAVILENFNVATEES
+>Q9UI33_PF00520_128 <unknown description>
+HSLFSMFIIGTVIINCVFMATGPAKNSNSNNTDIAECVFTGIYIFEALIKILARGFILDE
+FSFLRDPWNWLDSIVIGIAIVSYIPGITIKLLPLRTFRVFRALKAISVVSRLKVIVGALL
+RSVKKLVNVIILTFFCLSIFALVGQQLFMGSLNLKCISRDCKNISNPEAYDHCFEKKENS
+PEFKMCGIWMGNSACSIQYECKHTKINPDYNYTNFDNFGWSFLAMFRLMTQDSWEKLYQQ
+TLRTTGLYSVFFFIVVIFLGSFYLINLTLAVVTMAYEEQNKN
+>Q9UI33_PF00520_578 <unknown description>
+FTELAITICIIINTVFLAMEHHKMEASFEKMLNIGNLVFTSIFIAEMCLKIIALDPYHYF
+RRGWNIFDSIVALLSFADVMNCVLQKRSWPFLRSFRVLRVFKLAKSWPTLNTLIKIIGNS
+VGALGSLTVVLVIVIFIFSVVGMQLFGRSFNSQKSPKLCNPTGPTVSCLRHWHMGDFWHS
+FLVVFRILCGEWIENMWECMQEANASSSLCVIVFILITVIGKLVVLNLFIALLLNSFSNE
+ER
+>Q9UI33_PF06512_828 <unknown description>
+RKTKVQLALDRFRRAFCFVRHTLEHFCHKWCRKQNLPQQKEVAGGCAAQSKDIIPLVMEM
+KRGSETQEELGILTSVPKTLGVRHDWTWLAPLAEEEDDVEFSGEDNAQRITQPEPEQQAY
+ELHQENKKPTSQRVQSVEIDMFSEDEPHLTIQDPRKKSDVTSILSECSTIDLQDGFGWLP
+EMVPKKQPERCLPKGFGCCFPCCSVDKRKPPWVIWWNLRKTCYQ
+>Q9UI33_PF00520_1055 <unknown description>
+HSWFESFIIFVILLSSGALIFEDVHLENQPKIQELLNCTDIIFTHIFILEMVLKWVAFGF
+GKYFTSAWCCLDFIIVIVSVTTLINLMELKSFRTLRALRPLRALSQFEGMKVVVNALIGA
+IPAILNVLLVCLIFWLVFCILGVYFFSGKFGKCINGTDSVINYTIITNKSQCESGNFSWI
+NQKVNFDNVGNAYLALLQVATFKGWMDIIYAAVDSTEKEQQPEFESNSLGYIYFVVFIIF
+GSFFTLNLFIGVIIDNFNQQQKK
+>Q9UI33_PF00520_1366 <unknown description>
+QIFDIIIISLIILNMISMMAESYNQPKAMKSILDHLNWVFVVIFTLECLIKIFALRQYYF
+TNGWNLFDCVVVLLSIVSTMISTLENQEHIPFPPTLFRIVRLARIGRILRLVRAARGIRT
+LLFALMMSLPSLFNIGLLLFLIMFIYAILGMNWFSKVNPESGIDDIFNFKTFASSMLCLF
+QISTSAGWDSLLSPMLRSKESCNSSSENCHLPGIATSYFVSYIIISFLIVVNMYIAVILE
+NFNTATEES
+>P57086_PF02023_104 <unknown description>
+PETFRQRFRQFRYQDAAGPREAFRQLRELSRQWLRPDIRTKEQIVEMLVQEQLLAILPEA
+ARA
+>Q6R2W3_PF02023_49 <unknown description>
+ELSRQRFRQFCYQETPGPREALSQLRELCRQWLNPEIHTKEQILELLVLEQFLTILPEEL
+QSWVREHNPESGEEVVTLLEDLERELDE
+>Q9BWG6_PF15803_44 <unknown description>
+CAICPHRPVLDTLAMLTAHRAGKKHL
+>Q9BWG6_PF15805_183 <unknown description>
+SPTRRRALDHYLTLRSSGWIPDGRGRWVKDENVEFDSDEEEPPDLP
+>P37088_PF00858_62 <unknown description>
+FCNNTTIHGAIRLVCSQHNRMKTAFWAVLWLCTFGMMYWQFGLLFGEYFSYPVSLNINLN
+SDKLVFPAVTICTLNPYRYPEIKEELEELDRITEQTLFDLYKYSSFTTLVAGSRSRRDLR
+GTLPHPLQRLRVPPPPHGARRARSVASSLRDNNPQVDWKDWKIGFQLCNQNKSDCFYQTY
+SSGVDAVREWYRFHYINILSRLPETLPSLEEDTLGNFIFACRFNQVSCNQANYSHFHHPM
+YGNCYTFNDKNNSNLWMSSMPGINNGLSLMLRAEQNDFIPLLSTVTGARVMVHGQDEPAF
+MDDGGFNLRPGVETSISMRKETLDRLGGDYGDCTKNGSDVPVENLYPSKYTQQVCIHSCF
+QESMIKECGCAYIFYPRPQNVEYCDYRKHSSWGYCYYKLQVDFSSDHLGCFTKCRKPCSV
+TSYQLSAGYSRWPSVTSQEWVFQMLSRQNNYTVNNKRNGVAKVNIFFKELNYKTNSESPS
+VTMVTLLSNLGSQWSLWFGSSVLSVVEMAEL
+>P51168_PF00858_29 <unknown description>
+YCDNTNTHGPKRIICEGPKKKAMWFLLTLLFAALVCWQWGIFIRTYLSWEVSVSLSVGFK
+TMDFPAVTICNASPFKYSKIKHLLKDLDELMEAVLERILAPELSHANATRNLNFSIWNHT
+PLVLIDERNPHHPMVLDLFGDNHNGLTSSSASEKICNAHGCKMAMRLCSLNRTQCTFRNF
+TSATQALTEWYILQATNIFAQVPQQELVEMSYPGEQMILACLFGAEPCNYRNFTSIFYPH
+YGNCYIFNWGMTEKALPSANPGTEFGLKLILDIGQEDYVPFLASTAGVRLMLHEQRSYPF
+IRDEGIYAMSGTETSIGVLVDKLQRMGEPYSPCTVNGSEVPVQNFYSDYNTTYSIQACLR
+SCFQDHMIRNCNCGHYLYPLPRGEKYCNNRDFPDWAHCYSDLQMSVAQRETCIGMCKESC
+NDTQYKMTISMADWPSEASEDWIFHVLSQERDQSTNITLSRKGIVKLNIYFQEFNYRTIE
+ESAANNIVWLLSNLGGQFGFWMGGSVLCLIEFGE
+>P51172_PF00858_227 <unknown description>
+FCTNATIHGAIRLVCSRGNRLKTTSWGLLSLGALVALCWQLGLLFERHWHRPVLMAVSVH
+SERKLLPLVTLCDGNPRRPSPVLRHLELLDEFARENIDSLYNVNLSKGRAALSATVPRHE
+PPFHLDREIRLQRLSHSGSRVRVGFRLCNSTGGDCFYRGYTSGVAAVQDWYHFHYVDILA
+LLPAAWEDSHGSQDGHFVLSCSYDGLDCQARQFRTFHHPTYGSCYTVDGVWTAQRPGITH
+GVGLVLRVEQQPHLPLLSTLAGIRVMVHGRNHTPFLGHHSFSVRPGTEATISIREDEVHR
+LGSPYGHCTAGGEGVEVELLHNTSYTRQACLVSCFQQLMVETCSCGYYLHPLPAGAEYCS
+SARHPAWGHCFYRLYQDLETHRLPCTSRCPRPCRESAFKLSTGTSRWPSAKSAGWTLATL
+GEQGLPHQSHRQRSSLAKINIVYQELNYRSVEEAPVYSVPQLLSAMGSLCSLWFGASVLS
+LLELLEL
+>P51170_PF00858_32 <unknown description>
+YCLNTNTHGCRRIVVSRGRLRRLLWIGFTLTAVALILWQCALLVFSFYTVSVSIKVHFRK
+LDFPAVTICNINPYKYSTVRHLLADLEQETREALKSLYGFPESRKRREAESWNSVSEGKQ
+PRFSHRIPLLIFDQDEKGKARDFFTGRKRKVGGSIIHKASNVMHIESKQVVGFQLCSNDT
+SDCATYTFSSGINAIQEWYKLHYMNIMAQVPLEKKINMSYSAEELLVTCFFDGVSCDARN
+FTLFHHPMHGNCYTFNNRENETILSTSMGGSEYGLQVILYINEEEYNPFLVSSTGAKVII
+HRQDEYPFVEDVGTEIETAMVTSIGMHLTESFKLSEPYSQCTEDGSDVPIRNIYNAAYSL
+QICLHSCFQTKMVEKCGCAQYSQPLPPAANYCNYQQHPNWMYCYYQLHRAFVQEELGCQS
+VCKEACSFKEWTLTTSLAQWPSVVSEKWLLPVLTWDQGRQVNKKLNKTDLAKLLIFYKDL
+NQRSIMESPANSIEMLLSNFGGQLGLWMSCSVVCVIEIIE
+>O75880_PF02630_137 <unknown description>
+GGPFSLTTHTGERKTDKDYLGQWLLIYFGFTHCPDVCPEELEKMIQVVDEIDSITTLPDL
+TPLFISIDPERDTKEAIANYVKEFSPKLVGLTGTREEVDQVARAYRVYYSPGPKDEDEDY
+IVDHTIIMYLIGPDGEF
+>O43819_PF02630_102 <unknown description>
+GDFHLLDHRGRARCKADFRGQWVLMYFGFTHCPDICPDELEKLVQVVRQLEAEPGLPPVQ
+PVFITVDPERDDVEAMARYVQDFHPRLLGLTGSTKQVAQASHSYRVYYNAGPKDEDQDYI
+VDHSIAIYLLNPDGL
+>P55809_PF01144_46 <unknown description>
+PVEAVKDIPDGATVLVGGFGLCGIPENLIDALLKTGVKGLTAVSNNAGVDNFGLGLLLRS
+KQIKRMVSSYVGENAEFERQYLSGELEVELTPQGTLAERIRAGGAGVPAFYTPTGYGTLV
+QEGGSPIKYNKDGSVAIASKPREVREFNGQHFILEEAITGDFALVKAWKADRAGNVIFRK
+SARNFNLPMCKAAETTVVEVEEIVDIGAFAPEDIHIPQIYVHRLIK
+>P55809_PF01144_303 <unknown description>
+ERIIKRAALEFEDGMYANLGIGIPLLASNFISPNITVHLQSENGVLGLGPYPRQHEADAD
+LINAGKETVTILPGASFFSSDESFAMIRGGHVDLTMLGAMQVSKYGDLANWMIPGKMVKG
+MGGAMDLVSSAKTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKAVFDVDKKK
+GLTLIELWEGLTVDDVQK
+>Q9BYC2_PF01144_47 <unknown description>
+VEMVKDISDGATVMIGGFGLCGIPENLIAALLRTRVKDLQVVSSNVGVEDFGLGLLLAAR
+QVRRIVCSYVGENTLCESQYLAGELELELTPQGTLAERIRAGGAGVPAFYTPTGYGTLVQ
+EGGAPIRYTPDGHLALMSQPREVREFNGDHFLLERAIRADFALVKGWKADRAGNVVFRRS
+ARNFNVPMCKAADVTAVEVEEIVEVGAFPPEDIHVPNIYVDRVIK
+>Q9BYC2_PF01144_301 <unknown description>
+RIIRRAALEFEDGMYANLGIGIPLLASNFISPSMTVHLHSENGILGLGPFPTEDEVDADL
+INAGKQTVTVLPGGCFFASDDSFAMIRGGHIQLTMLGAMQVSKYGDLANWMIPGKKVKGM
+GGAMDLVSSQKTRVVVTMQHCTKDNTPKIMEKCTMPLTGKRCVDRIITEKAVFDVHRKKE
+LTLRELWEGLTVDDIKK
+>Q9UJQ7_PF02036_58 <unknown description>
+VGAQLVKKVNAVFQLDITKNGKTILRWTIDLKNGSGDMYPGPARLPADTVFTIPESVFME
+LVLGKMNPQKAFLAGKFKVSGKVLLSWKLERVFK
+>P22307_PF00108_14 <unknown description>
+VFVVGVGMTKFVKPGAENSRDYPDLAEEAGKKALADAQIPYSAVDQACVGYVFGDSTCGQ
+RAIYHSLGMTGIPIINVNNNCATGSTALFMARQLIQGGVAECVLALGFEKMSKGSLGIKF
+SDRTIPTDKHVDLLINKYGLSAHPVAPQMFGYAGKEHMEKYGTKIEHFAKIGWKNHKHSV
+NNPYSQFQDEYSLDEVMASKEVFDFLTILQCCPTSDGAAAAILASE
+>P22307_PF02803_283 <unknown description>
+EAARKCYEKSGLTPNDIDVIELHDCFSTNELLTYEALGLCPEGQGATLVDRGDNTYGGKW
+VINPSGGLISKGHPLGATGLAQCAELCWQLRGEAGKRQV
+>P22307_PF02036_437 <unknown description>
+FKEIEKKLEEEGEQFVKKIGGIFAFKVKDGPGGKEATWVVDVKNGKGSVLPNSDKKADCT
+ITMADSDFLALMTGKMNPQSAFFQGKLKITGNMGLAMKLQNL
+>Q8NBX0_PF03435_11 <unknown description>
+VVFGASGFTGQFVTEEVAREQVDPERSSRLPWAVAGRSREKLQRVLEKAALKLGRPTLSS
+EVGIIICDIANPASLDEMAKQATVVLNCVGPYRFYGEPVIKACIENGASCIDISGEPQFL
+ELMQLKYHEKAADKGVYII
+>Q8NBX0_PF16653_151 <unknown description>
+SSGFDSIPADLGVIYTRNKMNGTLTAVESFLTIHSGPEGLSIHDGTWKSAIYGFGDQSNL
+RKLRNVSNLKPVPLIGPKLKRRWPISYCRELKGYSIPFMGSDVSVVRRTQRYLYENLEES
+PVQYAAYVTVGGITSVIKLMFAGLFFLFFVRFGIGRQLLIKFPWFFSFGYFSKQGPTQKQ
+IDAASFTLTFFGQGYSQGTGTDKNKPNIKICTQVKGPEAGYVATPIAMVQAAMTLLSDAS
+HLPKAGGVFTPGAAFSKTKLIDRLNKHGI
+>Q8WTV0_PF01130_16 <unknown description>
+VAGLLCAVLGAVMIVMVPSLIKQQVLKNVRIDPSSLSFNMWKEIPIPFYLSVYFFDVMNP
+SEILKGEKPQVRERGPYVYREFRHKSNITFNNNDTVSFLEYRTFQFQPSKSHGSESDYIV
+MPNILVLGAAVMMENKPMTLKLIMTLAFTTLGERAFMNRTVGEIMWGYKDPLVNLINKYF
+PGMFPFKDKFGLFAELNNSDSGLFTVFTGVQNISRIHLVDKWNGLSKVDFWHSDQCNMIN
+GTSGQMWPPFMTPESSLEFYSPEACRSMKLMYKESGVFEGIPTYRFVAPKTLFANGSIYP
+PNEGFCPCLESGIQNVSTCRFSAPLFLSHPHFLNADPVLAEAVTGLHPNQEAHSLFLDIH
+PVTGIPMNCSVKLQLSLYMKSVAGIGQTGKIEPVVLPLLWFAESGAMEGETLHTFYTQLV
+LMPKVMHYAQYVLLALGCVLLLVPVIC
+>Q14108_PF01130_12 <unknown description>
+LSLLLLVTSVTLLVARVFQKAVDQSIEKKIVLRNGTEAFDSWEKPPLPVYTQFYFFNVTN
+PEEILRGETPRVEEVGPYTYRELRNKANIQFGDNGTTISAVSNKAYVFERDQSVGDPKID
+LIRTLNIPVLTVIEWSQVHFLREIIEAMLKAYQQKLFVTHTVDELLWGYKDEILSLIHVF
+RPDISPYFGLFYEKNGTNDGDYVFLTGEDSYLNFTKIVEWNGKTSLDWWITDKCNMINGT
+DGDSFHPLITKDEVLYVFPSDFCRSVYITFSDYESVQGLPAFRYKVPAEILANTSDNAGF
+CIPEGNCLGSGVLNVSICKNGAPIIMSFPHFYQADERFVSAIEGMHPNQEDHETFVDINP
+LTGIILKAAKRFQINIYVKKLDDFVETGDIRTMVFPVMYLNESVHIDKETASRLKSMINT
+TLIITNIPYIIMALGVFFGLVFTW
+>O75711_PF15224_22 <unknown description>
+PANRLSCYRKILKDHNCHNLPEGVADLTQIDVNVQDHFWDGKGCEMICYCNFSELLCCPK
+DVFFGPKISFVIPCNN
+>Q14160_PF13855_36 <unknown description>
+RSLEELLLDANQLRELPKPFFRLLNLRKLGLSDNEIQRLPPEVANFMQLVELDVSRNDI
+>Q14160_PF13855_128 <unknown description>
+RSLAHLALNDVSLQALPGDVGNLANLVTLELRENLLKSLPASLSFLVKLEQLDLGGNDL
+>Q14160_PF00595_730 <unknown description>
+TILRQTGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPAARAGVRVGDKLLEVNGVALQ
+GAEHHEAVEALRGAGTAVQMRV
+>Q14160_PF00595_868 <unknown description>
+SERGLGFSIAGGKGSTPYRAGDAGIFVSRIAEGGAAHRAGTLQVGDRVLSINGVDVTEAR
+HDHAVSLLTAASPTIALLL
+>Q14160_PF00595_1005 <unknown description>
+IRLPRAGGPLGLSIVGGSDHSSHPFGVQEPGVFISKVLPRGLAARSGLRVGDRILAVNGQ
+DVRDATHQEAVSALLRPCLELSLLV
+>Q14160_PF00595_1103 <unknown description>
+IQKAPGERLGISIRGGARGHAGNPRDPTDEGIFISKVSPTGAAGRDGRLRVGLRLLEVNQ
+QSLLGLTHGEAVQLLRSVGDTLTVLV
+>Q12765_PF03577_88 <unknown description>
+VCIANEAINTREPAAEIEALLGMDLVRLGLERGETAKEALDVIVSLLEEHGQGGNYFEDA
+NSCHSFQSAYLIVDRDEAWVLETIGKYWAAEKVTEGVRCICSQLSLTTKMDAEHPELRSY
+AQSQGWWTGEGEFNFSEVFSPVE
+>Q96FV2_PF03577_95 <unknown description>
+IGNEAVWTKEPVGEGEALLGMDLLRLALERSSSAQEALHVITGLLEHYGQGGNCLEDAAP
+FSYHSTFLLADRTEAWVLETAGRLWAAQRIQEGARNISNQLSIGTDISAQHPELRTHAQA
+KGWWDGQGAFDFAQIFSLT
+>Q0VDG4_PF03577_90 <unknown description>
+IGNEAVWGREEVCDEEALLGMDLVRLGLERADTAEKALNVIVDLLEKYGQGGNCTEGRMV
+FSYHNSFLIADRNEAWILETAGKYWAAEKVQEGVRNISNQLSITTKIAREHPDMRNYAKR
+KGWWDGKKEFDFAAAYSYLDTAKMMTSSGRYCE
+>Q9BWW7_PF00096_191 <unknown description>
+HACGECGKTYATSSNLSRHKQTH
+>Q9BWW7_PF13912_222 <unknown description>
+RRCPTCGKVYVSMPAMAMHLLTH
+>Q9BWW7_PF00096_248 <unknown description>
+HKCGVCGKAFSRPWLLQGHMRSH
+>Q9BWW7_PF00096_278 <unknown description>
+CAHCGKAFADRSNLRAHMQTH
+>Q9BWW7_PF00096_304 <unknown description>
+FQCKRCKKSFALKSYLNKHY
+>Q9NQ03_PF00096_156 <unknown description>
+ACAECGKTYATSSNLSRHKQTH
+>Q9NQ03_PF13912_186 <unknown description>
+RKCPTCGKAYVSMPALAMHLLTH
+>Q9NQ03_PF00096_212 <unknown description>
+HKCGVCGKAFSRPWLLQGHMRSH
+>Q9NQ03_PF00096_242 <unknown description>
+CAHCGKAFADRSNLRAHMQTH
+>P47872_PF02793_64 <unknown description>
+PGCEGMWDNISCWPSSVPGRMVEVECPRFLRMLTSRNGSLFRNCTQDGWSETFPRPNLAC
+GV
+>P47872_PF00002_140 <unknown description>
+LKLKVMYTVGYSSSLVMLLVALGILCAFRRLHCTRNYIHMHLFVSFILRALSNFIKDAVL
+FSSDDVTYCDAHRAGCKLVMVLFQYCIMANYSWLLVEGLYLHTLLAISFFSERKYLQGFV
+AFGWGSPAIFVALWAIARHFLEDVGCWDINANASIWWIIRGPVILSILINFILFINILRI
+LMRKLRTQETRGNEVSHYKRLARSTLLLIPLFGIHYIVFAFSPEDAMEIQLFFELALGSF
+QGLVV
+>Q8IWY4_PF12947_37 <unknown description>
+CSEGTDDCHIDAICQNTPKSYKCLCKPGYKGEGKQC
+>Q8IWY4_PF12662_98 <unknown description>
+YRCTCFDGFMLAHDGHNCLDVDE
+>Q8IWY4_PF14670_121 <unknown description>
+CQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTC
+>Q8IWY4_PF14670_245 <unknown description>
+CAVNNGGCDRTCKDTATGVRCSCPVGFTLQPDGKTC
+>Q8IWY4_PF14670_286 <unknown description>
+CLVNNGGCDHFCRNTVGSFECGCRKGYKLLTDERTC
+>Q8IWY4_PF07645_323 <unknown description>
+DIDECSFERTCDHICINSPGSFQCLCHRGYI
+>Q8IWY4_PF07645_362 <unknown description>
+DVDECSMSNGSCDQGCVNTKGSYECVCPPGRRLHWNGK
+>Q8IWY4_PF07699_636 <unknown description>
+GTHFGGELGQCVSCMPGTYQDMEGQLSCTPCPSSDGLGLPGARNVSEC
+>Q8IWY4_PF07699_690 <unknown description>
+GFFSADGFKPCQACPVGTYQPEPGRTGCFPCGGGLLTKHEGTTSFQDC
+>Q8IWY4_PF07699_746 <unknown description>
+GHHYNTTTHRCIRCPVGTYQPEFGQNHCITCPGNTSTDFDGSTNVTHC
+>Q8IWY4_PF00431_798 <unknown description>
+CGGELGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVVPEIFLPIEDECGDVLVM
+RKSASPTSITTYETCQTYERPIAFTSRSRKLWIQFKSNEGNSGKGFQVPY
+>Q8IX30_PF12947_33 <unknown description>
+CVEGTDNCHIDAICQNTPRSYKCICKSGYTGDGKHC
+>Q8IX30_PF12662_93 <unknown description>
+YRCTCYDGFHLAHDGHNCLDVDE
+>Q8IX30_PF14670_240 <unknown description>
+CAVNNGGCDSKCHDAATGVHCTCPVGFMLQPDRKTC
+>Q8IX30_PF14670_281 <unknown description>
+CRLNNGGCDHICRNTVGSFECSCKKGYKLLINERNC
+>Q8IX30_PF07645_318 <unknown description>
+DIDECSFDRTCDHICVNTPGSFQCLCHRGYL
+>Q8IX30_PF07645_357 <unknown description>
+DVDECSINRGGCRFGCINTPGSYQCTCPAGQ
+>Q8IX30_PF07699_642 <unknown description>
+GTYYHGQTEQCVPCPAGTFQEREGQLSCDLCPGSDAHGPLGATNVTTC
+>Q8IX30_PF07699_696 <unknown description>
+GQHSVDGFKPCQPCPRGTYQPEAGRTLCFPCGGGLTTKHEGAISFQDC
+>Q8IX30_PF07699_752 <unknown description>
+GHYYNTSIHRCIRCAMGSYQPDFRQNFCSRCPGNTSTDFDGSTSVAQC
+>Q8IX30_PF00431_804 <unknown description>
+CGGELGEFTGYIESPNYPGNYPAGVECIWNINPPPKRKILIVVPEIFLPSEDECGDVLVM
+RKNSSPSSITTYETCQTYERPIAFTARSRKLWINFKTSEANSARGFQIPY
+>Q7RTU7_PF00010_76 <unknown description>
+QRHTANARERDRTNSVNTAFTALRTLIPTEPADRKLSKIETLRLASSYISHL
+>Q96KG9_PF00069_36 <unknown description>
+KKATGSPVSIFVYDVKPGAEEQTQVAKAAFKRFKTLRHPNILAYIDGLETEKCLHVVTEA
+VTPLGIYLKARVEAGGLKELEISWGLHQIVKALSFLVNDCSLIHNNVCMAAVFVDRAGEW
+KLGGLDYMYSAQGNGGGPPRKGIPELEQYDPPELADSSGRVVREKWSADMWRLGCLIWEV
+FNGPLPRA
+>Q6P3W7_PF00069_42 <unknown description>
+GNGLAWKIFNGTKKSTKQEVAVFVFDKKLIDKYQKFEKDQIIDSLKRGVQQLTRLRHPRL
+LTVQHPLEESRDCLAFCTEPVFASLANVLGNWENLPSPISPDIKDYKLYDVETKYGLLQV
+SEGLSFLHSSVKMVHGNITPENIILNKSGAWKIMGFDFCVSSTNPSEQEPKFPCKEWDPN
+LPSLCLPNPEYLAPEYILSVSCETASDMYSLGTVMYAVFNKGKPIFEVNKQDIYKSFSRQ
+LDQLSRLGSSSLTNIPEEVREHVKLLLNVTPTVRPDADQMTKIPFF
+>Q9NVU7_PF08158_62 <unknown description>
+FMAQISHCYPEYLSNFPQEVKDLLSCNHTVLDPDLRMTFCKALILLRNKNLI
+>Q9NVU7_PF05285_409 <unknown description>
+MTEELLQDLAQYKTHKDKNVMMSARTLIHLFRTLNPQMLQKKFRGKPTEASIEARVQEYG
+ELDAKDYIPGAEVLEVEKEENAENDEDGWESTSLSEEEDADGEWIDVQHSSDEEQQE
+>Q9NVU7_PF05285_529 <unknown description>
+KLNSMPMEERKAKAAAISTSRVLTQEDFQKIRMAQMRKELDAAPGKSQKRKYIEIDSDEE
+PRGELLSLRDIERLHKKPKSDKETRLATAMAGKTDRKEFVRKKTKTNPFSSSTNKEKKKQ
+KNFMMMRYSQNVRSKNKRSFREKQLALRDALLKKRK
+>P18827_PF01034_246 <unknown description>
+LLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQ
+EEF
+>P34741_PF01034_138 <unknown description>
+SLFKRTEVLAAVIAGGVIGFLFAIFLILLLVYRMRKKDEGSYDLGERKPSSAAYQKAPTK
+EF
+>O75056_PF01034_378 <unknown description>
+SILERKEVLVAVIVGGVVGALFAAFLVTLLIYRMKKKDEGSYTLEEPKQASVTYQKPDKQ
+EEF
+>P31431_PF01034_139 <unknown description>
+NIFERTEVLAALIVGGIVGILFAVFLILLLMYRMKKKDEGSYDLGKKPIYKKAPTNEF
+>O00560_PF00595_115 <unknown description>
+VILCKDQDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKA
+HKVLKQAFGEKITMTIR
+>O00560_PF00595_214 <unknown description>
+KNGKITSIVKDSSAARNGLLTEHNICEINGQNVIGLKDSQIADILSTSGTVVTITIM
+>Q9H190_PF00595_109 <unknown description>
+IHLCKDERGKTGLRLRKVDQGLFVQLVQANTPASLVGLRFGDQLLQIDGRDCAGWSSHKA
+HQVVKKASGDKIVVVV
+>Q86SQ7_PF15964_6 <unknown description>
+ENSTLEEILGQYQRSLREHASRSIHQLTCALKEGDVTIGEDAPNLSFSTSVGNEDARTAW
+PELQQSHAVNQLKDLLRQQADKESEVSPSRRRKMSPLRSLEHEETNMPTMHDLVHTINDQ
+SQYIHHLEAEVKFCKEELSGMKNKIQVVVLENEGLQQQLKSQRQEETLREQTLLDASGNM
+HNSWITTGEDSGVGETSKRPFSHDNADFGKAASAGEQLELEKLKLTYEEKCEIEESQLKF
+LRNDLAEYQRTCEDLKEQLKHKEFLLAANTCNRVGGLCLKCAQHEAVLSQTHTNVHMQTI
+ERLVKERDDLMSALVSVRSSLADTQQREASAYEQVKQVLQISEEANFEKTKALIQCDQLR
+KELERQAERLEKELASQQEKRAIEKDMMKKEITKEREYMGSKMLILSQNIAQLEAQVEKV
+TKEKISAINQLEEIQSQLASREMDVTKVCGEMRYQLNKTNMEKDEAEKEHREFRAKTNRD
+LEIKDQEIEKLRIELDESKQHLEQEQQKAALAREECLRLTELLGESEHQLHLTRQEKDSI
+QQSFSKEAKAQALQAQQREQELTQKIQQMEAQHDKTENEQYLLLTSQNTFLTKLKEECCT
+LAKKLEQISQKTRSEIAQLSQEKRYTYDKLGKLQRRNEELEEQCVQHGRVHETMKQRLRQ
+LDKHSQATAQQLVQLLSKQNQLLLERQSLSEEVDRLRTQL
+>Q6IQ49_PF13019_67 <unknown description>
+VYSLEPRLCGGKGGFGSMLRALGAQIEKTTNREACRDLSGRRLRDVNHEKAMAEWVKQQA
+EREAEKEQKRLERLQ
+>Q6IQ49_PF13297_373 <unknown description>
+SQPGNAVIDKETIDLLAFTSVAELELLGLEKLKCELMALGLKCGGTLQERAARLFSVRGL
+AKEQIDPALFAK
+>P48061_PF00048_29 <unknown description>
+RCPCRFFESHVARANVKHLKILNTPNCALQIVARLKNNNRQVCIDPKLKWIQEYLEK
+>Q9HCN8_PF02815_45 <unknown description>
+LNTHHRVRLHSHDIKYGSGSGQQSVTGVEASDDANSYWRIRGGSEGGCPRGSPVRCGQAV
+RLTHVLTGKNLHTHHFPSPLSNNQEVSAFGEDGEGDDLDLWTVRCSGQHWEREAAVRFQH
+VGTSVFLSVT
+>Q99470_PF02815_33 <unknown description>
+LNTRHNVRLHSHDVRYGSGSGQQSVTGVTSVDDSNSYWRIRGKSATVCERGTPIKCGQPI
+RLTHVNTGRNLHSHHFTSPLSGNQEVSAFGEEGE
+>P31040_PF00890_63 <unknown description>
+DAVVVGAGGAGLRAAFGLSEAGFNTACVTKLFPTRSHTVAAQGGINAALGNMEEDNWRWH
+FYDTVKGSDWLGDQDAIHYMTEQAPAAVVELENYGMPFSRTEDGKIYQRAFGGQSLKFGK
+GGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMENGECRGVIALCIEDG
+SIHRIRAKNTVVATGGYGRTYFSCTSAHTSTGDGTAMITRAGLPCQDLEFVQFHPTGIYG
+AGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTLEIREGRGCGPEKD
+HVYLQLHHLPPEQLATRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKGQVL
+RHVNGQDQIVPGLYACGEAACASVHGANRLGANSL
+>P31040_PF02910_513 <unknown description>
+LSMQKSMQNHAAVFRVGSVLQEGCGKISKLYGDLKHLKTFDRGMVWNTDLVETLELQNLM
+LCALQTIYGAEARKESRGAHAREDYKVRIDEYDYSKPIQGQQKKPFEEHWRKHTLSYVDV
+GTGKVTLEYRPVIDKTLNEADCATVPPAIRSY
+>P21912_PF13085_42 <unknown description>
+FAIYRWDPDKAGDKPHMQTYEVDLNKCGPMVLDALIKIKNEVDSTLTFRRSCREGICGSC
+AMNINGGNTLACTRRIDTNLNKVSKIYPLPHMYVIKDLVPDLSNFY
+>P21912_PF13534_185 <unknown description>
+ECILCACCSTSCPSYWWNGDKYLGPAVLMQAYRWMIDSRDDFTEERLAKLQDPFSLYRCH
+TIMNCTRTCPKGLN
+>A6NFY7_PF05347_9 <unknown description>
+RQVLSLYRDLLRAGRGKPGAEARVRAEFRQHAGLPRSDVLRIEYLYRRGRRQLQ
+>Q9NX18_PF03937_68 <unknown description>
+ARLLYESRKRGMLENCILLSLFAKEHLQHMTEKQLNLYDRLINEPSNDWDIYYWATEAKP
+APEIFENEVMALLRDF
+>Q9NRP4_PF13233_9 <unknown description>
+VRALYKRVLQLHRVLPPDLKSLGDQYVKDEFRRHKTVGSDEAQRFLQEWEVYATALLQQA
+NENRQNSTGKACFGTFLPEEKLNDFRDEQIGQ
+>Q5VUM1_PF07896_62 <unknown description>
+EDSHLEKEPLEKFPDDVNPVTKEKGGPRGPEPTRYGDWERKGRCIDF
+>P20132_PF00291_9 <unknown description>
+VKTPIRDSMALSKMAGTSVYLKMDSAQPSGSFKIRGIGHFCKRWAKQGCAHFVCSSAGNA
+GMAAAYAARQLGVPATIVVPSTTPALTIERLKNEGATVKVVGELLDEAFELAKALAKNNP
+GWVYIPPFDDPLIWEGHASIVKELKETLWEKPGAIALSVGGGGLLCGVVQGLQEVGWGDV
+PVIAMETFGAHSFHAATTAGKLVSLPKITSVAKALGVKTVGAQALKLFQEHPIFSEVISD
+QEAVAAIEKFVDDEKILVEPACGAALAAVYSHVIQKLQLEGNLRTPLPSLVVIVCG
+>Q7Z5N4_PF13927_116 <unknown description>
+HLEGNRLVLTCLAEGSWPLEFKWMRDDSELTTYSSEYKYIIPSLQKLDAGFYRCVVRN
+>Q7Z5N4_PF07679_198 <unknown description>
+QRKTVSQGRAAILNLLPITSYPRPQVTWFREGHKIIPSNRIAITLENQLVILATTTSDAG
+AYYVQAVNEKNGENKT
+>Q7Z5N4_PF13927_293 <unknown description>
+PTIVVPPGNRSVVAGSSETTLECIASARPVEDLSVTWKRNGVRITSGLHSFGRRLTISNP
+TSADTGPYVCEA
+>Q7Z5N4_PF07679_386 <unknown description>
+PYFTAEPESRISAEVEETVDIGCQAMGVPLPTLQWYKDAISISRLQNPRYKVLASGGLRI
+QKLRPEDSGIFQCFASNEGGEIQTHTYLDV
+>Q7Z5N4_PF07679_480 <unknown description>
+PVFTQRPVDTTVTDGMTAILRCEVSGAPKPAITWKRENHILASGSVRIPRFMLLESGGLQ
+IAPVFIQDAGNYTCYAANTEGSLNASATLTV
+>Q7Z5N4_PF13927_575 <unknown description>
+SIVHPPEDHVVIKGTTATLHCGATHDPRVSLRYVWKKDNVALTPSSTSRIVVEKDGSLLI
+SQTWSGDIGDYSCEI
+>Q7Z5N4_PF00041_670 <unknown description>
+SPQNLLVSPNSSHSHAVVLSWVRPFDGNSPILYYIVELSENNSPWKVHLSNVGPEMTGVT
+VSGLTPARTYQFRVCAVNEVGRGQYS
+>Q7Z5N4_PF00041_771 <unknown description>
+PPKNIVASGRTNQSIMVQWQPPPETEHNGVLRGYILRYRLAGLPGEYQQRNITSPEVNYC
+LVTDLIIWTQYEIQVAAYNGAGLGVFS
+>Q7Z5N4_PF00041_872 <unknown description>
+PPQNVQTEAVNSTTIQFLWNPPPQQFINGINQGYKLLAWPADAPEAVTVVTIAPDFHGVH
+HGHITNLKKFTAYFTSVLCFTTPGDGPPS
+>Q7Z5N4_PF00041_974 <unknown description>
+AVGHLSFTEILDTSLKVSWQEPLEKNGIITGYQISWEVYGRNDSRLTHTLNSTTHEYKIQ
+GLSSLTTYTIDVAAVTAVGTG
+>Q7Z5N4_PF00041_1072 <unknown description>
+APSNLVISNISPRSATLQFRPGYDGKTSISRWIVEGQVGAIGDEEEWVTLYEEENEPDAQ
+MLEIPNLTPYTHYRFRMKQVNIVGPSPYS
+>Q7Z5N4_PF00041_1176 <unknown description>
+APTSVTVRTASETSLRLRWVPLPDSQYNGNPESVGYRIKYWRSDLQSSAVAQVVSDRLER
+EFTIEELEEWMEYELQMQAFNAVGAGPWS
+>Q7Z5N4_PF00041_1279 <unknown description>
+APENVSAEAVSSTQILLTWTSVPEQDQNGLILGYKILFRAKDLDPEPRSHIVRGNHTQSA
+LLAGLRKFVLYELQVLAFTRIGNGVPS
+>Q7Z5N4_PF00041_1380 <unknown description>
+PPVRLVFPEVRLTSVRIVWQPPEEPNGIILGYQIAYRLASSSPHTFTTVEVGATVRQFTA
+TDLAPESAYIFRLSAKTRQGWGEP
+>Q7Z5N4_PF00041_1489 <unknown description>
+EVTARSLRLQWVPGSDGASPIRYFTMQVRELPRGEWQTYSSSISHEATACVVDRLRPFTS
+YKLRLKATNDIGDSDFS
+>Q7Z5N4_PF00041_1581 <unknown description>
+PPGSVSATPHTTSSVLIQWQPPRDESLNGLLQGYRIYYRELEYEAGSGTEAKTLKNPIAL
+HAELTAQSSFKTVNSSSTSTMCELTHLKKYRRYEVIMTAYNIIGESPAS
+>Q7Z5N4_PF00041_1704 <unknown description>
+APQNVQVTPLTASQLEVTWDPPPPESQNGNIQGYKIYYWEADSQNETEKMKVLFLPEPVV
+RLKNLTSHTKYLVSISAFNAAGDGPKS
+>Q7Z5N4_PF00041_1804 <unknown description>
+APSFLAFSEITSTTLNVSWGEPAAANGILQGYRVVYEPLAPVQGVSKVVTVEVRGNWQRW
+LKVRDLTKGVTYFFRVQART
+>Q7Z5N4_PF00041_1905 <unknown description>
+SPRDVLVTKSASELTLQWTEGHSGDTPTTGYVIEARPSDEGLWDMFVKDIPRSATSYTLS
+LDKLRQGVTYEFRVVAVNEAGYGEPS
+>Q58EX2_PF07679_115 <unknown description>
+FEEGEKHQSVSHGEAAVIRAPRIASFPQPQVTWFRDGRKIPPSSRIAITLENTLVILSTV
+APDAGRYYVQAVNDKNGDNKT
+>Q58EX2_PF13927_215 <unknown description>
+PTIIIPPKNTSVVAGTSEVTLECVANARPLIKLHIIWKKDGVLLSGGISDHNRRLTIPNP
+TGSDAGYYECEA
+>Q58EX2_PF07679_308 <unknown description>
+PQFVKEPERHITAEMEKVVDIPCQAKGVPPPSITWYKDAAVVEVEKLTRFRQRNDGGLQI
+SGLVPDDTGMFQCFARNAAGEVQTSTYLAV
+>Q58EX2_PF07679_402 <unknown description>
+PNITRGPLDSTVIDGMSVVLACETSGAPRPAITWQKGERILASGSVQLPRFTPLESGSLL
+ISPTHISDAGTYTCLATNSRGVDEASADLVV
+>Q58EX2_PF13927_498 <unknown description>
+ITKPPQDQSVIKGTQASMVCGVTHDPRVTIRYIWEKDGATLGTESHPRIRLDRNGSLHIS
+QTWSGDIGTYTCRV
+>Q58EX2_PF00041_600 <unknown description>
+LSTVERRAINLTWTKPFDGNSPLIRYILEMSENNAPWTVLLASVDPKATSVTVKGLVPAR
+SYQFRLCAVNDVGKGQFS
+>Q58EX2_PF00041_693 <unknown description>
+PPQNVIASGRTNQSIMIQWQPPPESHQNGILKGYIIRYCLAGLPVGYQFKNITDADVNNL
+LLEDLIIWTNYEIEVAAYNSAGLGVYS
+>Q58EX2_PF00041_794 <unknown description>
+PPGNVHAEATNSTTIRFTWNAPSPQFINGINQGYKLIAWEPEQEEEVTMVTARPNFQDSI
+HVGFVSGLKKFTEYFTSVLCFTTPGDGPRS
+>Q58EX2_PF00041_897 <unknown description>
+PVGHLSFSEILDTSLKVSWQEPGEKNGILTGYRISWEEYNRTNTRVTHYLPNVTLEYRVT
+GLTALTTYTIEVAAMTSKGQGQV
+>Q58EX2_PF00041_995 <unknown description>
+PPTNLGISNIGPRSVTLQFRPGYDGKTSISRWLVEAQVGVVGEGEEWLLIHQLSNEPDAR
+SMEVPDLNPFTCYSFRMRQVNIVGTSPPS
+>Q58EX2_PF00041_1099 <unknown description>
+APANVSLRTASETSLWLRWMPLPEMEYNGNPESVGYKIKYSRSDGHGKTLSHVVQDRVER
+DYTIEDLEEWTEYRVQVQAFNAIGSGPWS
+>Q58EX2_PF00041_1202 <unknown description>
+GPTNVSALATTSSSMLVRWSEVPEADRNGLVLGYKVMYKEKDSDTQPRFWLVEGNSSRSA
+QLTGLGKYVLYEVQVLAFTRIGDGSPS
+>Q58EX2_PF00041_1303 <unknown description>
+PPMGILFPEVRTTSVRLIWQPPAAPNGIILAYQITHRLNTTTANTATVEVLAPSARQYTA
+TGLKPESVYLFRITAQTRKGWGE
+>Q58EX2_PF00041_1408 <unknown description>
+VQQEDVRARSVLLSWEPGSDGLSPVRYYTIQTRELPSGRWALHSASVSHNASSFIVDRLK
+PFTSYKFRVKATNDIGDSEFS
+>Q58EX2_PF00041_1504 <unknown description>
+APTILSVTPHTTTSVLIRWQPPAEDKINGILLGFRIRYRELLYEGLRGFTLRGINNPGAT
+WAELTSMYSMRNLSRPSLTQYELDNLNKHRRYEIRMSVYNAVGEGPSS
+>Q58EX2_PF00041_1626 <unknown description>
+APRNVVVHGATATQLDVTWEPPPLDSQNGDIQGYKIYFWEAQRGNLTERVKTLFLAENSV
+KLKNLTGYTAYMVSVAAFNAAGDGPRS
+>Q58EX2_PF00041_1725 <unknown description>
+SAPSSVKFSELTTTSVNVSWEAPQFPNGILEGYRLVYEPCSPVDGVSKIVTVDVKGNSPL
+WLKVKDLAEGVTYRFRIRAKT
+>Q58EX2_PF00041_1827 <unknown description>
+PPGVPIIVRYSSAIAIHWSSGDPGKGPITRYVIEARPSDEGLWDILIKDIPKEVSSYTFS
+MDILKPGVSYDFRVIAVNDYGFGTPS
+>Q9H7L9_PF08598_62 <unknown description>
+EEDYVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYKERIRNAELFLQLET
+EQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPIM
+TRKLRRRPNDPVPIP
+>Q96GA7_PF00291_17 <unknown description>
+VTPLLESWALSQVAGMPVFLKCENVQPSGSFKIRGIGHFCQEMAKKGCRHLVCSSGGNAG
+IAAAYAARKLGIPATIVLPESTSLQVVQRLQGEGAEVQLTGKVWDEANLRAQELAKRDGW
+ENVPPFDHPLIWKGHASLVQELKAVLRTPPGALVLAVGGGGLLAGVVAGLLEVGWQHVPI
+IAMETHGAHCFNAAITAGKLVTLPDITSVAKSLGAKTVAARALECMQVCKIHSEVVEDTE
+AVSAVQQLLDDERMLVEPACGAALAAIYSGLLRRLQAEGCLPPSLTSVVVIVCG
+>Q9UBV2_PF00040_127 <unknown description>
+CHFPFLFLDKEYDECTSDGREDGRLWCATTYDYKADEKWGFC
+>Q9UBV2_PF08238_184 <unknown description>
+EMMYQTGMKILNGSNKKSQKREAYRYLQKAASMNH
+>Q9UBV2_PF08238_221 <unknown description>
+ALERVSYALLFGDYLPQNIQAAREMFEKLTEEGS
+>Q9UBV2_PF08238_256 <unknown description>
+KGQTALGFLYASGLGVNSSQAKALVYYTFGAL
+>Q9UBV2_PF08238_293 <unknown description>
+AHMVLGYRYWAGIGVLQSCESALTHYRL
+>Q9UBV2_PF08238_374 <unknown description>
+QAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGN
+>Q9UBV2_PF08238_410 <unknown description>
+SHAMAFLGKMYSEGSDIVPQSNETALHYFKKAADMGN
+>Q9UBV2_PF08238_449 <unknown description>
+GQSGLGMAYLYGRGVQVNYDLALKYFQKAAEQG
+>Q9UBV2_PF08238_485 <unknown description>
+GQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGH
+>Q9UBV2_PF08238_523 <unknown description>
+YNLAQMHASGTGVMRSCHTAVELFK
+>Q9UBV2_PF08238_611 <unknown description>
+YPRALLHWNRAASQGY
+>Q9UBV2_PF08238_629 <unknown description>
+ARIKLGDYHFYGFGTDVDYETAFIHYRLASEQQH
+>Q9UBV2_PF08238_664 <unknown description>
+AQAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEA
+>Q5TEA6_PF08238_126 <unknown description>
+QKEEAYLLFAKAADMGN
+>Q5TEA6_PF08238_144 <unknown description>
+KAMEKMADALLFGNFGVQNITAAIQLYESLAKEGS
+>Q5TEA6_PF08238_180 <unknown description>
+KAQNALGFLSSYGIGMEYDQAKALIYYT
+>Q5TEA6_PF08238_217 <unknown description>
+SQMILGYRYLSGINVLQNCEVALSYYKKV
+>Q5TEA6_PF08238_303 <unknown description>
+LGQLHLIGRKGLDQDYYKALHYFLKAAKAGS
+>Q5TEA6_PF08238_335 <unknown description>
+NAMAFIGKMYLEGNAAVPQNNATAFKYFSMAASKGN
+>Q5TEA6_PF08238_374 <unknown description>
+LHGLGLLYFHGKGVPLNYAEALKYFQKAAEKG
+>Q5TEA6_PF08238_407 <unknown description>
+PDAQFQLGFMYYSGSGIWKDYKLAFKYFYLASQSG
+>Q5TEA6_PF08238_444 <unknown description>
+LAIYYLAKMYATGTGVVRSCRTAVELYK
+>Q5TEA6_PF08238_553 <unknown description>
+ARVKIGDYHYYGYGTKKDYQTAATHYSIAANK
+>Q5TEA6_PF08238_588 <unknown description>
+AQAMFNLAYMYEHGLGITKDIHLARRLYDMAA
+>Q68CR1_PF08238_572 <unknown description>
+ASYYLAVFYETGLNVPRDQLQG
+>Q68CR1_PF08238_689 <unknown description>
+AAAQQRLAQMLFWGQQGVAKNPEAAIEWYAKGA
+>Q68CR1_PF08238_731 <unknown description>
+YDYAIVLFKGQGVKKNRRLALELMKKAASKG
+>Q68CR1_PF08238_777 <unknown description>
+KKNYAKAAKYWLKAEEMGN
+>Q68CR1_PF08238_796 <unknown description>
+PDASYNLGVLHLDGIFPGVPGRNQTLAGEYFHKAAQGGH
+>Q68CR1_PF08238_948 <unknown description>
+FAYLKMGDLYYYGHQNQSQDLELSVQMYAQAALDGD
+>Q9BYH1_PF00084_393 <unknown description>
+CNFPRRPDSGDVTVMDLHSGGVAHFHCHLGYELQGAKMLTCINASKPHWSSQEPIC
+>Q9BYH1_PF00431_452 <unknown description>
+CGGAVHNATIGRVLSPSYPENTNGSQFCIWTIEAPEGQKLHLHFERLLLHDKDRMTVHSG
+QTNKSALLYDSLQTESVPFEGLLSEGNTIRIEFTSDQARAASTFNIRF
+>Q9BYH1_PF00084_567 <unknown description>
+CYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSLEQGPAIIECINVRDPYWNDTEPLC
+>Q9BYH1_PF00431_628 <unknown description>
+CGGELSAVAGVVLSPNWPEPYVEGEDCIWKIHVGEEKRIFLDIQFLNLSNSDILTIYDGD
+EVMPHILGQYLGNSGPQKLYSSTPDLTIQFHSDPA
+>Q9BYH1_PF00084_745 <unknown description>
+CSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIVGSDTLTCQWDLSWSSDPPFC
+>Q9BYH1_PF00084_806 <unknown description>
+CTDPGEVDHSTRLISDPVLLVGTTIQYTCNPGFVLEGSSLLTCYSRETGTPIWTSRLPHC
+>Q9BYH1_PF00084_873 <unknown description>
+CDNPGLPENGYQILYKRLYLPGESLTFMCYEGFELMGEVTIRCILGQPSHWNGPLPVC
+>Q9HB31_PF00046_20 <unknown description>
+RRKRTTFSKGQLLELERAFAAWPYPNISTHEHLAWVTCLPEAKVQVWFQKRWAK
+>Q96T21_PF01248_658 <unknown description>
+RMYQKDPVKAKTKRRLVLGLREVLKHLKLKKLKCVIISPNCEKIQSKGGLDDTLHTIIDY
+ACEQNIPFVFALNRKALGRSLNKAVPVSVVGIFSYDGAQD
+>P55735_PF00400_11 <unknown description>
+SHEDMIHDAQMDYYGTRLATCSSDRSVKIFD
+>P55735_PF00400_51 <unknown description>
+ADLRGHEGPVWQVAWAHPMYGNILASCSYDRKVIIW
+>P55735_PF00400_94 <unknown description>
+EKSHEHAGHDSSVNSVCWAPHDYGLILACGSSDGAIS
+>P55735_PF00400_204 <unknown description>
+EEQKLEAHSDWVRDVAWAPSIGLPTSTIASCSQDGRVFIW
+>P55735_PF00400_259 <unknown description>
+HKFNDVVWHVSWSITANILAVSGGDNKVTLWK
+>Q12981_PF03908_134 <unknown description>
+TSSTITESLMGISRMMAQQVQQSEEAMQSLVTSSRTILDANEEFKSMSGTIQLGRKLITK
+YNRRELTDKLLIFLALALFLATVLYIVKKRL
+>Q99442_PF03839_90 <unknown description>
+FFHRALKVMKMKYDKDIKKEKDKGKAESGKEEDKKSKKENIKDEKTKKEKEKKKDGEKEE
+SKKEETPGTPKKKETKKKFKLEPHDDQVFLDGNEVYVWIYDPVHFKTFVMGLILVIAVIA
+ATLFPLWPAEMRVGVYYLSVGAGCFVASILLLAVARCILFLIIWLITGGRHHFWFLPNLT
+ADVGFIDSFRPLYTHE
+>Q9UGP8_PF00226_104 <unknown description>
+NPYEVLNLDPGATVAEIKKQYRLLSLKYHPDKGGDEVMFMRIAKAYAALTDEESRKNW
+>Q9UGP8_PF02889_230 <unknown description>
+IYTYFVYKTRNMDMKRLIMVLAGASEFDPQYNKDATSRPTDNILIPQLIREIGSINLKKN
+EPPLTCPYSLKARVLLLSHLARMKIPETLEEDQQFMLKKCPALLQEMVNVICQLIVMARN
+REEREFRAPTLASLENCMKLSQMAVQGLQQFKSPLLQLPHIEEDNLRRVSNHKKYKIKTI
+QDLVSLKESDRHTLLHFLEDEKYEEVMAVLGSFPYVTMDIKSQVLDDEDSNNITVGSLVT
+VLVKLTRQTMAEVFEKEQS
+>Q9UGP8_PF02889_620 <unknown description>
+IQRKERALLETKSKITHPVYSLYFPEEKQEWWWLYIADRKEQTLISMPYHVCTLKDTEEV
+ELKFPAPGKPGNYQYTVFLRSDSYMGLDQIKP
+>P09683_PF00123_28 <unknown description>
+HSDGTFTSELSRLREGARLQRLLQGLVG
+>O76038_PF13499_110 <unknown description>
+FMQIWRKYDADSSGFISAAELRNFLRDLFLHHKKAISEAKLEEYTGTMMKIFDRNKDGRL
+DLNDLARI
+>O76038_PF13202_254 <unknown description>
+DVNKDGKIQKSELA
+>Q96EE3_PF00400_11 <unknown description>
+HKDLIHDVSFDFHGRRMATCSSDQSVKVWD
+>Q96EE3_PF00400_273 <unknown description>
+QFDNHNSQVWRVSWNITGTVLASSGDDGCVRLWK
+>Q9H4I8_PF00561_33 <unknown description>
+PPVLCLHGWLDNASSFDRLIPLLPQDFYYVAMDFGGHGLSSHYSPGVPYYLQTFVSEIRR
+VVAALKWNRFSILGHSFGGVVGGMFFCTFPEMVDKLILLDTPLFLLESDEMENLLTYKRR
+AIEHVLQVEASQEPSHVFSLKQLLQRLLKSNSHLSEECGELLLQRGTTKVATGLVLNRDQ
+RLAWAENSIDFISRELCAHSIRKLQAHVLLIKAVHGYFDSRQNYSEKESLSFMIDTMKST
+LKEQFQFVEVPGNHCVHMSEP
+>P57772_PF00009_8 <unknown description>
+VNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFSVPLPARLRSS
+LPEFQAAPEAEPEPGEPLLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQS
+AECLVIGQIACQKLVVVLNKIDLLPEGKRQAAIDKMTKKMQKTLENTKFRGAPIIPVAAK
+PGGPEAPETEAPQGIPEL
+>Q8IZQ5_PF10262_35 <unknown description>
+TVVIEHCTSURVYGRNAAALSQALRLEAPELPVKVNPTKPRRGSFEVTLLRPDGSSAELW
+TGIKKGPPRKLKFPEPQEVVEELK
+>Q9Y6D0_PF10961_2 <unknown description>
+VYISNGQVLDSRSQSPWRLSLITDFFWGIAEFVVLFFKTLLQQDVKKRRSYGNSSDSRYD
+DGRGPPGNPPRRMGRINHLRGPSPPPMAGG
+>Q8WWX9_PF08806_40 <unknown description>
+ARVETCGGUQLNRLKEVKAFVTQDIPFYHNLVMKHLPGADPELVLLGRRYEELERIPLSE
+MTREEINALVQELGF
+>Q9BVL4_PF02696_82 <unknown description>
+FTRVQPTPLRQPRLVALSEPALALLGLGAPPAREAEAEAALFFSGNALLPGAEPAAHCYC
+GHQFGQFAGQLGDGAAMYLGEVCTATGERWELQLKGAGPTPFSRQADGRKVLRSSIREFL
+CSEAMFHLGVPTTRAGACVTSESTVVRDVFYDGNPKYEQCTVVLRVASTFIRFGSFEIFK
+SADEHTGRAGPSVGRNDIRVQLLDYVISSFYPEIQAAHASDSVQRNAAFFREVTRRTARM
+VAEWQCVGFCHGVLNTDNMSILGLTIDYGPFGFLDRYDPDHVCNASDNTGRYAYSKQPEV
+CRWNLRKLAEALQPELPLELGEAILAEEFDAEFQRHYLQKMRRKLGLVQVELEEDGALVS
+KLLETMHLTGADFTNTFYLLSSFPVELESPGLAEFLARLMEQCASLEELRLAFRPQMDPR
+QLSMMLMLAQSNPQLFALMGTRAGIARELERVEQQSRLEQLSAAELQSRNQGHWADWLQA
+YRARLDKDLEGAGDAAAWQAEHVRVMHANNPKYVLRNYIAQNAIEAAERGDFSEVRRVLK
+>Q9BQE4_PF06936_3 <unknown description>
+RQEESLSARPALETEGLRFLHTTVGSLLATYGWYIVFSCILLYVVFQKLSARLRALRQRQ
+LDRAAAAVEPDVVVKRQEALAAARLKMQEELNAQVEKHKEKLKQLEEEKRRQKIEMWDSM
+QEGKSYKGNAKKPQEEDSPGPSTSSVLKRKSDRKPLRGGGYNPLSGEGGGACSWRPGRRG
+PSSGG
+>P62341_PF10262_42 <unknown description>
+KFQICVSUGYRRVFEEYMRVISQRYPDIRIEGENYLPQPIYRHIASFLSVFKLVLIGLII
+VGKDPFAFFGMQAPSIWQWGQENKVYACMMVFFLSNMIENQCMSTGAFEITLNDVPVWSK
+LESGHLPSMQQLVQILD
+>P59797_PF10262_265 <unknown description>
+IRVTYCGLUSYSLRYILLKKSLEQQFPNHLLFEEDRAAQATGEFEVFVNGRLVHSKKRGD
+GFVNESRLQKIV
+>P63302_PF10262_5 <unknown description>
+VRVVYCGAUGYKSKYLQLKKKLEDEFPGRLDICGEGTPQATGFFEVMVAGKLIHSKKKGD
+GYVDTE
+>P60896_PF05160_6 <unknown description>
+QPVDLGLLEEDDEFEEFPAEDWAGLDEDEDAHVWEDNWDDDNVEDDFSNQLRAELEK
+>Q14563_PF01403_59 <unknown description>
+TFLLDEERSRLYVGAKDHIFSFDLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFI
+KVLKAYNQTHLYACGTGAFHPICTYIEIGHHPEDNIFKLENSHFENGRGKSPYDPKLLTA
+SLLIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPKFISAHLISESDNP
+EDDKVYFFFRENAIDGEHSGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGP
+NGIDTHFDELQDVFLMNFKDPKNPVVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAH
+RDGPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPMNN
+RPIVIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSIPKETWYDLEEVLLE
+EMTVFREPTAISAMELS
+>Q14563_PF18452_591 <unknown description>
+SSTFLECSPKSQRALVYWQFQRRNEERKEEIRVDDHIIRTDQGLLLRSLQQKDSGNYLCH
+AVEHGFIQTLLKVTLEVI
+>Q13214_PF01403_58 <unknown description>
+LLVDEERGRLFVGAENHVASLNLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFV
+KLLHAYNRTHLLACGTGAFHPTCAFVEVGHRAEEPVLRLDPGRIEDGKGKSPYDPRHRAA
+SVLVGEELYSGVAADLMGRDFTIFRSLGQRPSLRTEPHDSRWLNEPKFVKVFWIPESENP
+DDDKIYFFFRETAVEAAPALGRLSVSRVGQICRNDVGGQRSLVNKWTTFLKARLVCSVPG
+VEGDTHFDQLQDVFLLSSRDHRTPLLYAVFSTSSSIFQGSAVCVYSMNDVRRAFLGPFAH
+KEGPMHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNSVLPTGG
+RPLFLQVGANYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPSAEGLLLE
+ELHVFEDSAAVTSMQISS
+>Q13214_PF00047_582 <unknown description>
+GVEGSSAFLECEPRSLQARVEWTFQRAGVTAHTQVLAEERTERTARGLLLRRLRRRDSGV
+YLCAAVEQGFTQPLR
+>Q99985_PF01403_57 <unknown description>
+LLMDEDQDRIYVGSKDHILSLNINNISQEALSVFWPASTIKVEECKMAGKDPTHGCGNFV
+RVIQTFNRTHLYVCGSGAFSPVCTYLNRGRRSEDQVFMIDSKCESGKGRCSFNPNVNTVS
+VMINEELFSGMYIDFMGTDAAIFRSLTKRNAVRTDQHNSKWLSEPMFVDAHVIPDGTDPN
+DAKVYFFFKEKLTDNNRSTKQIHSMIARICPNDTGGLRSLVNKWTTFLKARLVCSVTDED
+GPETHFDELEDVFLLETDNPRTTLVYGIFTTSSSVFKGSAVCVYHLSDIQTVFNGPFAHK
+EGPNHQLISYQGRIPYPRPGTCPGGAFTPNMRTTKEFPDDVVTFIRNHPLMYNSIYPIHK
+RPLIVRIGTDYKYTKIAVDRVNAADGRYHVLFLGTDRGTVQKVVVLPTNNSVSGELILEE
+LEVFKNHAPITTMKISS
+>Q99985_PF07679_590 <unknown description>
+LECAPKSPQASIKWLLQKDKDRRKEVKLNERIIATSQGLLIRSVQGSDQGLYHCIATENS
+FKQTIAKINFKV
+>O95025_PF01403_72 <unknown description>
+TLLLDEERGRLLLGAKDHIFLLSLVDLNKNFKKIYWPAAKERVELCKLAGKDANTECANF
+IRVLQPYNKTHIYVCGTGAFHPICGYIDLGVYKEDIIFKLDTHNLESGRLKCPFDPQQPF
+ASVMTDEYLYSGTASDFLGKDTAFTRSLGPTHDHHYIRTDISEHYWLNGAKFIGTFFIPD
+TYNPDDDKIYFFFRESSQEGSTSDKTILSRVGRVCKNDVGGQRSLINKWTTFLKARLICS
+IPGSDGADTYFDELQDIYLLPTRDERNPVVYGVFTTTSSIFKGSAVCVYSMADIRAVFNG
+PYAHKESADHRWVQYDGRIPYPRPGTCPSKTYDPLIKSTRDFPDDVISFIKRHSVMYKSV
+YPVAGGPTFKRINVDYRLTQIVVDHVIAEDGQYDVMFLGTDIGTVLKVVSISKEKWNMEE
+VVLEELQIFKHSSIILNMELS
+>O95025_PF18452_612 <unknown description>
+ECIPKSQQATIKWYIQRSGDEHREELKPDERIIKTEYGLLIRSLQKKDSGMYYCKAQEHT
+FIHTIVKLTLNVIENEQMENTQRAEHE
+>O15041_PF01403_60 <unknown description>
+TMLLDEYQERLFVGGRDLVYSLSLERISDGYKEIHWPSTALKMEECIMKGKDAGECANYV
+RVLHHYNRTHLLTCGTGAFDPVCAFIRVGYHLEDPLFHLESPRSERGRGRCPFDPSSSFI
+STLIGSELFAGLYSDYWSRDAAIFRSMGRLAHIRTEHDDERLLKEPKFVGSYMIPDNEDR
+DDNKVYFFFTEKALEAENNAHAIYTRVGRLCVNDVGGQRILVNKWSTFLKARLVCSVPGM
+NGIDTYFDELEDVFLLPTRDHKNPVIFGLFNTTSNIFRGHAICVYHMSSIRAAFNGPYAH
+KEGPEYHWSVYEGKVPYPRPGSCASKVNGGRYGTTKDYPDDAIRFARSHPLMYQAIKPAH
+KKPILVKTDGKYNLKQIAVDRVEAEDGQYDVLFIGTDNGIVLKVITIYNQEMESMEEVIL
+EELQIFKDPVPIISMEISS
+>O15041_PF00047_589 <unknown description>
+LAYGIENNSTLLECTPRSLQAKVIWFVQKGRETRKEEVKTDDRVVKMDLGLLFLRLHKSD
+AGTYFCQTVEHSFVHTVRK
+>Q13275_PF01403_60 <unknown description>
+LLKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECVLSGKDVNGECGNFV
+RLIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQATPWTQTQAVRGRGSRATDGALRPMPT
+APRQDYIFYLEPERLESGKGKCPYDPKLDTASALINEELYAGVYIDFMGTDAAIFRTLGK
+QTAMRTDQYNSRWLNDPSFIHAELIPDSAERNDDKLYFFFRERSAEAPQSPAVYARIGRI
+CLNDDGGHCCLVNKWSTFLKARLVCSVPGEDGIETHFDELQDVFVQQTQDVRNPVIYAVF
+TSSGSVFRGSAVCVYSMADIRMVFNGPFAHKEGPNYQWMPFSGKMPYPRPGTCPGGTFTP
+SMKSTKDYPDEVINFMRSHPLMYQAVYPLQRRPLVVRTGAPYRLTTIAVDQVDAADGRYE
+VLFLGTDRGTVQKVIVLPKDDQELEELMLEEVEVFKDPAPVKTMTISS
+>Q9NS98_PF01403_61 <unknown description>
+MYLDEYRDRLFLGGLDALYSLRLDQAWPDPREVLWPPQPGQREECVRKGRDPLTECANFV
+RVLQPHNRTHLLACGTGAFQPTCALITVGHRGEHVLHLEPGSVESGRGRCPHEPSRPFAS
+TFIDGELYTGLTADFLGREAMIFRSGGPRPALRSDSDQSLLHDPRFVMAARIPENSDQDN
+DKVYFFFSETVPSPDGGSNHVTVSRVGRVCVNDAGGQRVLVNKWSTFLKARLVCSVPGPG
+GAETHFDQLEDVFLLWPKAGKSLEVYALFSTVSAVFQGFAVCVYHMADIWEVFNGPFAHR
+DGPQHQWGPYGGKVPFPRPGVCPSKMTAQPGRPFGSTKDYPDEVLQFARAHPLMFWPVRP
+RHGRPVLVKTHLAQQLHQIVVDRVEAEDGTYDVIFLGTDSGSVLKVIALQAGGSAEPEEV
+VLEELQVFKVPTPITEMEIS
+>Q9NS98_PF00047_589 <unknown description>
+TMVYGTEHNSTFLECLPKSPQAAVRWLLQRPGDEGPDQVKTDERVLHTERGLLFRRLSRF
+DAGTYTCTTLEHGFSQTV
+>Q9H3S1_PF01403_66 <unknown description>
+TLLLSGDGNTLYVGAREAILALDIQDPGVPRLKNMIPWPASDRKKSECAFKKKSNETQCF
+NFIRVLVSYNVTHLYTCGTFAFSPACTFIELQDSYLLPISEDKVMEGKGQSPFDPAHKHT
+AVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDNFLRWLHHDASFVAAIPSTQVVYF
+FFEETASEFDFFERLHTSRVARVCKNDVGGEKLLQKKWTTFLKAQLLCTQPGQLPFNVIR
+HAVLLPADSPTAPHIYAVFTSQWQVGGTRSSAVCAFSLLDIERVFKGKYKELNKETSRWT
+TYRGPETNPRPGSCSVGPSSDKALTFMKDHFLMDEQVVGTPLLVKSGVEYTRLAVETAQG
+LDGHSHLVMYLGTTTGSLHKAVVSGDSSAHLVEEIQLFPDPEPVRNLQL
+>Q9H3S1_PF01437_496 <unknown description>
+NCSVYESCVDCVLARDPHCAWDPESRTCCLLSAPNLNSWKQD
+>Q9NPR2_PF01403_73 <unknown description>
+LLLSRDGRTLYVGAREALFALSSNLSFLPGGEYQELLWGADAEKKQQCSFKGKDPQRDCQ
+NYIKILLPLSGSHLFTCGTAAFSPMCTYINMENFTLARDEKGNVLLEDGKGRCPFDPNFK
+STALVVDGELYTGTVSSFQGNDPAISRSQSLRPTKTESSLNWLQDPAFVASAYIPESLGS
+LQGDDDKIYFFFSETGQEFEFFENTIVSRIARICKGDEGGERVLQQRWTSFLKAQLLCSR
+PDDGFPFNVLQDVFTLSPSPQDWRDTLFYGVFTSQWHRGTTEGSAVCVFTMKDVQRVFSG
+LYKEVNRETQQWYTVTHPVPTPRPGACITNSARERKINSSLQLPDRVLNFLKDHFLMDGQ
+VRSRMLLLQPQARYQRVAVHRVPGLHHTYDVLFLGTGDGRLHKAVSVGPRVHIIEELQIF
+SSGQPVQNLLLD
+>Q9NPR2_PF01437_525 <unknown description>
+NCSLYRSCGDCLLARDPYCAWSGSSCKHVSLYQPQLATRPWIQ
+>Q9C0C4_PF01403_55 <unknown description>
+TLTLTEPTGLLYVGAREALFAFSMEALELQGAISWEAPVEKKTECIQKGKNNQTECFNFI
+RFLQPYNASHLYVCGTYAFQPKCTYVNMLTFTLEHGEFEDGKGKCPYDPAKGHAGLLVDG
+ELYSATLNNFLGTEPIILRNMGPHHSMKTEYLAFWLNEPHFVGSAYVPESVGSFTGDDDK
+VYFFFRERAVESDCYAEQVVARVARVCKGDMGGARTLQRKWTTFLKARLACSAPNWQLYF
+NQLQAMHTLQDTSWHNTTFFGVFQAQWGDMYLSAICEYQLEEIQRVFEGPYKEYHEEAQK
+WDRYTDPVPSPRPGSCINNWHRRHGYTSSLELPDNILNFVKKHPLMEEQVGPRWSRPLLV
+KKGTNFTHLVADRVTGLDGATYTVLFIGTGDGWLLKAVSLGPWVHLIEELQLFDQEPMRS
+LVLSQ
+>Q9C0C4_PF01437_499 <unknown description>
+DCMKYRSCADCVLARDPYCAWSVNTSRCVA
+>Q92854_PF01403_53 <unknown description>
+LLLSEDKDTLYIGAREAVFAVNALNISEKQHEVYWKVSEDKKAKCAEKGKSKQTECLNYI
+RVLQPLSATSLYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAHSYTSVMVDGE
+LYSGTSYNFLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIRKSPDSPDGEDDRVY
+FFFTEVSVEYEFVFRVLIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSGLVFNV
+LRDVFVLRSPGLKVPVFYALFTPQLNNVGLSAVCAYNLSTAEEVFSHGKYMQSTTVEQSH
+TKWVRYNGPVPKPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPR
+LIKKDVNYTQIVVDRTQALDGTVYDVMFVSTDRGALHKAISLEHAVHIIEETQLFQDFEP
+VQTLLLSS
+>Q92854_PF01437_503 <unknown description>
+CGKHGTCEDCVLARDPYCAWSPPTATCVALHQTESPSR
+>Q92854_PF00047_563 <unknown description>
+QHFFKHGGTAELKCSQKSNLARVFWKFQNGVLKAESPKYGLMGRKNLLIFNLSEGDSGVY
+QCLSEERVKNKTV
+>O95754_PF01403_68 <unknown description>
+LLVDPASHTLYVGARDTIFALSLPFSGERPRRIDWMVPEAHRQNCRKKGKKEDECHNFVQ
+ILAIANASHLLTCGTFAFDPKCGVIDVSRFQQVERLESGRGKCPFEPAQRSAAVMAGGVL
+YAATVKNYLGTEPIITRAVGRAEDWIRTDTLPSWLNAPAFVAAVALSPAEWGDEDGDDEI
+YFFFTETSRAFDSYERIKVPRVARVCAGDLGGRKTLQQRWTTFLKADLLCPGPEHGRASS
+VLQDVAVLRPELGAGTPIFYGIFSSQWEGATISAVCAFRPQDIRTVLNGPFRELKHDCNR
+GLPVVDNDVPQPRPGECITNNMKLRHFGSSLSLPDRVLTFIRDHPLMDRPVFPADGHPLL
+VTTDTAYLRVVAHRVTSLSGKEYDVLYLGTEDGHLHRAVRIGAQLSVLEDLALFPEPQPV
+ENMKLY
+>O95754_PF01437_512 <unknown description>
+NCGRLQSCSECILAQDPVCAWSFRLDECVAHA
+>O95754_PF19428_576 <unknown description>
+PVATAAHVVLPCSPSSAWASCVWHQPSGVTALTPRRDGLEVVVTPGAMGAYACECQEGGA
+AHVVAAYSLVWGSQRDAPSRAHTVGAGLAGFFLGILAASLTLILIGRRQQRRRQRELLAR
+DKVGLDLGAPPSGTTSYSQDPPSPSPEDERLPLALAKRGSGFGGFSPPFLLDPCPSPAHI
+RLTGAPLATCDETSI
+>Q9NTN9_PF01403_58 <unknown description>
+TLLLEEASARLLVGARGALFSLSANDIGDGAHKEIHWEASPEMQSKCHQKGKNNQTECFN
+HVRFLQRLNSTHLYACGTHAFQPLCAAIDAEAFTLPTSFEEGKEKCPYDPARGFTGLIID
+GGLYTATRYEFRSIPDIRRSRHPHSLRTEETPMHWLNDAEFVFSVLVRESKASAVGDDDK
+VYYFFTERATEEGSGSFTQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIP
+LYETLRGVCSLDAETSSRTHFYAAFTLSTQWKTLEASAICRYDLAEIQAVFAGPYMEYQD
+GSRRWGRYEGGVPEPRPGSCITDSLRSQGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGR
+PLLLKRNIRYTHLTGTPVTTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEETQVFRES
+QSVENLVIS
+>Q9NTN9_PF01437_507 <unknown description>
+SCSRYRSCYDCILARDPYCGWDPGTHACAAATTIANRSQGSRT
+>Q13591_PF01403_61 <unknown description>
+LTFDPGQKELVVGARNYLFRLQLEDLSLIQAVEWECDEATKKACYSKGKSKEECQNYIRV
+LLVGGDRLFTCGTNAFTPVCTNRSLSNLTEIHDQISGMARCPYSPQHNSTALLTAGGELY
+AATAMDFPGRDPAIYRSLGILPPLRTAQYNSKWLNEPNFVSSYDIGNFTYFFFRENAVEH
+DCGKTVFSRAARVCKNDIGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNELQSTFFLPEL
+DLIYGIFTTNVNSIAASAVCVFNLSAIAQAFSGPFKYQENSRSAWLPYPNPNPHFQCGTV
+DQGLYVNLTERNLQDAQKFILMHEVVQPVTTVPSFMEDNSRFSHVAVDVVQGREALVHII
+YLATDYGTIKKVRVPLNQTSSSCLLEEIELFPERRREPIRSLQIL
+>Q13591_PF00090_600 <unknown description>
+PWTSWSPCSTTCGIGFQVRQRSCSNPTPRHGGRVCVGQNREERYCNEH
+>Q13591_PF00090_658 <unknown description>
+GWGPWERCTAQCGGGIQARRRICENGPDCAGCNVEYQSCNTNPC
+>Q13591_PF00090_788 <unknown description>
+SAWTSWSQCSRDCSRGIRNRKRVCNNPEPKYGGMPCLGPSLEYQECNILPC
+>Q13591_PF00090_845 <unknown description>
+SCWSPWTKCSATCGGGHYMRTRSCSNPAPAYGGDICLGLHTEEALCNTQPC
+>Q13591_PF00090_900 <unknown description>
+SEWSDWSECEASGVQVRARQCILLFPMGSQCSGNTTESRPCV
+>Q9P283_PF01403_129 <unknown description>
+LALDPSGNQLIVGARNYLFRLSLANVSLLQATEWASSEDTRRSCQSKGKTEEECQNYVRV
+LIVAGRKVFMCGTNAFSPMCTSRQVGNLSRTIEKINGVARCPYDPRHNSTAVISSQGELY
+AATVIDFSGRDPAIYRSLGSGPPLRTAQYNSKWLNEPNFVAAYDIGLFAYFFLRENAVEH
+DCGRTVYSRVARVCKNDVGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNELQSAFHLPEQ
+DLIYGVFTTNVNSIAASAVCAFNLSAISQAFNGPFRYQENPRAAWLPIANPIPNFQCGTL
+PETGPNENLTERSLQDAQRLFLMSEAVQPVTPEPCVTQDSVRFSHLVVDLVQAKDTLYHV
+LYIGTESGTILKALSTASRSLHGCYLEELHVLPPGR
+>Q9P283_PF01437_555 <unknown description>
+RCAAYRSQGACLGARDPYCGWDGKQQRCSTLEDSSNMSLWTQNITACP
+>Q9P283_PF00090_669 <unknown description>
+PWSSWALCSTSCGIGFQVRQRSCSNPAPRHGGRICVGKSREERFCNENTPC
+>Q9P283_PF00090_727 <unknown description>
+SWGSWSKCSSNCGGGMQSRRRACENGNSCLGCGVEFKTCNPEGC
+>Q9P283_PF00090_858 <unknown description>
+AWGPWSSCSRDCELGFRVRKRTCTNPEPRNGGLPCVGDAAEYQDCNPQAC
+>Q9P283_PF00090_914 <unknown description>
+SCWTSWSPCSASCGGGHYQRTRSCTSPAPSPGEDICLGLHTEEALCATQAC
+>Q9P283_PF00090_969 <unknown description>
+SPWSEWSKCTDDGAQSRSRHCEELLPGSSACAGNSSQSRPCP
+>Q9H2E6_PF01403_65 <unknown description>
+NGTLYIAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNFIKVL
+LKKNDDALFVCGTNAFNPSCRNYKMDTLEPFGDEFSGMARCPYDAKHANVALFADGKLYS
+ATVTDFLAIDAVIYRSLGESPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIAVEYN
+TMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVTDVIR
+INGRDVVLATFSTPYNSIPGSAVCAYDMLDIASVFTGRFKEQKSPDSTWTPVPDERVPKP
+RPGCCAGSSSLERYATSNEFPDDTLNFIKTHPLMDEAVPSIFNRPWFLRTMVRYRLTKIA
+VDTAAGPYQNHTVVFLGSEKGIILKFLARIGNSGFLNDSLFLEEMSVYNSE
+>Q9H2E6_PF01437_514 <unknown description>
+RCERHGKCKKTCIASRDPYCGWIKEGGACSHLSP
+>Q9H3T3_PF01403_75 <unknown description>
+RTLFIGDRDNLYRVELEPPTSTELRYQRKLTWRSNPSDINVCRMKGKQEGECRNFVKVLL
+LRDESTLFVCGSNAFNPVCANYSIDTLQPVGDNISGMARCPYDPKHANVALFSDGMLFTA
+TVTDFLAIDAVIYRSLGDRPTLRTVKHDSKWFKEPYFVHAVEWGSHVYFFFREIAMEFNY
+LEKVVVSRVARVCKNDVGGSPRVLEKQWTSFLKARLNCSVPGDSHFYFNVLQAVTGVVSL
+GGRPVVLAVFSTPSNSIPGSAVCAFDLTQVAAVFEGRFREQKSPESIWTPVPEDQVPRPR
+PGCCAAPGMQYNASSALPDDILNFVKTHPLMDEAVPSLGHAPWILRTLMRHQLTRVAVDV
+GAGPWGNQTVVFLGSEAGTVLKFLVRPNASTSGTSGLSVFLEE
+>Q9H3T3_PF01437_525 <unknown description>
+RCQQYSGCMKNCIGSQDPYCGWAPDGSCIF
+>Q9H3T2_PF01403_71 <unknown description>
+RTLLVAARDHVFSFDLQAEEEGEGLVPNKYLTWRSQDVENCAVRGKLTDECYNYIRVLVP
+WDSQTLLACGTNSFSPVCRSYGITSLQQEGEELSGQARCPFDATQSNVAIFAEGSLYSAT
+AADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVQALEHGDHVYFFFREVSVEDARL
+GRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDVLQALTGPVNLH
+GRSALFGVFTTQTNSIPGSAVCAFYLDEIERGFEGKFKEQRSLDGAWTPVSEDRVPSPRP
+GSCAGVGGAALFSSSRDLPDDVLTFIKAHPLLDPAVPPVTHQPLLTLTSRALLTQVAVDG
+MAGPHSNITVMFLGSNDGTVLKVLTPGGRSGGPEPILLEEIDAY
+>Q8NFY4_PF01403_66 <unknown description>
+RDTLYIAGRDQVYTVNLNEMPKTEVIPNKKLTWRSRQQDRENCAMKGKHKDECHNFIKVF
+VPRNDEMVFVCGTNAFNPMCRYYRLSTLEYDGEEISGLARCPFDARQTNVALFADGKLYS
+ATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREIAVEHN
+NLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSITDIIQ
+INGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPEDKVPKP
+RPGCCAKHGLAEAYKTSIDFPDETLSFIKSHPLMDSAVPPIADEPWFTKTRVRYRLTAIS
+VDHSAGPYQNYTVIFVGSEAGMVLKVLAKTSPFSLNDSVLLEEIEAYNH
+>Q8NFY4_PF01437_514 <unknown description>
+RCERYGSCKKSCIASRDPYCGWLSQGSCGRVTPGMLAEGYE
+>O75326_PF01403_78 <unknown description>
+LFHEPGSSSVWVGGRGKVYLFDFPEGKNASVRTVNIGSTKGSCLDKRDCENYITLLERRS
+EGLLACGTNARHPSCWNLVNGTVVPLGEMRGYAPFSPDENSLVLFEGDEVYSTIRKQEYN
+GKIPRFRRIRGESELYTSDTVMQNPQFIKATIVHQDQAYDDKIYYFFREDNPDKNPEAPL
+NVSRVAQLCRGDQGGESSLSVSKWNTFLKAMLVCSDAATNKNFNRLQDVFLLPDPSGQWR
+DTRVYGVFSNPWNYSAVCVYSLGDIDKVFRTSSLKGYHSSLPNPRPGKCLPDQQPIPTET
+FQVADRHPEVAQRVEPMGPLKTPLFHSKYHYQKVAVHRMQASHGETFHVLYLTTDRGTIH
+KVVEPGEQEHSFAFNIMEIQPFRRAAAIQTMSLD
+>O75326_PF01437_493 <unknown description>
+CEVYGGGCHGCLMSRDPYCGWDQGRCISIYSSER
+>O75326_PF13895_556 <unknown description>
+LAPNSRYYLSCPMESRHATYSWRHKENVEQSCEPGHQSPNCILFIENLTAQQYGHYFCEA
+QEGS
+>P04279_PF05474_1 <unknown description>
+MKPNIIFVLSLLLILEKQAAVMGQKGGSKGRLPSEFSQFPHGQKGQHYSGQKGKQQTESK
+GSFSIQYTYHVDANDHDQSRKSQQYDLNALHKTTKSQRHLGGSQQLLHNKQEGRDHDKSK
+GHFHRVVIHHKGGKAHRGTQNPSQDQGNSPSGKGISSQYSNTEERLWVHGLSKEQTSVSG
+AQKGRKQGGSQSSYVLQTEELVANKQQRETKNSHQNKGHYQNVVEVREEHSSKVQTSLCP
+AHQDKLQHGSKDIFSTQDELLVYNKNQHQTKNLNQDQQHGRKANKISYQSSSTEERRLHY
+GENGVQKDVSQSSIYSQTEEKAQGKSQKQITI
+>P04279_PF05474_284 <unknown description>
+NKISYQSSSTEERRLHYGENGVQKDVSQSSIYSQTEEKAQGKSQKQITIPSQEQEHSQKA
+NKISYQSSSTEERRLHYGENGVQKDVSQRSIYSQTEKLVAGKSQIQAPNPKQEPWHGENA
+KGESGQSTNREQDLLSHEQKGRHQHGSHGGLDIVIIEQEDDSDRHLAQHLNNDRNPLF
+>Q02383_PF05474_1 <unknown description>
+MKSIILFVLSLLLILEKQAAVMGQKGGSKGQLPSGSSQFPHGQKGQHYFGQKDQQHTKSK
+GSFSIQHTYHVDINDHDWTRKSQQYDLNALHKATKSKQHLGGSQQLLNYKQEGRDHDKSK
+GHFHMIVIHHKGGQAHHGTQNPSQDQGNSPSGKGLSSQCSNTEKRLWVHGLSKEQASASG
+AQKGRTQGGSQSSYVLQTEELVVNKQQRETKNSHQNKGHYQNVVDVREEHSSKLQTSLHP
+AHQDRLQHGPKDIFTTQDELLVYNKNQHQTKNLSQDQEHGRKAHKISYPSSRTEERQLHH
+GEKSVQKDVSKGSISIQTEEKIHGKSQNQVTIHSQDQEHGHKENKISYQSSSTEERHLNC
+GEKGIQKGVSKGSISIQTEEQIHGKSQNQVRIPSQAQEYGHKENKISYQSSSTEERRLNS
+GEKDVQKGVSKGSISIQTEEKIHGKSQNQVTIPSQDQEHGHKENKMSYQSSSTEERRLNY
+GGKSTQKDVSQSSISFQIEKLVEGKSQIQTPNPNQDQWSGQNAKGKSGQSADSKQDLLSH
+EQKGRYKQESSESHNIVITEHEVAQDDHLTQQYNEDRNPIST
+>Q8WW01_PF09631_65 <unknown description>
+LVYLDLMESKSWHEVNCVGLPELQLICLVGTEIEGEGLQTVVPTPITASLSHNRIREILK
+ASRKLQGDPDLPMSFTLAIVESDSTIVYYKLTDGFMLPDP
+>Q8NCE0_PF02778_281 <unknown description>
+RNRLICRRNPYRIFEYLQLSLEEAFFLVYALGCLSIYYEKEPLTIVKLW
+>Q8NCE0_PF01974_339 <unknown description>
+FRTTYMAYHYFRSKGWVPKVGLKYGTDLLLYRKGPPFYHASYSVIIELVDDHFEGSLRRP
+LSWKSLAALSRVSVNVSKELMLCYL
+>Q9BSV6_PF01974_218 <unknown description>
+ELRYSIYRDLWERGFFLSAAGKFGGDFLVYPGDPLRFHAHYIAQCWAPEDTIPLQDLVAA
+GRLGTSVRKTLLLCSPQPDGKVVY
+>Q7Z6J9_PF12928_64 <unknown description>
+QLLAEQRVERLGSLVAAEWRPEEGFVELKSPAGKFWQTMGFSEQGRQRLHPEEALYLLEC
+GSIHLF
+>Q9P0U3_PF02902_464 <unknown description>
+NWLNDEIINFYMNMLMERSKEKGLPSVHAFNTFFFTKLKTAGYQAVKRWTKKVDVFSVDI
+LLVPIHLGVHWCLAVVDFRKKNITYYDSMGGINNEACRILLQYLKQESIDKKRKEFDTNG
+WQLFSKKSQEIPQQMNGSDCGMFACKYADCITKDRPINFTQQHMPYFRKRMV
+>Q9HC62_PF02902_410 <unknown description>
+WLNDEVINFYMNLLVERNKKQGYPALHVFSTFFYPKLKSGGYQAVKRWTKGVNLFEQEII
+LVPIHRKVHWSLVVIDLRKKCLKYLDSMGQKGHRICEILLQYLQDESKTKRNSDLNLLEW
+THHSMKPHEIPQQLNGSDCGMFTCKYADYISRDKPITFTQHQMPLFRKKMV
+>Q9H4L4_PF19722_298 <unknown description>
+RPGEKAGQHSPLREEHVTCVQSILDEFLQTYGSLIPLSTDEVVEKLEDIFQQEFSTPSRK
+GLVLQLIQSYQRMPGNAMVRGFRVAYKRHVL
+>Q9H4L4_PF02902_400 <unknown description>
+NWLNDQVMNMYGDLVMDTVPEKVHFFNSFFYDKLRTKGYDGVKRWTKNVDIFNKELLLIP
+IHLEVHWSLISVDVRRRTITYFDSQRTLNRRCPKHIAKYLQAEAVKKDRLDFHQGWKGYF
+KMNVARQNNDSDCGAFVLQYCKHLALSQPFSFTQQDMPKLRRQIYKELCHCK
+>Q96HI0_PF19722_1 <unknown description>
+MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWNRQLRHFQGR
+KKALQIQKTWIKDEPLCAKTKFNVATQNVSTLSSKVKRKDAKHFISSSKTLLRLQAEKLL
+SSAKNSDHEYCREKNLLKAVTDFPSNSALGQANGHRPRTDPQPSDFPMKFNGESQSPGES
+GTIVVTLNNHKRKGFCYGCCQGPEHHRNGGPLIPKKFQLNQHRRIKLSPLMMYEKLSMIR
+FRYRILRSQHFRTKSKVCKLRKAQRSWVQKVTGDHQETRRENGEGGSCSPFPSPEPKDPS
+CRHQPYFPDMDSSAVVKGTNSHVPDCHTKGSSFLGKELSLDEAFPDQQNGSATNAWDQSS
+CSSPKWECTELIHDIPLPEHRSNTMFISETEREIMTLGQENQTSSVSDDRVKLSVSGADT
+SVSSVDGPVSQKAVQNENSYQMEEDGSLKQSILSSELLDHPYCKSPLEAPLVCSGLKLEN
+QVGGGKNSQKASPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKEVLGRLKDVFNEDFSNR
+KPFINREITNYRARHQKCNFRIFYNKHMLD
+>Q96HI0_PF02902_581 <unknown description>
+NWLNDQVINMYGELIMDAVPDKVHFFNSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIP
+IHLEVHWSLITVTLSNRIISFYDSQGIHFKFCVENIRKYLLTEAREKNRPEFLQGWQTAV
+TKCIPQQKNDSDCGVFVLQYCKCLALEQPFQFSQEDMPRVRKRIYKELCECR
+>Q9GZR1_PF02902_680 <unknown description>
+EFLNDVIIDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNHETTNLSIQQKRHG
+RVKTWTRHVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVIQKCSTV
+EDSCISSSASEM
+>Q9GZR1_PF02902_973 <unknown description>
+ILLMDSLRGPSRSNVVKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGV
+YVLQYVESFFENPILSFELP
+>Q9BQF6_PF02902_774 <unknown description>
+EFLNDVIIDFYLKYLILEKASDELVERSHIFSSFFYKCLTRKENNLTEDNPNLSMAQRRH
+KRVRTWTRHINIFNKDYIFVPVNESSHWYLAVICFPWLEEAVYEDFPQTVSQQSQAQQSQ
+NDNKTIDNDLRTTSTLSLSAEDSQSTESNMSVPKKMCKRPCILILDSLKAASVQNTVQNL
+REYLEVEWEVKLKTHRQFSKTNMVDLCPKVPKQDNSSDCGVYLLQYVESFFKDPIVNFEL
+PI
+>Q96LD8_PF02902_26 <unknown description>
+WLNDHIIGFAFEYFANSQFHDCSDHVSFISPEVTQFIKCTSNPAEIAMFLEPLDLPNKRV
+VFLAINDNSNQAAGGTHWSLLVYLQDKNSFFHYDSHSRSNSVHAKQVAEKLEAFLGRKGD
+KLAFVEEKAPAQQNSYDCGMYVICNTEALCQNFFRQQTESLLQLLTPAYITKKRGEWK
+>Q9P0V9_PF00735_63 <unknown description>
+QGFCFNILCVGETGIGKSTLIDTLFNTNFEDYESSHFCPNVKLKAQTYELQESNVQLKLT
+IVNTVGFGDQINKEESYQPIVDYIDAQFEAYLQEELKIKRSLFTYHDSRIHVCLYFISPT
+GHSLKTLDLLTMKNLDSKVNIIPVIAKADTVSKTELQKFKIKLMSELVSNGVQIYQFPTD
+DDTIAKVNAAMNGQLPFAVVGSMDEVKVGNKMVKARQYPWGVVQVENENHCDFVKLREML
+ICTNMEDLREQTHTRHYELYRRCKLEEMG
+>Q9NVA2_PF00735_38 <unknown description>
+QGFCFNILCVGETGIGKSTLMDTLFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLT
+IVDTVGFGDQINKDDSYKPIVEYIDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPT
+GHSLKSLDLVTMKKLDSKVNIIPIIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTD
+EETVAEINATMSVHLPFAVVGSTEEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREML
+IRVNMEDLREQTHTRHYELYRRCKLEEMGF
+>Q8IYM1_PF00735_47 <unknown description>
+GFEFNIMVVGQSGLGKSTMVNTLFKSKVWKSNPPGLGVPTPQTLQLHSLTHVIEEKGVKL
+KLTVTDTPGFGDQINNDNCWDPILGYINEQYEQYLQEEILITRQRHIPDTRVHCCVYFVP
+PTGHCLRPLDIEFLQRLCRTVNVVPVIARADSLTMEEREAFRRRIQQNLRTHCIDVYPQM
+CFDEDINDKILNSKLRDRIPFAVVGADQEHLVNGRCVLGRKTKWGIIEVENMAHCEFPLL
+RDLLIRSHLQDLKDITHNIHYENYRVIRLNESH
+>Q6ZU15_PF00735_49 <unknown description>
+QGFTFNILCVGETGIGKSTLIDTLFNTNLKDNKSSHFYSNVGLQIQTYELQESNVQLKLT
+VVETVGYGDQIDKEASYQPIVDYIDAQFEAYLQEELKIKRSLFEYHDSRVHVCLYFISPT
+GHSLKSLDLLTMKNLDSKVNIIPLIAKADTISKNDLQTFKNKIMSELISNGIQIYQLPTD
+EETAAQANSSVSGLLPFAVVGSTDEVKVGKRMVRGRHYPWGVLQVENENHCDFVKLRDML
+LCTNMENLKEKTHTQHYECYRYQKLQKMG
+>O60613_PF08806_89 <unknown description>
+AILEVCGUKLGRFPQVQAFVRSDKPKLFRGLQIKYVRGSDPVLKLLDDNGNIAEELSILK
+WNTDSVEEFLSEKL
+>P49908_PF04592_24 <unknown description>
+QSSLCKQPPAWSIRDQDPMLNSNGSVTVVALLQASUYLCILQASKLEDLRVKLKKEGYSN
+ISYIVVNHQGISSRLKYTHLKNKVSEHIPVYQQEENQTDVWTLLNGSKDDFLIYDRCGRL
+VYHLGLPFSFLTFPYVEEAIKIAYCEKKCGNCSLTTLKDEDFCKRVSLATVDKTVETPSP
+HYHHEHHHNHGHQHLGSSELSENQQPGAPNAPTHPAPPGLHHHH
+>P49908_PF04593_251 <unknown description>
+GQHRQGHPENRDMPASEDLQDLQKKLCRKRCINQLLCKLPTDSELAPRSUCCHCRHLIFE
+KTGSAITUQCKENLPSLCSUQGLRAEENITESCQURLPPAAUQISQQLIPTEASASURUK
+NQAKKUEUPSN
+>Q12884_PF00930_106 <unknown description>
+SPDRQFVYLESDYSKLWRYSYTATYYIYDLSNGEFVRGNELPRPIQYLCWSPVGSKLAYV
+YQNNIYLKQRPGDPPFQITFNGRENKIFNGIPDWVYEEEMLATKYALWWSPNGKFLAYAE
+FNDTDIPVIAYSYYGDEQYPRTINIPYPKAGAKNPVVRIFIIDTTYPAYVGPQEVPVPAM
+IASSDYYFSWLTWVTDERVCLQWLKRVQNVSVLSICDFREDWQTWDCPKTQEHIEESRTG
+WAGGFFVSTPVFSYDAISYYKIFSDKDGYKHIHYIKDTVENAIQITSGKWEAINIFRVTQ
+DSLFYSSNEFEEYPGRRNIYRISIGSYPPSKKCVTCHLRKERCQYYTASFSDYAKYYALV
+CYGPGIP
+>Q12884_PF00326_555 <unknown description>
+VNWISYLASKEGMVIALVDGRGTAFQGDKLLYAVYRKLGVYEVEDQITAVRKFIEMGFID
+EKRIAIWGWSYGGYVSSLALASGTGLFKCGIAVAPVSSWEYYASVYTERFMGLPTKDDNL
+EHYKNSTVMARAEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNAQVDFQAMWYSDQNH
+GLSGLSTNHLYTHMTHFLKQCFS
+>Q8WYJ6_PF00735_27 <unknown description>
+KGFDFTLMVAGESGLGKSTLINSLFLTNLYEDRQVPEASARLTQTLAIERRGVEIEEGGV
+KVKLTLVDTPGFGDSVDCSDCWLPVVKFIEEQFEQYLRDESGLNRKNIQDSRVHCCLYFI
+SPFGRGLRPLDVAFLRAVHEKVNIIPVIGKADALMPQETQALKQKIRDQLKEEEIHIYQF
+PECDSDEDEDFKRQDAEMKESIPFAVVGSCEVVRDGGNRPVRGRRYSWGTVEVENPHHCD
+FLNLRRMLVQTHLQDLKEVTHDLLYEGYRARCLQSLAR
+>Q15019_PF00735_34 <unknown description>
+KGFEFTLMVVGESGLGKSTLINSLFLTDLYPERVIPGAAEKIERTVQIEASTVEIEERGV
+KLRLTVVDTPGYGDAINCRDCFKTIISYIDEQFERYLHDESGLNRRHIIDNRVHCCFYFI
+SPFGHGLKPLDVAFMKAIHNKVNIVPVIAKADTLTLKERERLKKRILDEIEEHNIKIYHL
+PDAESDEDEDFKEQTRLLKASIPFSVVGSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDF
+LKLRTMLITHMQDLQEVTQDLHYENFRSERLKRGGRKVE
+>O43236_PF15548_10 <unknown description>
+KVAVSAQRGSEVTTNTSPQQGHGYVLASSHRSAAVSLNPSHRRSEAAHPTTPHSASDYPR
+SVSLQSGPGHYAVPTPRGPETGPRTESSRHSSPHLKSQKTQTLASHASSRQWKVSPPREE
+AARRGSESKSGREVGHHASSIPDAKSTHQLSFQDQKNNLQSQILEDDPPSKVQNPQGVRV
+PRRILSYPKDEAVQTEPIQRITTTSEIRSPRSPSLLEHGSSCVSADYQTAQRRVPVEESE
+TGPYGPIPSKPKALYRNMNLDSLLKLSVLKDSDGVHRVSARVDPESLHKYSAYPETKPSA
+KVLVSSQVESNVRTPIRGNSEVGRRVTISPGVQSVEPTHHVTVPSVSEGSHKSSMFVTPE
+PIYKQQTQKPPEITYMSQGPTPRYPELSQKPSIHAELELTPRPLPPRSLPRYGPDSSWWP
+LLNPEVETPQSQLTTPDFEPKCSPSLDLLLSGFKIDSSPFCEDLKFQREKASLSPPSPPK
+EFPSWAPLSEVPQTPKHTCKQPIQRFTAFFLDVSEEMYNRVIWWL
+>O43236_PF00735_659 <unknown description>
+KGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEERIMQTVEITKHAVDIEEKGV
+RLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYFI
+SPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPEVDHKKRKIREEIEHFGIKIYQF
+PDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGRRVRGRLYPWGIVEVENPGHCDF
+VKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTR
+>Q99719_PF00735_41 <unknown description>
+KGFDFTLMVAGESGLGKSTLVHSLFLTDLYKDRKLLSAEERISQTVEILKHTVDIEEKGV
+KLKLTIVDTPGFGDAVNNTECWKPITDYVDQQFEQYFRDESGLNRKNIQDNRVHCCLYFI
+SPFGHGLRPVDVGFMKALHEKVNIVPLIAKADCLVPSEIRKLKERIREEIDKFGIHVYQF
+PECDSDEDEDFKQQDRELKESAPFAVIGSNTVVEAKGQRVRGRLYPWGIVEVENQAHCDF
+VKLRNMLIRTHMHDLKDVTCDVHYENYRAHCIQQMTSK
+>Q14141_PF00735_39 <unknown description>
+QGFCFNILCVGETGLGKSTLMDTLFNTKFEGEPATHTQPGVQLQSNTYDLQESNVRLKLT
+IVSTVGFGDQINKEDSYKPIVEFIDAQFEAYLQEELKIRRVLHTYHDSRIHVCLYFIAPT
+GHSLKSLDLVTMKKLDSKVNIIPIIAKADAISKSELTKFKIKITSELVSNGVQIYQFPTD
+DESVAEINGTMNAHLPFAVIGSTEELKIGNKMMRARQYPWGTVQVENEAHCDFVKLREML
+IRVNMEDLREQTHTRHYELYRRCKLEEMGF
+>Q16181_PF00735_47 <unknown description>
+RGFEFTLMVVGESGLGKSTLINSLFLTDLYSPEYPGPSHRIKKTVQVEQSKVLIKEGGVQ
+LLLTIVDTPGFGDAVDNSNCWQPVIDYIDSKFEDYLNAESRVNRRQMPDNRVQCCLYFIA
+PSGHGLKPLDIEFMKRLHEKVNIIPLIAKADTLTPEECQQFKKQIMKEIQEHKIKIYEFP
+ETDDEEENKLVKKIKDRLPLAVVGSNTIIEVNGKRVRGRQYPWGVAEVENGEHCDFTILR
+NMLIRTHMQDLKDVTNNVHYENYRSRKLAAVT
+>Q92599_PF00735_41 <unknown description>
+QGFSFNILCVGETGIGKSTLMNTLFNTTFETEEASHHEACVRLRPQTYDLQESNVQLKLT
+IVDAVGFGDQINKDESYRPIVDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPT
+GHSLKSLDLVTMKKLDSKVNIIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTD
+DEAVAEINAVMNAHLPFAVVGSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREML
+IRVNMEDLREQTHSRHYELYRRCKLEEMG
+>Q9UHD8_PF00735_277 <unknown description>
+QGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGV
+RMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYF
+IPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVHFKQRITADLLSNGIDVYP
+QKEFDEDSEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFA
+YLRDLLIRTHMQNIKDITSSIHFEAYRVKRLNEGSSA
+>O43175_PF00389_9 <unknown description>
+VLISDSLDPCCRKILQDGGLQVVEKQNLSKEELIAELQDCEGLIVRSATKVTADVINAAE
+KLQVVGRAGTGVDNVDLEAATRKGILVMNTPNGNSLSAAELTCGMIMCLARQIPQATASM
+KDGKWERKKFMGTELNGKTLGILGLGRIGREVATRMQSFGMKTIGYDPIISPEVSASFGV
+QQLPLEEIWPLCDFITVHTPLLPSTTGLLNDNTFAQCKKGVRVVNCARGGIVDEGALLRA
+LQSGQCAGAALDVFTEEPPRDRALVDHENVISCPHLGASTKEAQSRCGEEIAVQFVDMVK
+GKSLTGVVN
+>O43175_PF02826_112 <unknown description>
+GMIMCLARQIPQATASMKDGKWERKKFMGTELNGKTLGILGLGRIGREVATRMQSFGMKT
+IGYDPIISPEVSASFGVQQLPLEEIWPLCDFITVHTPLLPSTTGLLNDNTFAQCKKGVRV
+VNCARGGIVDEGALLRALQSGQCAGAALDVFTEEPPRDRALVDHENVISCPHLG
+>O43175_PF19304_330 <unknown description>
+KPWIGLAEALGTLMRAWAGSPKGTIQVITQGTSLKNAGNCLSPAVIVGLLKEASKQADVN
+LVNAKLLVKEAGLNVTTSHS
+>P78330_PF00702_15 <unknown description>
+DAVCFDVDSTVIREEGIDELAKICGVEDAVSEMTRRAMGGAVPFKAALTERLALIQPSRE
+QVQRLIAEQPPHLTPGIRELVSRLQERNVQVFLISGGFRSIVEHVASKLNIPATNVFANR
+LKFYFNGEYAGFDETQPTAESGGKGKVIKLLKEKFHFKKIIMIGDGATDMEACPPAD
+>Q9NRX5_PF03348_16 <unknown description>
+CLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPGMEEQLNKIPGFCENE
+KGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAAVHNGFWFFKFAAAIA
+IIIGAFFIPEGTFTTVWFYVGMAGAFCFILIQLVLLIDFAHSWNESWVEKMEEGNSRCWY
+AALLSATALNYLLSLVAIVLFFVYYTHPASCSENKAFISVNMLLCVGASVMSILPKIQES
+QPRSGLLQSSVITVYTMYLTWSAMTNEPETNCNPSLLSIIGYNTTSTVPKEGQSVQWWHA
+QGIIGLILFLLCVFYSSIRTSNNSQVNKLTLTSDESTLIEDGGARSDGSLEDGDDVHRAV
+DNERDGVTYSYSFFHFMLFLASLYIMMTLTNWYRYEPSREMKSQWTAVWVKISSSWIGIV
+LYVWTLVAPLVLTNRD
+>Q96SA4_PF03348_16 <unknown description>
+CLCGSAPCILCSCCPASRNSTVSRLIFTFFLFLGVLVSIIMLSPGVESQLYKLPWVCEEG
+AGIPTVLQGHIDCGSLLGYRAVYRMCFATAAFFFFFTLLMLCVSSSRDPRAAIQNGFWFF
+KFLILVGLTVGAFYIPDGSFTNIWFYFGVVGSFLFILIQLVLLIDFAHSWNQRWLGKAEE
+CDSRAWYAGLFFFTLLFYLLSIAAVALMFMYYTEPSGCHEGKVFISLNLTFCVCVSIAAV
+LPKVQDAQPNSGLLQASVITLYTMFVTWSALSSIPEQKCNPHLPTQLGNETVVAGPEGYE
+TQWWDAPSIVGLIIFLLCTLFISLRSSDHRQVNSLMQTEECPPMLDATQQQQQVAACEGR
+AFDNEQDGVTYSYSFFHFCLVLASLHVMMTLTNWYKPGETRKMISTWTAVWVKICASWAG
+LLLYLWTLVAPLLLRNRD
+>Q13530_PF03348_16 <unknown description>
+CLCSGASCLLCSCCPNSKNSTVTRLIYAFILLLSTVVSYIMQRKEMETYLKKIPGFCEGG
+FKIHEADINADKDCDVLVGYKAVYRISFAMAIFFFVFSLLMFKVKTSKDLRAAVHNGFWF
+FKIAALIGIMVGSFYIPGGYFSSVWFVVGMIGAALFILIQLVLLVDFAHSWNESWVNRME
+EGNPRLWYAALLSFTSAFYILSIICVGLLYTYYTKPDGCTENKFFISINLILCVVASIIS
+IHPKIQEHQPRSGLLQSSLITLYTMYLTWSAMSNEPDRSCNPNLMSFITRITAPTLAPGN
+STAVVPTPTPPSKSGSLLDSDNFIGLFVFVLCLLYSSIRTSTNSQVDKLTLSGSDSVILG
+DTTTSGASDEEDGQPRRAVDNEKEGVQYSYSLFHLMLCLASLYIMMTLTSWYSPDAKFQS
+MTSKWPAVWVKISSSWVCLLLYVWTLVAPLVLTSRD
+>A6NH21_PF03348_36 <unknown description>
+CCCGPAPCASCCHSRWPSLTASTCSRLFYILLHVGASAICCLLLSRTVVERVWGKTHRIQ
+MPSGLCAHLFGLSDCPVLSGSGAVYRVCAGTATFHLLQAVLLVHLHSPTSPRAQLHNSFW
+LLKLLFLLGLCAIAFCIPDEHLFPAWHYIGICGGFAFILLQLVLITAFAHSWNKNWQTGA
+AQDCSWFLAVLLATLGFYSMAGVGAVLLFHYYTHPAGCLLNKMLLSLHLCFCGLISFLSI
+APCIRLKQPRSGLLQASVISCYIMYLTFSALSSRPPERVILQGQNHTLCLPGLSKMEPQT
+PDISLAMLSASIMYACVLFACNEASYLAEVFGPLWIVKVYSYEFQKPSLCFCCPETVEAD
+KGQRGGAARPADQETPPAPPVQVQHLSYNYSAFHFVFFLASLYVMVTLTNWFSYEGAELE
+KTFIKGSWATFWVKVASCWACVLLYLGLLLAPLCWP
+>Q86VE9_PF03348_12 <unknown description>
+CCCGSAGCSLCCDCCPRIRQSLSTRFMYALYFILVVVLCCIMMSTTVAHKMKEHIPFFED
+MCKGIKAGDTCEKLVGYSAVYRVCFGMACFFFIFCLLTLKINNSKSCRAHIHNGFWFFKL
+LLLGAMCSGAFFIPDQDTFLNAWRYVGAVGGFLFIGIQLLLLVEFAHKWNKNWTAGTASN
+KLWYASLALVTLIMYSIATGGLVLMAVFYTQKDSCMENKILLGVNGGLCLLISLVAISPW
+VQNRQPHSGLLQSGVISCYVTYLTFSALSSKPAEVVLDEHGKNVTICVPDFGQDLYRDEN
+LVTILGTSLLIGCILYSCLTSTTRSSSDALQGRYAAPELEIARCCFCFSPGGEDTEEQQP
+GKEGPRVIYDEKKGTVYIYSYFHFVFFLASLYVMMTVTNWFNYESANIESFFSGSWSIFW
+VKMASCWICVLLYLCTLVAPLCCPTRE
+>Q9Y617_PF00266_7 <unknown description>
+VVNFGPGPAKLPHSVLLEIQKELLDYKGVGISVLEMSHRSSDFAKIINNTENLVRELLAV
+PDNYKVIFLQGGGCGQFSAVPLNLIGLKAGRCADYVVTGAWSAKAAEEAKKFGTINIVHP
+KLGSYTKIPDPSTWNLNPDASYVYYCANETVHGVEFDFIPDVKGAVLVCDMSSNFLSKPV
+DVSKFGVIFAGAQKNVGSAGVTVVIVRDDLLGFALRECPSVLEYKVQAGNSSLYNTPPCF
+SIYVMGLVLEWIKNNGGAAAMEKLSSIKSQTIYEIIDNSQGFYVCPVEPQNRSKMNIPFR
+IGNAKGDDALEKRFLDKALELNMLSLKGHRSVGGIRASLYNAVTIEDVQKL
+>O75920_PF04419_1 <unknown description>
+MARGNQRELARQKNMKKTQEISKGKRKEDSLTASQRK
+>P84101_PF04419_1 <unknown description>
+MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARK
+>Q9Y6X1_PF06624_3 <unknown description>
+AKQRIRMANEKHSKNITQRGNVAKTSRNAPEEKASVGPWLLALFIFVVCGSAIFQIIQSI
+>Q8N6R1_PF06624_3 <unknown description>
+AKQRIRMANEKHSKNITQRGNVAKTLRPQEEKYPVGPWLLALFVFVVCGSAIFQIIQSI
+>A8MV23_PF00079_31 <unknown description>
+TEFALHLYQSVAACRNETNFVISPAGVSLPLEILQFGAEGSTGQQLADALGYTVHDKRVK
+DFLHAVYATLPTSSQGTEMELACSLFVQVGTPLSPCFVEHVSWWANSSLEPADLSEPNST
+AIQTSEGASRETAGGGPSEGPGGWPWEQVSAAFAQLVLVSTMSFQGTWRKRFSSTDTQIL
+PFTCAYGLVLQVPMMHQTTEVNYGQFQDTAGHQVGVLELPYLGSAVSLFLVLPRDKDTPL
+SHIEPHLTASTIHLWTTSLRRARMDVFLPRFRIQNQFNLKSILNSWGVTDLFDPLKANLK
+GISGQDGFYVSEAIHKAKIEVLEEGTKASGATALLLLKRSRIPIFKADRPFIYFLREPNT
+GFVFSIGRVSNP
+>P50454_PF00079_48 <unknown description>
+AGLAFSLYQAMAKDQAVENILVSPVVVASSLGLVSLGGKATTASQAKAVLSAEQLRDEEV
+HAGLGELLRSLSNSTARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKR
+SALQSINEWAAQTTDGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVT
+RSYTVGVMMMHRTGLYNYYDDEKEKLQIVEMPLAHKLSSLIILMPHHVEPLERLEKLLTK
+EQLKIWMGKMQKKAVAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLY
+LASVFHATAFELDTDGNPFDQDIYGREELRSPKLFYADHPFIFLVRDTQSGSLLFIGRLV
+RP
+>Q86VW0_PF13716_36 <unknown description>
+EQTNMDELSVTLDYLLSIPSEKCKARGFTVIVDGRKSQWNVVKTVVVMLQNVVPAEVSLV
+CVVKPDEFWDKKVTHFCFWKEKDRLGFEVILVSANKLTRYIEPCQLTEDFGGSLTYDH
+>Q9Y6P5_PF04636_107 <unknown description>
+PSRFIPEKEILQVGSEDAQMHALFADSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQ
+MDGPLPLHYRHYIGIMAAARHQCSYLVNLHVNDFLHVGGDPKWLNGLENAPQKLQNLGEL
+NKVLAHRPWLITKEHIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHC
+DGGHTFRPPSVSNYCICDITNGNHSVDEMPVNSAENVSVSDSFFEVEALMEKMRQLQECR
+DEEEASQEEMASRFEIEKRESMFVFSSDDEEVTPARAVSRHFEDTSYGYKDFSRHGMHVP
+TFRVQDYCWEDHGYSLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWN
+YIHCMFGIRYDDYDYGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHV
+NLLLIEARMQAELLYALRAITRYM
+>P58004_PF04636_44 <unknown description>
+PSAFIPVEEVLREGAESLEQHLGLEALMSSGRVDNLAVVMGLHPDYFTSFWRLHYLLLHT
+DGPLASSWRHYIAIMAAARHQCSYLVGSHMAEFLQTGGDPEWLLGLHRAPEKLRKLSEIN
+KLLAHRPWLITKEHIQALLKTGEHTWSLAELIQALVLLTHCHSLSSFVFGCGILPEGDAD
+GSPAPQAPTPPSEQSSPPSRDPLNNSGGFESARDVEALMERMQQLQESLLRDEGTSQEEM
+ESRFELEKSESLLVTPSADILEPSPHPDMLCFVEDPTFGYEDFTRRGAQAPPTFRAQDYT
+WEDHGYSLIQRLYPEGGQLLDEKFQAAYSLTYNTIAMHSGVDTSVLRRAIWNYIHCVFGI
+RYDDYDYGEVNQLLERNLKVYIKTVACYPEKTTRRMYNLFWRHFRHSEKVHVNLLLLEAR
+MQAALLYALRAITRYM
+>P58005_PF04636_40 <unknown description>
+PSAFIPEKEVVQANTVDERTNFLVEEYSTSGRLDNITQVMSLHTQYLESFLRSQFYMLRM
+DGPLPLPYRHYIAIMAAARHQCSYLINMHVDEFLKTGGIAEWLNGLEYVPQRLKNLNEIN
+KLLAHRPWLITKEHIQKLVKTGENNWSLPELVHAVVLLAHYHALASFVFGSGINPERDPE
+ISNGFRLISVNNFCVCDLANDNNIENASLSGSNFGIVDSLSELEALMERMKRLQEEREDE
+EASQEEMSTRFEKEKKESLFVVSGDTFHSFPHSDFEDDMIITSDVSRYIEDPGFGYEDFA
+RRGEEHLPTFRAQDYTWENHGFSLVNRLYSDIGHLLDEKFRMVYNLTYNTMATHEDVDTT
+MLRRALFNYVHCMFGIRYDDYDYGEVNQLLERSLKVYIKTVTCYPERTTKRMYDSYWRQF
+KHSEKVHVNLLLMEARMQAELLYALRAITRH
+>Q8N4B1_PF00169_22 <unknown description>
+GFLYKKGGRHAAYHRRWFVLRGNMLFYFEDAASREPVGVIILEGCTVELVEAAEEFAFAV
+RFAGTRARTYVLAAESQDAMEGWVKALSRAS
+>Q6ICB4_PF00169_20 <unknown description>
+HMGFLRTWGGPGTPPTPSGTGRRCWFVLKGNLLFSFESREGRAPLSLVVLEGCTVELAEA
+PVPEEFAFAICFDAPGVRPHLLAAEGPAAQEAWVKVLSRAS
+>O15047_PF00076_101 <unknown description>
+LNDNVRETFLKDMCRKYGEVEEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSV
+MGNII
+>O15047_PF11764_1426 <unknown description>
+ILYDIWNSGLDSEDMSYLRLTYERLLQQTSGADWLNDTHWVHHTITNLTTPKRKRRPQDG
+PREHQTGSARSEGYYPISKKEKDKYLDVCPVSARQLEGVDTQGTNRVLSERRSEQRRLLS
+AIGTSAIMDSDLLKLNQ
+>O15047_PF00856_1580 <unknown description>
+WGLFAMEPIAADEMVIEYVGQNIRQMVADMREKRYVQEGIGSSYLFRVDHDTIIDATKCG
+NLARFINHCCTPNCYAKVITIESQKKIVIYSKQPIGVDEEITYDY
+>Q9UPS6_PF00076_110 <unknown description>
+LNDNIRENFLRDMCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKDAVQHLHSTSV
+MGNII
+>Q9UPS6_PF11764_1680 <unknown description>
+ILYDIWNGGIDEEDIRFLCVTYERLLQQDNGMDWLNDTLWVYHPSTSLSSAKKKKRDDGI
+REHVTGCARSEGFYTIDKKDKLRYLNSSRASTDEPPADTQGMSIPAQPHASTRAGSERRS
+EQRRLLSSFTGSCDSDLLKFNQ
+>Q9UPS6_PF00856_1839 <unknown description>
+WGLFAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRVDHDTIIDATKCG
+NFARFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYDY
+>Q96T68_PF01429_151 <unknown description>
+NPLQLPIKCHFQRRHAKTNSHSSALHVSYKTPCGRSLRNVEEVFRYLLETECNFLFTDNF
+SFNTYV
+>Q96T68_PF05033_234 <unknown description>
+ISNGVESVPISFCNEIDSRKLPQFKYRKTVWPRAYNLTNFSSMFTDSCDCSEGCIDITKC
+ACLQLTARNAKTSPLSSDKITTGYKYKRLQRQIPTGIYECSLLCKCNRQLCQNR
+>Q96T68_PF00856_366 <unknown description>
+GWGVRCLDDIDRGTFVCIYSGRLLSRANTEKSYGIDENGRDENTMKNIFSKKRKLEVACS
+DCEVEVLPLGLETHPRTAKTEKCPPKFSNNPKELTVETKYDNISRIQYHSVIRDPESKTA
+IFQHNGKKMEFVSSESVTPEDNDGFKPPREHLNSKTKGAQKDSSSNHVDEFEDNLLIESD
+VIDITKYREETPPRSRCNQATTLDNQNIKKAIEVQIQKPQEGRSTACQRQQVFCDEELLS
+ETKNTSSDSLTKFNKGNVFLLDATKEGNVGRFLNHSCCPNLLVQNVFVETHNRNFPLVAF
+FTNRYVKARTELTWDYG
+>Q9BYW2_PF17907_1513 <unknown description>
+EIACGEDCLNRLLMIECSSRCPNGDYCSNRRFQRK
+>Q9BYW2_PF00856_1561 <unknown description>
+GWGLRAAKDLPSNTFVLEYCGEVLDHKEFKARVKEYARNKNIHYYFMALKNDEIIDATQK
+GNCSRFMNHSCEPNCETQKWTVNGQLRVGFFTTKLVPSGSELTFDY
+>Q9BYW2_PF00397_2391 <unknown description>
+LPPNWKTARDPEGKIYYYHVITRQTQWDPP
+>Q9BYW2_PF08236_2468 <unknown description>
+KKSKEVFRKEMSQFIVQCLNPYRKPDCKVGRITTTEDFKHLARKLTHGVMNKELKYCKNP
+EDLECNENVKHKTKEYIKKYMQKFGAVYK
+>Q86TU7_PF00856_105 <unknown description>
+GFGLRATRDIKAEELFLWVPRKLLMTVESAKNSVLGPLYSQDRILQAMGNIALAFHLLCE
+RASPNSFWQPYIQTLPSEYDTPLYFEEDEVRYLQSTQAIHDVFSQYKNTARQYAYFYKVI
+QTHPHANKLPLKDSFTYEDYRWAVSSVMTRQNQIPTEDGSRVTLALIPLWDMCNHTNGLI
+TTGYNLEDDRCECVALQDFRAGEQIYIFYG
+>Q86TU7_PF09273_345 <unknown description>
+KSDRLYAMKAEVLARAGIPTSSVFALHFTEPPISAQLLAFLRVFCMTEEELKEHLLGDSA
+IDRIFTLGNSEFPVSWDNEVKLWTFLEDRASLLLKTYKTTIEEDKSVLKNHDLSVRAKMA
+IKLRLGEKEIL
+>Q9NVD3_PF00856_59 <unknown description>
+GRGLMSQTSLQEGQMIISLPESCLLTTDTVIRSYLGAYITKWKPPPSPLLALCTFLVSEK
+HAGHRSLWKPYLEILPKAYTCPVCLEPEVVNLLPKSLKAKAEEQRAHVQEFFASSRDFFS
+SLQPLFAEAVDSIFSYSALLWAWCTVNTRAVYLRPRQRECLSAEPDTCALAPYLDLLNHS
+PHVQVKAAFNEETHSYEIRTTSRWRKHEEVFICYG
+>Q9NVD3_PF09273_309 <unknown description>
+STDKQMDKKISILKDHGYIENLTFGWDGPSWRLLTALKLLCLEAEKFTCWKKVLLGEVIS
+DTNEKTSLDIAQKICYYFIEETNAVLQKVSHMKDEKEALINQLTLVESLWTEELKIL
+>Q9C0A6_PF00856_286 <unknown description>
+LRAARDLALDTLIIEYRGKVMLRQQFEVNGHFFKKPYPFVLFYSKFNGVEMCVDARTFGN
+DARFIRRSCTPNAEVRHMIADGMIHLCIYAVSAITKDAEVTI
+>Q8TBK2_PF00856_74 <unknown description>
+GYGMVARESVQAGELLFVVPRAALLSQHTCSIGGLLERERVALQSQSGWVPLLLALLHEL
+QAPASRWRPYFALWPELGRLEHPMFWPEEERRCLLQGTGVPEAVEKDLANIRSEYQSIVL
+PFMEAHPDLFSLRVRSLELYHQLVALVMAYSFQEPLEEEEDEKEPNSPVMVPAADILNHL
+ANHNANLEYSANCLRMVATQPIPKGHEIFNTYG
+>Q8TBK2_PF09273_334 <unknown description>
+LVYERWDFLCKLEMVGEEGAFVIGREEVLTEEELTTTLKVLCMPAEEFRELKDQDGGGDD
+KREEGSLTITNIPKLKASWRQLLQNSVLLTLQTYATDLKTDQGLLSNKEVYAKLSWREQQ
+ALQVRYGQKMIL
+>Q8WTS6_PF02493_19 <unknown description>
+DGLPHGFCTVTYSSTD
+>Q8WTS6_PF02493_36 <unknown description>
+FEGNFVHGEKNGRGKFFFFDGST
+>Q8WTS6_PF02493_60 <unknown description>
+EGYYVDDALQGQGVYTYEDGGV
+>Q8WTS6_PF02493_106 <unknown description>
+FKGQYKDNIRHGVCWIYYPDGG
+>Q8WTS6_PF00856_274 <unknown description>
+VIDVPEPYNHVSKYCASLGHKANHSFTPNCIYDMFVHPRFGPIKCIRTLRAVEADEELTV
+AYG
+>P0DME0_PF00956_90 <unknown description>
+KIPNFGVTTFVNHPQVSSLLGEEDEEALHYLTKVEVTEFEDIKSGYRIDFYFDENPYFEN
+KVFSKEFHLNESGDPSSKSTKIKWKSGKDVTKRSSQTQNKASRKRQHEEPESFFTWFTDH
+SDAGADELEEVIKDDIWPNPLQYYL
+>Q53H47_PF05033_47 <unknown description>
+APAPFQYTPDHVVGPGADIDPTQITFPGCICVKTPCLPGTCSCLRHGENYDDNSCLRDIG
+SGGKYAEPVFECNVLCRCSDHCRNR
+>Q53H47_PF00856_150 <unknown description>
+GWGLRTLEFIPKGRFVCEYAGEVLGFSEVQRRIHLQTKSDSNYIIAIREHVYNGQVMETF
+VDPTYIGNIGRFLNHSCEPNLLMIPVRIDSMVPKLALFAAKDIVPEEELSYDY
+>Q53H47_PF17906_348 <unknown description>
+KKQIRAIFLFEFKMGRKAAETTRNINNAFGPGTANERTVQWWFKKFCKGD
+>Q53H47_PF01359_501 <unknown description>
+LYDNRRRSAQWLDQEEAPKHFPKPILHPKKVMVTIWWSAAGLIHYSFLNPGETITSEKYA
+QEIDEMNQKLQRLQLALVN
+>Q7Z333_PF13086_1934 <unknown description>
+FNEDQKKAIETAYAMVKHSPSVAKICLIHGPPGTGKSKTIVGLLYRLLTENQRKGHSDEN
+SNAKIKQNRVLVCAPSNAAVDELMKKIILEFKEKCKDKKNPLGNCGDINLVRLGPEKSIN
+SEVLKFSLDSQVNHRMKKELPSHVQAMHKRKEFLDYQLDELSRQRALCRGGREIQRQELD
+ENISKVSKERQELASKIKEVQGRPQKTQSIIILESHIICCTLSTSGGLLLESAFRGQGGV
+PFSCVIVDEAGQSCEIETLTPLIHRCNKLILVGDPKQLPPTVIS
+>Q7Z333_PF13087_2225 <unknown description>
+YDQSMMARFCRLLEENVEHNMISRLPILQLTVQYRMHPDICLFPSNYVYNRNLKTNRQTE
+AIRCSSDWPFQPYLVFDVGDGSERRDNDSYINVQEIKLVMEIIKLIKDKRKDVSFRNIGI
+ITHYKAQKTMIQKDLDKEFDRKGPAEVDTVDAFQGRQKDCVIVTCVRANSIQGSIGFLAS
+LQRLNVTITRAKYSLFILGH
+>Q01105_PF00956_77 <unknown description>
+KIPNFWVTTFVNHPQVSALLGEEDEEALHYLTRVEVTEFEDIKSGYRIDFYFDENPYFEN
+KVLSKEFHLNESGDPSSKSTEIKWKSGKDLTKRSSQTQNKASRKRQHEEPESFFTWFTDH
+SDAGADELGEVIKDDIWPNPLQYYL
+>Q53EL9_PF00084_357 <unknown description>
+CHFPRRPAYGDVTVTSLHPGGSARFHCATGYQLKGARHLTCLNATQPFWDSKEPVC
+>Q53EL9_PF00431_416 <unknown description>
+CGGVIRNATTGRIVSPGFPGNYSNNLTCHWLLEAPEGQRLHLHFEKVSLAEDDDRLIIRN
+GDNVEAPPVYDSYEVEYLPIEGLLSSGKHFFVELSTDSSGAAAGMALRY
+>Q53EL9_PF00084_532 <unknown description>
+CYEPFVKYGNFSSSTPTYPVGTTVEFSCDPGYTLEQGSIIIECVDPHDPQWNETEPAC
+>Q53EL9_PF00431_593 <unknown description>
+CSGEITDSAGVVLSPNWPEPYGRGQDCIWGVHVEEDKRIMLDIRVLRIGPGDVLTFYDGD
+DLTARVLGQYSGPRSHFKLFTSMADVTIQFQSDPGTSVLGYQ
+>Q53EL9_PF00084_710 <unknown description>
+CPELPEIPNGWKSPSQPELVHGTVVTYQCYPGYQVVGSSVLMCQWDLTWSEDLPSC
+>Q53EL9_PF00084_771 <unknown description>
+CHDPGDVEHSRRLISSPKFPVGATVQYICDQGFVLMGSSILTCHDRQAGSPKWSDRAPKC
+>Q53EL9_PF00084_838 <unknown description>
+CHGLSAPENGARSPEKQLHPAGATIHFSCAPGYVLKGQASIKCVPGHPSHWSDPPPIC
+>Q15637_PF16275_18 <unknown description>
+KRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIEDLTRKLRTGDLGIPPNPE
+DRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHNLITEMVALNPDFKPPADYK
+>Q15637_PF00013_140 <unknown description>
+VMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGKGSVKEGKVGRKDGQMLPGE
+DEPLHALVTANTMENVKKAVEQIR
+>Q15637_PF00098_278 <unknown description>
+VCTKCGGAGHIASDCK
+>Q15459_PF01805_50 <unknown description>
+RNIVDKTASFVARNGPEFEARIRQNEINNPKFNFLNPNDPYHAYYRHKVSEF
+>Q15459_PF01805_164 <unknown description>
+LDVVKLTAQFVARNGRQFLTQLMQKEQRNYQFDFLRPQHSLFNYFTKLVEQY
+>Q15459_PF12230_233 <unknown description>
+AENPREVLDQVCYRVEWAKFQERERKKEEEEKEKERVAYAQIDWHDFVVVETVDFQPNEQ
+GNFPPPTTPEELGARILIQERYEKFGESEEVEMEVESDEEDDKQEKAEEPPSQLDQDTQV
+QDMDEGSDDEEEGQKVPPPPETPMPPPLPPTPDQVIVRKDYDPKASKPLPPAPAPDEYLV
+SPITGEKIPASKMQEHMRIGLLDPRWLEQRDRSIREKQSDDEVYAPGLDIESSLKQLAER
+>Q15459_PF00240_722 <unknown description>
+LNGQVLVFTLPLTDQVSVIKVKIHEATGMPAGKQKLQYEGIFIKDSNSLAYYNMANGAVI
+HLALKER
+>Q15428_PF12874_54 <unknown description>
+YECKLCLTLHNNEGSYLAHTQGKKH
+>Q15428_PF16835_112 <unknown description>
+IGRPGYKVTKQRDSEMGQQSLLFQIDYPEIAEGIMPRHRFMSAYEQRIEPPDRRWQYLLM
+AAEPYETIAFKVPSREIDKAEGKFWTHWNRETKQF
+>Q12874_PF12108_74 <unknown description>
+AISGPNEFAEFYNRLKQIKEFHRKHPN
+>Q12874_PF16837_129 <unknown description>
+EFTDEEGYGRYLDLHDCYLKYINLKASEKLDYITYLSIFDQLFDIPKERK
+>Q12874_PF13297_225 <unknown description>
+TFPGWPKETSSALTHAGAHLDLSAFSSWEELASLGLDRLKSALLALGLKCGGTLEERAQR
+LFSTKGKSLESLDTSLFAK
+>Q12874_PF11931_382 <unknown description>
+NLPLGWDGKPIPYWLYKLHGLNINYNCEICGNYTYRGPKAFQRHFAEWRHAHGMRCLGIP
+NTAHFANVTQIEDAVSLWAKLKLQKASERWQPDTEEEYEDSSGNVVNKKTYEDLKRQGLL
+>O75533_PF08920_329 <unknown description>
+PGASKRKSRWDETPASQMGGSTPVLTPGKTPIGTPAMNMATPTPGHIMSMTPEQLQAWRW
+EREIDERNRPLSDEELDAMFPEGYKVLPPPAGYVPIRTPARKLTATPTPLGGMTGFHMQT
+EDR
+>Q13435_PF02037_25 <unknown description>
+GAWAAQELQAKLAEIGAPIQGNREELVERLQSY
+>Q13435_PF04037_471 <unknown description>
+RPDVVEMHDVTAQDPKLLVHLKATRNSVPVPRHWCFKRKYLQGKRGIEKPPFELPDFIKR
+TGIQEMREALQEKEEQKTMKSKMREKVRPKMGKIDIDYQKLHDAFFKWQTKPKLTIHGDL
+YYEGKE
+>Q13435_PF04046_605 <unknown description>
+KPGDLSDELRISLGMPVGPNAHKVPPPWLIAMQRYGPPPSYPNLKIPGLN
+>Q15393_PF10433_76 <unknown description>
+DYIVVGSDSGRIVILEYQPSKNMFEKIHQETFGKSGCRRIVPGQFLAVDPKGRAVMISAI
+EKQKLVYILNRDAAARLTISSPLEAHKANTLVYHVVGVDVGFENPMFACLEMDYEEADND
+PTGEAAANTQQTLTFYELDLGLNHVVRKYSEPLEEHGNFLITVPGGSDGPSGVLICSENY
+ITYKNFGDQPDIRCPIPRRRNDLDDPERGMIFVCSATHKTKSMFFFLAQTEQGDIFKITL
+ETDEDMVTEIRLKYFDTVPVAAAMCVLKTGFLFVASEFGNHYLYQIAHLGDDDEEPEFSS
+AMPLEEGDTFFFQPRPLKNLVLVDELDSLSPILFCQIADLANEDTPQLYVACGRGPRSSL
+RVLRHGLEVSEMAVSELPGNPNAVWTVRRHIEDEFDAYIIVSFVNATLVLSIGETVEEVT
+DSGFLGTTPTLSCSLLGDDALVQVYPDGIRHIRADKRVNEWKTPGKKTIVKCAVNQRQVV
+IALTGGELVYFEMDPSGQLNEYTERKEMSADVVCMSL
+>Q15393_PF03178_863 <unknown description>
+ASVIRVMNPIQGNTLDLVQLEQNEAAFSVAVCRFSNTGEDWYVLVGVAKDLILNPRSVAG
+GFVYTYKLVNNGEKLEFLHKTPVEEVPAAIAPFQGRVLIGVGKLLRVYDLGKKKLLRKCE
+NKHIANYISGIQTIGHRVIVSDVQESFIWVRYKRNENQLIIFADDTYPRWVTTASLLDYD
+TVAGADKFGNICVVRLPPNTNDEVDEDPTGNKALWDRGLLNGASQKAEVIMNYHVGETVL
+SLQKTTLIPGGSESLVYTTLSGGIGILVPFTSHEDHDFFQHVEMHLRSEHPPLCGRDHLS
+FRSYYFPVKNVIDGDLCEQFN
+>Q15427_PF00076_15 <unknown description>
+VYVGGLDEKVSEPLLWELFLQAGPVVNTHMPKDRVTGQHQGYGFVEFLSEEDADYAIKIM
+NMIKLYGKPIR
+>Q15427_PF00076_102 <unknown description>
+IFIGNLDPEIDEKLLYDTFSAFGVILQTPKIMRDPDTGNSKGYAFINFASFDASDAAIEA
+MNGQYLCNRPI
+>Q9BWJ5_PF07189_3 <unknown description>
+DRYTIHSQLEHLQSKYIGTGHADTTKWEWLVNQHRDSYCSYMGHFDLLNYFAIAENESKA
+RVRFNLMEKMLQPCGPP
+>Q9Y3B4_PF00076_21 <unknown description>
+LYIRNLPYKITAEEMYDIFGKYGPIRQIRVGNTPETRGTAYVVYEDIFDAKNACDHLSGF
+NVCNRYL
+>Q8IWL1_PF00059_145 <unknown description>
+SITFDAIQEACARAGGRIAVPRNPEENEAIASFVKKYNTYAYVGLTEGPSPGDFRYSDGT
+PVNYTNWYRGEPAGRGKEQCVEMYTDGQWNDRNCLYSRLTICEF
+>P23246_PF00076_299 <unknown description>
+LFVGNLPADITEDEFKRLFAKYGEPGEVFINKGKGFGFIKLESRALAEIAKAELDDTPMR
+GRQLR
+>P23246_PF00076_374 <unknown description>
+SVRNLSPYVSNELLEEAFSQFGPIERAVVIVDDRGRSTGKGIVEFASKPAARKAFERCSE
+>P23246_PF08075_444 <unknown description>
+PVIVEPLEQLDDEDGLPEKLAQKNPMYQKERETPPRFAQHGTFEYEYSQRWK
+>Q86XK3_PF10376_25 <unknown description>
+PSTPQASANPSSPYTNSSRKQPMSATLRERLRKTRFSFNSSYNVVKRLKVESEENDQTFS
+EKPASSTEENCLEFQESFKHIDSEFEENTNLKNTLKNLNVCESQSLDSGSCSALQNEFVS
+EKLPKQRLNAEKAKLVKQVQEKEDLLRRLKLVKMYRSKNDLSQLQLLIKKWRSCSQLLLY
+ELQSAVSEENKKLSLTQLIDHYGLDDKLLHYN
+>Q8N474_PF01392_59 <unknown description>
+VDIPADLRLCHNVGYKKMVLPNLLEHETMAEVKQQASSWVPLLNKNCHAGTQVFLCSLFA
+PVCLDRPIYPCRWLCEAVRDSCEPVMQFFGFYWPEMLKCDKFPEG
+>Q8N474_PF01759_200 <unknown description>
+EHLCASEFALRMKIKEVKKENGDKKIVPKKKKPLKLGPIKKKDLKKLVLYLKNGADCPCH
+QLDNLSHHFLIMGRKVKSQYLLTAIHKWDKKNKEFK
+>Q96HF1_PF01392_40 <unknown description>
+CKPIPANLQLCHGIEYQNMRLPNLLGHETMKEVLEQAGAWIPLVMKQCHPDTKKFLCSLF
+APVCLDDLDETIQPCHSLCVQVKDRCAPVMSAFGFPWPDMLECDRFPQD
+>Q96HF1_PF01759_188 <unknown description>
+TLCKNDFALKIKVKEITYINRDTKIILETKSKTIYKLNGVSERDLKKSVLWLKDSLQCTC
+EEMNDINAPYLVMGQKQGGELVITSVKRWQKGQREFKR
+>Q92765_PF01392_35 <unknown description>
+CEPVRIPLCKSLPWNMTKMPNHLHHSTQANAILAIEQFEGLLGTHCSPDLLFFLCAMYAP
+ICTIDFQHEPIKPCKSVCERARQGCEPILIKYRHSWPENLACEELPV
+>Q92765_PF01759_192 <unknown description>
+RNNYNYVIRAKVKEIKTKCHDVTAVVEVKEILKSSLVNIPRDTVNLYTSSGCLCPPLNVN
+EEYIIMGYEDEERSRLLLVEGSIAEKWKDRLGKKVKRW
+>Q6FHJ7_PF01392_24 <unknown description>
+CEAVRIPMCRHMPWNITRMPNHLHHSTQENAILAIEQYEELVDVNCSAVLRFFLCAMYAP
+ICTLEFLHDPIKPCKSVCQRARDDCEPLMKMYNHSWPESLACDELPV
+>Q6FHJ7_PF01759_192 <unknown description>
+SKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSSSPIPRTQVPLITNSSCQCPHILPH
+QDVLIMCYEWRSRMMLLENCLVEKWRDQLSKRSIQW
+>Q5T4F7_PF01392_56 <unknown description>
+IPADLPLCHTVGYKRMRLPNLLEHESLAEVKQQASSWLPLLAKRCHSDTQVFLCSLFAPV
+CLDRPIYPCRSLCEAVRAGCAPLMEAYGFPWPEMLHCHKFPL
+>Q5T4F7_PF01759_196 <unknown description>
+QMCSSDFVVKMRIKEIKIENGDRKLIGAQKKKKLLKPGPLKRKDTKRLVLHMKNGAGCPC
+PQLDSLAGSFLVMGRKVDGQLLLMAVYRWDKKNKE
+>Q12872_PF09750_35 <unknown description>
+LLVFGYACKLFRDDERALAQEQGQHLIPWMGDHKILIDRYDGRGHLHDLSEYDAEYSTWN
+RDYQLSEEEARIEALCDEERYLALHTDLLEEEARQEEEYKRLSEALAEDGSYNAVGFTYG
+S
+>Q12872_PF01805_210 <unknown description>
+AIIERTASFVCRQGAQFEIMLKAKQARNSQFDFLRFDHYLNPYYK
+>Q12872_PF01805_459 <unknown description>
+VIDKLAEYVARNGLKFETSVRAKNDQRFEFLQPWHQYNAYYEF
+>Q8WV19_PF04178_35 <unknown description>
+KWFAICFVCGVFFSILGTGLLWLPGGIKLFAVFYTLGNLAALASTCFLMGPVKQLKKMFE
+ATRLLATIVMLLCFIFTLCAALWWHKKGLAVLFCILQFLSMTWYSLSYIP
+>O95562_PF04178_35 <unknown description>
+KGFIACFAIGILCSLLGTVLLWVPRKGLHLFAVFYTFGNIASIGSTIFLMGPVKQLKRMF
+EPTRLIATIMVLLCFALTLCSAFWWHNKGLALIFCILQSLALTWYSLSFIP
+>Q587I9_PF04178_79 <unknown description>
+AGGGCLLLAALCFGLAALYAPVLLLRARKFALLWSLGSALALAGSALLRGGAACGRLLRC
+EEAPSRPALLYMAALGATLFAALGLRSTLLTVLGAGAQVAALLAALVGLLP
+>Q8IWL2_PF00059_145 <unknown description>
+SITFDAIQEACARAGGRIAVPRNPEENEAIASFVKKYNTYAYVGLTEGPSPGDFRYSDGT
+PVNYTNWYRGEPAGRGKEQCVEMYTDGQWNDRNCLYSRLTICEF
+>Q6UW10_PF15210_20 <unknown description>
+TGPGMTLQLKLKESFLTNSSYESSFLELLEKLCLLLHLPSGTSVTLHHARSQHHVVCNT
+>P35247_PF01391_46 <unknown description>
+GLPGRDGRDGREGPRGEKGDPGLPGAAGQAGMPGQAGPVGPKGDNGSVGEPGPKGDTGP
+>P35247_PF01391_163 <unknown description>
+GPKGERGVPGERGVPGNTGAAGSAGAMGPQGSPGARGPPGLKGDKGIPGDKGAKGESG
+>P35247_PF09006_224 <unknown description>
+VASLRQQVEALQGQVQHLQAAFSQYKKVELFPNGQSVGEKIFKTAG
+>P35247_PF00059_272 <unknown description>
+KPFTEAQLLCTQAGGQLASPRSAAENAALQQLVVAKNEAAFLSMTDSKTEGKFTYPTGES
+LVYSNWAPGEPNDDGGSEDCVEIFTNGKWNDRACGEKRLVVCEF
+>Q9H9B4_PF03820_10 <unknown description>
+NIKEPRWDQSTFIGRANHFFTVTDPRNILLTNEQLESARKIVHDYRQGIVPPGLTENELW
+RAKYIYDSAFHPDTGEKMILIGRMSAQVPMNMTITGCMMTFYRTTPAVLFWQWINQSFNA
+VVNYTNRSGDAPLTVNELGTAYVSATTGAVATALGLNALTKHVSPLIGRFVPFAAVAAAN
+CINIPLMRQRELKVGIPVTDENGNRLGESANAAKQAITQVVVSRILMAAPGMAIPPFIMN
+TLEKKAFLKRFPWMSAPIQVGLVGFCLVFATPLCCALFPQKSSMSVTSLEAELQAKIQES
+HPELRRVYFNKGL
+>Q96NB2_PF03820_9 <unknown description>
+NIDAPRWDQRTFLGRVKHFLNITDPRTVFVSERELDWAKVMVEKSRMGVVPPGTQVEQLL
+YAKKLYDSAFHPDTGEKMNVIGRMSFQLPGGMIITGFMLQFYRTMPAVIFWQWVNQSFNA
+LVNYTNRNAASPTSVRQMALSYFTATTTAVATAVGMNMLTKKAPPLVGRWVPFAAVAAAN
+CVNIPMMRQQELIKGICVKDRNENEIGHSRRAAAIGITQVVISRITMSAPGMILLPVIME
+RLEKLHFMQKVKVLHAPLQVMLSGCFLIFMVPVACGLFPQKCELPVSYLEPKLQDTIKAK
+YGELEPYVYFNKGL
+>Q9BWM7_PF03820_9 <unknown description>
+NIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGAQLEASRNIVQNYRAGVVTPGITEDQLW
+RAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRKTPTVVFWQWVNQSFNA
+IVNYSNRSGDTPITVRQLGTAYVSATTGAVATALGLKSLTKHLPPLVGRFVPFAAVAAAN
+CINIPLMRQRELQVGIPVADEAGQRLGYSVTAAKQGIFQVVISRICMAIPAMAIPPLIMD
+TLEKKDFLKRRPWLGAPLQVGLVGFCLVFATPLCCALFPQKSSIHISNLEPELRAQIHEQ
+NPSVEVVYYNKGL
+>Q6P4A7_PF03820_35 <unknown description>
+ERQSFIRRFLQWTELLDPTNVFISVESIENSRQLLCTNEDVSSPASADQRIQEAWKRSLA
+TVHPDSSNLIPKLFRPAAFLPFMAPTVFLSMTPLKGIKSVILPQVFLCAYMAAFNSINGN
+RSYTCKPLERSLLMAGAVASSTFLGVIPQFVQMKYGLTGPWIKRLLPVIFLVQASGMNVY
+MSRSLESIKGIAVMDKEGNVLGHSRIAGTKAVRETLASRIVLFGTSALIPEVFTYFFKRT
+QYFRKNPGSLWILKLSCTVLAMGLMVPFSFSIFPQIGQIQYCSLEEKIQSPTEETEIFYH
+RG
+>Q8TD22_PF03820_27 <unknown description>
+LGKPRFQQTSFYGRFRHFLDIIDPRTLFVTERRLREAVQLLEDYKHGTLRPGVTNEQLWS
+AQKIKQAILHPDTNEKIFMPFRMSGYIPFGTPIVVGLLLPNQTLASTVFWQWLNQSHNAC
+VNYANRNATKPSPASKFIQGYLGAVISAVSIAVGLNVLVQKANKFTPATRLLIQRFVPFP
+AVASANICNVVLMRYGELEEGIDVLDSDGNLVGSSKIAARHALLETALTRVVLPMPILVL
+PPIVMSMLEKTALLQARPRLLLPVQSLVCLAAFGLALPLAISLFPQMSEIETSQLEPEIA
+QATSSRTVVYNKGL
+>Q08648_PF05324_5 <unknown description>
+LLPSVTSLLLVALLFPGSSQARHVNHSATEALGELRERAPGQGTNGFQLLRHAVKRDLLP
+PRTPPYQGD
+>Q08648_PF00711_81 <unknown description>
+TICHMQQGICRLFFCHSGEKKRDICSDPWNRCC
+>Q9H5K3_PF07714_83 <unknown description>
+QLKRVGEGAVKRVFLSEWKEHKVALSQLTSLEMKDDFLHGLQMLKSLQGTHVVTLLGYCE
+DDNTMLTEYHPLGSLSNLEETLNLSKYQNVNTWQHRLELAMDYVSIINYLHH
+>Q8TD33_PF01099_1 <unknown description>
+MKGSRALLLVALTLFCICRMATGEDNDEFFMDFLQTLLVGTPEELYEGTLGKYNVNEDAK
+AAMTELKSCIDGLQPMHKAELVKLLVQVLGSQ
+>P0DMR2_PF01099_1 <unknown description>
+MKGSRALLLVALTLFCICRMATGEDNDEFFMDFLQTLLVGTPEELYEGTLGKYNVNEDAK
+AAMTELKSCRDGLQPMHKAELVKLLVQVLGSQ
+>O95968_PF01099_1 <unknown description>
+MRLSVCLLLLTLALCCYRANAVVCQALGSEITGFLLAGKPVFKFQLAKFKAPLEAVAAKM
+EVKKCVDTMAYEKRVLITKTLGKIAEKC
+>O95969_PF01099_1 <unknown description>
+MKLSVCLLLVTLALCCYQANAEFCPALVSELLDFFFISEPLFKLSLAKFDAPPEAVAAKL
+GVKRCTDQMSLQKRSLIAEVLVKILKKC
+>Q6XE38_PF01099_1 <unknown description>
+MRLSVCLLMVSLALCCYQAHALVCPAVASEITVFLFLSDAAVNLQVAKLNPPPEALAAKL
+EVKHCTDQISFKKRLSLKKS
+>O75556_PF01099_1 <unknown description>
+MKLLMVLMLAALLLHCYADSGCKLLEDMVEKTINSDISIPEYKELLQEFIDSDAAAEAMG
+KFKQCFLNQSHRTLKNFGLMMHTVYDSIWC
+>Q13296_PF01099_1 <unknown description>
+MKLLMVLMLAALSQHCYAGSGCPLLENVISKTINPQVSKTEYKELLQEFIDDNATTNAID
+ELKECFLNQTDETLSNVEVFMQLIYDSSLC
+>Q16586_PF05510_11 <unknown description>
+LVVLLAGLGDTEAQQTTLHPLVGRVFVHTLDHETFLSLPEHVAVPPAVHITYHAHLQGHP
+DLPRWLRYTQRSPHHPGFLYGSATPEDRGLQVIEVTAYNRDSFDTTRQRLVLEIGDPEGP
+LLPYQAEFLVRSHDAEEVLPSTPASRFLSALGGLWEPGELQLLNVTSALDRGGRVPLPIE
+GRKEGVYIKVGSASPFSTCLKMVASPDSHARCAQGQPPLLSCYDTLAPHFRVDWCNVTLV
+DKSVPEPADEVPTPGDGILEHDPFFCPPTEAPDRDFLVDALVTLLVPLLVALLLTLLLAY
+VMCCRREGRLKRDLATSDIQMVHHCTIHGNTEELRQMAA
+>Q16585_PF04790_54 <unknown description>
+KTGLRGRKGNLAICVIILLFILAVINLIITLVIWAVIRIGPNGCDSMEFHESGLLRFKQV
+SDMGVIHPLYKSTVGGRRNENLVITGNNQPIVFQQGTTKLSVENNKTSITSDIGMQFFDP
+RTQNILFSTDYETHEFHLPSGVKSLNVQKASTERITSNATSDLNIKVDGRAIVRGNEGVF
+IMGKTIEFHMGGNMELKAENSIILNGSVMVSTTRLPSSSSGDQLGSGDWVRYKLCMCADG
+TLFKVQVTS
+>Q92629_PF04790_24 <unknown description>
+KVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMNFTIDGMGNLRITEKGLKLEGDS
+EFLQPLYAKEIQSRPGNALYFKSARNVTVNILNDQTKVLTQLITGPKAVEAYGKKFEVKT
+VSGKLLFSADNNEVVVGAERLRVLGAEGTVFPKSIETPNVRADPFKELRLESPTRSLVME
+APKGVEINAEAGNMEATCRTELRLESKDGEIKLDAAKIRLPRLPHGSYTPTGTRQKVFEI
+CVCANGRLFLSQAGA
+>O43556_PF05510_33 <unknown description>
+FLLTVYSIFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEISNDPITFNTNLM
+GYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMS
+AEDFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVP
+LPINDLKEGVYVMVGADVPFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTQFYIDWCK
+ISLVDKTKQVSTYQEVIRGEGILPDGGEYKPPSDSLKSRDYYTDFLITLAVPSAVALVLF
+LILAYIMCCRREGVEKRNMQTPDIQLVHHSAIQKSTKELRDMSKNREIAWPLSTLPVFHP
+VTGEIIPPLHTDNYDSTNMPLMQTQQN
+>Q13326_PF04790_25 <unknown description>
+KIGIYGWRKRCLYLFVLLLLIILVVNLALTIWILKVMWFSPAGMGHLCVTKDGLRLEGES
+EFLFPLYAKEIHSRVDSSLLLQSTQNVTVNARNSEGEVTGRLKVGPKMVEVQNQQFQINS
+NDGKPLFTVDEKEVVVGTDKLRVTGPEGALFEHSVETPLVRADPFQDLRLESPTRSLSMD
+APRGVHIQAHAGKIEALSQMDILFHSSDGMLVLDAETVCLPKLVQGTWGPSGSSQSLYEI
+CVCPDGKLYLSVA
+>Q96LD1_PF04790_39 <unknown description>
+VGIYGWRKRCLYFFVLLLLVTMIVNLAMTIWILKVMNFTVDGMGNLRVTKKGIRLEGISE
+FLLPLYVKEIHSRKDSPLVLQSDRNVTVNARNHMGQLTGQLTIGADAVEAQCKRFEVRAS
+EDGRVLFSADEDEITIGAEKLKVTGTEGAVFGHSVETPHIRAEPSQDLRLESPTRSLIME
+APRGVQVSAAAGDFKATCRKELHLQSTEGEIFLNAETIKLGNLPTGSFSSSSPSSSSSRQ
+TVYELCVCPNGKLYLSPAG
+>Q96ES7_PF07039_158 <unknown description>
+PGDKVAARVKAVDGDEQWILAEVVSYSHATNKYEVDDIDEEGKERHTLSRRRVIPLPQWK
+ANPETDPEALFQKEQLVLALYPQTTCFYRALIHAPPQRPQDDYSVLFEDTSYADGYSPPL
+NVAQRYVVACK
+>Q9BQI5_PF10291_561 <unknown description>
+PVAAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHFANNPSPAALTFRVINFSR
+LEHVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQKPQATYYNVDMLKYQVSA
+QGIQSTPLNLAVNWRCEPSSTDLRIDYKYNTDAMTTAVALNNVQFLVPIDGGVTKLQAVL
+PPAVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPSKPSPLVVQFTSEGSTLSG
+CDIELVGAGYRFSLIKKRFAAGKYLA
+>O00141_PF00069_193 <unknown description>
+FHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSERNVLLKNVKHP
+FLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIASALGYLHSLN
+IVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNSTTSTFCGTPEYLAPEVLHKQPYDRT
+VDWWCLGAVLYEMLYGLPPFYSRNTAEMYDNILNKPLQLKPNITNSARHLLEGLLQKDRT
+KRLGAKDDFMEIKSHVFF
+>O00141_PF00433_471 <unknown description>
+VSGPNDLRHFDPEFTEEPVPNSIGKSPDSVLVTASVKEAAEAFLGFSY
+>Q9HBY8_PF00069_37 <unknown description>
+FLKVIGKGNYGKVLLAKRKSDGAFYAVKVLQKKSILKKKEQSHIMAERSVLLKNVRHPFL
+VGLRYSFQTPEKLYFVLDYVNGGELFFHLQRERRFLEPRARFYAAEVASAIGYLHSLNII
+YRDLKPENILLDCQGHVVLTDFGLCKEGVEPEDTTSTFCGTPEYLAPEVLRKEPYDRAVD
+WWCLGAVLYEMLHGLPPFYSQDVSQMYENILHQPLQIPGGRTVAACDLLQSLLHKDQRQR
+LGSKADFLEIKNHVFF
+>Q9HBY8_PF00433_313 <unknown description>
+VTGPADLKHFDPEFTQEAVSKSIGCTPDTVASSSGASSAFLGFSY
+>Q96BR1_PF00787_42 <unknown description>
+RSEWFVFRRYAEFDKLYNTLKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNL
+VRYPELYNHPDVRAFLQM
+>Q96BR1_PF00069_164 <unknown description>
+FLKVIGKGSFGKVLLAKRKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFL
+VGLHYSFQTTEKLYFVLDFVNGGELFFHLQRERSFPEHRARFYAAEIASALGYLHSIKIV
+YRDLKPENILLDSVGHVVLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVD
+WWCLGAVLYEMLYGLPPFYCRDVAEMYDNILHKPLSLRPGVSLTAWSILEELLEKDRQNR
+LGAKEDFLEIQNHPFF
+>Q96BR1_PF00433_440 <unknown description>
+VAGPDDIRNFDTAFTEETVPYSVCVSSDYSIVNASVLEADDAFVGFSY
+>Q99720_PF04622_15 <unknown description>
+LLAVAAVLTQVVWLWLGTQSFVFQREEIAQLARQYAGLDHELAFSRLIVELRRLHPGHVL
+PDEELQWVFVNAGGWMGAMCLLHASLSEYVLLFGTALGSRGHSGRYWAEISDTIISGTFH
+QWREGTTKSEVFYPGETVVHGPGEATAVEWGPNTWMVEYGRGVIPSTLAFALADTVFSTQ
+DFLTLFYTLRSYARGLRLEL
+>Q5BJF2_PF05241_43 <unknown description>
+FRNLLKWYAKEFKDPLLQEPPAWFKSFLFCELVFQLPFFPIATYAFLKGSCKWIRTPAII
+YSVHTMTTLIPILSTFLFEDFSKASGFKGQRPETLHERLTLVSVYAPYLLIPFILLIFM
+>Q5FBB7_PF07558_22 <unknown description>
+MKEKRNKNLAEIGKRRSFIAAPCQIITNTSTLLKNYQDNNKMLVL
+>Q5FBB7_PF07557_474 <unknown description>
+PKRRCTASVNYKEPTLASKLRR
+>O95470_PF00282_196 <unknown description>
+FNGGPDSCGCVTSGGTESILMACKAYRDLAFEKGIKTPEIVAPQSAHAAFNKAASYFGMK
+IVRVPLTKMMEVDVRAMRRAISRNTAMLVCSTPQFPHGVIDPVPEVAKLAVKYKIPLHVD
+ACLGGFLIVFMEKAGYPLEHPFDFRVKGVTSISADTHKYGYAPKGSSLVLYSDKKYRNYQ
+FFVDTDWQGGIYASPTIAGSRPGGISAACWAALMHFGENGYVEATKQIIKTARFLKSELE
+NIKGIFVFGNPQL
+>Q9BX95_PF01569_163 <unknown description>
+LVVIWVLVMYLGQCTKDIIRWPRPASPPVVKLEVFYNSEYSMPSTHAMSGTAIPISMVLL
+TYGRWQYPLIYGLILIPCWCSLVCLSRIYMGMHSILDIIAGFLYTILILAVFYPF
+>Q8IWX5_PF01569_121 <unknown description>
+LIIIWVLVMYIGQVAKDVLKWPRPSSPPVVKLEKRLIAEYGMPSTHAMAATAIAFTLLIS
+TMDRYQYPFVLGLVMAVVFSTLVCLSRLYTGMHTVLDVLGGVLITALLIVLTYP
+>Q2NKQ1_PF02759_44 <unknown description>
+SFCAAVEACVLHGLRRRAAGFLRSNKIAALFMKVGKNFPPAEDLSRKVQDLEQLIESARN
+QIQGLQENVRKLPKLPNLSPLAIKHLWIRTALFEKVLDKIVHYLVENSSKYYEKEALLMD
+PVDGPILASLLVGPCALEYTKMKT
+>Q2NKQ1_PF12068_255 <unknown description>
+TLLYGKNNVLVQPRDDMEAVPGYLSLHQTADVMTLKWTPNQLMNGSVGDLDYEKSVYWDY
+AMTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQRPPFRFPKGGHLLQFLSCLENGLLPHG
+QLDPPLWSQRGKGKVFP
+>Q2NKQ1_PF00566_879 <unknown description>
+VNLHRIEKDVQRCDRNYWYFTPANLEKLRNIMCSYIWQHIEIGYVQGMCDLLAPLLVILD
+DEALAFSCFTELMKRMNQNFPHGGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFC
+YRWFLLDFKRELVYDDVFLVWETIWAAKHVSSAHYVLFIALALVEVYRDII
+>O43147_PF02759_43 <unknown description>
+LCGAVEACLLHQLRRRAAGFLRSDKMAALFTKVGKTCPVAGEICHKVQELQQQAEGRKPS
+GVSQEALRRQGSASGKAPALSPQALKHVWVRTALIEKVLDKVVQYLAENCSKYYEKEALL
+ADPVFGPILASLLVGPCALEYTKLKT
+>O43147_PF12068_257 <unknown description>
+RLLYGKNHVLVQPKEDMEAVPGYLSLHQSAESLTLKWTPNQLMNGTLGDSELEKSVYWDY
+ALVVPFSQVVCIHCHQQKSGGTLVLVSQDGIQRPPLHFPQGGHLLSFLSCLENGLLPRGQ
+LEPPLWTQQGKGKVFP
+>O43147_PF00566_838 <unknown description>
+NLHRIDKDVQRCDRNYWYFTPPNLERLRDVMCSYVWEHLDVGYVQGMCDLLAPLLVTLDN
+DQLAYSCFSHLMKRMSQNFPNGGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFCY
+RWFLLDFKRELLYEDVFAVWEVIWAARHISSEHFVLFIALALVEAYRE
+>Q96HU1_PF00566_119 <unknown description>
+MRPQLWMRLSGALQKKRNSELSYREIVKNSSNDETIAAKQIEKDLLRTMPSNACFASMGS
+IGVPRLRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEEDAFWMMSAIIEDLLPASYFS
+TTLLGVQTDQRVLRHLIVQYLPRLDKLLQEHDIELSLITLHWFLTAFASVVDIKLLLRIW
+DLFFYEGSRVLFQLTLGMLHLKEEELI
+>Q96HU1_PF00018_486 <unknown description>
+KALLDFERHDDDELGFRKNDIITIVSQKDEHCWVGELNGLRGWFPA
+>Q96HU1_PF02759_563 <unknown description>
+TLCPALKALFEHGLKKPSLLGGACHPWLFIEEAAGREVERDFASVYSRLVLCKTFRLDED
+GKVLTPEELLYRAVQSVNVTHDAVHAQMDVKLRSLICVGLNEQVLHLWLEVLCSSLPTVE
+KWYQPWSFLRSPGWVQIKCELRVLCCFAFSLSQD
+>Q9Y2Z0_PF04969_141 <unknown description>
+KYDWYQTESQVVITLMIKNVQKNDVNVEFSEKELSALVKLPSGEDYNLKLELLHPIIPEQ
+STFKVLSTKIEIKLKK
+>Q9Y2Z0_PF05002_253 <unknown description>
+RNWDKLVGEIKEEEKNEKLEGDAALNRLFQQIYSDGSDEVKRAMNKSFMESGGTVLSTNW
+SDVGKRKVEINPPDDMEWKKY
+>O43765_PF16546_3 <unknown description>
+NKKRLAYAIIQFLHDQLRHGGLSSDAQESLEVAIQCLETAFGVTVEDSDLALPQTLPEIF
+E
+>O43765_PF13181_101 <unknown description>
+QMKVENFEAAVHFYGKAIELNPAN
+>O43765_PF00515_126 <unknown description>
+VYFCNRAAAYSKLGNYAGAVQDCERAICIDPA
+>O43765_PF00515_160 <unknown description>
+KAYGRMGLALSSLNKHVEAVAYYKKALELDPDN
+>Q96EQ0_PF16546_5 <unknown description>
+KHLVYAVIRFLREQSQMDTYTSDEQESLEVAIQCLETVFKISPEDTHLAVSQPLTEMFT
+>Q96EQ0_PF13414_92 <unknown description>
+GNNHMKEENYAAAVDCYTQAIELDPNNAVYYCNRAAAQSKLG
+>Q96EQ0_PF00515_154 <unknown description>
+KAYGRMGLALTALNKFEEAVTSYQKALDLDPEN
+>O60880_PF00017_7 <unknown description>
+YHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLCVLYHGYIYTYRVSQTETGSWSA
+ETAPGVHKRYFRKIKNLISA
+>O14796_PF00017_5 <unknown description>
+YYHGRLTKQDCETLLLKEGVDGNFLLRDSESIPGVLCLCVSFKNIVYTYRIFREKHGYYR
+IQTAEGSPKQVFPSLKELISK
+>Q9NP31_PF00017_95 <unknown description>
+WFHGFITRREAERLLEPKPQGCYLVRFSESAVTFVLTYRSRTCCRHFLLAQLRDGRHVVL
+GEDSAHARLQDLLLHY
+>Q9BRG2_PF00017_15 <unknown description>
+WYHGLLSRQKAEALLQQNGDFLVRASGSRGGNPVISCRWRGSALHFEVFRVALRPRPGRP
+TALFQLEDEQFPSIPALVHSY
+>Q9H788_PF00017_347 <unknown description>
+WFHGILTLKKANELLLSTGMPGSFLIRVSERIKGYALSYLSEDGCKHFLIDASADAYSFL
+GVDQLQHATLADLVEYH
+>Q9NRF2_PF08916_25 <unknown description>
+SWREFCESHARAAALDFARRFRLYLASHPQYAGPGAEAAFSRRFAELFLQHFEAEV
+>Q9NRF2_PF00169_283 <unknown description>
+GQPQWQKCRLLLRSEGEGGGGSRLEFFVPPKASRPRLSIPCSSITDVRTTTALEMPDREN
+TFVVKVEGPSEYIMETVDAQHVKAWVSDIQECL
+>Q9NRF2_PF00017_527 <unknown description>
+WFHGMLSRLKAAQLVLTGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQ
+CRVQHLWFQSIFDMLEHF
+>O14492_PF08916_22 <unknown description>
+DWRQFCELHAQAAAVDFAHKFCRFLRDNPAYDTPDAGASFSRHFAANFLDVFGEEVR
+>O14492_PF00169_212 <unknown description>
+SGGSAQWQKCRLLLRRAVAEERFRLEFFVPPKASRPKVSIPLSAIIEVRTTMPLEMPEKD
+NTFVLKVENGAEYILETIDSLQKHSWVADIQGCV
+>O14492_PF00017_417 <unknown description>
+WFHGTLSRVKAAQLVLAGGPRNHGLFVIRQSETRPGEYVLTFNFQGKAKHLRLSLNGHGQ
+CHVQHLWFQSVLDMLRHF
+>Q9UQQ2_PF08916_25 <unknown description>
+GWSEFCELHAVAAARELARQYWLFAREHPQHAPLRAELVSLQFTDLFQRYFCREVR
+>Q9UQQ2_PF00169_229 <unknown description>
+GPDGPDRVLELFDPPKSSRPKLQAACSSIQEVRWCTRLEMPDNLYTFVLKVKDRTDIIFE
+VGDEQQLNSWMAELSEC
+>Q9UQQ2_PF00017_364 <unknown description>
+WFHGPISRVKAAQLVQLQGPDAHGVFLVRQSETRRGEYVLTFNFQGIAKHLRLSLTERGQ
+CRVQHLHFPSVVDMLHHF
+>Q8N5H7_PF00017_220 <unknown description>
+WYHGRIPREVSETLVQRNGDFLIRDSLTSLGDYVLTCRWRNQALHFKINKVVVKAGESYT
+HIQYLFEQESFDHVPALVRYH
+>Q8N5H7_PF00617_635 <unknown description>
+DLLERFHTMSIMLAVDILGCTGSAEERAALLHKTIQLAAELRGTMGNMFSFAAVMGALDM
+AQISRLEQTWVTLRQR
+>A6NKC9_PF00017_51 <unknown description>
+WFHGFITRKQTEQLLRDKALGSFLIRLSDRATGYILSYRGSDRCRHFVINQLRNRRYIIS
+GDTQSHSTLAELVHHY
+>A4FU49_PF14604_5 <unknown description>
+VLAGYRAQKEDELSLAPGDVVRQVRWVPARGWLRGEFGGRYGLFPERLVQ
+>A4FU49_PF14604_91 <unknown description>
+NFSYSPEQADELKLQAGEIVEMIKEIEDGWWLGKKNGQLGAFPSNFVE
+>A4FU49_PF07653_186 <unknown description>
+YRVLFDYQPEAPDELALRRGDVVKVLSKTTEDKGWWEGECQGRRGVFPDNFVL
+>Q9P0V3_PF00018_61 <unknown description>
+IAIKDYCPTNFTTLKFSKGDHLYVLDTSGGEWWYAHNTTEMGYIPS
+>Q9P0V3_PF00791_323 <unknown description>
+LDSSGGAVQLPDTSISIHVPEGHVAPGETQQISMKALLDPPLELNSDRSCSISPVLEVKL
+SNLEVKTSIILEMKVSAEIKN
+>Q9P0V3_PF07653_658 <unknown description>
+FGKLLKTVVRQNKNHYLLEYKKGDGIALLSEERVRLRGQLWTKEWYIGYYQGRVGLVHTK
+NVLV
+>Q99961_PF03114_6 <unknown description>
+LKKQFYKASQLVSEKVGGAEGTKLDDDFKEMEKKVDVTSKAVTEVLARTIEYLQPNPASR
+AKLTMLNTVSKIRGQVKNPGYPQSEGLLGECMIRHGKELGGESNFGDALLDAGESMKRLA
+EVKDSLDIEVKQNFIDPLQNLCEKDLKEIQHHLKKLEGRRLDFDYKKKRQGKIPDEELRQ
+ALEKFEESKEVAETSMHNLLETDIEQVSQLSALVDAQLDYHRQAVQILDELAEKLK
+>Q99961_PF00018_312 <unknown description>
+KALYDFEPENDGELGFHEGDVITLTNQIDENWYEGMLDGQSGFFP
+>Q99962_PF03114_6 <unknown description>
+LKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQPNPASR
+AKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEAMRELS
+EVKDSLDIEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPDEELRQ
+ALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRLE
+>Q99962_PF07653_295 <unknown description>
+CRALYDFEPENEGELGFKEGDIITLTNQIDENWYEGMLHGHSGFFPINYVEI
+>Q99963_PF03114_6 <unknown description>
+LKKQFHKASQLFSEKISGAEGTKLDDEFLDMERKIDVTNKVVAEILSKTTEYLQPNPAYR
+AKLGMLNTVSKIRGQVKTTGYPQTEGLLGDCMLKYGKELGEDSTFGNALIEVGESMKLMA
+EVKDSLDINVKQTFIDPLQLLQDKDLKEIGHHLKKLEGRRLDYDYKKKRVGKIPDEEVRQ
+AVEKFEESKELAERSMFNFLENDVEQVSQLAVFIEAALDYHRQSTEILQELQSKLQ
+>Q99963_PF00018_293 <unknown description>
+LYDFEPENQGELGFKEGDIITLTNQIDENWYEGMIHGESGFFP
+>Q96B97_PF14604_6 <unknown description>
+VEFDYQAQHDDELTISVGEIITNIRKEDGGWWEGQINGRRGLFPDNFV
+>Q96B97_PF14604_106 <unknown description>
+AFSYLPQNDDELELKVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIK
+>Q96B97_PF14604_274 <unknown description>
+VIFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDNFVK
+>O75368_PF04908_1 <unknown description>
+MVIRVYIASSSGSTAIKKKQQDVLGFLEANKIGFEEKDIAANEENRKWMRENVPENSRPA
+TGYPLPPQIFNESQYRGDYDAFFEARENNAVYAFLGLT
+>Q9UJC5_PF04908_1 <unknown description>
+MVIRVFIASSSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNVPPEKKPT
+QGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLK
+>Q9H299_PF04908_3 <unknown description>
+GLRVYSTSVTGSREIKSQQSEVTRILDGKRIQYQLVDISQDNALRDEMRALAGNPKATPP
+QIVNGDQYCGDYELFVEAVEQNTLQEFLKLA
+>Q7Z6J0_PF13923_11 <unknown description>
+ECPVCLERLDASAKVLPCQHTFCKRCLLGIVGSRNELRCPEC
+>Q7Z6J0_PF00018_140 <unknown description>
+KALYNYEGKEPGDLKFSKGDIIILRRQVDENWYHGEVNGIHGFFPT
+>Q7Z6J0_PF14604_203 <unknown description>
+ALYDFEVKDKEADKDCLPFAKDDVLTVIRRVDENWAEGMLADKIGIFPISYVE
+>Q7Z6J0_PF14604_452 <unknown description>
+AIYPYTPRKEDELELRKGEMFLVFERCQDGWFKGTSMHTSKIGVFPGNYVA
+>Q7Z6J0_PF14604_836 <unknown description>
+VVVSYPPQSEAELELKEGDIVFVHKKREDGWFKGTLQRNGKTGLFPGSFVE
+>Q8TEC5_PF13445_12 <unknown description>
+CPVCFEKLDVTAKVLPCQHTFCKPCLQRVFKAHKELRCP
+>Q8TEC5_PF07653_129 <unknown description>
+RAKALCNYRGQNPGDLRFNKGDIILLRRQLDENWYQGEINGISGNFPASSVEV
+>Q8TEC5_PF00018_207 <unknown description>
+ENQDCLTFLKDDIITVISRVDENWAEGKLGDKVGIFP
+>Q8TEC5_PF14604_387 <unknown description>
+ALHSYSAHGPDELDLQKGEGVRVLGKCQDGWLRGVSLVTGRVGIFPNNYV
+>Q8TEJ3_PF00097_57 <unknown description>
+CSVCLERLDTTAKVLPCQHTFCRRCLESIVCSRHELRCPEC
+>Q8TEJ3_PF00018_200 <unknown description>
+KALYSYEGKEPGDLKFNKGDIIVLRRKVDEQWYHGELHGTQGFLPA
+>Q8TEJ3_PF00018_262 <unknown description>
+KALYDFEMKDKDQDKDCLTFTKDEILTVLRRVDENWAEGMLGDKIGIFP
+>Q8TEJ3_PF14604_471 <unknown description>
+ALYAYKPQKSDELELHKGEMYRVLEKCQDGWFKGASLRTGVSGVFPGNYVT
+>Q8TEJ3_PF14604_830 <unknown description>
+VVVSYPPQSEAEIELKEGDIVFVHKKREDGWYKGTLQRNGRTGLFPGSFVE
+>Q96HL8_PF04366_87 <unknown description>
+GLGGGFEIGIEVSDLVIILNYDRAVEAFAKGGNLTLGGNLTVAVGPLGRNLEGNVALRSS
+AAVFTYCKSRGLFAGVSLEGSCLIERKETNRKFYCQDIRAYDILFGDTPRPAQAEDLYEI
+LDS
+>Q96HL8_PF00018_289 <unknown description>
+TALYSFEGQQPGDLNFQAGDRITVISKTDSHFDWWEGKLRGQTGIFPA
+>Q9Y566_PF12796_190 <unknown description>
+GTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHIDFRARDGMT
+ALHKAACARHCLALTALLDLGGSPNYKD
+>Q9Y566_PF12796_318 <unknown description>
+IHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKETCARILLYRGADKDVKN
+NNGQTPFQVAVIAGNFELGELI
+>Q9Y566_PF07653_561 <unknown description>
+AVKSYQAQAEGEISLSKGEKIKVLSIGEGGFWEGQVKGRVGWFPSDCLEEV
+>Q9Y566_PF17820_703 <unknown description>
+ESVDEGGVAWRAGLRMGDFLIEVNGQNVVKVGHRQVVNMIRQGG
+>Q9Y566_PF00536_2097 <unknown description>
+FWTKFDVADWLEWLGLAEHRAQFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRALK
+F
+>Q9UPX8_PF12796_179 <unknown description>
+ITKMLDRGLDPNFHDPETGETPLTLAAQLDDSVEVIKALKNGGAHLDFRAKDGMTALHKA
+ARARNQVALKTLLELGASPDYKD
+>Q9UPX8_PF12796_302 <unknown description>
+IHQACRYGHVQHLEHLLFYGADMSAQNASGNTALHICALYNQDSCARVLLFRGGNKELKN
+YNSQTPFQVAIIAGNFELAEYIKNH
+>Q9UPX8_PF07653_531 <unknown description>
+FVAVKPYQPQVDGEIPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEV
+>Q9UPX8_PF17820_666 <unknown description>
+ESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVNMIRQGGNHLVLKV
+>Q9UPX8_PF00536_1785 <unknown description>
+LWTKPDVADWLESLNLGEHKEAFMDNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALK
+QL
+>P04278_PF00054_75 <unknown description>
+VRTWDPEGVIFYGDTNPKDDWFMLGLRDGRPEIQLHNHWAQLTVGAGPRLDDGRWHQVEV
+KMEGDSVLLEVDGEEVLRLRQVSGPLTSKRHPIMRIALGGLLFPASNLRLPLVPALDGCL
+RRDSWLDKQA
+>Q15464_PF00017_410 <unknown description>
+WYHGAISRGDAENLLRLCKECSYLVRNSQTSKHDYSLSLRSNQGFMHMKLAKTKEKYVLG
+QNSPPFDSVPEVIHYY
+>P29353_PF00640_162 <unknown description>
+YLVRYMGCVEVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILG
+RSNLKFAGMPITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDP
+VNQRACHILECPEGLAQDVISTIGQAFELRFKQYLR
+>P29353_PF00017_489 <unknown description>
+WFHGKLSRREAEALLQLNGDFLVRESTTTPGQYVLTGLQSGQPKHLLLVDPEGVVRTKDH
+RFESVSHLISYH
+>P98077_PF00640_153 <unknown description>
+YVVRYMGCIEVLRSMRSLDFNTRTQVTREAINRLHEAVPGVRGSWKKKAPNKALASVLGK
+SNLRFAGMSISIHISTDGLSLSVPATRQVIANHHMPSISFASGGDTDMTDYVAYVAKDPI
+NQRACHILECCEGLAQSIISTVGQAFELRFKQYLH
+>P98077_PF00017_487 <unknown description>
+WYHGRMSRRAAERMLRADGDFLVRDSVTNPGQYVLTGMHAGQPKHLLLVDPEGVVRTKDV
+LFESISHLIDHH
+>Q92529_PF00640_155 <unknown description>
+YVVKYLGCIEVLRSMRSLDFSTRTQITREAISRVCEAVPGAKGAFKKRKPPSKMLSSILG
+KSNLQFAGMSISLTISTASLNLRTPDSKQIIANHHMRSISFASGGDPDTTDYVAYVAKDP
+VNRRACHILECCDGLAQDVIGSIGQAFELRFKQYLQ
+>Q92529_PF00017_499 <unknown description>
+WYQGEMSRKEAEGLLEKDGDFLVRKSTTNPGSFVLTGMHNGQAKHLLLVDPEGTIRTKDR
+VFDSISHLINHH
+>Q6S5L8_PF00640_192 <unknown description>
+YCVRYMGCVEVLQSMRSLDFGMRTQVTREAISRLCEAVPGANGAIKKRKPPVKFLSTVLG
+KSNLQFSGMNIKLTISTCSLTLMNLDNQQIIANHHMQSISFASGGDPDTTDYVAYVAKDP
+VNQRACHILECHNGMAQDVISTIGQAFELRFKQYLK
+>Q6S5L8_PF00017_527 <unknown description>
+YHGKLSRKAAESLLVKDGDFLVRESATSPGQYVLSGLQGGQAKHLLLVDPEGKVRTKDHV
+FDNVGHLIRYH
+>Q9NP50_PF15396_2 <unknown description>
+FGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLVK
+RWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRIKSNQISKLQKEFKRHNSD
+AHSTTSSASPAQSPCYSNQSDDGSDTEMASGSNRTPVFSFLDLTYWKRQKICCGIIYKGR
+FGEVLIDTHLFKPCCS
+>Q8NEM2_PF13229_425 <unknown description>
+DCTGADIKISGIKFVQHDAVEGILIVHRGKTTLENCVLQCETTGVTVRTSAEFLMKNSDL
+YGAKGAGIEIYPGSQCTLSDNGIHHCKEGILIKDFLDEHYDIPKISMVNNIIHNNEGYGV
+VL
+>Q96IW2_PF00017_240 <unknown description>
+WFHGPLNRADAESLLSLCKEGSYLVRLSETNPQDCSLSLRSSQGFLHLKFARTRENQVVL
+GQHSGPFPSVPELVLHY
+>Q5VZ18_PF00017_395 <unknown description>
+WYHGAISRAEAESRLQPCKEAGYLVRNSESGNSRYSIALKTSQGCVHIIVAQTKDNKYTL
+NQTSAVFDSIPEVVHYY
+>Q9NUL5_PF15135_16 <unknown description>
+REKFHGKVSSKKAGALMRKFGSDHTGVGRSIVYGVKQKDGQELSNDLDAQDPPEDMKQDR
+DIQAVATSLLPLTEANLRMFQRAQDDLIPAVDRQFACSSCDHVWWRRVPQRKEVSRCRKC
+RKRYEPVPADKMWGLAEFHCPKCRHNFRGWAQMGSPSPCYGCGFPVYPTRILPPRWDRDP
+DRRSTHTHSCSAADCYNRREPHVPGTSCAHPKSRKQNHLPKVLHPSNPHISSGSTVATCL
+SQGGLLEDLDNLILEDL
+>Q15465_PF01085_24 <unknown description>
+CGPGRGFGKRRHPKKLTPLAYKQFIPNVAEKTLGASGRYEGKISRNSERFKELTPNYNPD
+IIFKDEENTGADRLMTQRCKDKLNALAISVMNQWPGVKLRVTEGWDEDGHHSEESLHYEG
+RAVDITTSDRDRSKYGMLARLAVEAGFDWVYYESKAHIHCS
+>Q15465_PF01079_186 <unknown description>
+KAENSVAAKSGGCFPGSATVHLEQGGTKLVKDLSPGDRVLAADDQGRLLYSDFLTFLDRD
+DGAKKVFYVIETREPRERLLLTAAHLLFVAPHNDSATGEPEASSGSGPPSGGALGPRALF
+ASRVRPGQRVYVVAERDGDRRLLPAAVHSVTLSEEAAGAYAPLTAQGTILINRVLASCYA
+VIEEHSWAHRAFAPFRLAHALLAALAPARTDRGGDSGGGDRGGGGGRVALTAPGAADAPG
+AGATAGIHWYSQLLYQIGTWLLD
+>Q92835_PF00017_5 <unknown description>
+WNHGNITRSKAEELLSRTGKDGSFLVRASESISRAYALCVLYRNCVYTYRILPNEDDKFT
+VQASEGVSMRFFTKLDQLIEFY
+>Q92835_PF03372_409 <unknown description>
+GTWNMGNAPPPKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLSEKEWLEILKHS
+LQEITSVTFKTVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSF
+MFNGTSLGFVNSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWFGDL
+NYRVDLPTWEAETIIQKIKQQQYADLLSHDQLLTERREQKVFLHFEEEEITFAPTYRFER
+LTRDKYAYTKQKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDH
+>O15357_PF00017_21 <unknown description>
+WYHRDLSRAAAEELLARAGRDGSFLVRDSESVAGAFALCVLYQKHVHTYRILPDGEDFLA
+VQTSQGVPVRRFQTLGELIGLY
+>O15357_PF03372_429 <unknown description>
+GTWNMGSVPPPKNVTSWFTSKGLGKTLDEVTVTIPHDIYVFGTQENSVGDREWLDLLRGG
+LKELTDLDYRPIAMQSLWNIKVAVLVKPEHENRISHVSTSSVKTGIANTLGNKGAVGVSF
+MFNGTSFGFVNCHLTSGNEKTARRNQNYLDILRLLSLGDRQLNAFDISLRFTHLFWFGDL
+NYRLDMDIQEILNYISRKEFEPLLRVDQLNLEREKHKVFLRFSEEEISFPPTYRYERGSR
+DTYAWHKQKPTGVRTNVPSWCDRILWKSYPETHIICNSYGCTDDIVTSDH
+>O15357_PF00536_1203 <unknown description>
+AWLRAIGLERYEEGLVHNGWDDLEFLSDITEEDLEEAGVQDPAHKRLLLDTLQ
+>Q8TBC3_PF02214_21 <unknown description>
+IHLNVGGKRFSTSRQTLTWIPDSFFSSLLSGRISTLKDETGAIFIDRDPTVFAPILNFLR
+TKELDPRGVHGSSLLHEAQFYGLTPL
+>Q9Y371_PF03114_19 <unknown description>
+LSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIMKQTEVLLQPNPNARIEEF
+VYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIKCGETQKRIGTADRELIQT
+SALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLKKAKAAETRNSSEQELRIT
+QSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLG
+>Q9Y371_PF14604_312 <unknown description>
+VLYDYDAANSTELSLLADEVITVFSVVGMDSDWLMGERGNQKGKVPITYLE
+>Q9NR46_PF03114_16 <unknown description>
+FTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTEKILRQTEVLLQPNPSARVEEF
+LYEKLDRKVPSRVTNGELLAQYMADAASELGPTTPYGKTLIKVAEAEKQLGAAERDFIHT
+ASISFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAKAAEAKATTVPDFQET
+RPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLEGISSTHVNHLRCLHE
+FVKSQTTYYAQCYRHMLDLQKQLG
+>Q9NR46_PF14604_342 <unknown description>
+VLYDYEAADSSELALLADELITVYSLPGMDPDWLIGERGNKKGKVPVTYLE
+>Q8IYI0_PF15021_1 <unknown description>
+MAARDATSGSLSEESSALDLPSACDIRDYVLQGPSQEANSEAFSSLEFHSFPYSSDVDPD
+TSNLNIEQNNSWTAENFWLDPAVKGQSEKEEDDGLRKSLDRFYEMFGHPQPGSANSLSAS
+VCKCLSQKITQLRGQESQKYALRSFQMARVIFNRDGCSVLQRHSRDTHFYPLEEGSTSLD
+DEKPNPGLSKDITHFLLQQNVMK
+>Q86V20_PF15793_720 <unknown description>
+DKCSGVVLIKAQISELAFPITASQKIALNAHSSLKSIFSSLPNIVYTGCAKCGLELETDE
+NRIYKQCFSCLPFTMKKIYYRPALMTAIDGRHDVCIRVESKLIEKILLNISADCLNRVIV
+PSSEITYGMVVADLFHSLLAVSAEPCVLKIQSLFVLDENSYPLQQDFSLLDFY
+>Q9UQ13_PF13855_124 <unknown description>
+QLTELYLYSNKLQSLPAEVGCLVNLMTLALSENSLTSLPDSLDNLKKLRMLDLRHNKL
+>Q9UQ13_PF13855_193 <unknown description>
+SLTTLYLRFNRITTVEKDIKNLSKLSMLSIRENKIKQLPAEIGELCNLITLDVAHNQL
+>Q9UQ13_PF13855_285 <unknown description>
+SLSRLGLRYNRLSAIPRSLAKCSALEELNLENNNISTLPESLLSSLVKLNSLTLARN
+>Q9UQ13_PF13855_405 <unknown description>
+VELNLATNQLTKIPEDVSGLVSLEVLILSNNLLKKLPHGLGNLRKLRELDLEENKL
+>Q9UQ13_PF13855_473 <unknown description>
+LQKLVLTNNQLTTLPRGIGHLTNLTHLGLGENLLTHLPEEIGTLENLEELYLNDNP
+>O60902_PF00046_141 <unknown description>
+RRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQNRRAKCRK
+>O60902_PF03826_298 <unknown description>
+SKNSSIADLRLKAKKHAA
+>O15266_PF00046_118 <unknown description>
+RRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQNRRAKCRK
+>O15266_PF03826_271 <unknown description>
+SKNSSIADLRLKARKHAE
+>Q9BZQ2_PF13229_487 <unknown description>
+GIVVVESGHMTLENCILKCEGTGVCVLTGAALTITDSEITGAQGAGVELYPGSIAILERN
+EIHHCNNLRTSNSSKSTLGGVNMKVLPAPKLKMTNNHIYSNKGYGVSILQPMEQFFIVAE
+EALNKRASSGDKKDDKMLFKVMQNLNLEMNNNKIEANV
+>Q9UHJ6_PF00370_7 <unknown description>
+TLGIDLGTTSVKAALLRAAPDDPSGFAVLASCARAARAEAAVESAVAGPQGREQDVSRIL
+QALHECLAALPRPQLRSVVGIGVSGQMHGVVFWKTGQGCEWTEGGITPVFEPRAVSHLVT
+WQDGRCSSEFLASLPQPKSHLSVATGFGCATIFWLLKYRPEFLKSYDAAGTIHDYVVAML
+CGLPRPLMSDQNAASWGYFNTQSQSWNVETLRSSGFPVHLLPDIAEPGSVAGRTSHMWFE
+IPKGTQVGVALGDLQA
+>Q149N8_PF00176_307 <unknown description>
+YQREAVNWMLQQECFRSSPATESALHFLWREIVTSEGLKLYYNPYTGCIIREYPNSGPQL
+LGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPSHYFGGKLKKTEI
+QNIEFEPKEKVQCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIYRYDVQRNRSLLKRM
+LKCLIFEGLVKQIKGHGFSGTFTLGKNYKEEDICDKTKKQAVGSPRKIQKETRKSGNKDT
+DSEYLPSDTSDDDDDPYYYYYKSRRNRSKLRKKLVPSTKKGKSQPFINPDSQGHCPATSD
+SGITDVAMSKSTCISEFNQEHETEDCAESLNHADSDVPPSNTMSPFNTSDYRFECICGEL
+DQIDRKPRVQCLKCHLWQHAKCVNYDEKNLKIKPFYCPHCLVAMEPVSTRATLIISPSSI
+CHQWVDEINRHVRSSSLRVLVYQGVKKDGFLQPHFLAEQDIVIITYDVLRSELNYVDIPH
+SNSEDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCI
+SGTPVQRGLEDLFGLVVFLGIEPYCVKHWWVRLLYRPYCKKNPQHLYSFIAKILWRSAKK
+DVIDQIQIPPQTEEIHWLHFSPVERHFYHRQHEVCCQDVVVKLRKISDWALKLSSLDRRT
+VTSILYPLLRLRQACCHPQAV
+>Q149N8_PF00538_440 <unknown description>
+PPTRVMILTAVKEMNGKKGVSILSIYKYVSSIYRYDVQRNRSLLKRMLKCLIFEGLVKQI
+KGHGFSGTFTL
+>Q149N8_PF00271_1514 <unknown description>
+AVVRTLMKIQLRDPGAKALVFSTWQDVLDIISKALTDNNMEFAQISRVKTFQENLSAFKR
+DPQINILLLPLHTGSNGLTIIEATHVLLVEPILNPAHELQAIGRVHRIG
+>P78324_PF07686_38 <unknown description>
+QPDKSVLVAAGETATLRCTATSLIPVGPIQWFRGAGPGRELIYNQKEGHFPRVTTVSDLT
+KRNNMDFSIRIGNITPADAGTYYCVKFRKGSPDDVEFKSGAGTELSV
+>P78324_PF07654_161 <unknown description>
+TPQHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPVGESVSYSIHSTAKVVLTRE
+DVHSQVICEVAHVT
+>P78324_PF07654_263 <unknown description>
+VRAENQVNVTCQVRKFYPQRLQLTWLENGNVSRTETASTVTENKDGTYNWMSWLLVNVSA
+HRDDVKLTCQVEHDG
+>Q6PI26_PF04925_235 <unknown description>
+EQENHATLVSFSEEEKYQLRKFVNKSYLLDKRACRQVCYSLIDILLAYCYETRVTEGEKN
+VESAWNIRKLSPTLCWFETWTNVHDIMVSFGRRVLCYPLYRHFKLVMKAYRDTIKILQLG
+KSAVLKCLLDIHKIFQENDPAYILNDLYISDYCVWIQKVKSKKLAALAEALKEVSLTKAQ
+LGLEL
+>Q2M3G4_PF08688_136 <unknown description>
+ASRAAYRQRLQGAQRRVLRETSFQRKELRMSLPARLRPTVPARPPATHPRSASLSHPGGE
+GEPARSRAPAPGTAGRGPLANQQRKWCFSEPGKLDRVGRGG
+>Q2M3G4_PF08687_544 <unknown description>
+ELVQELARLDPSLCDPLASQPSPEPPLGLLDGLIPLAEVRAAMRPACGEAGEEAASTFEP
+GSYQFSFTQLLPAPREETRLENPATHPVLDQPCGQGLPAPNNSIQGKKVELAARLQKMLQ
+DLHTEQERLQGEAQAWARRQAALEAAVRQACAPQELERFSRFMADLERVLGLLLLLGSRL
+ARVRRALARAASDSDPDEQASLLQRLRLLQRQEEDAKELKEHVARRERAVREVLVRALPV
+EELRVYCALLAGKAAVLAQQRNLDERIRLLQDQLDAIRDDL
+>Q13796_PF00595_28 <unknown description>
+EVQLSGGAPWGFTLKGGREHGEPLVITKIEEGSKAAAVDKLLAGDEIVGINDIGLSGFRQ
+EAICLVKGSHKTLKLVV
+>Q13796_PF08688_640 <unknown description>
+KLQKSRSTVALTAAGEAEDGTGRWRAGLGGGTQEGPLAGTYKDHLKEAQARVLRATSFKR
+RDLDPNPGDLYPESLEHRMGDPDTVPHFWEAGLAQPPSSTSGGPHPPRIGGRRRFTAEQK
+LKSYSEPEKMNEVGLTRGYSPHQHPRTSEDTVGTFADRWKFFEETSK
+>Q13796_PF08687_1318 <unknown description>
+ELAREIVGKDKSLADILDPSVKIKTTMDLMEGIFPKDEHLLEEAQQRRKLLPKIPSPRST
+EERKEEPSVPAAVSLATNSTYYSTSAPKAELLIKMKDLQEQQEHEEDSGSDLDHDLSVKK
+QELIESISRKLQVLREARESLLEDVQANTVLGAEVEAIVKGVCKPSEFDKFRMFIGDLDK
+VVNLLLSLSGRLARVENALNNLDDGASPGDRQSLLEKQRVLIQQHEDAKELKENLDRRER
+IVFDILANYLSEESLADYEHFVKMKSALIIEQRELEDKIHLGEEQLKCLLDSL
+>Q8TF72_PF00595_34 <unknown description>
+GGAPWGFTLKGGLEHGEPLIISKVEEGGKADTLSSKLQAGDEVVHINEVTLSSSRKEAVS
+LVKGSYKTLRLVV
+>Q8TF72_PF08688_885 <unknown description>
+LLRSQSTFQLSSEPEREPEWRDRPGSPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRR
+DLELGAPVASRSWRPRPSSAHVGLRSPEASASASPHTPRERHSVTPAEGDLARPVPPAAR
+RGARRRLTPEQKKRSYSEPEKMNEVGIVEEAEPAPLGPQRNGMRFPESSVADRRRLFERD
+GK
+>Q8TF72_PF08687_1671 <unknown description>
+LAKEIVHQDKSLADILDPDSRLKTTMDLMEGLFPRDVNLLKENSVKRKAIQRTVSSSGCE
+GKRNEDKEAVSMLVNCPAYYSVSAPKAELLNKIKEMPAEVNEEEEQADVNEKKAELIGSL
+THKLETLQEAKGSLLTDIKLNNALGEEVEALISELCKPNEFDKYRMFIGDLDKVVNLLLS
+LSGRLARVENVLSGLGEDASNEERSSLYEKRKILAGQHEDARELKENLDRRERVVLGILA
+NYLSEEQLQDYQHFVKMKSTLLIEQRKLDDKIKLGQEQVKCLLESL
+>Q9ULL8_PF00595_15 <unknown description>
+LQGGAPWGFTLKGGLEHCEPLTVSKIEDGGKAALSQKMRTGDELVNINGTPLYGSRQEAL
+ILIKGSFRILKLI
+>Q9ULL8_PF08687_1245 <unknown description>
+SGQEATESAKQEFQHFSPPSGAPGIPTSYSAYYNISVAKAELLNKLKDQPEMAEIGLGEE
+EVDHELAQKKIQLIESISRKLSVLREAQRGLLEDINANSALGEEVEANLKAVCKSNEFEK
+YHLFVGDLDKVVNLLLSLSGRLARVENALNSIDSEANQEKLVLIEKKQQLTGQLADAKEL
+KEHVDRREKLVFGMVSRYLPQDQLQDYQHFVKMKSALIIEQRELEEKIKLGEEQLKCLRE
+SL
+>Q9H0F6_PF16764_16 <unknown description>
+GSAAVLLAVHAAVRPLGAGPDAEAQLRRLQLSADPERPGRFRLELLGAGPGAVNLEWPLE
+SVSYTIRGPTQHELQPPPGGPGTLSLHFLNPQEAQRWAVLVRGATVEGQNGS
+>Q6UWI4_PF13908_35 <unknown description>
+GEYCHGWLDAQGVWRIGFQCPERFDGGDATICCGSCALRYCCSSAEARLDQGGCDNDRQQ
+GAGEPGRADKDGPDGSAVPIYVPFLIVGSVFVAFIILGSLVAACCCRCLRPKQDPQQSRA
+PGGNRLMETIPMIPSASTSRGSSSRQSSTAASSSSSANSGARAPPTRSQTNCCLPEG
+>A0PJX4_PF13908_25 <unknown description>
+GEYCHGWVDVQGNYHEGFQCPEDFDTLDATICCGSCALRYCCAAADARLEQGGCTNDRRE
+LEHPGITAQPVYVPFLIVGSIFIAFIILGSVVAIYCCTCLRPKEPSQQPIRFSLRSYQTE
+TLPMILTSTSPRAPSRQSSTATSSSSTGGSIRRFSFARAEPGCLVPSPPPPY
+>Q96DD7_PF13908_28 <unknown description>
+GEDCLWYLDRNGSWHPGFNCEFFTFCCGTCYHRYCCRDLTLLITERQQKHCLAFSPKTIA
+GIASAVILFVAVVATTICCFLCSCCYLYRRRQQLQSPFEGQEIPMTGIPVQPVYPYPQDP
+KAGPAPPQPGFIYPPSGPAPQYPLYPAGPPVYNPAAPPPYM
+>Q8N114_PF13908_28 <unknown description>
+GEVCMASRGLSLFPESCPDFCCGTCDDQYCCSDVLKKFVWSEERCAVPEASVPASVEPVE
+QLGSALRFRPGYNDPMSGFGATLAVGLTIFVLSVVTIIICFTCSCCCLYKTCRRPRPVVT
+TTTSTTVVHAPYPQPPSVPPSYPGPSYQGYHTMPPQPGMPAAPYPMQYPPPYPAQPMGPP
+AY
+>Q6ZSJ9_PF13908_92 <unknown description>
+ETCWGYYDVSGQYDKEFECNNSESGYLYCCGTCYYRFCCKKRHEKLDQRQCTNYQSPVWV
+QTPSTKVVSPGPENKYDPEKDKTNFTVYITCGVIAFVIVAGVFAKVSYDKAHRPPREMNI
+HRALADILRQQGPIPIAHCERETISAIDTSPKENTPVRSSSKNHYTPVRTAKQT
+>A6NL88_PF13908_80 <unknown description>
+ELCHGYYDVMGQYDATFNCSTGSYRFCCGTCHYRFCCEHRHMRLAQASCSNYDTPRW
+>B8ZZ34_PF13908_57 <unknown description>
+GDRCRGYYDVMGQWDPPFNCSSGAYSFCCGTCGYRFCCHDGPRRLDQSRCSNYDTPAWVQ
+TGRPPARARDTAAPRDPGRERSHTAVYAVCGVAALLVLAGIGARLGLERAHSPRARRTVT
+RALTELLKQPGPQEPLPPTLG
+>B4DS77_PF13908_72 <unknown description>
+DFCRGYFDVMGQWDPPFNCSSGDFIFCCGTCGFRFCCTFKKRRLNQSTCTNYDTPLWLNT
+GKPPARKDDPLHDPTKDKTNLIVYIICGVVAVMVLVGIFTKLGLEKAHRPQREHMSRALA
+DVMRPQGHCNTDHMERDLNIVVHVQHYENMDTRTPINNLHATQMNNAVPTSPLLQQMGHP
+H
+>O43166_PF02145_628 <unknown description>
+MYNNESAGPAFEEFLQLLGERVRLKGFEKYRAQLDTKTDSTGTHSLYTTYKDYEIMFHVS
+TMLPYTPNNKQQLLRKRHIGNDIVTIVFQEPGAQPFSPKNIRSHFQHVFVIVRVHNPCSD
+SVCYSVAVTRSRDVPSFGPPIPKGVTFPKSNVFRDFLLAKVINAENAAHKSEKFRAMATR
+TR
+>O43166_PF11881_1483 <unknown description>
+KRHQSDGNEIAHTRLRASTRDLRASPKPTSKSTIEEDLKKLIDLESPTPESQKSFKFHAL
+SSPQSPFPSTPTSRRALHRTLSDESIYNSQREHFFTSRASLLDQALPNDVLFSSTYPSLP
+KSLPLRRPSYTLGMKSLHGEFSASDSSLTDIQETRRQPMPDPGLMPLPDTAADLDWSNLV
+DAAKAYEVQRASFFAASDENHRPLSAASNSDQLEDQALAQMKPYSSSKDSSPTLASKVDQ
+LEGMLK
+>Q9P2F8_PF02145_624 <unknown description>
+MYNNETAGPAFEEFLDLLGQRVRLKGFSKYRAQLDNKTDSTGTHSLYTTYKDYELMFHVS
+TLLPYMPNNRQQLLRKRHIGNDIVTIVFQEPGALPFTPKSIRSHFQHVFVIVKVHNPCTE
+NVCYSVGVSRSKDVPPFGPPIPKGVTFPKSAVFRDFLLAKVINAENAAHKSEKFRAMATR
+TR
+>Q9P2F8_PF00595_952 <unknown description>
+TLRRNGLGQLGFHVNFEGIVADVEPFGFAWKAGLRQGSRLVEICKVAVATLTHEQMIDLL
+RTSVTVKVVI
+>Q9P2F8_PF11881_1423 <unknown description>
+EMDVMSTATQHQTVVGDAVAETQHVLSKEDFLKLMLPDSPLVEEGRRKFSFYGNLSPRRS
+LYRTLSDESICSNRRGSSFGSSRSSVLDQALPNDILFSTTPPYHSTLPPRAHPAPSMGSL
+RNEFWFSDGSLSDKSKCADPGLMPLPDTATGLDWTHLVDAARAFEGLDSDEELGLLCHHT
+SYLDQRVASFCTLTDMQHGQDLEGAQELPLCVDPGSGKEFMDTTGERSPSPLTGKVNQLE
+LILR
+>O60292_PF02145_640 <unknown description>
+MYNNEEAGPAFEEFLSLIGEKVCLKGFTKYAAQLDVKTDSTGTHSLYTMYQDYEIMFHVS
+TLLPYTPNNRQQLLRKRHIGNDIVTIIFQEPGALPFTPKNIRSHFQHVFIIVRVHNPCTD
+NVCYSMAVTRSKDAPPFGPPIPSGTTFRKSDVFRDFLLAKVINAENAAHKSDKFHTMATR
+TR
+>O60292_PF11881_1477 <unknown description>
+KQVDTNTKNVFGQPRLRASLRDLRSPRKNYKSTIEDDLKKLIIMDNLGPEQERDTGQSPQ
+KGLQRTLSDESLCSGRREPSFASPAGLEPGLPSDVLFTSTCAFPSSTLPARRQHQHPHPP
+VGPGATPAAGSGFPEKKSTISASELSLADGRDRPLRRLDPGLMPLPDTAAGLEWSSLVNA
+AKAYEVQRAVSLFSLNDPALSPDIPPAHSPVHSHLSLERGPPTPRTTPTMSEEPPLDLTG
+KVYQLEVMLK
+>Q9Y274_PF00777_76 <unknown description>
+YGSDKFDLPYGMRTSAEYFRLALSKLQSCDLFDEFDNIPCKKCVVVGNGGVLKNKTLGEK
+IDSYDVIIRMNNGPVLGHEEEVGRRTTFRLFYPESVFSDPIHNDPNTTVILTAFKPHDLR
+WLLELLMGDKINTNGFWKKPALNLIYKPYQIRILDPFIIRTAAYELLHFPKVFPKNQKPK
+HPTTGIIAITLAFYICHEVHLAGFKYNFSDLKSPLHYYGNATMSLMNKNAYHNVTAEQLF
+LKDIIEKN
+>Q11201_PF00777_86 <unknown description>
+LTAQNALLEDDTYRWWLRLQREKKPNNLNDTIKELFRVVPGNVDPMLEKRSVGCRRCAVV
+GNSGNLRESSYGPEIDSHDFVLRMNKAPTAGFEADVGTKTTHHLVYPESFRELGDNVSMI
+LVPFKTIDLEWVVSAITTGTISHTYIPVPAKIRVKQDKILIYHPAFIKYVFDNWLQGHGR
+YPSTGILSVIFSMHVCDEVDLYGFGADSKGNWHHYWENNPSAGAFRKTGVHDADFESNVT
+ATLAS
+>Q16842_PF00777_97 <unknown description>
+WTRENMDLPPDVQRWWMMLQPQFKSHNTNEVLEKLFQIVPGENPYRFRDPHQCRRCAVVG
+NSGNLRGSGYGQDVDGHNFIMRMNQAPTVGFEQDVGSRTTHHFMYPESAKNLPANVSFVL
+VPFKVLDLLWIASALSTGQIRFTYAPVKSFLRVDKEKVQIYNPAFFKYIHDRWTEHHGRY
+PSTGMLVLFFALHVCDEVNVYGFGADSRGNWHHYWENNRYAGEFRKTGVHDADFEAHIID
+MLAKA
+>Q11206_PF00777_80 <unknown description>
+TPSAYELPYGTKGSEDLLLRVLAITSSSIPKNIQSLRCRRCVVVGNGHRLRNSSLGDAIN
+KYDVVIRLNNAPVAGYEGDVGSKTTMRLFYPESAHFDPKVENNPDTLLVLVAFKAMDFHW
+IETILSDKKRVRKGFWKQPPLIWDVNPKQIRILNPFFMEIAADKLLSLPMQQPRKIKQKP
+TTGLLAITLALHLCDLVHIAGFGYPDAYNKKQTIHYYEQITLKSMAGSGHNVSQEALAIK
+RMLEMG
+>Q9NSC7_PF00777_304 <unknown description>
+FLDSRHFNQSEWDRLEHFAPPFGFMELNYSLVQKVVTRFPPVPQQQLLLASLPAGSLRCI
+TCAVVGNGGILNNSHMGQEIDSHDYVFRLSGALIKGYEQDVGTRTSFYGFTAFSLTQSLL
+ILGNRGFKNVPLGKDVRYLHFLEGTRDYEWLEALLMNQTVMSKNLFWFRHRPQEAFREAL
+HMDRYLLLHPDFLRYMKNRFLRSKTLDGAHWRIYRPTTGALLLLTALQLCDQVSAYGFIT
+EGHERFSDHYYDTSWKRLIFYINHDFKLEREVWKRLHDEGI
+>Q9UJ37_PF00777_99 <unknown description>
+PALWDRLSQHKAPYGWRGLSHQVIASTLSLLNGSESAKLFAPPRDTPPKCIRCAVVGNGG
+ILNGSRQGPNIDAHDYVFRLNGAVIKGFERDVGTKTSFYGFTVNTMKNSLVSYWNLGFTS
+VPQGQDLQYIFIPSDIRDYVMLRSAILGVPVPEGLDKGDRPHAYFGPEASASKFKLLHPD
+FISYLTERFLKSKLINTHFGDLYMPSTGALMLLTALHTCDQVSAYGFITSNYWKFSDHYF
+ERKMKPLIFYANHDLSLEAALWRDLHKAGI
+>Q8NDV1_PF00777_69 <unknown description>
+TQEPLQLDCDLCAIVSNSGQMVGQKVGNEIDRSSCIWRMNNAPTKGYEEDVGRMTMIRVV
+SHTSVPLLLKNPDYFFKEANTTIYVIWGPFRNMRKDGNGIVYNMLKKTVGIYPNAQIYVT
+TEKRMSYCDGVFKKETGKDRVQSGSYLSTGWFTFLLAMDACYGIHVYGMINDTYCKTEGY
+RKVPYHYYEQGRDECDEYFLHEHAPYGGHRFITEKKVFAKWAKK
+>Q9H4F1_PF00777_65 <unknown description>
+GKPLVREPCRSCAVVSSSGQMLGSGLGAEIDSAECVFRMNQAPTVGFEADVGQRSTLRVV
+SHTSVPLLLRNYSHYFQKARDTLYMVWGQGRHMDRVLGGRTYRTLLQLTRMYPGLQVYTF
+TERMMAYCDQIFQDETGKNRRQSGSFLSTGWFTMILALELCEEIVVYGMVSDSYCREKSH
+PSVPYHYFEKGRLDECQMYLAHEQAPRSAHRFITEKAVFSRWAK
+>Q9BVH7_PF00777_86 <unknown description>
+HKPLKMHCRDCALVTSSGHLLHSRQGSQIDQTECVIRMNDAPTRGYGRDVGNRTSLRVIA
+HSSIQRILRNRHDLLNVSQGTVFIFWGPSSYMRRDGKGQVYNNLHLLSQVLPRLKAFMIT
+RHKMLQFDELFKQETGKDRKISNTWLSTGWFTMTIALELCDRINVYGMVPPDFCRDPNHP
+SVPYHYYEPFGPDECTMYLSHERGRKGSHHRFITEKRVFKN
+>Q969X2_PF00777_100 <unknown description>
+TLPSRCHQCVIVSSSSHLLGTKLGPEIERAECTIRMNDAPTTGYSADVGNKTTYRVVAHS
+SVFRVLRRPQEFVNRTPETVFIFWGPPSKMQKPQGSLVRVIQRAGLVFPNMEAYAVSPGR
+MRQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVHVYGMVPPNYCSQRPRLQRM
+PYHYYEPKGPDECVTYIQNEHSRKGNHHRFITEKRVFS
+>Q92185_PF00777_94 <unknown description>
+MTKMNSPMGKSMWYDGEFLYSFTIDNSTYSLFPQATPFQLPLKKCAVVGNGGILKKSGCG
+RQIDEANFVMRCNLPPLSSEYTKDVGSKSQLVTANPSIIRQRFQNLLWSRKTFVDNMKIY
+NHSYIYMPAFSMKTGTEPSLRVYYTLSDVGANQTVLFANPNFLRSIGKFWKSRGIHAKRL
+STGLFLVSAALGLCEEVAIYGFWPFSVNMHEQPISHHYYDNVLPFSGFHAMPEEFLQLWY
+LHKIG
+>Q92186_PF00777_116 <unknown description>
+TLKPGDIIHYIFDRDSTMNVSQNLYELLPRTSPLKNKHFGTCAIVGNSGVLLNSGCGQEI
+DAHSFVIRCNLAPVQEYARDVGLKTDLVTMNPSVIQRAFEDLVNATWREKLLQRLHSLNG
+SILWIPAFMARGGKERVEWVNELILKHHVNVRTAYPSLRLLHAVRGYWLTNKVHIKRPTT
+GLLMYTLATRFCKQIYLYGFWPFPLDQNQNPVKYHYYDSLKYGYTSQASPHTMPLEFKAL
+KSLHEQG
+>O43173_PF00777_116 <unknown description>
+LTKNSVRIGQLMHYDYSSHKYVFSISNNFRSLLPDVSPIMNKHYNICAVVGNSGILTGSQ
+CGQEIDKSDFVFRCNFAPTEAFQRDVGRKTNLTTFNPSILEKYYNNLLTIQDRNNFFLSL
+KKLDGAILWIPAFFFHTSATVTRTLVDFFVEHRGQLKVQLAWPGNIMQHVNRYWKNKHLS
+PKRLSTGILMYTLASAICEEIHLYGFWPFGFDPNTREDLPYHYYDKKGTKFTTKWQESHQ
+LPAEFQLLYRMHGEG
+>Q92187_PF00777_99 <unknown description>
+KSSFKPGDVIHYVLDRRRTLNISHDLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSECGK
+EIDSHNFVIRCNLAPVVEFAADVGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSML
+NDSVLWIPAFMVKGGEKHVEWVNALILKNKLKVRTAYPSLRLIHAVRGYWLTNKVPIKRP
+STGLLMYTLATRFCDEIHLYGFWPFPKDLNGKAVKYHYYDDLKYRYFSNASPHRMPLEFK
+TLNVLHNRG
+>O15466_PF00777_118 <unknown description>
+FTTQKNTPLGTKLKYEVDTSGIYHINQEIFRMFPKDMPYYRSQFKKCAVVGNGGILKNSR
+CGREINSADFVFRCNLPPISEKYTMDVGVKTDVVTVNPSIITERFHKLEKWRRPFYRVLQ
+VYENASVLLPAFYNTRNTDVSIRVKYVLDDFESPQAVYYFHPQYLVNVSRYWLSLGVRAK
+RISTGLILVTAALELCEEVHLFGFWAFPMNPSGLYITHHYYDNVKPRPGFHAMPSEIFNF
+LHLHSRGI
+>P61647_PF00777_141 <unknown description>
+VSQNNTPVGTNMSYEVESKKEIPIKKNIFHMFPVSQPFVDYPYNQCAVVGNGGILNKSLC
+GTEIDKSDFVFRCNLPPTTGDVSKDVGSKTNLVTINPSIITLKYGNLKEKKALFLEDIAT
+YGDAFFLLPAFSFRANTGTSFKVYYTLEESKARQKVLFFHPKYLKDLALFWRTKGVTAYR
+LSTGLMITSVAVELCKNVKLYGFWPFSKTVEDIPVSHHYYDNKLPKHGFHQMPKEYSQIL
+QLHMKGI
+>Q9HAT2_PF03629_119 <unknown description>
+DVWLCSGQSNMQMTVLQIFNATRELSNTAAYQSVRILSVSPIQAEQELEDLVAVDLQWSK
+PTSENLGHGYFKYMSAVCWLFGRHLYDTLQYPIGLIASSWGGTPIEAWSSGRSLKACGVP
+KQGSIPYDSVTGPSKHSVLWNAMIHPLCNMTLKGVVWYQGESNINYNTDLYNCTFPALIE
+DWRETFHRGSQGQTERFFPFGLVQLSSDLSKKSSDDGFPQIRWHQTADFGYVPNPKMPNT
+FMAVAMDL
+>Q8IUQ4_PF03145_82 <unknown description>
+IRNLAMEKVANSVLFPCKYASSGCEITLPHTEKADHEELCEFRPYSCPCPGASCKWQGSL
+DAVMPHLMHQHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGFHFMLVLEKQEKYDG
+HQQFFAIVQLIGTRKQAENFAYRLELNGHRRRLTWEATPRSIHEGIATAIMNSDCLVFDT
+SIAQLFAENGNLGINVT
+>O43255_PF03145_122 <unknown description>
+IRNLAMEKVASAVLFPCKYATTGCSLTLHHTEKPEHEDICEYRPYSCPCPGASCKWQGSL
+EAVMSHLMHAHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGHHFMLVLEKQEKYEG
+HQQFFAIVLLIGTRKQAENFAYRLELNGNRRRLTWEATPRSIHDGVAAAIMNSDCLVFDT
+AIAHLFADNGNLGINVT
+>Q8IW03_PF03145_100 <unknown description>
+NPVTPCLCMCPLFSCQWEGRLEVVVPHLRQIHRVDILQGAEIVFLATDMHLPAPADWIIM
+HSCLGHHFLLVLRKQERHEGHPQFFATMMLIGTPTQADCFTYRLELNRNHRRLKWEATPR
+SVLECVDSVITDGDCLVLNTSLAQLFSDNGSLAIG
+>P21815_PF05432_17 <unknown description>
+FSMKNLHRRVKIEDSEENGVFKYRPRYYLYKHAYFYPHLKRFPVQGSSDSSEENGDDSSE
+EEEEEEETSNEGENNEESNEDEDSEAENTTLSATTLGYGEDATPGTGYTGLAAIQLPKKA
+GDITNKATKEKESDEEEEEEEEGNENEESEAEVDENEQGINGTSTNSTEAENGNGSSGGD
+NGEEGEEESVTGANAEDTTETGRQGKGTSKTTTSPNGGFEPTTPPQVYRTTSPPFGKTTT
+VEYEGEYEYTGANEYDNGYEIYESENGEPRGDNYRAYEDEYSYFKGQGYDGYDGQNYY
+>Q9NR45_PF03102_39 <unknown description>
+MIRMAKECGADCAKFQKSELEFKFNRKALERPYTSKHSWGKTYGEHKRHLEFSHDQYREL
+QRYAEEVGIFFTASGMDEMAVEFLHELNVPFFKVGSGDTNNFPYLEKTAKKGRPMVISSG
+MQSMDTMKQVYQIVKPLNPNFCFLQCTSAYPLQPEDVNLRVISEYQKLFPDIPIGYSGHE
+TGIAISVAAVALGAKVLERHITLDKTWKGSDHSASLEPGELAELVRSVRLVERALGSPT
+>P15907_PF00777_173 <unknown description>
+SIRTKAGPWGRCAVVSSAGSLKSSQLGREIDDHDAVLRFNGAPTANFQQDVGTKTTIRLM
+NSQLVTTEKRFLKDSLYNEGILIVWDPSVYHSDIPKWYQNPDYNFFNNYKTYRKLHPNQP
+FYILKPQMPWELWDILQEISPEEIQPNPPSSGMLGIIIMMTLCDQVDIYEFLPSKRKTDV
+CYYYQKFFDSACTMGAYHPLLYEKNLVKHLNQ
+>Q96JF0_PF00777_286 <unknown description>
+SQLHPRGLRSCAVVMSAGAILNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTIRIIN
+SQILTNPSHHFIDSSLYKDVILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRQRNPNQP
+FYILHPKFIWQLWDIIQENTKEKIQPNPPSSGFIGILIMMSMCREVHVYEYIPSVRQTEL
+CHYHELYYDAACTLGAYHPLLYEKLLVQRLN
+>Q11203_PF00777_115 <unknown description>
+RKWARIREFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLRCRRCIIVGNGGVLANKSL
+GSRIDDYDIVVRLNSAPVKGFEKDVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQ
+DFKWLKYIVYKERVSASDGFWKSVATRVPKEPPEIRILNPYFIQEAAFTLIGLPFNNGLM
+GRGNIPTLGSVAVTMALHGCDEVAVAGFGYDMSTPNAPLHYYETVRMAAIKESWTHNIQR
+EKEFLRKLVKAR
+>Q9UNP4_PF00777_153 <unknown description>
+EAESKYDPPFGFRKFSSKVQTLLELLPEHDLPEHLKAKTCRRCVVIGSGGILHGLELGHT
+LNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGAPLSDLEYYSNDLFVAVLFKSVDFN
+WLQAMVKKETLPFWVRLFFWKQVAEKIPLQPKHFRILNPVIIKETAFDILQYSEPQSRFW
+GRDKNVPTIGVIAVVLATHLCDEVSLAGFGYDLNQPRTPLHYFDSQCMAAMNFQTMHNVT
+TETKFLLKLVKEGV
+>Q9NXL6_PF13965_184 <unknown description>
+SQPQYFLYKFPKDVDSVIIKVVSEMAYPCSVVSVQNIMCPVYDLDHNVEFNGVYQSMTKK
+AAITLQKKDFPGEQFFVVFVIKPEDYACGGSFFIQEKENQTWNLQRKKNLEVTIVPSIKE
+SVYVKSSLFSVFIFLSFYLGCLLVGFVHYLRFQRKSIDGSFGSNDGSGNMVASHPIAAST
+PEGSNYGTIDESSSSPGRQMSSSDGGPPGQSDTDSSVEESDFDTMPDIESDKNIIRTKMF
+LYLSDLSRKDRRIVSKKYKIYFWNIITIAVFYALPVIQLVITYQTVVNVTGNQDICYYNF
+LCAHPLGVLSAFNNILSNLGHVLLGFLFLLIVLRRDILHRRALEAKDIFAVEYGIPKHFG
+LFYAMGIALMMEGVLSACYHVCPNYSNFQFDTSFMYMIAGLCMLKLYQTRHPDINASAYS
+AYASFAVVIMVTVLGVVFGKNDVWFWVIFSAIHVLASLALSTQIYYMGRFKIDLGIFRRA
+AMVFYTDCIQQCSRPLYMDRMVLLVVGNLVNWSFALFGLIYRPRDFASYMLGIFICNLLL
+YLAFYIIMKLRSSEKVLPVPLFCIVATAVMWAAALYFFFQNLSSWEGTPAESREKNRECI
+LLDFFDDHDIWHFLSATALFFSFLVLLTLDDDLDVVRRDQIPVF
+>Q8NBJ9_PF13965_170 <unknown description>
+QPQYFKYEFPEGVDSVIVKVTSNKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKA
+AITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFAEDEPVDQGHRQKTLSVLVSQAVT
+SEAYVSGMLFCLGIFLSFYLLTVLLACWENWRQKKKTLLVAIDRACPESGHPRVLADSFP
+GSSPYEGYNYGSFENVSGSTDGLVDSAGTGDLSYGYQGRSFEPVGTRPRVDSMSSVEEDD
+YDTLTDIDSDKNVIRTKQYLYVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVI
+TYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNR
+ALLRNDLCALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGL
+CMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSIIHIIATLLLS
+TQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYVDRMVLLVMGNVINWSLAAYGLIM
+RPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQG
+LSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKI
+YVF
+>Q96LC7_PF07686_26 <unknown description>
+QESVMVPEGLCISVPCSFSYPRQDWTGSTPAYGYWFKAVTETTKGAPVATNHQSREVEMS
+TRGRFQLTGDPAKGNCSLVIRDAQMQDESQYFFRVERGSYV
+>Q96LC7_PF13895_261 <unknown description>
+VPYLEAQKGQFLRLLCAADSQPPATLSWVLQNRVLSSSHPWGPRPLGLELPGVKAGDSGR
+YTCRAENRLGSQQRALDLSV
+>Q96LC7_PF13927_368 <unknown description>
+LPVLEGQSLCLVCVTHSSPPARLSWTQRGQVLSPSQPSDPGVLELPRVQVEHEGEFTCHA
+R
+>Q96RL6_PF07686_37 <unknown description>
+QVQRQVPVPEGLCVIVSCNLSYPRDGWDESTAAYGYWFKGRTSPKTGAPVATNNQSREVE
+MSTRDRFQLTGDPGKGSCSLVIRDAQREDEAWYFFRVERG
+>Q96RL6_PF07679_275 <unknown description>
+LEVQKGQFLRLLCAADSQPPATLSWVLQDRVLSSSHPWGPRTLGLELRGVRAGDSGRYTC
+RAENRLGSQQQALDLSV
+>Q96RL6_PF13927_379 <unknown description>
+LPVLEGQSLRLVCVTHSSPPARLSWTRWGQTVGPSQPSDPGVLELPPIQMEHEGEFTCHA
+Q
+>Q96PQ1_PF07686_28 <unknown description>
+MQKSVTVQEGLCVSVLCSFSYPQNGWTASDPVHGYWFRAGDHVSRNIPVATNNPARAVQE
+ETRDRFHLLGDPQNKDCTLSIRDTRESDAGTYVFCVERGNMKWN
+>Q96PQ1_PF07686_155 <unknown description>
+VPESVTVQEGLCVSVPCSVLYPHYNWTASSPVYGSWFKEGADIPWDIPVATNTPSGKVQE
+DTHGRFLLLGDPQTNNCSLSIRDARKGDSGKYYFQVERGSRK
+>Q96PQ1_PF13927_388 <unknown description>
+ALSVLEGQSLHLVCAVDSNPPARLSWTWGSLTLSPSQSSNLGVLELPRVHVKDEGEFTCR
+AQN
+>Q08ET2_PF07686_24 <unknown description>
+QVQKSVTVQEGLCVLVPCSFSYPWRSWYSSPPLYVYWFRDGEIPYYAEVVATNNPDRRVK
+PETQGRFRLLGDVQKKNCSLSIGDARMEDTGSYFFRVERGRDVKYSYQQNKLNLEVT
+>Q08ET2_PF08205_192 <unknown description>
+TTRSSELTLTPRPEDHGTNLTCQVKRQGA
+>Q08ET2_PF13895_264 <unknown description>
+IQEGQSLFLACTVDSNPPASLSWFREGKALNPSQTSMSGTLELPNIGAREGGEFTCRVQH
+PLGS
+>Q6ZMC9_PF07686_47 <unknown description>
+QVPPEVSAEAGDAAVLPCTFTHPHRHYDGPLTAIWRAGEPYAGPQVFRCAAARGSELCQT
+ALSLHGRFRLLGNPRRNDLSLRVERLALADDRRYFCRVEFAGDVHDRYESRHGVRLHVT
+>Q6IA17_PF01582_165 <unknown description>
+YDAYVSYSDCPEDRKFVNFILKPQLERRRGYKLFLDDRDLLPRAEPSADLLVNLSRCRRL
+IVVLSDAFLSRAWCSHSFREGLCRLLELTRRPIFITFEGQRRDPAHPALRLLRQHRHLVT
+LLLWRPGSVTPSSDFWKEVQLALP
+>O15389_PF07686_24 <unknown description>
+QVQKSVTVQEGLCVLVPCSFSYPWRSWYSSPPLYVYWFRDGEIPYYAEVVATNNPDRRVK
+PETQGRFRLLGDVQKKNCSLSIGDARMEDTGSYFFRVERGRDVKYSYQQNKLNLEVT
+>O43699_PF07686_35 <unknown description>
+GPESLTVQEGLCVLVPCRLPTTLPASYYGYGYWFLEGADVPVATNDPDEEVQEETRGRFH
+LLWDPRRKNCSLSIRDARRRDNAAYFFRLKSKWMKYGYTSSKLSVRV
+>O43699_PF00047_154 <unknown description>
+GTLESGHPSNLTCSVPWVCEQGTPPIFSWMSAAPTSLGPRTTQSSVLTITPRPQDHSTNL
+TCQVTFPGAGVTMERT
+>O43699_PF07679_254 <unknown description>
+KILQNTSSLPVLEGQALRLLCDADGNPPAHLSWFQGFPALNATPISNTGVLELPQVGSAE
+EGDFTCRAQHPLGSLQISLSLFV
+>Q9Y286_PF07686_31 <unknown description>
+QSSVTVQEGMCVHVRCSFSYPVDSQTDSDPVHGYWFRAGNDISWKAPVATNNPAWAVQEE
+TRDRFHLLGDPQTKNCTLSIRDARMSDAGRYFFRMEKGN
+>Q9Y286_PF13895_262 <unknown description>
+SSLSVLEGQSLRLVCAVDSNPPARLSWTWRSLTLYPSQPSNPLVLELQVHLGDEGEFTCR
+AQNSLGSQHVSL
+>Q9NYZ4_PF07686_31 <unknown description>
+VQELVTVQEGLCVHVPCSFSYPQDGWTDSDPVHGYWFRAGDRPYQDAPVATNNPDREVQA
+ETQGRFQLLGDIWSNDCSLSIRDARKRDKGSYFFRLERGSMKWSYKSQL
+>Q9NYZ4_PF13927_270 <unknown description>
+SLSVLEGQSLRLVCAVNSNPPARLSWTRGSLTLCPSRSSNPGLLELPRVHVRDEGEFTCR
+AQN
+>Q9Y336_PF07686_26 <unknown description>
+QSSVTVQEGLCVHVPCSFSYPSHGWIYPGPVVHGYWFREGANTDQDAPVATNNPARAVWE
+ETRDRFHLLGDPHTKNCTLSIRDARRSDAGRYFFRMEKGSIKWNYKHHRLSV
+>P57059_PF00069_27 <unknown description>
+YDIERTLGKGNFAVVKLARHRVTKTQVAIKIIDKTRLDSSNLEKIYREVQLMKLLNHPHI
+IKLYQVMETKDMLYIVTEFAKNGEMFDYLTSNGHLSENEARKKFWQILSAVEYCHDHHIV
+HRDLKTENLLLDGNMDIKLADFGFGNFYKSGEPLSTWCGSPPYAAPEVFEGKEYEGPQLD
+IWSLGVVLYVLVCGSLPFDGPNLPTLRQRVLEGRFRIPFFMSQDCESLIRRMLVVDPARR
+ITIAQIRQHRWM
+>Q9H0K1_PF00069_20 <unknown description>
+YDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVNLEKIYREVQIMKMLDHPHI
+IKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEARRKFWQILSAVDYCHGRKIV
+HRDLKAENLLLDNNMNIKIADFGFGNFFKSGELLATWCGSPPYAAPEVFEGQQYEGPQLD
+IWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFMSEDCEHLIRRMLVLDPSKR
+LTIAQIKEHKWM
+>Q9BRV8_PF05769_2 <unknown description>
+SCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGTALPDQYQEDASDM
+KDMSKYKPHILLSQENTQIRDLQQENRELWISLEEHQDALELIMSKYRKQMLQLMVAKKA
+VDAEPVLKAHQSHSAEIESQIDRICEMGEVMRKAVQVDDDQFCKIQEKLAQLELENKELR
+ELLSIS
+>P0DMW4_PF15118_13 <unknown description>
+AAALSGLAVRLSRSAAARGSYGAFCKGLTRTLLTFFDLAWRLRMNFPYFYIVASVMLNVR
+LQVRI
+>P0DMW5_PF15118_13 <unknown description>
+AAALSGLAVRLSRSAAARGSYGAFCKGLTRTLLTFFDLAWRLRMNFPYFYIVASVMLNVR
+LQVRI
+>Q96HG1_PF15118_19 <unknown description>
+AAALSGLAVRLSRPQGTRGSYGAFCKTLTRTLLTFFDLAWRLRKNFFYFYILASVILNVH
+LQVYI
+>P58511_PF14981_4 <unknown description>
+KVLEHVPLLLYILAAKTLILCLTFAGVKMYQRKRLEAKQQKLEAERKKQS
+>Q96EX1_PF15990_1 <unknown description>
+MWPVFWTVVRTYAPYVTFPVAFVVGAVGYHLEWFIRGKDPQPVEEEKSISERREDRKLDE
+LLGKDHTQVVSLKDKLEFAPKAVLNRN
+>P0DJ93_PF15938_1 <unknown description>
+MWHSVGLTLLVFVATLLIVLLLMVCGWYFVWHLFLSKFKFLRELVGDTGSQ
+>Q96QK8_PF11027_3 <unknown description>
+EGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLQELPGPSGDNGISVTMILVAWMV
+IALILFLLRPPNLRGSSLPGKPTSPHNGQDPPAPP
+>Q7Z3B0_PF15086_4 <unknown description>
+IKAWAEYVVEWAAKDPYGFLTTVILALTPLFLASAVLSWKLAKMIEAREKEQKKKQKRQE
+NIAKAKR
+>P0DKX4_PF15831_8 <unknown description>
+ETTTSVYQYLGFQVQKIYPFHDNWNTACFVILLLFIFTVVSLVVLAFLYEVLDCCC
+>P81133_PF00010_6 <unknown description>
+KNAARTRREKENSEFYELAKLLPLPSAITSQLDKASIIRLTTSYLK
+>P81133_PF00989_81 <unknown description>
+GSHLLQTLDGFIFVVAPDGKIMYISETASVHLGLSQVELTGNSIYEYIHPADHDEMTAVL
+TA
+>P81133_PF08447_243 <unknown description>
+IFLDSRVAELTGYEPQDLIEKTLYHHVHGCDTFHLRCAHHLLLVKGQVTTKYYRFLAKHG
+GWVWVQSYATIVHNSRSSRPHCIVSVN
+>P81133_PF06621_359 <unknown description>
+SSTPTMTDNRKGAKSRLSSSKSKSRTSPYPQYSGFHTERSESDHDSQWGGSPLTDTASPQ
+LLDPADRPGSQHDASCAYRQFSDRSSLCYGFALDHSRLVEERHFHTQACEGGRCEAGRYF
+LGTPQAGREPWWGSRAALPLTKASPESREAYENSMPHIASVHRIHGRGHWDEDSVVSSPD
+PGSASESGDRYRTEQYQSSPHEPSKIETLIRATQQMIKEEENRLQLRKAPSDQLASINGA
+GKKHSLCFANYQQPPPTGEVCHGSALANTSPCDHIQQREGKMLSPHENDYDNSPTALSRI
+SSPNSDRISKS
+>Q8N5G0_PF15061_2 <unknown description>
+SRNLRTALIFGGFISLIGAAFYPIYFRPLMRLEEYKKEQAINRAGIVQEDVQPPGLK
+>K7EJ46_PF15831_6 <unknown description>
+EELEATVQEVLGRLKSHQFFQSTWDTVAFIVFLTFMGTVLLLLLLVVAHCCCC
+>A6NLE4_PF15466_1 <unknown description>
+MATQQVDSRRQVAAEQVAAQLLERRRGSHCDDEKQTLLALLILVLYLSTEIWGSSWEVSE
+RIRECNYYQNLAVPQGLEYQTNEPSEEPIKTIRNWLKEKLHVFSEKLEEEVQQLEQLAWD
+LELWLDALLGEPHQEEHCS
+>O75264_PF15807_1 <unknown description>
+METLGALLVLEFLLLSPVEAQQATEHRLKPWLVGLAAVVGFLFIVYLVLLANRLWCSKAR
+AEDEEETTFRMESNLYQDQSEDKREKKEAKEKEEKRKKEKKTAKEGESNLGLDLEEKEPG
+DHERAKSTVM
+>Q14190_PF00989_81 <unknown description>
+GSHLLQTLDGFVFVVASDGKIMYISETASVHLGLSQVELTGNSIYEYIHPSDHDEMTAVL
+TA
+>Q14190_PF08447_243 <unknown description>
+IFLDSRVTEVTGYEPQDLIEKTLYHHVHGCDVFHLRYAHHLLLVKGQVTTKYYRLLSKRG
+GWVWVQSYATVVHNSRSSRPHCIVSVN
+>Q14190_PF06621_358 <unknown description>
+TALSTSQETRKLVKPKNTKMKTKLRTNPYPPQQYSSFQMDKLECGQLGNWRASPPASAAA
+PPELQPHSESSDLLYTPSYSLPFSYHYGHFPLDSHVFSSKKPMLPAKFGQPQGSPCEVAR
+FFLSTLPASGECQWHYANPLVPSSSSPAKNPPEPPANTARHSLVPSYEAPAAAVRRFGED
+TAPPSFPSCGHYREEPALGPAKAARQAARDGARLALARAAPECCAPPTPEAPGAPAQLPF
+VLLNYHRVLARRGPLGGAAPAASGLACAPGGPEAATGALRLRHPSPAATSPPGAPLPHYL
+GAS
+>A4D0T7_PF15873_1 <unknown description>
+MTSVSTQLSLVLMSLLLVLPVVEAVEAGDAIALLLGVVLSITGICACLGVYARK
+>P0DMW3_PF15118_4 <unknown description>
+AAAPSSLAVRASSPAATPTSYGVFCKGLSRTLLAFFELAWQLRMNFPYFYVAGSVILNIR
+LQVHI
+>Q9BPZ7_PF05422_18 <unknown description>
+HVTSDDTGMCEMVLIDHDVDLEKIHPPSMPGDSGSEIQGSNGETQGYVYAQSVDITSSWD
+FGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQELKSLFEKKS
+>Q9BPZ7_PF16978_139 <unknown description>
+QSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVYLPLHSSQDRLLPMTVVTM
+ASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGEVDTDFPPLDSNEPIHKFG
+FSTLALVEK
+>Q9BPZ7_PF16979_382 <unknown description>
+HYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKASTKFWIKQKPISIDSDLLCACD
+LAEEKSPSHAIFKLTYLSNHDYKHLYFESDAATVNEIVLKVNYILE
+>Q96ST3_PF02671_142 <unknown description>
+QVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIMGFNTFL
+>Q96ST3_PF02671_323 <unknown description>
+DIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYAQVARLFKNQEDLLSEFGQFL
+>Q96ST3_PF02671_478 <unknown description>
+EAYENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFL
+>Q96ST3_PF08295_552 <unknown description>
+KRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVSSKKTQYEEHIYR
+CEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEE
+>Q96ST3_PF16879_886 <unknown description>
+FYVNNNWYIFMRLHQILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQL
+RLKEPMDVDVEDYYPAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIV
+RQLQHIVSDEICVQVTDLYLAENNNGATGGQLNTQNSRSLLESTYQRKAEQLMSDENCFK
+LMFIQSQGQVQLTIELLDTEEENSDDPVEAERWSDYVERYMNSDTTSPELREHLAQKPVF
+LPRNLRRIRKCQRGREQQEKEGKEGNSKKTMENVDSLDKLECRFKLNSYKMVYVIKSEDY
+M
+>O75182_PF02671_61 <unknown description>
+TYNGFLEIMKEFKSQSIDTPGVIRRVSQLFHEHPDLIVGFNAFL
+>O75182_PF02671_181 <unknown description>
+EIYRSFLEILHTYQKEQLNTRGRPFRGMSEEEVFTEVANLFRGQEDLLSEFGQFL
+>O75182_PF02671_322 <unknown description>
+EVYENFLRCIALFNQELVSGSELLQLVSPFLGKFPELFAQFKSFL
+>O75182_PF08295_395 <unknown description>
+KRIGSSYRALPKTYQQPKCSGRTAICKEVLNDTWVSFPSWSEDSTFVSSKKTPYEEQLHR
+CEDERFELDVVLETNLATIRVLESVQKKLSRMAPE
+>O75182_PF16879_743 <unknown description>
+FFANNNWYFFLRLHQTLCSRLLKIYRQAQKQLLEYRTEKEREKLLCEGRREKGSDPAMEL
+RLKQPSEVELEEYYPAFLDMVRSLLEGSIDPTQYEDTLREMFTIHAYVGFTMDKLVQNIA
+RQLHHLVSDDVCLKVVELYLNEKKRGAAGGNLSSRCVRAARETSYQWKAERCMADENCFK
+VMFLQRKGQVIMTIELLDTEEAQTEDPVEVQHLARYVEQYVGTEGASSSPTEGFLLKPVF
+LQRNLKKFRRRWQSEQARALRGEARSSWKRLVGVESACDVDCRFKLSTHKMVFIVNSEDY
+M
+>Q96FS4_PF02145_350 <unknown description>
+MYNNQEAGPAFMQFLTLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVS
+TMLPYTPNNQQQLLRKRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHTPCTP
+HTTYRVAVSRTQDTPAFGPALPAGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMAT
+RTR
+>Q96FS4_PF00595_688 <unknown description>
+LALPRDGQGRLGFEVDAEGFVTHVERFTFAETAGLRPGARLLRVCGQTLPSLRPEAAAQL
+LRSAPKVCVTV
+>Q96EB6_PF02146_261 <unknown description>
+GAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRPFFKFAKEIYPGQ
+FQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRIIQCHGSFATASCLICKYKVDC
+EAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKYDKDEVDLLIVIG
+SSLKVRP
+>Q8IXJ6_PF02146_84 <unknown description>
+GAGISTSAGIPDFRSPSTGLYDNLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQF
+KPTICHYFMRLLKDKGLLLRCYTQNIDTLERIAGLEQEDLVEAHGTFYTSHCVSASCRHE
+YPLSWMKEKIFSEVTPKCEDCQSLVKPDIVFFGESLPARFFSCMQSDFLKVDLLLVMGTS
+LQVQP
+>Q9NTG7_PF02146_145 <unknown description>
+GAGISTPSGIPDFRSPGSGLYSNLQQYDLPYPEAIFELPFFFHNPKPFFTLAKELYPGNY
+KPNVTHYFLRLLHDKGLLLRLYTQNIDGLERVSGIPASKLVEAHGTFASATCTVCQRPFP
+GEDIRADVMADRVPRCPVCTGVVKPDIVFFGEPLPQRFLLHVVDFPMADLLLILGTSLEV
+EP
+>Q9Y6E7_PF02146_62 <unknown description>
+GAGISTESGIPDYRSEKVGLYARTDRRPIQHGDFVRSAPIRQRYWARNFVGWPQFSSHQP
+NPAHWALSTWEKLGKLYWLVTQNVDALHTKAGSRRLTELHGCMDRVLCLDCGEQTPRGVL
+QERFQVLNPTWSAEAHGLAPDGDVFLSEEQVRSFQVPTCVQCGGHLKPDVVFFGDTVNPD
+KVDFVHKRVKEADSLLVVGSSLQVYS
+>Q9NXA8_PF02146_58 <unknown description>
+GAGVSAESGVPTFRGAGGYWRKWQAQDLATPLAFAHNPSRVWEFYHYRREVMGSKEPNAG
+HRAIAECETRLGKQGRRVVVITQNIDELHRKAGTKNLLEIHGSLFKTRCTSCGVVAENYK
+SPICPALSGKGAPEPGTQDASIPVEKLPRCEEAGCGGLLRPHVVWFGENLDPAILEEVDR
+ELAHCDLCLVVGTSSVVYP
+>Q8N6T7_PF02146_52 <unknown description>
+GAGISTASGIPDFRGPHGVWTME
+>Q8N6T7_PF02146_86 <unknown description>
+FESARPTQTHMALVQLERVGLLRFLVSQNVDGLHVRSGFPRDKLAELHGNMFVEECAKCK
+TQYVRDTVVGTMGLKATGRLCTVAKARGLRACRGELRDTILDWEDSLPDRDLALADEASR
+NADLSITLGTSLQIRP
+>Q9NRC8_PF02146_140 <unknown description>
+LSEAEPTLTHMSITRLHEQKLVQHVVSQNCDGLHLRSGLPRTAISELHGNMYIEVCTSCV
+PNREYVRVFDVTERTALHRHQTGRTCHKCGTQLRDTIVHFGERGTLGQPLNWEAATEAAS
+RADTILCLGSSLKVL
+>O00241_PF07686_37 <unknown description>
+QPEKSVSVAAGESATLRCAMTSLIPVGPIMWFRGAGAGRELIYNQKEGHFPRVTTVSELT
+KRNNLDFSISISNITPADAGTYYCVKFRKGSPDDVEFKSGAGTELSV
+>O00241_PF07654_162 <unknown description>
+EHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPAGDSVSYSIHSTARVVLTRGDV
+HSQVICEIAHIT
+>O00241_PF07654_263 <unknown description>
+RAENQANVTCQVSNFYPRGLQLTWLENGNVSRTETASTLIENKDGTYNWMSWLLVNTCAH
+RDDVVLTCQVEHDG
+>Q5JXA9_PF07686_43 <unknown description>
+QPEGPMLVAEGETLLLRCMVVGSCTDGMIKWVKVSTQDQQEIYNFKRGSFPGVMPMIQRT
+SEPLNCDYSIYIHNVTREHTGTYHCVRFDGLSEHSEMKSDEGTSVLV
+>Q5JXA9_PF07686_164 <unknown description>
+PQELVLGTTGDTVFLNCTVLGDGPPGPIRWFQGAGLSREAIYNFGGISHPKETAVQASNN
+DFSILLQNVSSEDAGTYYCVKFQRKPNRQYLSGQGTSLKV
+>Q9H106_PF07686_34 <unknown description>
+QTEMSQTVSTGESIILSCSVPNTLPNGPVLWFKGTGPNRKLIYNFKQGNFPRVKEIGDTT
+KPGNTDFSTRIREISLADAGTYYCVKFIKGRAIKEYQSGRGTQVFVT
+>Q9P1W8_PF07686_36 <unknown description>
+QPEKLLLVTVGKTATLHCTVTSLLPVGPVLWFRGVGPGRELIYNQKEGHFPRVTTVSDLT
+KRNNMDFSIRISSITPADVGTYYCVKFRKGS
+>Q9P1W8_PF07654_157 <unknown description>
+RTTPEHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPTGQSVAYSIRSTARVVLD
+PWDVRSQVICEVAHVT
+>Q9P1W8_PF07654_261 <unknown description>
+MRVGNQVNVTCQVRKFYPQSLQLTWSENGNVCQRETASTLTENKDGTYNWTSWFLVNISD
+QRDDVVLTCQVKHDG
+>O15304_PF05458_1 <unknown description>
+MPKRSCPFADVAPLQLKVRVSQRELSRGVCAERYSQEVFEKTKRLLFLGAQAYLDHVWDE
+GCAVVHLPESPKPGPTGAPRAARGQMLIGPDGRLIRSLGQASEADPSGVASIACSSCVRA
+VDGKAVCGQCERALCGQCVRTCWGCGSVACTLCGLVDCSDMYEKVLCTSCAMFET
+>Q15475_PF16878_9 <unknown description>
+FTQEQVACVCEVLQQGGNLERLGRFLWSLPACDHLHKNESVLKAKAVVAFHRGNFRELYK
+ILESHQFSPHNHPKLQQLWLKAHYVEAEKLRGRPLGAVGKYRVRRKFPLPR
+>Q15475_PF00046_130 <unknown description>
+CFKEKSRGVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDR
+>Q9NPC8_PF16878_9 <unknown description>
+FTQEQVACVCEVLQQGGNIERLGRFLWSLPACEHLHKNESVLKAKAVVAFHRGNFRELYK
+ILESHQFSPHNHAKLQQLWLKAHYIEAEKLRGRPLGAVGKYRVRRKFPLPR
+>Q9NPC8_PF00046_130 <unknown description>
+CFKEKSRSVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDR
+>O95343_PF16878_87 <unknown description>
+FSPEQVASVCETLEETGDIERLGRFLWSLPVAPGACEAINKHESILRARAVVAFHTGNFR
+DLYHILENHKFTKESHGKLQAMWLEAHYQEAEKLRGRPLGPVDKYRVRKKFPLPR
+>O95343_PF00046_211 <unknown description>
+HCFKERTRSLLREWYLQDPYPNPSKKRELAQATGLTPTQVGNWFKNRRQRDR
+>Q9UIU6_PF16878_108 <unknown description>
+FSPDHVACVCEALQQGGNLDRLARFLWSLPQSDLLRGNESLLKARALVAFHQGIYPELYS
+ILESHSFESANHPLLQQLWYKARYTEAERARGRPLGAVDKYRLRRKFPLPR
+>Q9UIU6_PF00046_229 <unknown description>
+CFKEKSRNALKELYKQNRYPSPAEKRHLAKITGLSLTQVSNWFKNRRQRDR
+>Q8N196_PF16878_86 <unknown description>
+FSPEQVACVCEALLQAGHAGRLSRFLGALPPAERLRGSDPVLRARALVAFQRGEYAELYR
+LLESRPFPAAHHAFLQDLYLRARYHEAERARGRALGAVDKYRLRKKFPLPK
+>Q8N196_PF00046_208 <unknown description>
+FKERSRAALKACYRGNRYPTPDEKRRLATLTGLSLTQVSNWFKNRRQRDR
+>O95475_PF16878_9 <unknown description>
+FSPQQVAGVCETLEESGDVERLGRFLWSLPVAPAACEALNKNESVLRARAIVAFHGGNYR
+ELYHILENHKFTKESHAKLQALWLEAHYQEAEKLRGRPLGPVDKYRVRKKFPLPR
+>O95475_PF00046_133 <unknown description>
+HCFKERTRHLLREWYLQDPYPNPSKKRELAQATGLTPTQVGNWFKNRRQRDR
+>Q96BD8_PF07160_16 <unknown description>
+KIGNIKKTLSLRNCGQEPTLKTVLNKIGDEIIVINELLNKLELEIQYQEQTNNSLKELCE
+SLEEDYKDIEHLKENVPSHLPQVTVTQSCVKGSDLDPEEPIKVEEPEPVKKPPKEQRSIK
+EMPFITCDEFNGVPSYMKSRLTYNQINDVIKEINKAVISKYKILHQPKKSMNSVTRNLYH
+RFIDEETKDTKGRYFIVEADIKEFTTLKADKKFHVLLNILRHCRRLSEVRGGG
+>Q8WVK7_PF16740_2 <unknown description>
+EAEVDKLELMFQKAESDLDYIQYRLEYEIKTNHPDSASEKNPVTLLKELSVIKSRYQTLY
+ARFKPVAVEQKESKSRICATVKKTMNMIQKLQKQTDLELSPLTKEEKTAAEQFK
+>Q86WV1_PF00169_108 <unknown description>
+VIKQGYLEKKSKDHSFFGSEWQKRWCVVSRGLFYYYANEKSKQPKGTFLIKGYGVRMAPH
+LRRDSKKESCFELTSQDRRSYEFTATSPAEARDWVDQISFL
+>Q86WV1_PF00018_307 <unknown description>
+GDQPDELSFQRGDLIRILSKEYNMYGWWVGELNSLVGIVP
+>O75563_PF00169_117 <unknown description>
+VLKAGYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYSVRMNNT
+LRKDGKKDCCFEISAPDKRIYQFTAASPKDAEEWVQQLKFV
+>O75563_PF00018_313 <unknown description>
+SDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPK
+>Q1XH10_PF02437_12 <unknown description>
+DGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCDLEELRKLKAIN
+SIAFHAAKCTLISREDVEALYTSC
+>Q1XH10_PF15223_475 <unknown description>
+PSVQAQANFLYHLASAAAATKPAAFEDAGRLPDLKSSVKAESPAEWNLQSWAPKASPVYC
+PASLGSCFAEIRNDRVSEITFPHSEISNAVKRTDLTINCLAEGASSPSPKTNNAFPQQRI
+LREARKCLQTTPTTHCADNNTIAARFLNNDSSGAEANSEKYSKILHCPEFATDLPSSQTD
+PEVNAAGAAATKAENPCTDTGDKTLPFLHNIKIKVEDSSANEEYEPHLFTNKLKCECNDT
+KGEFYSVTESKEEDALLTTAKEGFACPEKETPSLNPLAQSQGLSCTLGSPKPEDGEYKFG
+ARVRKNYRTLVLGKRPVLQTPPVKPNLKSARSPRPTGKTETNEGTLDDFTVINRRKKVAS
+NVASAVKRPFHFMANFPCPPSLIIGRDGDLWPAYSLNTTKDSQTPHKAHPIWKWQLGGSA
+IPLPPSHKFRKF
+>Q15477_PF17911_78 <unknown description>
+ARKWQRKTDPWSLLAVLGAPVPSDLQAQRHPTTGQILGYKEVLLENTNLSATTSLSLRRP
+PGPASQSLWGNPTQYPFWPGGMDEPTITDLNTREEAEEEIDFEKDLLTIPPGFKKGMDFA
+PK
+>Q15477_PF00270_314 <unknown description>
+FQKQAILHLERHDSVFVAAHTSAGKTVVAEYAIALAQKHMTRTIYTSPIKALSNQKFRDF
+RNTFGDVGLLTGDVQLHPEASCLIMTTEILRSMLYSGSDVIRDLEWVIFDEVHYINDVER
+GVVWEEVLIMLPDHVSIILLSATVPNA
+>Q15477_PF00271_629 <unknown description>
+NRGLGVHHSGILPILKEIVEMLFSRGLVKVLFATETFAMGVNMPARTVVFDSMRKHDGST
+FRDLLPGEYVQMAGRAGRRG
+>Q15477_PF13234_763 <unknown description>
+EDMMKRSFSEFPSRKDSKAHEQALAELTKRLGALEEPDMTGQLVDLPEYYSWGEELTETQ
+HMIQRRIMESVNGLKSLSAGRVVVVKNQEHHNALGVILQVSSNSTSRVFTTLVLCDKPLS
+QDPQDRGPATAEVPYPDDLVGFKLFLPEGPCDHTVVKLQPGDMAAITTKVLRVNGEKILE
+DFSKRQQPKFKKDPPLAAVTTAVQELLRLAQAHPAGPPTLDPVNDLQLKDMSVVEGGLRA
+RKLEELIQGAQCVHSPRFPAQYLKLRERMQIQKEMERLRFLLSD
+>Q15477_PF08148_1072 <unknown description>
+GTVKLAGRVACAMSSHELLLTELMFDNALSTLRPEEIAALLSGLVCQSPGDAGDQLPNTL
+KQGIERVRAVAKRIGEVQVACGLNQTVEEFVGELNFGLVEVVYEWARGMPFSELAGLSGT
+PEGLVVRCIQRLAEMCRSLRGAARLVGEPVLGAKMETAATLLRRDIVFA
+>Q6PGP7_PF13181_41 <unknown description>
+NAWVFIGVAAAELEQPDQAQSAYKKAAELEPD
+>Q6PGP7_PF13432_394 <unknown description>
+LAYRNKGSFDEAAKIMEDLLSSYPDLAEVHALEALIHFTKKDYLQAEKCFQRALEK
+>Q6PGP7_PF13432_569 <unknown description>
+RRGLYYLKAGQHSQAVADLQAALRADPKDFNCWESLGEAYLSRGGYTTALKSFTKA
+>Q6PGP7_PF13181_863 <unknown description>
+AWTNLGVLYLTNENIEQAHEAFKMAQSLDPS
+>Q6PGP7_PF13181_1401 <unknown description>
+PAWQWLAHVYQSQGMMRAAEMCYRKSLQLAS
+>Q9GZS3_PF00400_14 <unknown description>
+AHDDAIWSVAWGTNKKENSETVVTGSLDDLVKVWK
+>Q9GZS3_PF00400_56 <unknown description>
+LQWSLEGHQLGVVSVDISHTLPIAASSSLDAHIRLWD
+>Q9GZS3_PF00400_149 <unknown description>
+KFILSIAYSPDGKYLASGAIDGIINIFD
+>Q9GZS3_PF00400_180 <unknown description>
+GKLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYD
+>Q9GZS3_PF00400_226 <unknown description>
+GTLSGHASWVLNVAFCPDDTHFVSSSSDKSVKVWD
+>Q9GZS3_PF00400_265 <unknown description>
+TCVHTFFDHQDQVWGVKYNGNGSKIVSVGDDQEIHIYD
+>P12757_PF02437_131 <unknown description>
+PGPLLIPSDSSTELTQTVLEGESISCFQVGGEKRLCLPQVLNSVLREFTLQQINTVCDEL
+YIYCSRCTSDQLHILKVLGILPFNAPSCGLITLTDAQRLCNALL
+>P12757_PF08782_261 <unknown description>
+AFEVEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTFVMHSHRSPDKRTCHWGF
+ESAKWHCYLHVNQKYLGTPEEKKLKIILEEMKEKF
+>P12755_PF02437_87 <unknown description>
+PGPFFMPSDRSTERCETVLEGETISCFVVGGEKRLCLPQILNSVLRDFSLQQINAVCDEL
+HIYCSRCTADQLEILKVMGILPFSAPSCGLITKTDAERLCNALL
+>P12755_PF08782_218 <unknown description>
+VRVYHECFGKCKGLLVPELYSSPSAACIQCLDCRLMYPPHKFVVHSHKALENRTCHWGFD
+SANWRAYILLSQDYTGKEEQARLGRCLDDVKEKF
+>P84550_PF02437_71 <unknown description>
+ALKPNQVGETSLYGVPIVSLVIDGQERLCLAQISNTLLKNYSYNEIHNRRVALGITCVQC
+TPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFL
+>P84550_PF08782_180 <unknown description>
+AFDVVHECAWGSRGSFIPARYNSSRAKCIKCGYCSMYFSPNKFIFHSHRTPDAKYTQPDA
+ANFNSWRRHLKLSDKSATDELSHAWEDVKAMF
+>Q2VWA4_PF02437_35 <unknown description>
+NLKPNQVGQVILYGIPIVSLVIDGQERLCLAQISNTLLKNFSYNEIHNRRVALGITCVQC
+TPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFL
+>Q2VWA4_PF08782_144 <unknown description>
+AFDVSHECAWGCRGSFIPARYNSSRAKCIKCSYCNMYFSPNKFIFHSHRTPDAKYTQPDA
+ANFNSWRRHLKLTDKSPQDELVFAWEDVKAMF
+>P63208_PF03931_2 <unknown description>
+PSIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKVIQW
+CTHHKD
+>P63208_PF01466_113 <unknown description>
+KGLLDVTCKTVANMIKGKTPEEIRKTFNIKNDFTEEEEAQVRKENQWC
+>Q13309_PF12937_97 <unknown description>
+WDSLPDELLLGIFSCLCLPELLKVSGVCKRWYRLASDESLWQTLDL
+>Q5T5P2_PF03915_188 <unknown description>
+YLQYGDETKQLRMPNEITSADTIRALFVSAFPQQLTMKMLESPSVAIYIKDESRNVYYEL
+NDVRNIQDRSLLKVYNK
+>P19634_PF00999_106 <unknown description>
+SLWILLACLMKIGFHVIPTISSIVPESCLLIVVGLLVGGLIKGVGETPPFLQSDVFFLFL
+LPPIILDAGYFLPLRQFTENLGTILIFAVVGTLWNAFFLGGLMYAVCLVGGEQINNIGLL
+DNLLFGSIISAVDPVAVLAVFEEIHINELLHILVFGESLLNDAVTVVLYHLFEEFANYEH
+VGIVDIFLGFLSFFVVALGGVLVGVVYGVIAAFTSRFTSHIRVIEPLFVFLYSYMAYLSA
+ELFHLSGIMALIASGVVMRPYVEANISHKSHTTIKYFLKMWSSVSETLIFIFLGVSTVAG
+SHHWNWTFVISTLLFCLIARVLGVLGLTWFINKFRIVKLTPKDQFIIAYGGLRGAIAFSL
+GYLLDKKHFPMCDLFLTAIITVIFFTVFVQGMTIRPLV
+>P19634_PF16644_600 <unknown description>
+AVSTVSMQNIHPKSLPSERILPALSKDKEEEIRKILRNNLQKTRQRLRSYNRHTLVADPY
+EEAWNQMLLRRQKARQLEQKINNYLTVPAHKL
+>Q9UBY0_PF00999_84 <unknown description>
+ITLWILLASLAKIGFHLYHKLPTIVPESCLLIMVGLLLGGIIFGVDEKSPPAMKTDVFFL
+YLLPPIVLDAGYFMPTRPFFENIGTIFWYAVVGTLWNSIGIGVSLFGICQIEAFGLSDIT
+LLQNLLFGSLISAVDPVAVLAVFENIHVNEQLYILVFGESLLNDAVTVVLYNLFKSFCQM
+KTIETIDVFAGIANFFVVGIGGVLIGIFLGFIAAFTTRFTHNIRVIEPLFVFLYSYLSYI
+TAEMFHLSGIMAITACAMTMNKYVEENVSQKSYTTIKYFMKMLSSVSETLIFIFMGVSTV
+GKNHEWNWAFVCFTLAFCLMWRALGVFVLTQVINRFRTIPLTFKDQFIIAYGGLRGAICF
+ALVFLLPAAVFPRKKLFITAAIVVIFFTVFILGITIRPLVE
+>Q9UBY0_PF16644_575 <unknown description>
+TVPTFASLNDCREEKIRKVTSSETDEIRELLSRNLYQIRQRTLSYNRHSLTADTSERQAK
+EILIRRRHSLRESIRKDSSLNREHRASTSTSRYLSLPKNTKLPEKLQKRR
+>P48764_PF00999_58 <unknown description>
+IALWILVASLAKIGFHLSHKVTSVVPESALLIVLGLVLGGIVWAADHIASFTLTPTVFFF
+YLLPPIVLDAGYFMPNRLFFGNLGTILLYAVVGTVWNAATTGLSLYGVFLSGLMGDLQIG
+LLDFLLFGSLMAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFESFVAL
+GGDNVTGVDCVKGIVSFFVVSLGGTLVGVVFAFLLSLVTRFTKHVRIIEPGFVFIISYLS
+YLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASSAETIIFMFLGIS
+AVNPFIWTWNTAFVLLTLVFISVYRAIGVVLQTWLLNRYRMVQLEPIDQVVLSYGGLRGA
+VAFALVVLLDGDKVKEKNLFVSTTIIVVFFTVIFQGLTIKPLVQW
+>Q6AI14_PF00999_74 <unknown description>
+TLWILLASLAKIGFHLYHRLPGLMPESCLLILVGALVGGIIFGTDHKSPPVMDSSIYFLY
+LLPPIVLEGGYFMPTRPFFENIGSILWWAVLGALINALGIGLSLYLICQVKAFGLGDVNL
+LQNLLFGSLISAVDPVAVLAVFEEARVNEQLYMMIFGEALLNDGITVVLYNMLIAFTKMH
+KFEDIETVDILAGCARFIVVGLGGVLFGIVFGFISAFITRFTQNISAIEPLIVFMFSYLS
+YLAAETLYLSGILAITACAVTMKKYVEENVSQTSYTTIKYFMKMLSSVSETLIFIFMGVS
+TVGKNHEWNWAFICFTLAFCQIWRAISVFALFYISNQFRTFPFSIKDQCIIFYSGVRGAG
+SFSLAFLLPLSLFPRKKMFVTATLVVIYFTVFIQGITVGPLVR
+>Q6AI14_PF16644_567 <unknown description>
+TAFSIPHQAQRIQGIKRLSPEDVESIRDILTSNMYQVRQRTLSYNKYNLKPQTSEKQAKE
+ILIRRQNTLRESMRKGHSLPWGKPAGTKNIRYLSYPYGNPQSAGRDTR
+>Q14940_PF00999_51 <unknown description>
+ALWILVASLAKIVFHLSRKVTSLVPESCLLILLGLVLGGIVLAVAKKAEYQLEPGTFFLF
+LLPPIVLDSGYFMPSRLFFDNLGAILTYAVVGTLWNAFTTGAALWGLQQAGLVAPRVQAG
+LLDFLLFGSLISAVDPVAVLAVFEEVHVNETLFIIVFGESLLNDAVTVVLYKVCNSFVEM
+GSANVQATDYLKGVASLFVVSLGGAAVGLVFAFLLALTTRFTKRVRIIEPLLVFLLAYAA
+YLTAEMASLSAILAVTMCGLGCKKYVEANISHKSRTTVKYTMKTLASCAETVIFMLLGIS
+AVDSSKWAWDSGLVLGTLIFILFFRALGVVLQTWVLNQFRLVPLDKIDQVVMSYGGLRGA
+VAFALVILLDRTKVPAKDYFVATTIVVVFFTVIVQGLTIKPLVKW
+>Q9Y2E8_PF00999_68 <unknown description>
+VLAICIILVHLLIRYRLHFLPESVAVVSLGILMGAVIKIIEFKKLANWKEEEMFRPNMFF
+LLLLPPIIFESGYSLHKGNFFQNIGSITLFAVFGTAISAFVVGGGIYFLGQADVISKLNM
+TDSFAFGSLISAVDPVATIAIFNALHVDPVLNMLVFGESILNDAVSIVLTNTAEGLTRKN
+MSDVSGWQTFLQALDYFLKMFFGSAALGTLTGLISALVLKHIDLRKTPSLEFGMMIIFAY
+LPYGLAEGISLSGIMAILFSGIVMSHYTHHNLSPVTQILMQQTLRTVAFLCETCVFAFLG
+LSIFSFPHKFEISFVIWCIVLVLFGRAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGLRG
+AIPYALSLHLDLEPMEKRQLIGTTTIVIVLFTILLLGGSTMPLI
+>Q8IVB4_PF00999_29 <unknown description>
+FLLILTILTIWLFKNHRFRFLHETGGAMVYGLIMGLILRYATAPTDIESGTVYDCVKLTF
+SPSTLLVNITDQVYEYKYKREISQHNINPHQGNAILEKMTFDPEIFFNVLLPPIIFHAGY
+SLKKRHFFQNLGSILTYAFLGTAISCIVIGLIMYGFVKAMIHAGQLKNGDFHFTDCLFFG
+SLMSATDPVTVLAIFHELHVDPDLYTLLFGESVLNDAVAIVLTYSISIYSPKENPNAFDA
+AAFFQSVGNFLGIFAGSFAMGSAYAIITALLTKFTKLCEFPMLETGLFFLLSWSAFLSAE
+AAGLTGIVAVLFCGVTQAHYTYNNLSSDSKIRTKQLFEFMNFLAENVIFCYMGLALFTFQ
+NHIFNALFILGAFLAIFVARACNIYPLSFLLNLGRKQKIPWNFQHMMMFSGLRGAIAFAL
+AIRNTESQPKQMMFTTTLLLVFFTVWVFGGGTTPMLTW
+>Q4ZJI4_PF00999_100 <unknown description>
+IIFYSAIIGGKILQLIRIPLVPPLPPLLGMLLAGFTIRNVPFINEHVHVPNTWSSILRSI
+ALTIILIRAGLGLDPQALRHLKVVCFRLAVGPCLMEASAAAVFSHFIMKFPWQWAFLLGF
+VLGAVSPAVVVPYMMVLQENGYGVEEGIPTLLMAASSMDDILAITGFNTCLSIVFSSGGI
+LNNAIASIRNVCISLLAGIVLGFFVRYFPSEDQKKLTLKRGFLVLTMCVSAVLGSQRIGL
+HGSGGLCTLVLSFIAGTKWSQEKMKVQKIITTVWDIFQPLLFGLVGAEVSVSSLESNIVG
+ISVATLSLALCVRILTTYLLMCFAGFSFKEKIFIALAWMPKATVQAVLGPLALETARVSA
+PHLEPYAKDVMTVAFLAILITAP
+>Q86UD5_PF00999_130 <unknown description>
+KLLGLIKLPTLPPLPSLLGMLLAGFLIRNIPVINDNVQIKHKWSSSLRSIALSIILVRAG
+LGLDSKALKKLKGVCVRLSMGPCIVEACTSALLAHYLLGLPWQWGFILGFVLGAVSPAVV
+VPSMLLLQGGGYGVEKGVPTLLMAAGSFDDILAITGFNTCLGIAFSTGSTVFNVLRGVLE
+VVIGVATGSVLGFFIQYFPSRDQDKLVCKRTFLVLGLSVLAVFSSVHFGFPGSGGLCTLV
+MAFLAGMGWTSEKAEVEKIIAVAWDIFQPLLFGLIGAEVSIASLRPETVGLCVATVGIAV
+LIRILTTFLMVCFAGFNLKEKIFISFAWLPKATVQAAIGSVALDTARSHGEKQLEDYGMD
+VLTVAFLSILITAPIGSLL
+>Q4G0N8_PF00999_21 <unknown description>
+TLSLISSIGAFLNRHLEDFPIPVPVILFLLGCSFEVLSFTSSQVQRYANAIQWMSPDLFF
+RIFTPVVFFTTAFDMDTYMLQKLFWQILLISIPGFLVNYILVLWHLASVNQLLLKPTQWL
+LFSAILVSSDPMLTAAAIRDLGLSRSLISLINGESLMTSVISLITFTSIMDFDQRLQSKR
+NHTLAEEIVGGICSYIIASFLFGILSSKLIQFWMSTVFGDDVNHISLIFSILYLIFYICE
+LVGMSGIFTLAIVGLLLNSTSFKAAIEETLLLEFWTFLSRIAFLMVFTFFGLLIPAHTYL
+YIEFVDIYYSLNIYLTLIVLRFLTLLLISPVLSRVGHEFSWRWIFIMVCSEMKGMPNINM
+ALLLAYSDLYFGSDKEKSQILFHGVLVCLITLVVN
+>Q4G0N8_PF00520_613 <unknown description>
+FEHVGYLVILMNIFPFIISWISQLNVIYHSELKHTNYCFLTLYILEALLKIAAMRKDFFS
+HAWNIFELAITLIGILHVILIEIDTIKYIFNETEVIVFIKVVQFFRILRIFKLI
+>Q4G0N8_PF00027_890 <unknown description>
+TFDCGNDIFEEGDEPKGIYIIISGMVKLEKSKPGLGIDQMVESKEKDFPIIDTDYMLSGE
+IIGEINCLTNEPMKYSATCKTVVETCFIPKTHLYDAFEQ
+>Q5TAH2_PF00999_34 <unknown description>
+LVCFIVVLGGLLKMCLKNCEVIVLTILSLSGFVIGHMAYNSVEVHQIVYPLLRTSSFSLY
+SYFSPLIIFMVALDVEFYTLKKMFWQVLLTGLISFSTASIIIGYVVIKFNKDSWDLQSCL
+LFSITLGIIDPLRSVNSLKTIGISKIYIDLIRGESLIICSIASIFFGNFRGNRIHFSIFR
+DLHVGIELSYDILGSIIFGYWCAKIIQCILADVFSNMLTNIILCFSMVYMTFYIVEFLGM
+SGTLALAAVGLNLDSLTFKPKIELVITKFLRIFSSVYEHLIYAFFGIVIGCGELSHYEFH
+TIPFIFILFTTVNLVRLLTILLVSPILMHSNYEYNWRWGVVITWSGIKGVFNLLWAP
+>Q5TAH2_PF00027_888 <unknown description>
+FDSGDTICKGGEMPQGIYLIISGMAILHSLSPTFGIESNQRCDRGSRDMFTEFCTTGDII
+GELSCLLKREIEYTVICETSLQACFISLEDLYE
+>Q13291_PF06214_1 <unknown description>
+MDPKGLLSLTFVLFLSLAFGASYGTGGRMMNCPKILRQLGSKVLLPLTYERINKSMNKSI
+HIVVTMAKSLENSVENKIVSLDPSEAGPPRYLGDRYKFYLENLTLGIRESRKEDEGWYLM
+TLEKN
+>Q96DU3_PF07686_26 <unknown description>
+TPLMVNGILGESVTLPLEFPAGEKVNFITWLFNETSLAFIVPHETKSPEIHVTNPKQGKR
+LNFTQSYSLQLSNLKMEDTGSYRAQISTKTSAK
+>Q9P270_PF15301_130 <unknown description>
+WLYSSPKKKLTPMQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSALKRQNLYNN
+PFNSMSYTSPYSPNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNLKSSDRNPPLSPQ
+SSIDSELSASELDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAATTSRRSSGSSCNST
+RRGTFSDQELDAQSLDDEDDNMHHAVYPAVNRFSPSPRNSPRPSPKQSPRNSPRSRSPAR
+GIEYSRVSPQPMISRLQQPRLSLQGHPTDLQTSNVKNEEKLRRSLPNLSRTSNTQVDSVK
+SSRSDSNFQVPNGGIPRMQPQASAIPSPGKFRSPAAPSPLALRQPVKAFSNHGSGSPGSQ
+EITQLTQTTSSPGPPMVQSTVSANPPSNINSATLTRPAGTTAMRSGLPRPSAPSAGGIPV
+PRSKLAQPVRRSLPAPKTYGSMKDDSWKDGCY
+>Q13239_PF00018_43 <unknown description>
+FRRGEKLRVISDEGGWWKAISLSTGRESYIP
+>Q13239_PF00017_84 <unknown description>
+WLFEGLGRDKAEELLQLPDTKVGSFMIRESETKKGFYSLSVRHRQVKHYRIFRLPNNWYY
+ISPRLTFQCLEDLVNHY
+>Q9H6Q3_PF00018_38 <unknown description>
+VALGSFPAGGPAELSLRLGEPLTIVSEDGDWWTVLSEVSGREYNIP
+>Q9H6Q3_PF00017_94 <unknown description>
+WLYEGLSREKAEELLLLPGNPGGAFLIRESQTRRGSYSLSVRLSRPASWDRIRHYRIHCL
+DNGWLYISPRLTFPSLQALVDHY
+>Q14493_PF15247_130 <unknown description>
+TDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPKTPNKFKKYSRRSWDQQIKL
+WKVALHFWDP
+>Q07837_PF00128_140 <unknown description>
+GDLKGIQDKLDYITALNIKTVWITSFYKSSLKDFRYGVEDFREVDPIFGTMEDFENLVAA
+IHDKGLKLIIDFIPNHTSDKHIWFQLSRTRTGKYTDYYIWHDCTHENGKTIPPNNWLSVY
+GNSSWHFDEVRNQCYFHQFMKEQPDLNFRNPDVQEEIKEILRFWLTKGVDGFSLDAVKFL
+LEAKHLRDEIQVNKTQIPDTVTQYSELYHDFTTTQVGMHDIVRSFRQTMDQYSTEPGRYR
+FMGTEAYAESIDRTVMYYGLPFIQEADFPFNNYLSMLDTVSGNSVYEVITSWMENMPEGK
+WPNWMIGGPDSSRLTSRLGNQYVNVMNMLLFTLPGTPITYYGEEIGMGN
+>Q9BRT9_PF05916_50 <unknown description>
+IVECVMEQLEHMEENLRRAKREDLKVSIHQMEMERIRYVLSSYLRCRLMKIEKFFPHVLE
+KEKTRPEGEPSSLSPEE
+>Q9BRT9_PF16922_165 <unknown description>
+PDLDSYVFLRVRERQENILVEPDTDEQRDYVIDLEKGSQHLIRYKTIAPLVASGAVQLI
+>Q9BQI6_PF16770_10 <unknown description>
+IQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAACAAGKWILT
+KDYIIHSAKSGRWLDETTY
+>Q9BQI6_PF12796_811 <unknown description>
+LHRACINNQVEKLILLLSLPGIDINVKDNAGWTPLHEACNYGNTVCVQEILQRCPEVDLL
+TQVDGVTPLHDALSNGHVEIGKLLLQHG
+>Q8IX21_PF14816_659 <unknown description>
+HVHPGTYTNTLERLVKEMEDTQRLDELQKQLQEDIRQGRGIKSPIRIGEEDSTDDEDGLL
+EEHKEFLKKFSVTIDAIPDHHPGEEIFNFLNSGKIFNQYTLDLRDSGFIGQSAVEKLILK
+SGKTDQIFLTTQGFLTSAYHYVQCPVPVLKWLFRMMSVHTDCIVSVQILSTLMEITIRND
+TFSDSPVWPWIPSLSDVAAVFFNMGIDFRSLFPLENLQPDFNEDYLVSETQTTSRGKESE
+DSSYKPIFSTLPETNILNVVKFLGLCTSIHPEGYQDREIMLLILMLFKMSLEKQLKQIPL
+VDFQSLLINLMKNIRDWNTKVPELCLGINELSSHPHNLLWLVQLVPNWTSRGRQLRQCLS
+LVIISKLLDEKH
+>Q08AF3_PF04326_191 <unknown description>
+ESTHVEFVMFSTDVSHCVKDRLPKCVSAFANTEGGYVFFGVHDETCQVIGCEKEKIDLTS
+LRASIDGCIKKLPVHHFCTQRPEIKYVLNFLEVHDKGALRGYVCAIKVEKFC
+>Q08AF3_PF09848_574 <unknown description>
+LFVHGLPGSGKTILALRIMEKIRNVFHCEPANILYICENQPLKKLVSFSKKNICQPVTRK
+TFMKNNFEHIQHIIIDDAQNFRTEDGDWYGKAKFITQTARDGPGVLWIFLDYFQTYH
+>P01286_PF00123_32 <unknown description>
+YADAIFTNSYRKVLGQLSARKLLQDIM
+>Q96PX8_PF13855_138 <unknown description>
+DFNLLRDIDPGAFQDLNKLEVLILNDNLISTLPANVFQYVPITHLDLRGNRL
+>Q96PX8_PF13855_375 <unknown description>
+SNVQELFLRDNKIHSIRKSHFVDYKNLILLDLGNNNIATVENNTFKNLLDLRWLYMDSNY
+L
+>Q9H156_PF13855_89 <unknown description>
+VTLHLGNNGLQEIRTGAFSGLKTLKRLHLNNNKLEILREDTFLGLESLEYLQADYNYI
+>Q9H156_PF13855_400 <unknown description>
+SLDLLHLGNNRIAVIQEGAFTNLTSLRRLYLNGNYLEVLYPSMFDGLQSLQYLYLEYNVI
+>O94933_PF13855_105 <unknown description>
+SINLGNNALQDIQTGAFNGLKILKRLYLHENKLDVFRNDTFLGLESLEYLQADYNV
+>O94933_PF13855_456 <unknown description>
+PNLKSLFLNGNDIEKLTPGMFRGLQSLHYLYFEFNVIREIQPAAFSLMPNLKLLFLNNNL
+L
+>Q8IW52_PF13855_86 <unknown description>
+VSLHLGNNKLQNIEGGAFLGLSALKQLHLNNNELKILRADTFLGIENLEYLQADYNLI
+>Q8IW52_PF13855_429 <unknown description>
+RLYLNGNQIERLYPEIFSGLHNLQYLYLEYNLIKEISAGTFDSMPNLQLLYLNNNLL
+>O94991_PF13855_129 <unknown description>
+RGLRRLHLNNNKLELLRDDTFLGLENLEYLQVDYNYISVIEPNAFGKLHLLQVLILNDNL
+L
+>O94991_PF13855_457 <unknown description>
+TNLRRLYLNGNRIERLSPELFYGLQSLQYLFLQYNLIREIQSGTFDPVPNLQLLFLNNNL
+L
+>Q9H5Y7_PF13855_92 <unknown description>
+SIHLGFNNIADIEIGAFNGLGLLKQLHINHNSLEILKEDTFHGLENLEFLQADNN
+>Q9H5Y7_PF13855_412 <unknown description>
+RLQKLYLNGNHLTKLSKGMFLGLHNLEYLYLEYNAIKEILPGTFNPMPKLKVLYLNNNLL
+>Q68CJ6_PF00350_103 <unknown description>
+IALFGSTGAGKSSLINAIIQQAMFLPVSGESICTSCIVQVSSGCCVQYEAKIHLLSDQEW
+REELKNLTKLLHRTEELSREEADAWNRDEAVEEATWKLQMIYGNGAESKNYEELLRAKPK
+RKIPTSRVITLKAEEAEELSIKLDPYIRTQRRDWDGEAAEMRIWPLIKHVEVTLPKSDLI
+PEGVVLVDIPGTGDFNSKRDEMWKKTIDKCSVIWVISDIERV
+>Q9GZT3_PF00076_22 <unknown description>
+FVRRIPWTAASSQLKEHFAQFGHVRRCILPFDKETGFHRGLGWVQFSSEEGLRNALQQEN
+HI
+>O75093_PF01462_33 <unknown description>
+ACPALCTCTGTTVDCHGTGLQAIPKNIP
+>O75093_PF13855_62 <unknown description>
+NTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLRLNRNQL
+>O75093_PF13855_159 <unknown description>
+LKNLQLDKNQISCIEEGAFRALRGLEVLTLNNNNITTIPVSSFNHMPKLRTFRLHSNHL
+>O75093_PF01463_239 <unknown description>
+FTQCSGPASLRGLNVAEVQKSEFSCS
+>O75093_PF01462_282 <unknown description>
+CPAMCTCSNGIVDCRGKGLTAIPANLP
+>O75093_PF13855_311 <unknown description>
+MTEIRLELNGIKSIPPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLNSLVLYGNKI
+>O75093_PF13855_382 <unknown description>
+TLQLLLLNANKINCIRPDAFQDLQNLSLLSLYDNKIQSLAKGTFTSLRAIQTLHLAQNP
+>O75093_PF01463_464 <unknown description>
+ARCASPRRLANKRIGQIKSKKFRCS
+>O75093_PF13855_591 <unknown description>
+VSELHLTANQLESIRSGMFRGLDGLRTLMLRNNRISCIHNDSFTGLRNVRLLSLYDNQI
+>O75093_PF01463_695 <unknown description>
+NPRCQNPDFLRQIPLQDVAFPDFRCE
+>O75093_PF01462_733 <unknown description>
+QCPQECACLDTVVRCSNKHLRALPKGIP
+>O75093_PF13855_808 <unknown description>
+SQLTTLILSYNALQCIPPLAFQGLRSLRLLSLHGNDISTLQEGIFADVTSLSHLAIGANP
+L
+>O75093_PF01463_891 <unknown description>
+ARCAGPQDMEGKLLLTTPAKKFEC
+>O75093_PF00008_929 <unknown description>
+CLSSPCQNQGTCHNDPLEVYRCACPSGYKGR
+>O75093_PF00008_968 <unknown description>
+CSSGPCENGGTCHAQEGEDAPFTCSCPTGFEGPT
+>O75093_PF00008_1009 <unknown description>
+CVDHACANGGVCVDGVGNYTCQCPLQYEGK
+>O75093_PF00008_1047 <unknown description>
+CSPDLNPCQHEAQCVGTPDGPRCECMPGYAGDN
+>O75093_PF00008_1087 <unknown description>
+CRDHRCQNGAQCMDEVNSYSCLCAEGYSG
+>O75093_PF12661_1136 <unknown description>
+CQNGANCVDQGNRPVCQCLPGF
+>O75093_PF02210_1195 <unknown description>
+STAEDNGILLYNGDNDHIAVELYQGHVRVSYDPGSYPSSAIYSAETINDGQFHTVELVAF
+DQMVNLSIDGGSPMTMDNFGKHYTLNSEAPLYVGGMPVDVNSAAFRLWQILNGTGFHGCI
+RNLYINNE
+>O75093_PF12661_1347 <unknown description>
+CLHGICQPNATPGPMCHCEAGW
+>O94813_PF01462_27 <unknown description>
+ACPAQCSCSGSTVDCHGLALRSVPRNIP
+>O94813_PF13855_56 <unknown description>
+NTERLDLNGNNITRITKTDFAGLRHLRVLQLMENKISTIERGAFQDLKELERLRLNRNHL
+>O94813_PF13855_128 <unknown description>
+KLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVLTLNNNNI
+>O94813_PF01463_234 <unknown description>
+TQCMGPSHLRGHNVAEVQKREFVCS
+>O94813_PF01462_273 <unknown description>
+CPAACTCSNNIVDCRGKGLTEIPTNLP
+>O94813_PF13855_302 <unknown description>
+ITEIRLEQNTIKVIPPGAFSPYKKLRRIDLSNNQISELAPDAFQGLRSLNSLVLYGNKI
+>O94813_PF13855_373 <unknown description>
+SLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTIAKGTFSPLRAIQTMHLAQNP
+>O94813_PF01463_455 <unknown description>
+ARCTSPRRLANKRIGQIKSKKFRCS
+>O94813_PF13855_537 <unknown description>
+ELRLNNNEFTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGA
+>O94813_PF13855_607 <unknown description>
+SLKTLMLRSNRITCVGNDSFIGLSSVRLLSLYDNQITTVAPGAFDTLHSLSTLNLLANP
+>O94813_PF01463_688 <unknown description>
+NPRCQKPYFLKEIPIQDVAIQDFTCD
+>O94813_PF01462_727 <unknown description>
+CPTECTCLDTVVRCSNKGLKVLPKGIP
+>O94813_PF13855_805 <unknown description>
+TLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGAFNDLSALSHLAIGANPL
+>O94813_PF01463_884 <unknown description>
+ARCAGPGEMADKLLLTTPSKKFTC
+>O94813_PF00008_922 <unknown description>
+CLSNPCKNDGTCNSDPVDFYRCTCPYGFKGQ
+>O94813_PF00008_961 <unknown description>
+CISNPCKHGGTCHLKEGEEDGFWCICADGFEGEN
+>O94813_PF00008_1002 <unknown description>
+CEDNDCENNSTCVDGINNYTCLCPPEYTGE
+>O94813_PF00008_1040 <unknown description>
+CAQDLNPCQHDSKCILTPKGFKCDCTPGYVGEH
+>O94813_PF00008_1080 <unknown description>
+CQDNKCKNGAHCTDAVNGYTCICPEGYSGL
+>O94813_PF12661_1130 <unknown description>
+CQNGAQCIVRINEPICQCLPGY
+>O94813_PF00054_1188 <unknown description>
+IATDEDSGILLYKGDKDHIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLA
+LDQSLSLSVDGGNPKIITNLSKQSTLNFDSPLYVGGMPGKSNVASLRQAPGQNGTSFHGC
+IRNLYINSELQ
+>O94813_PF12661_1341 <unknown description>
+CAHGTCQPSSQAGFTCECQEGW
+>O75094_PF01462_33 <unknown description>
+ACPTKCTCSAASVDCHGLGLRAVPRGIP
+>O75094_PF13855_62 <unknown description>
+NAERLDLDRNNITRITKMDFAGLKNLRVLHLEDNQVSVIERGAFQDLKQLERLRLNKNKL
+>O75094_PF13855_159 <unknown description>
+VKNLQLDNNHISCIEDGAFRALRDLEILTLNNNNISRILVTSFNHMPKIRTLRLHSNHL
+>O75094_PF01463_240 <unknown description>
+TLCMAPVHLRGFNVADVQKKEYVCP
+>O75094_PF01462_280 <unknown description>
+CPSPCTCSNNIVDCRGKGLMEIPANLP
+>O75094_PF13855_332 <unknown description>
+KLKRIDISKNQISDIAPDAFQGLKSLTSLVLYGNKITEIVKGLFDGLVSLQLLLLNANKI
+>O75094_PF01463_462 <unknown description>
+ARCSSPRRLANKRISQIKSKKFRCS
+>O75094_PF13855_582 <unknown description>
+SVQELMLTGNQLETVHGRVFRGLSGLKTLMLRSNLIGCVSNDTFAGLSSVRLLSLYDNRI
+>O75094_PF01463_687 <unknown description>
+NPRCQKPFFLKEIPIQDVAIQDFTCD
+>O75094_PF01462_725 <unknown description>
+CPEQCTCMETVVRCSNKGLRALPRGMP
+>O75094_PF13855_799 <unknown description>
+SHLSTLILSYNRLRCIPVHAFNGLRSLRVLTLHGNDISSVPEGSFNDLTSLSHLALGTNP
+L
+>O75094_PF01463_882 <unknown description>
+ARCSSPEPMADRLLLTTPTHRFQCK
+>O75094_PF12661_925 <unknown description>
+CKNNGTCTQDPVELYRCACP
+>O75094_PF00008_959 <unknown description>
+CIQNPCQHGGTCHLSDSHKDGFSCSCPLGFEGQR
+>O75094_PF00008_1000 <unknown description>
+CEDNDCENNATCVDGINNYVCICPPNYTGE
+>O75094_PF00008_1038 <unknown description>
+CVPELNLCQHEAKCIPLDKGFSCECVPGYSGK
+>O75094_PF00008_1078 <unknown description>
+CVAHKCRHGAQCVDTINGYTCTCPQGFSGP
+>O75094_PF00008_1123 <unknown description>
+CDQYECQNGAQCIVVQQEPTCRCPPGFAGPR
+>O75094_PF02210_1187 <unknown description>
+ATDKDNGILLYKGDNDPLALELYQGHVRLVYDSLSSPPTTVYSVETVNDGQFHSVELVTL
+NQTLNLVVDKGTPKSLGKLQKQPAVGINSPLYLGGIPTSTGLSALRQGTDRPLGGFHGCI
+HEVRINNE
+>O75094_PF00008_1372 <unknown description>
+CLGHRCHHGKCVATGTSYMCKCAEGYGGD
+>Q9H2G2_PF00069_35 <unknown description>
+EIIGELGDGAFGKVYKAQNKETSVLAAAKVIDTKSEEELEDYMVEIDILASCDHPNIVKL
+LDAFYYENNLWILIEFCAGGAVDAVMLELERPLTESQIQVVCKQTLDALNYLHDNKIIHR
+DLKAGNILFTLDGDIKLADFGVSAKNTRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDY
+KADVWSLGITLIEMAEIEPPHHELNPMRVLLKIAKSEPPTLAQPSRWSSNFKDFLKKCLE
+KNVDARWTTSQLLQHPFV
+>Q9H2G2_PF12474_852 <unknown description>
+LQQQREQIFRRFEQEMMSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRDEAKRIKGEQ
+EKELSKFQNMLKNRKKEVINEVEKAPKELRKELMKRRKEELAQSQHAQEQEFVQKQQQEL
+DGSLKKIIQQQKAELANIE
+>Q9H2G2_PF12474_1020 <unknown description>
+HQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQRSEA
+KTRMAMFKKSLRINSTATPDQDRDKIKQFAAQEEKRQKNERMAQHQKHENQMRDLQLQCE
+ANVRELHQLQNEKCHLLVEHE
+>Q7Z7L1_PF04326_209 <unknown description>
+ESQLVEFKQFSTKHFQEYVKRTIPEYVPAFANTGGGYLFIGVDDKSREVLGCAKENVDPD
+SLRRKIEQAIY
+>Q7Z7L1_PF09848_595 <unknown description>
+LFVHGLPGSGKTIMAMKIMEKIRNVFHCEAHRILYVCENQPLRNFISDRNICRAETRKTF
+LRENFEHIQHIVIDEAQNFRT
+>Q8IYM2_PF04326_200 <unknown description>
+ESTHVEIKNFSTEKLLQRIKEILPQYVSAFANTDGGYLFIGLNEDKEIIGFKAEMSDLDD
+LEREIEKSIRKMPVHHFCMEKKKINYSCKFLGVYDKGSLCGYVCALRVERFCCAV
+>Q68D06_PF04326_208 <unknown description>
+ESPSIEFKQFSTKHIQQYVENIIPEYISAFANTEGGYLFIGVDDKSRKVLGCAKEQVDPD
+SLKNVIARAISKLPIVHFCSSKPRVEYSTKIVEVFCGKELYGYLCVIKVKAF
+>Q68D06_PF09848_595 <unknown description>
+LFVHGLPGSGKTIMAMKIMEKIRNVFHCEAHRILYVCENQPLRNFISDRNICRAETRETF
+LREKFEHIQHIVIDEAQNFRTEDGDWYRKAKTITQREKDCPGVLWIFLDYFQT
+>P0C7P3_PF04326_206 <unknown description>
+ESTHVEFKRFTTKKVIPRIKEMLPHYVSAFANTQGGYVLIGVDDKSKEVVGCKWEKVNPD
+LLKKEIENCIEKLPTFHFCCEKPKVNFTTKILNVYQKDVLDGYVCVIQVEPFCCVV
+>Q499Z3_PF04326_221 <unknown description>
+ETRNMEFKRGSGEYLSLAFKHHVRRYVCAFLNSEGGSLLVGVEDSGLVQGIRCSHRDEDR
+ARLLVDSILQGFKPQIFPDAYTLTFIPVISTSETSVPLKVIRLTVHTPKAQSQPQLYQTD
+QGEVFLRRDGSIQ
+>P03973_PF00095_31 <unknown description>
+KAGVCPPKKSAQCLRYKKPECQSDWQCPGKKRCCPDTCGIKCLDP
+>P03973_PF00095_85 <unknown description>
+KPGKCPVTYGQCLMLNPPNFCEMDGQCKRDLKCCMGMCGKSCVSP
+>Q9NWH9_PF02037_23 <unknown description>
+TDLRVIDLKSELKRRNLDITGVKTVLISRLKQAI
+>Q9NWH9_PF00076_386 <unknown description>
+IWVSGLSSNTKAADLKNLFGKYGKVLSAKVVTNARSPGAKCYGIVTMSSSTEVSRCIAHL
+HRTELHGQLI
+>O95391_PF11708_162 <unknown description>
+DYDGKRDRWNGYNPEEHMKIVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWG
+EEEPNSQMEKDHNSEDEDEDKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPN
+SAYYDPKTRAMRENPYANAGKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEV
+HLQADPTKLELLYKSFKVKKEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYS
+RHGTVIKGQERAVACSKYEEDVKIHNHTHIWGS
+>P55000_PF00021_25 <unknown description>
+CYTCKEPMTSASCRTITRCKPEDTACMTTLVTVEAEYPFNQSPVVTRSCSSSCVATDPDS
+IGAAHLIFCCFRDLCN
+>P0DP57_PF00021_25 <unknown description>
+CHQCTGFGGCSHGSRCLRDSTHCVTTATRVLSNTEDLPLVTKMCHIGCPDIPSLGLGPYV
+SIACCQTSLCN
+>Q9BQ83_PF01541_14 <unknown description>
+FGVYLLYCLNPRYRGRVYVGFTVNTARRVQQHNGGRKKGGAWRTSGRGPWEMVLVVHGFP
+SSVAALRFEWAWQHPHAS
+>Q5VYV7_PF15744_10 <unknown description>
+CGNFAVLVDLHILPQGSNKDTSWFSEQKKEEVCLLLKETIDSRVQEYLEVRKQHRPSNAE
+FTRSNPLSLKGYGFQITAYFLKRGIRLRCIRSTQNAELCVFPDRFVVCVSQLAFSRDLLA
+SQNEDLTERVLHGVSDYFAECAESSLPPSAKLRRNALKEIVKRTETKSSVTSKSQTRRDT
+VETSSDSVIAEIARRRNDGQASSSPPSESMGQAKDSIKAAESHWGLPVQKLEKVNQTQPE
+DTSGQQKPHPGERLKTGLLSRSPVCSCESASPCPKQSPRVAKTQQKRRNCSSAEDFDHHG
+RVSLGSDRLVPREIIVEKSKAVRVLPASELSDPGLLLKQDLAKTTSKEELHVLESLSSR
+>Q8IY92_PF00651_684 <unknown description>
+MVNNPHLSDVQFQTDSGEVLYAHKFVLYARCPLLIQYVNNEGFSAVEDGVLTQRVLLGDV
+STEAARTFLHYLYTADTGLPPGLSSELSSLAHRFGVSELVHLCEQV
+>Q8IY92_PF09494_1754 <unknown description>
+EALRCYIRSKPALYQKVLLYQPFELRELQAELRQNGLRVSSRRLLDFLDTHCITFTT
+>Q9NSI2_PF15341_102 <unknown description>
+LPKKEKMKLRREQWLQKIEAIKLAEQKHREERRRRATVVVGDLHPLRDALPELLGLEAGS
+RRQARSRESNKPRPSELSRMSAAQRQQLLEEERTRFQELLASPAYRASPLVAIGQTLAR
+>Q15797_PF03165_31 <unknown description>
+EKAVDALVKKLKKKKGAMEELEKALSCPGQPSNCVTIPRSLDGRLQVSHRKGLPHVIYCR
+VWRWPDLQSHHELKPLECCEFPFGSKQKEVCINPYHYKRVE
+>Q15797_PF03166_269 <unknown description>
+KHWCSIVYYELNNRVGEAFHASSTSVLVDGFTDPSNNKNRFCLGLLSNVNRNSTIENTRR
+HIGKGVHLYYVGGEVYAECLSDSSIFVQSRNCNYHHGFHPTTVCKIPSGCSLKIFNNQEF
+AQLLAQSVNHGFETVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLH
+>Q15796_PF03165_41 <unknown description>
+CEKAVKSLVKKLKKTGRLDELEKAITTQNCNTKCVTIPSTCSEIWGLSTPNTIDQWDTTG
+LYSFSEQTRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEV
+CVNPYHYQRVE
+>Q15796_PF03166_273 <unknown description>
+FWCSIAYYELNQRVGETFHASQPSLTVDGFTDPSNSERFCLGLLSNVNRNATVEMTRRHI
+GRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEFAA
+LLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLN
+>P84022_PF03165_31 <unknown description>
+CEKAVKSLVKKLKKTGQLDELEKAITTQNVNTKCITIPRSLDGRLQVSHRKGLPHVIYCR
+LWRWPDLHSHHELRAMELCEFAFNMKKDEVCVNPYHYQRVE
+>P84022_PF03166_231 <unknown description>
+FWCSISYYELNQRVGETFHASQPSMTVDGFTDPSNSERFCLGLLSNVNRNAAVELTRRHI
+GRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEFAA
+LLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLN
+>Q13485_PF03165_37 <unknown description>
+KRAIESLVKKLKEKKDELDSLITAITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYA
+RLWRWPDLHKNELKHVKYCQYAFDLKCDSVCVNPYHYERVV
+>Q13485_PF03166_321 <unknown description>
+EYWCSIAYFEMDVQVGETFKVPSSCPIVTVDGYVDPSGGDRFCLGQLSNVHRTEAIERAR
+LHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAGRAPGDAVHKIYPSAYIKVFDL
+RQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIAPAISLSAAAGIGVDDLRRLCI
+LRMSFVKGWGPDYPRQSIKETPCWIEIHLH
+>Q99717_PF03165_32 <unknown description>
+EKAVDALVKKLKKKKGAMEELEKALSSPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCR
+VWRWPDLQSHHELKPLDICEFPFGSKQKEVCINPYHYKRVE
+>Q99717_PF03166_269 <unknown description>
+KHWCSIVYYELNNRVGEAFHASSTSVLVDGFTDPSNNKSRFCLGLLSNVNRNSTIENTRR
+HIGKGVHLYYVGGEVYAECLSDSSIFVQSRNCNFHHGFHPTTVCKIPSSCSLKIFNNQEF
+AQLLAQSVNHGFEAVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLH
+>O43541_PF03165_173 <unknown description>
+KTVTYSLLKRLKERSLDTLLEAVESRGGVPGGCVLVPRADLRLGGQPAPPQLLLGRLFRW
+PDLQHAVELKPLCGCHSFAAAADGPTVCCNPYHFSRLC
+>O43541_PF03166_329 <unknown description>
+SHWCSVAYWEHRTRVGRLYAVYDQAVSIFYDLPQGSGFCLGQLNLEQRSESVRRTRSKIG
+FGILLSKEPDGVWAYNRGEHPIFVNSPTLDAPGGRALVVRKVPPGYSIKVFDFERSGLQH
+APEPDAADGPYDPNSVRISFAKGWGPCYSRQFITSCPCWLEILLN
+>O15105_PF03165_93 <unknown description>
+KALTHSVLKKLKERQLELLLQAVESRGGTRTACLLLPGRLDCRLGPGAPAGAQPAQPPSS
+YSLPLLLCKVFRWPDLRHSSEVKRLCCCESYGKINPELVCCNPHHLSRLC
+>O15105_PF03166_259 <unknown description>
+SHWCVVAYWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQLNSDNKSQLVQKVRSKIG
+CGIQLTREVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKVFPGFSIKAFDYEKAYSLQ
+RPNDHEFMQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLEVIFN
+>O15198_PF03165_35 <unknown description>
+EKAVDSLVKKLKKKKGAMDELERALSCPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCR
+VWRWPDLQSHHELKPLECCEFPFGSKQKEVCINPYHYRRVE
+>O15198_PF03166_271 <unknown description>
+QHWCSVAYYELNNRVGETFQASSRSVLIDGFTDPSNNRNRFCLGLLSNVNRNSTIENTRR
+HIGKGVHLYYVGGEVYAECVSDSSIFVQSRNCNYQHGFHPATVCKIPSGCSLKVFNNQLF
+AQLLAQSVHHGFEVVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLH
+>Q9UPU9_PF00536_325 <unknown description>
+MKDVPAWLKSLRLHKYAALFSQMTYEEMMALTECQLEAQNVTKGARHKIVISIQKLK
+>Q5PRF9_PF00536_300 <unknown description>
+GMKDVPSWLKSLRLHKYAALFSQMSYEEMMTLTEQHLESQNVTKGARHKIALSIQKLR
+>Q9BSF0_PF15127_1 <unknown description>
+MGCMKSKQTFPFPTIYEGEKQHESEEPFMPEERCLPRMASPVNVKEEVKEPPGTNTVILE
+YAHRLSQDILCDALQQWACNNIKYHDIPYIESEG
+>Q9NZC9_PF07443_248 <unknown description>
+FQVLIGYNAELIAVFKTLPSKNYDPDTKTWNFSMNDYSALMKAAQSLPTVNLQPL
+>Q9NZC9_PF07443_343 <unknown description>
+FEADISYSQDLIALFKQMDSRRYDVKTRKWSFLLEEHSKLIAKVRCLPQVQLDPL
+>Q9NZC9_PF00176_454 <unknown description>
+LLLADDMGLGKTIQAICIAAFYRKEWPLLVVVPSSVRFTWEQAFLRWLPSLSPDCINVVV
+TGKDRLTAGLINIVSFDLLSKLEKQLKTPFKVVIIDESHFLKNSRTARCRAAMPVLKVAK
+RVILLSGTPAMSRPAELYTQIIAVKPTFFPQFHAFGLRYCDAKRMPWGWDYSGSSNLGEL
+KLLLEEAVMLRRLKSDVLSQLPAKQRKIVVIAPGRINART
+>Q9NZC9_PF00271_714 <unknown description>
+IEYILDLLESGREKFLVFAHHKVVLDAITQELERKHVQHIRIDGSTSSAEREDLCQQFQL
+SERHAVAVLSITAANMGLTFSSADLVVFAELFWNPGVLIQAEDRVHRIG
+>Q8IYB5_PF01412_20 <unknown description>
+ILSKLLREEDNKYCADCEAKGPRWASWNIGVFICIRCAGIHRNLGVHISRVKSVNLDQWT
+AEQIQCMQDMGNTKARLLYEANLPENFRRPQTDQAVEFFIRDKYEKKKYYDK
+>Q8WU79_PF01412_15 <unknown description>
+VLANLLLEEDNKFCADCQSKGPRWASWNIGVFICIRCAGIHRNLGVHISRVKSVNLDQWT
+QEQIQCMQEMGNGKANRLYEAYLPETFRRPQIDPAVEGFIRDKYEKKKYMDR
+>O00193_PF15477_27 <unknown description>
+WEAADLGNEERKQKFLRLMGAGKKEHTGRLVIGDHKSTSHFRTGEEDKKINEELESQYQQ
+SMDSKLSGRYRRHCGLG
+>P38935_PF13086_192 <unknown description>
+LDTSQKEAVLFALSQKELAIIHGPPGTGKTTTVVEIILQAVKQGLKVLCCAPSNIAVDNL
+VERLALCKQRILRLGHPARLLESIQQHSLDAVLARSDSAQIVADIRKDIDQVFVKNKKTQ
+DKREKSNFRNEIKLLRKELKEREEAAMLESLTSANVVLATNTGASADGPLKLLPESYFDV
+VVIDECAQALEASCWIPLLKARKCILAGDHKQLPPTTVS
+>P38935_PF13087_419 <unknown description>
+SLSLMERLAEEYGARVVRTLTVQYRMHQAIMRWASDTMYLGQLTAHSSVARHLLRDLPGV
+AATEETGVPLLLVDTAGCGLFELEEEDEQSKGNPGEVRLVSLHIQALVDAGVPARDIAVV
+SPYNLQVDLLRQSLVHRHPELEIKSVDGFQGREKEAVILSFVRSNRKGEVGFLAEDRRIN
+VAVTRARRHVAVICDS
+>P38935_PF01424_732 <unknown description>
+MIVEFMASKKMQLEFPPSLNSHDRLRVHQIAEEHGLRHDSSGEGKRRFITVSK
+>P38935_PF01428_897 <unknown description>
+CGFAKCTAGVTTLGQFCQLCSRRYCLSHHLPEIHGCGER
+>Q9UHJ3_PF02820_54 <unknown description>
+MKLEVAVRTDPETYWVATVITTCEQLLLLRYDGYGEDRRADFWCDIRKADLYPIGWCEQN
+KKTLEAPEGI
+>Q9UHJ3_PF02820_167 <unknown description>
+RLECQAFQDSLSTWIVTVVENIGGRLKLRYEGLESSDNYEHWLYYLDPFLHHVGWAAQQG
+YELQPPSAI
+>Q9UHJ3_PF02820_279 <unknown description>
+MKLEAVDPWSPFGISPATVVKVFDEKYFLVEMDDLRPENHARRSFVCHADSPGIFPVQWS
+LKNGLHISPPPGY
+>Q9UHJ3_PF02820_388 <unknown description>
+MKLEAVNPILPEEVCVATITAVRGSYLWLQLEGSKKPIPECIVSVESMDIFPLGWCETNG
+HPLSTPRR
+>Q9UHJ3_PF12140_502 <unknown description>
+CPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLTLLINAAYKPSRVLRELQL
+DKDSVWHGCGEVLKAKYKGKSYRATVEIVKTADRVTEFCRQTCIKLECCPNLFGP
+>Q9UHJ3_PF00536_795 <unknown description>
+KWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKLGPAIKLCHHIE
+RIK
+>Q5VUG0_PF02820_78 <unknown description>
+MKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWCDVVIADLHPVGWCTQN
+NKVLMPPDAI
+>Q5VUG0_PF02820_192 <unknown description>
+IELQDSQNPFQYWIVSVIENVGGRLRLRYVGLEDTESYDQWLFYLDYRLRPVGWCQENKY
+RMDPPSEI
+>Q5VUG0_PF02820_304 <unknown description>
+MKLETVNMCEPFYISPASVTKVFNNHFFQVTIDDLRPEPSKLSMLCHADSLGILPVQWCL
+KNGVSLTPPKGYS
+>Q5VUG0_PF02820_412 <unknown description>
+MKLEAVNPRNPGELCVASVVSVKGRLMWLHLEGLQTPVPEVIVDVESMDIFPVGWCEANS
+YPLTAPHK
+>Q5VUG0_PF12140_528 <unknown description>
+CPQLFINHRCFSGPYLNKGRIAELPQSVGPGKCVLVLKEVLSMIINAAYKPGRVLRELQL
+VEDPHWNFQEETLKAKYRGKTYRAVVKIVRTSDQVANFCRRVCAKLECCPNLFSP
+>Q5VUG0_PF00536_823 <unknown description>
+EWTVTDVVRFIKLTDCAPLAKIFQEQDIDGQALLLLTLPTVQECMELKLGPAIKLCHQIE
+RVK
+>Q14683_PF02463_3 <unknown description>
+FLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKTLR
+DLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEELE
+KLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEEDT
+QFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNKEL
+ASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIKAK
+ENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQSQG
+RDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAKIK
+QKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQVME
+QLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGKNM
+DAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRYEP
+PHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLKAK
+ARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLEQT
+KTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEFCR
+EIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQTV
+KKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGGAN
+KEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGEDS
+VSGSQRISSIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRIAA
+PNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATNID
+EIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGGEKTVAALALLF
+AIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESLIG
+VYPEQG
+>Q14683_PF06470_515 <unknown description>
+VYGRLIDLCQPTQKKYQIAVTKVLGKNMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLD
+YLEVKPTDEKLRELKGAKLVIDVIRYEPPHIKKALQYACGNALVCDNVEDARRIA
+>Q8NDV3_PF02463_4 <unknown description>
+LELLLVENFKSWRGRQVIGPFRRFTCIIGPNGSGKSNVMDALSFVMGEKIANLRVKNIQE
+LIHGAHIGKPISSSASVKIIYVEESGEEKTFARIIRGGCSEFRFNDNLVSRSVYIAELEK
+IGIIVKAQNCLVFQGTVESISVKKPKERTQFFEEISTSGELIGEYEEKKRKLQKAEEDAQ
+FNFNKKKNIAAERRQAKLEKEEAERYQSLLEELKMNKIQLQLFQLYHNEKKIHLLNTKLE
+HVNRDLSVKRESLSHHENIVKARKKEHGMLTRQLQQTEKELKSVETLLNQKRPQYIKAKE
+NTSHHLKKLDVAKKSIKDSEKQCSKQEDDIKALETELADLDAAWRSFEKQIEEEILHKKR
+DIELEASQLDRYKELKEQVRKKVATMTQQLEKLQWEQKTDEERLAFEKRRHGEVQGNLKQ
+IKEQIEDHKKRIEKLEEYTKTCMDCLKEKKQQEETLVDEIEKTKSRMSEVNEELNLIRSE
+LQNAGIDTHEGKRQQKRAEVLEHLKRLYPDSVFGRLFDLCHPIHKKYQLAVTKVFGRFIT
+AIVVASEKVAKDCIRFLKEERAEPETFLALDYLDIKPINERLRELKGCKMVIDVIKTQFP
+QLKKVIQFVCGNGLVCETMEEARHIALSGPERQKTVALDGTLFLKSGVISGGSSDLKYKA
+RCWDEKELKNLRDRRSQKIQELKGLMKTLRKETDLKQIQTLIQGTQTRLKYSQNELEMIK
+KKHLVAFYQEQSQLQSELLNIESQCIMLSEGIKERQRRIKEFQEKIDKVEDDIFQHFCEE
+IGVENIREFENKHVKRQQEIDQKRLEFEKQKTRLNVQLEYSRSHLKKKLNKINTLKETIQ
+KGSEDIDHLKKAEENCLQTVNELMAKQQQLKDIRVTQNSSAEKVQTQIEEERKKFLAVDR
+EVGKLQKEVVSIQTSLEQKRLEKHNLLLDCKVQDIEIILLSGSLDDIIEVEMGTEAESTQ
+ATIDIYEKEEAFEIDYSSLKEDLKALQSDQEIEAHLRLLLQQVASQEDILLKTAAPNLRA
+LENLKTVRDKFQESTDAFEASRKEARLCRQEFEQVKKRRYDLFTQCFEHVSISIDQIYKK
+LCRNNSAQAFLSPENPEEPYLEGISYNCVAPGKRFMPMDNLSGGEKCVAALALLFAVHSF
+RPAPFFVLDEVDAALDNTNIGKVSSYIKEQTQDQFQMIVISLKEEFYSRADALIGIYPEY
+>Q8NDV3_PF06470_514 <unknown description>
+SVFGRLFDLCHPIHKKYQLAVTKVFGRFITAIVVASEKVAKDCIRFLKEERAEPETFLAL
+DYLDIKPINERLRELKGCKMVIDVIKTQFPQLKKVIQFVCGNGLVCETMEEARHIA
+>O95347_PF02463_2 <unknown description>
+HIKSIILEGFKSYAQRTEVNGFDPLFNAITGLNGSGKSNILDSICFLLGISNLSQVRASN
+LQDLVYKNGQAGITKASVSITFDNSDKKQSPLGFEVHDEITVTRQVVIGGRNKYLINGVN
+ANNTRVQDLFCSVGLNVNNPHFLIMQGRITKVLNMKPPEILSMIEEAAGTRMYEYKKIAA
+QKTIEKKEAKLKEIKTILEEEITPTIQKLKEERSSYLEYQKVMREIEHLSRLYIAYQFLL
+AEDTKVRSAEELKEMQDKVIKLQEELSENDKKIKALNHEIEELEKRKDKETGGILRSLED
+ALAEAQRVNTKSQSAFDLKKKNLACEESKRKELEKNMVEDSKTLAAKEKEVKKITDGLHA
+LQEASNKDAEALAAAQQHFNAVSAGLSSNEDGAEATLAGQMMACKNDISKAQTEAKQAQM
+KLKHAQQELKNKQAEVKKMDSGYRKDQEALEAVKRLKEKLEAEMKKLNYEENKEESLLEK
+RRQLSRDIGRLKETYEALLARFPNLRFAYKDPEKNWNRNCVKGLVASLISVKDTSATTAL
+ELVAGERLYNVVVDTEVTGKKLLERGELKRRYTIIPLNKISARCIAPETLRVAQNLVGPD
+NVHVALSLVEYKPELQKAMEFVFGTTFVCDNMDNAKKVAFDKRIMTRTVTLGGDVFDPHG
+TLSGGARSQA
+>O95347_PF06470_522 <unknown description>
+VKGLVASLISVKDTSATTALELVAGERLYNVVVDTEVTGKKLLERGELKRRYTIIPLNKI
+SARCIAPETLRVAQNLVGPDNVHVALSLVEYKPELQKAMEFVFGTTFVCDNMDNAKKVA
+>O95347_PF02463_862 <unknown description>
+AKNKESVNKAQEEVTKQKEVITAQDTVIKAKYAEVAKHKEQNNDSQLKIKELDHNISKHK
+REAEDGAAKVSKMLKDYDWINAERHLFGQPNSAYDFKTNNPKEAGQRLQKLQEMKEKLGR
+NVNMRAMNVLTEAEERYNDLMKKKRIVENDKSKILTTIEDLDQKKNQALNIAWQKVNKDF
+GSIFSTLLPGANAMLAPPEGQTVLDGLEFKVALGNTWKENLTELSGGQRSLVALSLILSM
+LLFKPAPIYILDEVDAALDLSHTQNIGQMLRTHFTHSQFIVVSLKEGMFNNANVLFKTKF
+VD
+>Q9UQE7_PF02463_2 <unknown description>
+YIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQR
+LALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKNDV
+MNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMKE
+TEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLDE
+LSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIKQ
+RTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEERG
+IARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDLE
+DTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQQ
+ALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLDHFRRKGINQHVQNGYHGIVMNNFE
+CEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAYP
+ETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSHR
+GALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQI
+ETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAEL
+GTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRLD
+QVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKSM
+ERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTLS
+LKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNVL
+ELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSGESERGS
+GSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPFYL
+FDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVS
+>Q9UQE7_PF06470_530 <unknown description>
+NGYHGIVMNNFECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLP
+LNKLDVRDTAYPETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQL
+>Q9NTJ3_PF02463_83 <unknown description>
+MITHIVNQNFKSYAGEKILGPFHKRFSCIIGPNGSGKSNVIDSMLFVFGYRAQKIRSKKL
+SVLIHNSDEHKDIQSCTVEVHFQKIIDKEGDDYEVIPNSNFYVSRTACRDNTSVYHISGK
+KKTFKDVGNLLRSHGIDLDHNRFLILQGEVEQIAMMKPKGQTEHDEGMLEYLEDIIGCGR
+LNEPIKVLCRRVEILNEHRGEKLNRVKMVEKEKDALEGEKNIAIEFLTLENEIFRKKNHV
+CQYYIYELQKRIAEMETQKEKIHEDTKEINEKSNILSNEMKAKNKDVKDTEKKLNKITKF
+IEENKEKFTQLDLEDVQVREKLKHATSKAKKLEKQLQKDKEKVEEFKSIPAKSNNIINET
+TTRNNALEKEKEKEEKKLKEVMDSLKQETQGLQKEKESREKELMGFSKSVNEARSKMDVA
+QSELDIYLSRHNTAVSQLTKAKEALIAASETLKERKAAIRDIEGKLPQTEQELKEKEKEL
+QKLTQEETNFKSLVHDLFQKVEEAKSSLAMNRSRGKVLDAIIQEKKSGRIPGIYGRLGDL
+GAIDEKYDVAISSCCHALDYIVVDSIDIAQECVNFLKRQNIGVATFIGLDKMAVWAKKMT
+EIQTPENTPRLFDLVKVKDEKIRQAFYFALRDTLVADNLDQATRVAYQKDRRWRVVTLQG
+QIIEQSGTMTGGGSKVMKGRMGSSLVIEISEEEVNKMESQLQNDSKKAMQIQEQKVQLEE
+RVVKLRHSEREMRNTLEKFTASIQRLIEQEEYLNVQVKELEANVLATAPDKKKQKLLEEN
+VSAFKTEYDAVAEKAGKVEAEVKRLHNTIVEINNHKLKAQQDKLDKINKQLDECASAITK
+AQVAIKTADRNLQKAQDSVLRTEKEIKDTEKEVDDLTAELKSLEDKAAEVVKNTNAAEES
+LPEIQKEHRNLLQELKVIQENEHALQKDALSIKLKLEQIDGHIAEHNSKIKYWHKEISKI
+SLHPIEDNPIEEISVLSPEDLEAIKNPDSITNQIALLEARCHEMKPNLGAIAEYKKKEEL
+YLQRVAELDKITYERDSFRQAYEDLRKQRLNEFMAGFYIITNKLKENYQMLTLGGDAELE
+LVDSLDPFSEGIMFSVRPPKKSWKKIFNLSGGEKTLSSLALVFALHHYKPTPLYFMDEID
+AALDFKNVSIVAFYIYEQTKNAQFIIISLRNNMFEISDRLIGIYKTYN
+>Q9NTJ3_PF06470_613 <unknown description>
+PGIYGRLGDLGAIDEKYDVAISSCCHALDYIVVDSIDIAQECVNFLKRQNIGVATFIGLD
+KMAVWAKKMTEIQTPENTPRLFDLVKVKDEKIRQAFYFALRDTLVADNLDQATRV
+>Q8IY18_PF02463_53 <unknown description>
+IVRISMENFLTYDICEVSPGPHLNMIVGANGTGKSSIVCAICLGLAGKPAFMGRADKVGF
+FVKRGCSRGMVEIELFRASGNLVITREIDVAKNQSFWFINKKSTTQKIVEEKVAALNIQV
+GNLCQFLPQDKVGEFAKLSKIELLEATEKSIGPPEMHKYHCELKNLREKEKQLETSCKEK
+TEYLQKMVQRNERYKQDVERFYERKRHLDLIEMLEAKRPWVEYENVRQEYEEVKLVRDRV
+KEEVRKLKEGQIPVTCRIEEMENERHNLEARIKEKATDIKEASQKCKQKQDVIERKDKHI
+EELQQALIVKQNEELDRQRRIGNTRKMIEDLQNELKTTENCENLQPQIDAITNDLRRIQD
+EKALCEGEIIDKRRERETLEKEKKSVDDHIVRFDNLMNQKEDKLRQRFRDTYDAVLWLRN
+NRDKFKQRVCEPIMLTINMKDNKNAKYIENHIPSNDLRAFVFESQEDMEVFLKEVRDNKK
+LRVNAVIAPKSSYADKAPSRSLNELKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVG
+TEKTRERIERVIQETRLKQIYTAEEKYVVKTSFYSNKVISSNTSLKVAQFLTVTVDLEQR
+RHLEEQLKEIHRKLQAVDSGLIALRETSKHLEHKDNELRQKKKELLERKTKKRQLEQKIS
+SKLGSLKLMEQDTCNLEEEERKASTKIKEINVQKAKLVTELTNLIKICTSLHIQKVDLIL
+QNTTVISEKNKLESDYMAASSQLRLTEQHFIELDENRQRLLQKCKELMKRARQVCNLGAE
+QTLPQEYQTQVPTIPNGHNSSLPMVFQDLPNTLDEIDALLTEERSRASCFTGLNPTIVQE
+YTKREEEIEQLTEELKGKKVELDQYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQC
+AGEVDLHTENEEDYDKYGIRIRVKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNR
+CPFRVVDEINQGMDPINERRVFEMVVNTACKENTSQYFFIT
+>Q96SB8_PF02463_48 <unknown description>
+IESIHLKNFMCHSMLGPFKFGSNVNFVVGNNGSGKSAVLTALIVGLGGRAVATNRGSSLK
+GFVKDGQNSADISITLRNRGDDAFKASVYGNSILIQQHISIDGSRSYKLKSATGSVVSTR
+KEELIAILDHFNIQVDNPVSVLTQEMSKQFLQSKNEGDKYKFFMKATQLEQMKEDYSYIM
+ETKERTKEQIHQGEERLTELKRQCVEKEERFQSIAGLSTMKTNLESLKHEMAWAVVNEIE
+KQLNAIRDNIKIGEDRAARLDRKMEEQQVRLNEAEQKYKDIQDKLEKISEETNARAPECM
+ALKADVVAKKRAYNEAEVLYNRSLNEYKALKKDDEQLCKRIEELKKSTDQSLEPERLERQ
+KKISWLKERVKAFQNQENSVNQEIEQFQQAIEKDKEEHGKIKREELDVKHALSYNQRQLK
+ELKDSKTDRLKRFGPNVPALLEAIDDAYRQGHFTYKPVGPLGACIHLRDPELALAIESCL
+KGLLQAYCCHNHADERVLQALMKRFYLPGTSRPPIIVSEFRNEIYDVRHRAAYHPDFPTV
+LTALEIDNAVVANSLIDMRGIETVLLIKNNSVARAVMQSQKPPKNCREAFTADGDQVFAG
+RYYSSENTRPKFLSRDVDSEISDLENEVENKTAQILNLQQHLSALEKDIKHNEELLKRCQ
+LHYKELKMKIRKNISEIRELENIEEHQSVDIATLEDEAQENKSKMKMVEEHMEQQKENME
+HLKSLKIEAENKYDAIKFKINQLSELADPLKDELNLADSEVDNQKRGKRHYEEKQKEHLD
+TLNKKKRELDMKEKELEEKMSQARQICPERIEVEKSASILDKEINRLRQKIQAEHASHGD
+REEIMRQYQEARETYLDLDSKVRTLKKFIKLLGEIMEHRFKTYQQFRRCLTLRCKLYFDN
+LLSQRAYCGKMNFDHKNETLSISVQPGEGNKAAFNDMRALSGGERSFSTVCFILSLWSIA
+ESPFRCLDEFDVYMDMVNRRIAMDLILKMADSQRFRQFILLTPQSMSSLPSSKLIRIL
+>P51531_PF08880_173 <unknown description>
+PFSPVQLHQLRAQILAYKMLARGQPLPETLQLAVQ
+>P51531_PF07529_438 <unknown description>
+IEQERKRRQKHQEYLNSILQHAKDFKEYHRSVAGKIQKLSKAVATWHANTEREQKKETER
+IEKERMRRLM
+>P51531_PF07533_589 <unknown description>
+QMSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPR
+>P51531_PF00176_727 <unknown description>
+YQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRLNGPYLIIVPLSTLS
+NWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWK
+YMIVDEGHRMKNHHCKLTQVLNTHYVAPRRILLTGTPLQNKLPELWALLNFLLPTIFKSC
+STFEQWFNAPFAMTGERVDLNEEETILIIRRLHKVLRPFLLRRLKKEVESQLPEKVEYVI
+KCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMNTIMQLRKICNHPYMF
+>P51531_PF00271_1051 <unknown description>
+KFELLDRILPKLRATNHRVLLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLK
+KFNEPGSQYFIFLLSTRAGGLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIG
+>P51531_PF14619_1260 <unknown description>
+RRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSRQRRDVDYSDA
+LTEKQWL
+>P51531_PF00439_1418 <unknown description>
+SSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHN
+AQTFNLEGSQIYED
+>P51532_PF08880_171 <unknown description>
+PFNQNQLHQLRAQIMAYKMLARGQPLPDHLQMAVQ
+>P51532_PF07529_462 <unknown description>
+IEQERKRRQKHQEYLNSILQHAKDFKEYHRSVTGKIQKLTKAVATYHANTEREQKKENER
+IEKERMRRLM
+>P51532_PF07533_611 <unknown description>
+QMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPR
+>P51532_PF00176_757 <unknown description>
+YQIKGLEWLVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLS
+NWAYEFDKWAPSVVKVSYKGSPAARRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWK
+YMIVDEGHRMKNHHCKLTQVLNTHYVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSC
+STFEQWFNAPFAMTGEKVDLNEEETILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVI
+KCDMSALQRVLYRHMQAKGVLLTDGSEKDKKGKGGTKTLMNTIMQLRKICNHPYMF
+>P51532_PF00271_1081 <unknown description>
+KFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLK
+TFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIG
+>P51532_PF14619_1322 <unknown description>
+DRRREEARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKMFGRGSRHRKEVDYSD
+SLTEKQWL
+>P51532_PF00439_1478 <unknown description>
+GRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLCQNAQ
+TFNLEGSLIYED
+>O60264_PF00176_183 <unknown description>
+YQVRGLNWLISLYENGINGILADEMGLGKTLQTISLLGYMKHYRNIPGPHMVLVPKSTLH
+NWMSEFKRWVPTLRSVCLIGDKEQRAAFVRDVLLPGEWDVCVTSYEMLIKEKSVFKKFNW
+RYLVIDEAHRIKNEKSKLSEIVREFKTTNRLLLTGTPLQNNLHELWSLLNFLLPDVFNSA
+DDFDSWFDTNNCLGDQKLVERLHMVLRPFLLRRIKADVEKSLPPKKEVKIYVGLSKMQRE
+WYTRILMKDIDILNSAGKMDKMRLLNILMQLRKCCNHPYL
+>O60264_PF00271_484 <unknown description>
+KMVVLDKLLPKLKEQGSRVLIFSQMTRVLDILEDYCMWRNYEYCRLDGQTPHDERQDSIN
+AYNEPNSTKFVFMLSTRAGGLGINLATADVVILYDSDWNPQVDLQAMDRAHRIG
+>O60264_PF09110_743 <unknown description>
+AVDAYFREALRVSEPKAPKAPRPPKQPNVQDFQFFPPRLFELLEKEILFYRKTIGYKVPR
+NPELPNAAQAQKEEQLKIDEAESLNDEELEEKEKLLTQG
+>O60264_PF09111_898 <unknown description>
+EKIMAQIERGEARIQRRISIKKALDTKIGRYKAPFHQLRISYGTNKGKNYTEEEDRFLIC
+MLHKLGFDKENVYDELRQCIRNSPQFRFDWFLKSRTAMELQRRCNTLITLIERE
+>Q969G3_PF00505_66 <unknown description>
+PDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYEAEKIE
+YNESMKAY
+>Q147U7_PF15080_19 <unknown description>
+DHKLQALETQFKELDFTKDNLMQKFEHHSKALASQAAQDEMWTAVRALQLTSMELNILYS
+YVIEVLICLHTRVLEKLPDLVRGLPTLASVLRRKVKNKRVRVVWESILEECGLQEGDITA
+LCTFFIARGNKAEHYTAKVRQMYIRDVTFLITNMVKNQALQDSLLRAVQVIEKGKAVRTP
+EKQKSSLEELIPSVKN
+>A6NFE2_PF14992_12 <unknown description>
+MSLQMKMDCQEQQLTKKNNGFFQKLNVTEGAMQDLLKEIIKVDHILDRSDDEDDISSENP
+QTDFLHKGMLELEAEHDQDLSKQDKQETDVDEDPQASTSLQFSKKNLLELCLKGMFLKLN
+YWNTKIGLQVKELGADYIDGTEKIDNIIKKINVTENTVKSLLKDMLTLKGQIEKLEDRGL
+DLDQGTSTEVNTCNEVYELKKKVIERLEDLCKNVELLSAKLRMYQMEAEDTDSHSSEEID
+TEEMEALLPQAPASFLVQKSPPRNTAWKRALRIFIMFDVLTVTGLLCYILFFGATFLFER
+VLLRMLGCRTTWDLREMREPFLNLEVEALLP
+>A2RU48_PF15047_14 <unknown description>
+RREEVNRLHQQLLDCLSDSFDVTNKLTEVLNMHLGCRLASIEMKRDGTIKENCDLIIQAI
+MKIQKELQKVDEALKDKLEPTLYRKLQDIKEKETDKIAIVQKVISVILGEATSAASAVAV
+KLVGSNVTTGIINKLVTVLAQIGASLLGSIGVAVLGLGIDMIVRAILGAVEKTQLQAAIK
+SYEKHLVEFKSASEKYNHAITEVINTVK
+>Q9NRQ5_PF15012_1 <unknown description>
+MRQLKGKPKKETSKDKKERKQAMQEARQQITTVVLPTLAVVVLLIVVFVYVATRP
+>Q8TEV9_PF11704_118 <unknown description>
+VEDSKVVLGDSKEGAFAYVHHLTLYDLEARGFVRPFCMAYISADQHKIMQQFQELSAEFS
+RASECLKTGNRKAFAGELEKKLKDLDYTRTVLHTETEIQKKANDK
+>P62314_PF01423_5 <unknown description>
+RFLMKLSHETVTIELKNGTQVHGTITGVDVSMNTHLKAVKMTLKNREPVQLETLSIRGNN
+IRYFI
+>P62316_PF01423_37 <unknown description>
+KNNTQVLINCRNNKKLLGRVKAFDRHCNMVLENVKEMWTEVPKSGKGKKKSKPVNKDRYI
+SKMFLRGDSVIVV
+>P62318_PF01423_9 <unknown description>
+VLHEAEGHIVTCETNTGEVYRGKLIEAEDNMNCQMSNITVTYRDGRVAQLEQVYIRGSKI
+RFLI
+>Q9NPB0_PF10260_105 <unknown description>
+KVLLWLVLLGLFVELEFGLAYFVLSLFYWMYVGTRGPEEKKEGEKSAYSVFNPGCEAIQG
+TLTAEQLEREL
+>Q96Q15_PF17229_32 <unknown description>
+TDSASADPDNLKYSSSRDRGGSSSYGLQPSNSAVVSRQRHDDTRVHADIQNDEKGGYSVN
+GGSGENTYGRKSLGQELRVNNVTSPEFTSVQHGSRALATKDMRKSQERS
+>Q96Q15_PF15785_631 <unknown description>
+MWALSPTVFALLSKNLMIVHSDLAVHFPAIQYAVLYTLYSHCTRHDHFISSSLSSSSPSL
+FDGAVISTVTTATKKHFSIILNLLGILLKKDNLNQDTRKLLMTWALEAAVLMKKSETYAP
+LFSLPSFHKFCKGLLANTLVEDVNICLQACSSLHALSSSLPDDLLQRCVDVCRVQLVHSG
+TRIRQAFGKLLKSIPLDVVLSNNNHTEIQEISLALRSHMSKAPSNTFHPQDFSDVISFIL
+YGNSHRTGKDNWLERLFYSCQRLDKRDQSTIPRNLLKTDAVLWQWAIWEAAQFTVLSKLR
+TPLGRAQDTFQTIEGIIRSLAAHTLNPDQDVSQWTTADNDEGHGNNQLRLVLLLQYLENL
+EKLMYNAYEGCANALTSPPKVIRTFFYTNRQTCQDWLTRIRLSIMRVGLLAGQPAVTVRH
+GFDLLTEMKTTSLSQGNELEVTIMMVVEALCELHCPEAIQGIAVWSSSIVGKNLLWINSV
+AQQAEGRFEKASVEYQEHLCAMTGVDCCISSFDKSVLTLANAGRNSASPKHSLNGESRKT
+VLSKPTDSSPEVINYLGNKACECYISIADWAAVQEWQNAIHDLKKSTSSTSLNLKADFNY
+IKSLSSFESGK
+>Q96Q15_PF00454_2150 <unknown description>
+YPYLFKGLEDLHLDERIMQFLSIVNTMFATINRQETPRFHARHYSVTPLGTRSGLIQWVD
+GATPLFGLYKRWQQREAALQAQKAQDSYQTPQNPGIVPRPSELYYSKIGPALKTVGLSLD
+VSRRDWPLHVMKAVLEELMEATPPNLLAKELWSSCTTPDEWWRVTQSYARSTAVMSMVGY
+IIGLGDRHLDNVLIDMTTGEVVHIDYNVCFEKGKSLRVPEKVPFRMTQNIETALGVTGVE
+GVFRLSCEQVLHIMRRGRETLLTLLEAFVYDPLVDWT
+>Q96Q15_PF02260_3631 <unknown description>
+MSVAEQVDYVIKEATNLDNLAQLYEGWTAW
+>Q9UPR3_PF10374_77 <unknown description>
+KAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIGFYQHLLLYIQSHYQLE
+LQCCIDWTHVTDPLIGCKKPVSASGKEMDWAQMACHRCLVYLGDLSRYQNEL
+>Q9UPR3_PF10373_197 <unknown description>
+AERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQSEVSFEGAYGNLKRLY
+DKAAKMYHQLKKCETRKLSPGKKRCKDIKRLLVNFMYLQSLLQPKSSSVDSELTSLCQSV
+LEDFNLCLFYLPSSPNLSLASEDEEEYESGYAFLPDLLIFQMVIICLMCVHSLERAGSKQ
+YSAAIAFTLALFSHLVNHVNIRLQA
+>Q9UPR3_PF10373_651 <unknown description>
+AEGLLPAVKVFLDWLRTNPDLIIVCAQSSQSLWNRLSVLLNLLPAAGELQESGLALCPEV
+QDLLEGCELPDLPSSLLLPEDMALRNLPPLRA
+>Q9UPR3_PF13638_858 <unknown description>
+VPDTQALCHHLPVIRQLATSGRFIVIIPRTVIDGLDLLKKEHPGARDGIRYLEAEFKKGN
+RYIRCQKEVGKSFERHK
+>Q8ND04_PF10220_42 <unknown description>
+WREDEICVVGIFGKTALRLNSEKFSLVNTVCDRQVFPLFRHQDPGDPGPGIRTEAGAVGE
+AGGAEDPGAAAGGSVRGSGAVAEGNRTEAGSQDYSLLQAYYSQESKVLYLLLTSICDNSQ
+LLRACRALQSGEAGGGLSLPHAEAHEFWKHQEKLQCLSLLYLFSVCHILLLVHPTCSFDI
+TYDRVFRALDGLRQKVLPLLKTAIKDCPVGKDWKLNCRPCPPRLLFLFQLNGALKVEPPR
+NQDPAHPDKPKKHSPKRRLQHALEDQIYRIFRKSRVLTNQSINCLFTVPANQAFVYIVPG
+SQEEDPVGMLLDQLRSHCTVKDPESLLVPAPLSGPRRYQVMRQHSRQQLSFHIDSSSSSS
+SGQLVDFTLREFLWQHVELVLSKKGFDDSVGRNPQPSHFELPTYQKWISAASKLYEVAID
+GKEEDLGSPTGELTSKILSSIKVLEGFLDIDTKFSENRCQKALPMAHSAYQSNLPHNYTM
+TVHKNQLAQALRVYSQHARGPAFHKYAMQLHEDCYKFWSNGHQLCEERSLTDQHCVHKFH
+SLPKSGEKPEADRNPPVLYHNSRARSTGACNCGRKQAPRDDPFDIKAANYDFYQLLEEKC
+CGKLDHINFPVFEPSTPDPAPAKNESSPAPPDSDADKLKEKEPQTQGESTSLSLALSLGQ
+STDSLGTYPADPQAGGDNPEVHGQVEVKTEKRPNFVDRQASTVEYLPGMLHSNCPKGLLP
+KFSSWSLVKLGPAKSYNFHTGLDQQGFIPGTNYLMPWDIVIRTRAEDEGDLDTNSWPAPN
+KAIPGKRSAVVMGRGRRRDDIARAFVGFEYEDSRGRRFMCSGPDKVMKVMGSGPKESALK
+ALNSDMPLYILSSSQGRGLKPHYAQLMRLFVVVPDAPLQIILMPQVQPGPPPCPVFYPEK
+QEITLPPDGLWVLRFPYAYVTERGPCFPPKENVQLMSYKVLRGV
+>Q9H0W8_PF10220_201 <unknown description>
+QTDVLVVGVLGLQGTGKSMVMSLLSANTPEEDQRTYVFRAQSAEMKERGGNQTSGIDFFI
+TQERIVFLDTQPILSPSILDHLINNDRKLPPEYNLPHTYVEMQSLQIAAFLFTVCHVVIV
+VQDWFTDLSLYRFLQTAEMVK
+>A6NHR9_PF13589_143 <unknown description>
+FALAELIDNSLSATSRNIGVRRIQIKLLFDETQGKPAVAVIDNGRGMTSKQLNNWAVYRL
+SKFTRQGDFESDHSGYVRPVPVPRSLNSDISYFGVGGKQAVFFVGQSARMISKPADSQDV
+HELVLSKEDFEKKEK
+>A6NHR9_PF06470_1721 <unknown description>
+DVLGKIAHLAQIEDDRAAMVISWHLASDMDCVVTLTTDAARRIYDETQGRQQVLPLDSIY
+KKTLPDWKRSLPHFRNGKLYFKPIGDPVFARDLLTFPDNVEHCETVFGMLLGDTIILDNL
+DAANHY
+>Q96E16_PF15117_10 <unknown description>
+DDGSIDYTVHEAWNEATNVYLIVILVSFGLFMYAKRNKRRIMRIFSVPPTEETLSEPNFY
+DTISKIRLRQQLEMYSISRKYDYQQPQNQADSVQLSLE
+>B2RUZ4_PF15875_3 <unknown description>
+PQESHVHYSRWEDGSRDGVSLGAVSSTEEASRCRRISQRLCTGKLGIAMKVLGGVALFWI
+IFILGYLTGYYVHKC
+>Q9BZL3_PF17307_1 <unknown description>
+MDAVSQVPMEVVLPKHILDIWVIVLIILATIVIMTSLLLCPATAVIIYRMRTHPILSGAV
+>Q8WVI0_PF15114_3 <unknown description>
+TRAQVRRILQRVPGKQRFGIYRFLPFFFVLGGTMEWIMIKVRVGQETFYDVYRRKASERQ
+YQRRL
+>Q71RC9_PF15831_8 <unknown description>
+QEMRAVGERLLLKLQRLPQAEPVEIVAFSVIILFTATVLLLLLIACSCCCTHCCC
+>Q96KF7_PF14937_16 <unknown description>
+KEKEFQSPGLRGVRTTTLFRAVNPELFIKPNKPVMAFGLVTLSLCVAYIGYLHAIQENKK
+DLYEAIDSEGHSYMRRKTSKW
+>Q16637_PF06003_26 <unknown description>
+GQSDDSDIWDDTALIKAYDKAVASFKHALKNGDICETSGKPKTTPKRKPAKKNKSQKKNT
+AASLQQWKVGDKCSAIWSEDGCIYPATIASIDFKRETCVVVYTGYGNREEQNLSDLLSPI
+CEVANNIEQNAQENENESQVSTDESENSRSPGNKSDNIKPKSAPWNSFLPPPPPMPGPRL
+GPGKPGLKFNGPPPPPPPPPPHLLSCWLPPFPSGPPIIPPPPPICPDSLDDADALGSMLI
+SWYMSGYHTGYYMGFRQNQKEGRCS
+>Q9H4F8_PF07648_42 <unknown description>
+QCNLHCSRTQPKPICASDGRSYESMCEYQRAKCRDPTLGVVHRGRC
+>Q9H4F8_PF00086_95 <unknown description>
+CRLERAQALEQAKKPQEAVFVPECGEDGSFTQVQCHTYTGYCWCVTPDGKPISGSSVQNK
+TPVC
+>Q9H4F8_PF16597_159 <unknown description>
+SGSVTDKPLSQGNSGRKDDGSKPTPTMETQPVFDGDEITAPTLWIKHLVIKDSKLNNTNI
+R
+>Q9H4F8_PF00086_227 <unknown description>
+CDQERQSALEEAQQNPREGIVIPECAPGGLYKPVQCHQSTGYCWCVLVDTGRPLPGTSTR
+YVMPSC
+>Q9H4F8_PF10591_359 <unknown description>
+LEERVVHWYFSQLDSNSSNDINKREMKPFKRYVKKKAKPKKCARRFTDYCDLNKDKVISL
+PELKGC
+>Q9H3U7_PF07648_40 <unknown description>
+CSLDCAGSPQKPLCASDGRTFLSRCEFQRAKCKDPQLEIAYRGNC
+>Q9H3U7_PF00086_90 <unknown description>
+CVAERKYTQEQARKEFQQVFIPECNDDGTYSQVQCHSYTGYCWCVTPNGRPISGTAVAHK
+TPRC
+>Q9H3U7_PF16597_154 <unknown description>
+PGSVNEKLPQREGTGKTDDAAAPALETQPQGDEEDIASRYPTLWTEQVKSRQNKTNKNSV
+S
+>Q9H3U7_PF00086_216 <unknown description>
+CDQEHQSALEEAKQPKNDNVVIPECAHGGLYKPVQCHPSTGYCWCVLVDTGRPIPGTSTR
+YEQPKC
+>Q9H3U7_PF10591_344 <unknown description>
+SHTLEERVVHWYFKLLDKNSSGDIGKKEIKPFKRFLRKKSKPKKCVKKFVEYCDVNNDKS
+ISVQELMGC
+>Q9NWM0_PF01593_34 <unknown description>
+LAGLAAAKALLEQGFTDVTVLEASSHIGGRVQSVKLGHATFELGATWIHGSHGNPIYHLA
+EANGLLEETTDGERSVGRISLYSKNGVACYLTNHGRRIPKDVVEEFSDLYNEVYNLTQEF
+FRHDKPVNAESQNSVGVFTREEVRNRIRNDPDDPEATKRLKLAMIQQYLKVESCESSSHS
+MDEVSLSAFGEWTEIPGAHHIIPSGFMRVVELLAEGIPAHVIQLGKPVRCIHWDQ
+>Q9NWM0_PF01593_309 <unknown description>
+EQWSVVVECEDCELIPADHVIVTVSLGVLKRQYTSFFRPGLPTEKVAAIHRLGIGTTDKI
+FLEFEEPFWGPECNSLQFVWEDEAESHTLTYPPELWYRKICGFDVLYPPERYGHVLSGWI
+CGEEALVMEKCDDEAVAEICTEMLRQFTGNPNIPKPRRILRSAWGSNPYFRGSYSYTQVG
+SSGADVEKLAKPLPYTESSKTAPMQVLFSGEATHRKYYSTTHGALLSGQREAARLI
+>Q99835_PF01392_70 <unknown description>
+CEPLRYNVCLGSVLPYGATSTLLAGDSDSQEEAHGKLVLWSGLRNAPRCWAVIQPLLCAV
+YMPKCENDRVELPSRTLCQATRGPCAIVERERGWPDFLRCTPDRFPE
+>Q99835_PF01534_221 <unknown description>
+LFTEAEHQDMHSYIAAFGAVTGLCTLFTLATFVADWRNSNRYPAVILFYVNACFFVGSIG
+WLAQFMDGARREIVCRADGTMRLGEPTSNETLSCVIIFVIVYYALMAGVVWFVVLTYAWH
+TSFKALGTTYQPLSGKTSYFHLLTWSLPFVLTVAILAVAQVDGDSVSGICFVGYKNYRYR
+AGFVLAPIGLVLIVGGYFLIRGVMTLFSIKSNHPGLLSEKAASKINETMLRLGIFGFLAF
+GFVLITFSCHFYDFFNQAEWERSFRDYVLCQANVTIGLPTKQPIPDCEIKNRPSLLVEKI
+NLFAMFGTGIAMSTWVWTKATLLIWRRTWCR
+>Q9UHP9_PF15355_3 <unknown description>
+MSKQPVSNVRAIQANINIPMGAFRPGAGQPPRRKECTPEVEEGVPPTSDEEKKPIPGAKK
+LPGPAVNLSEIQNIKSELKYVPKAE
+>Q99954_PF15621_1 <unknown description>
+MKSLTWILGLWALAACFTPGESQRGPRGPYPPGPLAPPPPPCFPFGTGFVPPPHPPPYGP
+GRFPPPLSPPYGPGRIPPSPPPPYGPGRIQSHSLPPPYGPGYPQPPSQP
+>P02814_PF15621_1 <unknown description>
+MKSLTWILGLWALAACFTPGESQRGPRGPYPPGPLAPPQPFGPGFVPPPPPPPYGPGRIP
+PPPPAPYGPGIFPPPPPQP
+>Q92922_PF16496_32 <unknown description>
+RRKDGGPATKFWESPETVSQLDSVRVWLGKHYKKYVHADAPTNKTLAGLVVQLLQFQEDA
+FGKHVTNPAFTKLPAKCFMDFKAGGALCHILGAAYKYKNEQGWRRFDLQNPSRMDRNVEM
+FMNIEKTLVQNNCLTRPNIYLIPDIDLKLANKLKDIIKRHQGTFTDEKSKASHHIYPYSS
+SQDDEEWLRPVMRKEKQVLVHWGFYPDSYDTWVHSNDVDAEIEDPPIPEKPWKVHVKWIL
+DTDIFNEWMNEEDYEVDENRKPVSFRQRISTKNEEPVRSPERRDRKASANARKRKHSPSP
+PPPTPTESRKKSGKKGQASLYGKRRSQKEEDEQEDLTKDMEDPTPVPNIEEVVLPKNVNL
+KKDSENTPVKGGTVADLDEQDEETVTAGGKEDEDPAKGDQSRSVDLGEDNVTEQ
+>Q92922_PF04433_452 <unknown description>
+PSYASWFDYNCIHVIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEYLTSTAC
+RRNLTGDVCAVMRVHAFLEQWGLVNY
+>Q92922_PF00249_622 <unknown description>
+EWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHFLRL
+>Q92922_PF16498_706 <unknown description>
+VDPRVASAAAKAALEEFSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGT
+GPDEPEK
+>Q92922_PF16495_872 <unknown description>
+AALASAATKAKHLAAVEERKIKSLVALLVETQMKKLEIKLRHFEELETIMDREKEALEQQ
+RQQLLTERQNFHMEQLKYAEL
+>Q9H4L7_PF00176_513 <unknown description>
+KHGLNGILADEMGLGKTIQAIAFLAYLYQEGNNGPHLIVVPASTIDNWLREVNLWCPTLK
+VLCYYGSQEERKQIRFNIHSRYEDYNVIVTTYNCAISSSDDRSLFRRLKLNYAIFDEGHM
+LKNMGSIRYQHLMTINANNRLLLTGTPVQNNLLELMSLLNFVMPHMFSSSTSEIRRMFSS
+KTKSADEQSIYEKERIAHAKQIIKPFILRRVKEEVLKQLPPKKDRIELCAMSEKQEQLYL
+GLFNRLKKSINNLEKNTEMCNVMMQLRKMANHP
+>Q9H4L7_PF00271_857 <unknown description>
+RVLGCILSELKQKGDRVVLFSQFTMMLDILEVLLKHHQHRYLRLDGKTQISERIHLIDEF
+NTDMDIFVFLLSTKAGGLGINLTSANVVILHDIDCNPYNDKQAEDRCHRVG
+>Q96GM5_PF02201_294 <unknown description>
+QFKLDPRLARLLGIHTQTRPVIIQALWQYIKTHKLQDPHEREFVICDKYLQQIFESQRMK
+FSEIPQRLHA
+>Q92925_PF02201_310 <unknown description>
+QYKLDPRLARLLGVHTQTRAAIMQALWLYIKHNQLQDGHEREYINCNRYFRQIFSCGRLR
+FSEIPMKLA
+>Q6STE5_PF02201_262 <unknown description>
+QFKLDPRLARLLGLHTQSRSAIVQALWQYVKTNRLQDSHDKEYINGDKYFQQIFDCPRLK
+FSEIPQRLTA
+>Q8NCR6_PF15181_1 <unknown description>
+MFLFSRKTRTPISTYSDSYRAPTSIKEVYKDPPLCAWEANKFLTPGLTHTMERHVDPEAL
+QKMAKCAVQDYTYRGSISGHPYLPEKYWLSQEEADKCSPNYLGSDWYNTWRMEPYNSSCC
+NKYTTYLPRLPKEARMETAVRGMPLECPPRPERLNAYEREVMVNMLNSLSRNQQLPRITP
+RCGCVDPLPGRLPFHGYESACSGRHYCLRGMDYYASGAPCTDRRLRPWCREQPTMCTSLR
+APARNAVCCYNSPAVILPISEP
+>Q86VZ5_PF14360_276 <unknown description>
+MCGDYLYSGHTVMLTLTYLFIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVV
+AYYITTRLFWWYHT
+>Q8NHU3_PF14360_221 <unknown description>
+CGDFLFSGHTVTLTLTYLFIKEYSPRHFWWYHLICWLLSAAGIICILVAHEHYTIDVIIA
+YYITTRLFWWYHS
+>P61278_PF03002_99 <unknown description>
+RERKAGCKNFFWKTFTSC
+>A8MU46_PF00307_381 <unknown description>
+KNMLLEWCRAMTKKYEHVDIQNFSSSWSSGMAFCALIHKFFPDAFDYAELDPAKRRHNFT
+LAFSTAEKLADCAQLLDVDDMVRLAVPDSKCVYTYIQELYR
+>Q2TAL5_PF00307_354 <unknown description>
+KQILLEWCRSKTLGYQHVDLQNFSSSWSDGMAFCALVHSFFPDAFDYNSLSPTQRQKNFE
+LAFTMAENLANCERLIEVEDMMVMGRKPDPMCVFTYVQSLYNHLR
+>P53814_PF12510_1 <unknown description>
+MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQE
+>P53814_PF12510_74 <unknown description>
+EQRAALARLAGQLESMNDVEELTALLRSAGEYEERKLIRAAIRRVRAQE
+>P53814_PF12510_572 <unknown description>
+PEGRSPLSAEELMTIEDEGVLDKMLDQSTDFEERKLIRAALRELRQRK
+>P53814_PF00307_801 <unknown description>
+IKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAFCALVHNFFPEAFDYGQLSPQNRRQNF
+EVAFSSAETHADCPQLLDTEDMVRLREPDWKCVYTYIQEFYRC
+>Q2TAY7_PF17814_7 <unknown description>
+SSDVIRLIMQYLKENSLHRALATLQEETTV
+>Q2TAY7_PF00400_207 <unknown description>
+RHIKFGQKSHVECARFSPDGQYLVTGSVDGFIEVWN
+>Q2TAY7_PF00400_267 <unknown description>
+VLCMCFSRDTEMLATGAQDGKIKVWK
+>Q2TAY7_PF00400_296 <unknown description>
+GQCLRRFERAHSKGVTCLSFSKDSSQILSASFDQTIRI
+>Q2TAY7_PF00400_339 <unknown description>
+GKTLKEFRGHSSFVNEATFTQDGHYIISASSDGTVKIWN
+>Q2TAY7_PF00400_474 <unknown description>
+GKLERTLTVHEKDVIGIAHHPHQNLIATYSEDGLLKLWK
+>Q9HCE7_PF00168_14 <unknown description>
+KIRLTVLCAKNLAKKDFFRLPDPFAKIVVDGSGQCHSTDTVKNTLDPKWNQHYDLYVGKT
+DSITISVWNHKKIHKKQGAGFLGCVRLLS
+>Q9HCE7_PF00397_282 <unknown description>
+LPPGWEVRSTVSGRIYFVDHNNRTTQFTDP
+>Q9HCE7_PF00632_423 <unknown description>
+YLLCHEMLNPYYGLFQYSTDNIYMLQINPDSSINPDHLSYFHFVGRIMGLAVFHGHYING
+GFTVPFYKQLLGKPIQLSDLESVDPELHKSLVWILENDITPVLDHTFCVEHNAFGRILQH
+ELKPNGRNVPVTEENKKEYVRLYVNWRFMRGIEAQFLALQKGFNELIPQHLLKPFDQKEL
+ELIIGGLDKIDLNDWKSNTRLKHCVADSNIVRWFWQAVETFDEERRARLLQFVTGSTRVP
+LQGFKALQGSTGAAGPRLFTIHLIDANTDNLPKAHTCFNRIDIPPYESYEKLYEKLLTAV
+EETCGFAV
+>Q9HAU4_PF00168_13 <unknown description>
+KLRLTVLCAKNLVKKDFFRLPDPFAKVVVDGSGQCHSTDTVKNTLDPKWNQHYDLYIGKS
+DSVTISVWNHKKIHKKQGAGFLGCVRLLSN
+>Q9HAU4_PF00397_159 <unknown description>
+LPDGWEERRTASGRIQYLNHITRTTQWERP
+>Q9HAU4_PF00397_253 <unknown description>
+LPEGYEQRTTQQGQVYFLHTQTGVSTWHDP
+>Q9HAU4_PF00397_299 <unknown description>
+LPPGWEIRNTATGRVYFVDHNNRTTQFTDP
+>Q9HAU4_PF00632_443 <unknown description>
+YLLSHEMLNPYYGLFQYSRDDIYTLQINPDSAVNPEHLSYFHFVGRIMGMAVFHGHYIDG
+GFTLPFYKQLLGKSITLDDMELVDPDLHNSLVWILENDITGVLDHTFCVEHNAYGEIIQH
+ELKPNGKSIPVNEENKKEYVRLYVNWRFLRGIEAQFLALQKGFNEVIPQHLLKTFDEKEL
+ELIICGLGKIDVNDWKVNTRLKHCTPDSNIVKWFWKAVEFFDEERRARLLQFVTGSSRVP
+LQGFKALQGAAGPRLFTIHQIDACTNNLPKAHTCFNRIDIPPYESYEKLYEKLLTAIEET
+CGFAV
+>Q8NB12_PF00856_18 <unknown description>
+GRGLKATKEFWAADIIFAERAYSAVVFDSLVNFVCHTCFKRQEKLHRCGQCKFAHYCDRT
+CQKDAWLNHKNECSAIKRYGKVPNENIRLAARIMWRVEREGTGLTEGCLVSVDDLQNHVE
+HFGEEEQKDLRVDVDTFLQYWPPQSQQFSMQYISHIFGVINCNGFTLSDQRGLQAVGVGI
+FPNLGLVNHDCWPNCTVIFNNGNHEAVKSMFHTQMRIELRALGKISEGEELTVSY
+>Q8NB12_PF01753_52 <unknown description>
+CHTCFKRQEKLHRCGQCKFAHYCDRTCQKDAWLNHKNEC
+>Q9NRG4_PF00856_18 <unknown description>
+GRGLRALQPFQVGDLLFSCPAYAYVLTVNERGNHCEYCFTRKEGLSKCGRCKQAFYCNVE
+CQKEDWPMHKLECSPMVVFGENWNPSETVRLTARILAKQKIHPERTPSEKLLAVKEFESH
+LDKLDNEKKDLIQSDIAALHHFYSKHLGFPDNDSLVVLFAQVNCNGFTIEDEELSHLGSA
+IFPDVALMNHSCCPNVIVTYKGTLAEVRAVQEIKPGEEVFTSY
+>Q9NRG4_PF01753_52 <unknown description>
+CEYCFTRKEGLSKCGRCKQAFYCNVECQKEDWPMHKLEC
+>Q9H7B4_PF00856_15 <unknown description>
+GNGLRAVTPLRPGELLFRSDPLAYTVCKGSRGVVCDRCLLGKEKLMRCSQCRVAKYCSAK
+CQKKAWPDHKRECKCLKSCKPRYPPDSVRLLGRVVFKLMDGAPSESEKLYSFYDLESNIN
+KLTEDKKEGLRQLVMTFQHFMREEIQDASQLPPAFDLFEAFAKVICNSFTICNAEMQEVG
+VGLYPSISLLNHSCDPNCSIVFNGPHLLLRAVRDIEVGEELTICY
+>Q9H7B4_PF01753_49 <unknown description>
+CDRCLLGKEKLMRCSQCRVAKYCSAKCQKKAWPDHKREC
+>Q8IYR2_PF00856_244 <unknown description>
+GRCLVATKDILPGELLVQEDAFVSVLNPGELPPPHHGLDSKWDTRVTNGDLYCHRCLKHT
+LATVPCDGCSYAKYCSQECLQQAWELYHRTECPLGGLLLTLGVFCHIALRLTLLVGFEDV
+RKIITKLCDKISNKDICLPESNNQVKTLNYGLGESEKNGNIVETPIPGCDINGKYENNYN
+AVFNLLPHTENHSPEHKFLCALCVSALCRQLEAASLQAIPTERIVNSSQLKAAVTPELCP
+DVTIWGVAMLRHMLQLQCNAQAMTTIQHTGPKGSIVTDSRQVRLATGIFPVISLLNHSCS
+PNTSVSFISTVATIRASQRIRKGQEILHCYG
+>Q8IYR2_PF01753_296 <unknown description>
+CHRCLKHTLATVPCDGCSYAKYCSQECLQQAWELYHRTEC
+>Q6GMV2_PF00856_33 <unknown description>
+GKGLFATQLIRKGETIFVERPLVAAQFLWNALYRYRACDHCLRALEKAEENAQRLTGKPG
+QVLPHPELCTVRKDLHQNCPHCQVMYCSAECRLAATEQYHQVLCPGPSQDDPLHPLNKLQ
+EAWRSIHYPPETASIMLMARMVATVKQAKDKDRWIRLFSQFCNKTANEEEEIVHKLLGDK
+FKGQLELLRRLFTEALYEEAVSQWFTPDGFRSLFALVGTNGQGIGTSSLSQWVHACDTLE
+LKPQDREQLDAFIDQLYKDIEAATGEFLNCEGSGLFVLQSCCNHSCVPNAETSFPENNFL
+LHVTALEDIKPGEEICISY
+>P54920_PF14938_9 <unknown description>
+EAMALLAEAERKVKNSQSFFSGLFGGSSKIEEACEIYARAANMFKMAKNWSAAGNAFCQA
+AQLHLQLQSKHDAATCFVDAGNAFKKADPQEAINCLMRAIEIYTDMGRFTIAAKHHISIA
+EIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAGYAALLEQYQKAIDIYEQV
+GTNAMDSPLLKYSAKDYFFKAALCHFCIDMLNAKLAVQKYEELFPAFSDSRECKLMKKLL
+EAHEEQNVDSYTESVKEYDSISRLDQWLTTMLLRIKKTIQ
+>Q9H115_PF14938_9 <unknown description>
+EAVQLMAEAEKRVKASHSFLRGLFGGNTRIEEACEMYTRAANMFKMAKNWSAAGNAFCQA
+AKLHMQLQSKHDSATSFVDAGNAYKKADPQEAINCLNAAIDIYTDMGRFTIAAKHHITIA
+EIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAAYAAQLEQYQKAIEIYEQV
+GANTMDNPLLKYSAKDYFFKAALCHFIVDELNAKLALEKYEEMFPAFTDSRECKLLKKLL
+EAHEEQNSEAYTEAVKEFDSISRLDQWLTTMLLRIKKSIQ
+>Q99747_PF14938_10 <unknown description>
+LEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLREAVAHENNR
+ALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALERAGKLIENVD
+PEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAALSIQKEKNIYKEIEN
+YPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLEGYDQQDQDQ
+VSDVC
+>O95863_PF13912_153 <unknown description>
+AFNCKYCNKEYLSLGALKMHIRSH
+>O95863_PF00096_181 <unknown description>
+VCGTCGKAFSRPWLLQGHVRTH
+>O95863_PF00096_208 <unknown description>
+FSCPHCSRAFADRSNLRAHLQTH
+>O43623_PF00096_128 <unknown description>
+FQCNLCNKTYSTFSGLAKHKQLH
+>O43623_PF00096_159 <unknown description>
+FSCKYCDKEYVSLGALKMHIRTH
+>O43623_PF00096_186 <unknown description>
+VCKICGKAFSRPWLLQGHIRTH
+>O43623_PF00096_213 <unknown description>
+FSCPHCNRAFADRSNLRAHLQTH
+>O43623_PF00096_241 <unknown description>
+YQCKNCSKTFSRMSLLHKH
+>Q3KNW1_PF00096_183 <unknown description>
+FTCKYCDKEYTSLGALKMHIRTH
+>Q3KNW1_PF00096_210 <unknown description>
+TCKICGKAFSRPWLLQGHVRTH
+>Q3KNW1_PF00096_237 <unknown description>
+YACSHCSRAFADRSNLRAHLQTH
+>Q3KNW1_PF00096_265 <unknown description>
+YRCRRCTKTFSRMSLLARH
+>O95295_PF14712_24 <unknown description>
+LFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDNLATELCRINEDQKVALDLDPYVK
+KLLNARRRVVLVNNILQNAQERLRRL
+>Q16613_PF00583_48 <unknown description>
+AFEIEREAFISVLGVCPLYLDEIRHFLTLCPELSLGWFEEGCLVAFIIGSLWDKERLMQE
+SLTLHRSGGHIAHLHVLAVHRAFRQQGRGPILLWRYLHHLGSQPAVRRAALMCEDALVPF
+YERFSF
+>Q9Y6H5_PF12796_331 <unknown description>
+ISLLPHLAADNLDKIHDENGNNLLHIAASQGHAECLQHLTSLMGEDCLNERNTEKLTPAG
+LAIKNGQLECVRWMVS
+>Q9Y6H5_PF12796_421 <unknown description>
+PSLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDQDGNSAVHVASQHGYLGCIQTLVEYG
+ANVTMQN
+>Q9Y6H5_PF16700_512 <unknown description>
+VETCMSLASQVVKLTKQLKEQTVERVTLQNQLQQFLEAQKSEGK
+>Q7KZF4_PF00565_50 <unknown description>
+NLSNIRAGNLARRAAATQPDAKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREY
+GMIYLGKDTNGENIAESLVAEGLATRREGMRANNPEQNRLSECEEQAKAAKKGMWSE
+>Q7KZF4_PF00565_220 <unknown description>
+TVMLSGIKCPTFRREADGSETPEPFAAEAKFFTESRLLQRDVQIILESCHNQNILGTILH
+PNGNITELLLKEGFARCVDWSIAVYTRGAEKLRAAERFAKERRLRIWR
+>Q7KZF4_PF00565_368 <unknown description>
+IHLSSIRPPRLEGENTQDKNKKLRPLYDIPYMFEAREFLRKKLIGKKVNVTVDYIRPASP
+ATETVPAFSERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELLAAEARAI
+KNGKGLHS
+>Q7KZF4_PF00565_553 <unknown description>
+FLLAGIECPRGARNLPGLVQEGEPFSEEATLFTKELVLQREVEVEVESMDKAGNFIGWLH
+IDGANLSVLLVEHALSKVHFTAERSSYYKSLLSAEEAAKQKKEKVWA
+>Q7KZF4_PF00567_680 <unknown description>
+ASYKPVFVTEITDDLHFYVQDVETGTQLEKLMENMRNDIASHPPVEGSYAPRRGEFCIAK
+FVDGEWYRARVEKVESPAKIHVFYIDYGNREVLPSTRLGTLSPAFSTRVLPAQATEYA
+>Q7KZF4_PF00565_848 <unknown description>
+KGDVGLGLVKEGLVMVEVRKEKQFQKVITEYLNAQESAKSARLNLWR
+>Q8TER0_PF06119_169 <unknown description>
+NTFQTVLITDGKLSFTIFNYESIVWTTGTHASSGGNATGLGGIAAQAGFNAGDGQRYFSI
+PGSRTADMAEVETTTNVGVPGRWAFRIDD
+>Q8TER0_PF00008_272 <unknown description>
+CLALRPCLNGGKCIDDCVTGNPSYTCSCLSGFTGRR
+>Q8TER0_PF00008_315 <unknown description>
+CASQPCQNGGTCTHGINSFRCQCPAGFGGPT
+>Q8TER0_PF12661_358 <unknown description>
+CQHGGQCQVENGSAVCVCQAGY
+>Q8TER0_PF00008_391 <unknown description>
+CSPDPCLNGGSCVDLVGNYTCLCAEPFKGLR
+>Q8TER0_PF00008_433 <unknown description>
+CLSAPCHNGGTCVDADQGYVCECPEGFMG
+>Q8TER0_PF12661_474 <unknown description>
+CRNGGRCLGANTTLCQCPLGF
+>Q8TER0_PF00008_545 <unknown description>
+CDSDPCFNGGSCDAHDDSYTCECPRGFHGKH
+>Q8TER0_PF00008_584 <unknown description>
+CSSGPCRNGGTCKEAGGEYHCSCPYRFTGRH
+>Q8TER0_PF00008_623 <unknown description>
+CASGPCHNGGTCFHYIGKYKCDCPPGFSGRH
+>Q8TER0_PF12661_666 <unknown description>
+CVNGGTCEDRDTDFFCHCQAGY
+>Q8TER0_PF00008_757 <unknown description>
+CRSQPCLHGGSCQDRVAGYLCLCSTGYEGAH
+>Q8TER0_PF00008_795 <unknown description>
+CRAHPCRNGGSCRNLPGAYVCRCPAGFVGV
+>Q8TER0_PF00008_833 <unknown description>
+CDSSPCQHGGRCESGGGAYLCVCPESFFG
+>Q8TER0_PF00041_908 <unknown description>
+PPTALKMERVEESGVSISWNPPNGPAARQMLDGYAVTYVSSDGSYRRTDFVDRTRSSHQL
+QALAAGRAYNISVFSVKRNS
+>Q8TER0_PF00041_1007 <unknown description>
+PVEGFEVTNVTASTISVQWALHRIRHATVSGVRVSIRHPEALRDQATDVDRSVDRFTFRA
+LLPGKRYTIQLTTLSGL
+>Q8TER0_PF00041_1106 <unknown description>
+PPANLTAARVTATSAHVVWDAPTPGSLLEAYVINVTTSQSTKSRYVPNGKLASYTVRDLL
+PGRRYQLSVIAVQSTELGP
+>Q8TER0_PF00008_1311 <unknown description>
+CSENPCQNGGTCVPGADAHSCDCGPGFKGRR
+>Q12824_PF04855_180 <unknown description>
+ASQPEVLVPIRLDMEIDGQKLRDAFTWNMNEKLMTPEMFSEILCDDLDLNPLTFVPAIAS
+AIRQQIESYPTDSILEDQSDQRVIIKLNIHVGNISLVDQFEWDMSEKENSPEKFALKLCS
+ELGLGGEFVTTIAYSIRGQLSWHQKTYAFSENPLPTVEIAIRNTGDADQWCPLLETLTDA
+EMEKKIRDQDRNTR
+>Q96H20_PF04157_6 <unknown description>
+VGAGAIAKKKLAEAKYKERGTVLAEDQLAQMSKQLDMFKTNLEEFASKHKQEIRKNPEFR
+VQFQDMCATIGVDPLASGKGFWSEMLGVGDFYYELGVQIIEVCLALKHRNGGLITLEELH
+QQVLKGRGKFAQDVSQDDLIRAIKKLKALGTGFGIIPVGGTYLIQSVPAELNMDHTVVLQ
+LAEKNGYVTVSEIKASLKWETERARQVLEHLLKEGLAWLD
+>O43759_PF01284_21 <unknown description>
+VRQPHTILRVVSWLFSIVVFGSIVNEGYLNSASEGEEFCIYNRNPNACSYGVAVGVLAFL
+TCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFLWFVGFCYLANQWQVSKPKDNPL
+NEGTDAARAAIAFSFFSIFTWAGQAVL
+>O43760_PF01284_20 <unknown description>
+FLTQPQVVARAVCLVFALIVFSCIYGEGYSNAHESKQMYCVFNRNEDACRYGSAIGVLAF
+LASAFFLVVDAYFPQISNATDRKYLVIGDLLFSALWTFLWFVGFCFLTNQWAVTNPKDVL
+VGADSVRAAITFSFFSIFSWGVLA
+>O43761_PF01284_21 <unknown description>
+ARRPQTLLRVASWVFSIAVFGPIVNEGYVNTDSGPELRCVFNGNAGACRFGVALGLGAFL
+ACAAFLLLDVRFQQISSVRDRRRAVLLDLGFSGLWSFLWFVGFCFLTNQWQRTAPGPATT
+QAGDAARAAIAFSFFSILSWVALTV
+>O95473_PF01284_18 <unknown description>
+FLRRPKTITRVFEGVFSLIVFSSLLTDGYQNKMESPQLHCILNSNSVACSFAVGAGFLAF
+LSCLAFLVLDTQETRIAGTRFKTAFQLLDFILAVLWAVVWFMGFCFLANQWQHSPPKEFL
+LGSSSAQAAIAFTFFSILVWIFQAYL
+>Q8TAD8_PF00498_281 <unknown description>
+YLLGRHRRIADIPIDHPSCSKQHAVFQYRLVEYTRADGTVGRRVKPYIIDLGSGNGTFLN
+NKRIEPQRYYELKEKDVLKFG
+>O75324_PF09049_2 <unknown description>
+SIMDHSPTTGVVTVIVILIAIAALGALILGCW
+>O75324_PF09050_34 <unknown description>
+CYLRLQRISQSEDEESIVGDGETKEP
+>O75324_PF09051_61 <unknown description>
+LLVQYSAKGPCVERKAKLMTPNGPEVH
+>Q6UX34_PF15756_26 <unknown description>
+DVPQEPVPTLWNEPAELPSGEGPVESTSPGREPVDTGPPAPTVAPGPEDSTAQERLDQGG
+GSLGPGAIAAIVIAALLATCVVLALVVVALRKFSAS
+>O00161_PF00835_86 <unknown description>
+PCNRTKNFESGKAYKTTWGDGGENSPCNVVSKQPGPVTNGQLQQPTTGAASGGYIKRITN
+DAR
+>P60880_PF00835_91 <unknown description>
+PCNKLKSSDAYKKAWGNNQDGVVASQPARVVDEREQMAISGGFIRRVTNDAR
+>Q16533_PF09808_7 <unknown description>
+LQTDCEALLSRFQETDSVRFEDFTELWRNMKFGTIFCGRMRNLEKNMFTKEALALAWRYF
+LPPYTFQIRVGALYLLYGLYNTQLCQPKQKIRVALKDWDEVLKFQQDLVNAQHFDAAYIF
+RKLRLDRAFHFTAMPKLLSYRMKKKIHRAEVTEEFKDPSDRVMKLITSDVLEEMLNVHDH
+YQNMK
+>Q13487_PF11035_3 <unknown description>
+PPPRRRAAPARYLGEVTGPATWSAREKRQLVRLLQARQGQPEPDATELARELRGRSEAEI
+RVFLQQLKGRVAREAIQKVHPGGLQGPRRREAQPPAPIEVWTDLAEKITGPLEEALAVAF
+SQVLTIAATEPVTLLHSKPPKPTQARGKPLLLSAPGGQEDPAPEIPSSAPAAPSSAPRTP
+DPAPEKPSESSAGPSTEEDFAVDFEKIYKYLSSVSRSGRSPELSAAESAVVLDLLMSLPE
+ELPLLPCTALVEHMTETYLRLTAPQPIPAGGSLGPAAEGDGAGSKAPEETPPATEKAEHS
+ELKSPWQAAGICPLNPFLVPLELLGRAATPA
+>Q92966_PF12251_202 <unknown description>
+QTMLVLGSQKLTQLRDSIRCVSDLQIGGEFSNTPDQAPEHISKDLYKSAFFYFEGTFYND
+KRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLCIKLGFPYLYCHQGDCEHVI
+VITDIRLVHHDDCLDRTLYPLLIKKHWLWTRKCFVCKMYTARWVTNNDSFAPEDPCFFCD
+VCFRMLHYDSEGNKLGEFLAYPYV
+>Q5SXM2_PF13921_297 <unknown description>
+WSREEEERLQAIAAAHGHLEWQKIAEELGTSRSAFQCLQKFQQHNKALKRKEWTEEEDRM
+L
+>Q5SXM2_PF00249_401 <unknown description>
+KGYWAPEEDAKLLQAVAKYGEQDWFKIREEVPGRSDAQCRDRYLR
+>Q5SXM2_PF00249_453 <unknown description>
+KGRWNLKEEEQLIELIEKYGVGHWAKIASELPHRSGSQCLSKWK
+>O75971_PF15497_1 <unknown description>
+MLSRLQELRKEEETLLRLKAALHDQLNRLKVEELALQSMISSRRGDEMLSSHTVPEQSHD
+MLVHVDNEASINQTTLELSTKSHVTEEEEEEEEEES
+>O15079_PF15290_63 <unknown description>
+TSPPVSVRDAYGTSSLSSSSNSGSYKGSDSSPTPRRSMKYTLCSDNHGIKPPTPEQYLTP
+LQQKEVCIRHLKARLKDTQDRLQDRDTEIDDLKTQLSRMQEDWIEEECHRVEAQLALKEA
+RKEIKQLKQVIDTVKNNLIDKDKGLQKYFVDINIQNKKLETLLHSMEVAQNGMAKEDGTG
+ESAGGSPARSLTRSSTYTKLSDPAVCGDRQPGDPSSGSAEDGADSGFAAADDTLSRTDAL
+EASSLLSSGVDCGTEETSLHSSFGLGPRFPASNTYEKLLCGMEAGVQASCMQERAIQTDF
+VQYQPDLDTIL
+>Q8WVK2_PF08648_98 <unknown description>
+EEEIEMMKLMGFASFDSTKGKKVDGSVNAYAINVSQKRKYRQYMNRKGGFNRPLDFI
+>Q96DI7_PF00400_59 <unknown description>
+IMLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWN
+>Q96DI7_PF00400_103 <unknown description>
+ATLKGHSGAVMELHYNTDGSMLFSASTDKTVAVWD
+>Q96DI7_PF00400_143 <unknown description>
+RVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLWD
+>Q96DI7_PF00400_196 <unknown description>
+VLAVTFNDTSDQIISGGIDNDIKVWD
+>Q96DI7_PF00400_226 <unknown description>
+KLTYTMRGHADSVTGLSLSSEGSYLLSNAMDNTVRVWD
+>Q96DI7_PF00400_272 <unknown description>
+RCVKIFQGNVHNFEKNLLRCSWSPDGSKIAAGSADRFVYVWD
+>Q96DI7_PF00400_319 <unknown description>
+ILYKLPGHAGSINEVAFHPDEPIIISASSDKRL
+>Q6IEG0_PF05253_56 <unknown description>
+VICPYDSNHHMPKSSLAKHMASCR
+>Q9NRH2_PF00069_16 <unknown description>
+YDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHLFQEVRCMKLVQHPNI
+VRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYFAQIVHAISYCHKLHV
+VHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAYSAPEILLGDEYDAPA
+VDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPSHVSKECKDLITRMLQRDPK
+RRASLEEIENHPWL
+>P09012_PF00076_12 <unknown description>
+IYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRSLKMRGQAFVIFKEVSSATNALRS
+MQGFPFYDKPM
+>P09012_PF00076_210 <unknown description>
+LFLTNLPEETNELMLSMLFNQFPGFKEVRLVPGRHDIAFVEFDNEVQAGAARDALQGFKI
+TQN
+>Q13424_PF00595_88 <unknown description>
+VTVRKADAGGLGISIKGGRENKMPILISKIFKGLAADQTEALFVGDAILSVNGEDLSSAT
+HDEAVQVLKKTGKEVVLEV
+>Q13424_PF18012_210 <unknown description>
+SEAKHMSLKMAYVSKRCTPNDPEPRYLEICSADGQDTLFLRAKDEASARSWATAIQA
+>Q13424_PF00169_298 <unknown description>
+GWLTEQLPSGGTAPTLALLTEKELLLYLSLPETREALSRPARTAPLIATRLVHSGPSKGS
+VPYDAELSFALRTGTRHGVDTHLFSVESPQELAAWTRQLVDG
+>Q13884_PF00595_114 <unknown description>
+KVLKQELGGLGISIKGGKENKMPILISKIFKGLAADQTQALYVGDAILSVNGADLRDATH
+DEAVQALKRAGKEVLLEV
+>Q13884_PF18012_239 <unknown description>
+RDRKSIPLKMCYVTRSMALADPENRQLEIHSPDAKHTVILRSKDSATAQAWFSAIHS
+>Q13884_PF00169_325 <unknown description>
+HLGWLAEKVPGESKKQWKPALVVLTEKDLLIYDSMPRRKEAWFSPVHTYPLLATRLVHSG
+PGKGSPQAGVDLSFATRTGTRQGIETHLFRAETSRDLSHWTRSIVQGC
+>Q13425_PF00595_116 <unknown description>
+VRVVKQEAGGLGISIKGGRENRMPILISKIFPGLAADQSRALRLGDAILSVNGTDLRQAT
+HDQAVQALKRAGKEVLLEV
+>Q13425_PF18012_240 <unknown description>
+TKDRKIIPLKMCFAARNLSMPDLENRLIELHSPDSRNTLILRCKDTATAHSWFVAIHT
+>Q9NSN8_PF00595_58 <unknown description>
+VTIRRQTVGGFGLSIKGGAEHNIPVVVSKISKEQRAELSGLLFIGDAILQINGINVRKCR
+HEEVVQVLRNAGEEVTLTV
+>Q9NY99_PF00595_74 <unknown description>
+VTLRRQPVGGLGLSIKGGSEHNVPVVISKIFEDQAADQTGMLFVGDAVLQVNGIHVENAT
+HEEVVHLLRNAGDEVTITVE
+>Q9Y675_PF07192_2 <unknown description>
+ERARDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECQLYPRRSQQQQVPVVDFQAELRQ
+AFLAETPRG
+>O43290_PF19252_116 <unknown description>
+SSLSIEETNKLRAKLGLKPLEV
+>O43290_PF03343_165 <unknown description>
+EELREKLAAAKEKRLLNQKLGKIKTLGEDDPWLDDTAAWIERSRQLQKEKDLAEKRAKLL
+EEMDQEFGVSTLVEEEFGQRRQDLYSARDLQGLTVEHAIDSFREGETMILTLKDKGVLQE
+EEDVLVNVNLVDKERAEKNVELRKKKPDYLPYAEDESVDDLAQQKPRSILSKYDEELEGE
+RPHSFRLEQGGTADGLRERELEEIRAKLRLQAQSLSTVGPRLASEYLTPEEMVTFKKTKR
+RVKKIRKKEKEVVVRADDLLPLGDQTQDGDFGSRLRGRGRRRVSEVEEEKEPVPQPLPSD
+DTRVENMDISDEEEGGAPPPGSPQVLEEDEAELELQKQLEKGRRLRQLQQLQQLRDSGEK
+VVEIVKKLESRQRGWEEDEDPERKGAIVFNATSEFCRTLGEIPTYGLAGNREEQEELMDF
+ERDEERSANGGSESDGEENIGWSTVNLDEEKQQQDFSASSTTILDEEPIVNRGLAAALLL
+CQNKGLLETTVQKVARVKAPNKSLPSAVYCIEDKMAIDDKYSRREEYRGFTQDFKEKDGY
+KPDVKIEYVDETGRKLTPKEAFRQLSHRFHGKGSGKMKTERRMKKLDEE
+>Q53GS9_PF02148_127 <unknown description>
+SLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYEIIDSS
+>Q53GS9_PF00443_225 <unknown description>
+VGLNNIKANDYANAVLQALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKL
+WNPRNFKAHVSPHEMLQAVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTI
+VTDVFQGSMRIFTKKLPHPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDE
+KEQLIIPQVPLFNILAKFNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFV
+EKNPTIVNFPITNVDLREYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTG
+KWYELQDLQVTDILPQMITLSEAYIQ
+>Q13573_PF02731_175 <unknown description>
+QYIRYTPSQQGVAFNSGAKQRVIRMVEMQKDPMEPPRFKINKKIPRGPPSPPAPVMHSPS
+RKMTVKEQQEWKIPPCISNWKNAKGYTIPLDKRLAADGRGLQTVHINENFAKLAEALYIA
+DRKAREAVEMRAQVERKMAQKEKEKHEEKLREMAQKARERR
+>Q9Y5X0_PF00787_43 <unknown description>
+FTMKTSCVRRRYREFVWLRQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFL
+RKVLQNALLLSDSSLHLFLQS
+>Q9Y5W9_PF00787_49 <unknown description>
+FTAKTSCVRRRYREFVWLRKQLQRNAGLVPVPELPGKSTFFGTSDEFIEKRRQGLQHFLE
+KVLQSVVLLSDSQLHLFLQS
+>Q9UMY4_PF00787_59 <unknown description>
+PIFKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEE
+RRQGLEQFINKIAGHPLAQNERCLHMFLQE
+>Q9Y5W8_PF02194_98 <unknown description>
+NIIDEPLQQVIQFSLRDYVQYWYYTLSDDESFLLEIRQTLQNALIQFATRSKEIDWQPYF
+TTRIVDDFGTHLRVFRKAQQKITEKDDQVKGTAEDLVDTFFEVEVEMEKEVCRDLVCTSP
+KDEEGFLRDLCEVLLYLLLPPGDFQNKIMRYFVREILARGILLPLINQLSDPDYINQYVI
+WM
+>Q9Y5W8_PF00615_374 <unknown description>
+LDSILVDNVALQFFMDYMQQTGGQAHLFFWMTVEGYRVTAQQQLEVLLSRQRDGKHQTNQ
+TKGLLRAAAVGIYEQYLSEKASPRVTVDDYLVAKLADTLNHEDPTPEIFDDIQRKVYELM
+LRDERFYPSFRQNALYVRM
+>Q9Y5W8_PF00787_589 <unknown description>
+NLNSEEMWKTYRRYSDFHDFHMRITEQFESLSSILKLPGKKTFNNMDRDFLEKRKKDLNA
+YLQLLLAPEMMKASPALAHYVYDFLEN
+>Q9Y5W8_PF08628_792 <unknown description>
+WLRRNIKNLLQQLIRATYGDTINRKIVDHVDWMTSPEQVADSVKRFRDAFWPNGILAEAV
+PCRDKSIRMRTRVAGKTKLLAIMPDELKHIIGAETTRKGILRVFEMFQHN
+>Q9Y5W7_PF02194_130 <unknown description>
+SSKVDASLSEVLELVLENFVYPWYRDVTDDESFVDELRITLRFFASVLIRRIHKVDIPSI
+ITKKLLKAAMKHIEVIVKARQKVKNTEFLQQAALEEYGPELHVALRSRRDELHYLRKLTE
+LLFPYILPPKATDCRSLTLLIREILSGSVFLPSLDFLADPDTVNHLLI
+>Q9Y5W7_PF00615_337 <unknown description>
+LKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRILRPELSNDEMLSLHEELQKI
+YKTYCLDESIDKIRFDPFIVEEIQRIAEGPYIDVVKLQTMRCLFEAYEHVLSLLENVFTP
+MFCHSDEY
+>Q9Y5W7_PF00787_605 <unknown description>
+GHEPEHWSVYRRYLEFYVLESKLTEFHGAFPDAQLPSKRIIGPKNYEFLKSKREEFQEYL
+QKLLQHPELSNSQLLADFLSP
+>Q9Y5W7_PF08628_807 <unknown description>
+WLHHLLMGTRILFKNTLEMYTDYYLQCKLEQLFQEHRLVSLITLLRDAIFCENTEPRSLQ
+DKQKGAKQTFEEMMNYIPDLLVKCIGEETKYESIRLLFDGLQQP
+>Q9NRS6_PF00787_44 <unknown description>
+KEVVVWKRYSDFRKLHGDLAYTHRNLFRRLEEFPAFPRAQVFGRFEASVIEERRKGAEDL
+LRFTVHIPALNNSPQLKEFF
+>Q9NRS6_PF04212_269 <unknown description>
+LSQATELITQALRDEKAGAYAAALQGYRDGVHVLLQGVPSDPLPARQEGVKKKAAEYLKR
+AEEI
+>P57768_PF00787_134 <unknown description>
+TPEESWVVFRRYTDFSRLNDKLKEMFPGFRLALPPKRWFKDNYNADFLEDRQLGLQAFLQ
+NLVAHKDIANCLAVREFL
+>Q15036_PF00787_31 <unknown description>
+LHCRVRYSQLLGLHEQLRKEYGANVLPAFPPKKLFSLTPAEVEQRREQLEKYMQAVRQDP
+LLGSSETFNSFLR
+>Q15036_PF18116_270 <unknown description>
+GYLRFDACVADFPEKDCPVVVSAGNSELSLQLRLPGQQLREGSFRVTRMRCWRVTSSVPL
+PSGSTSSPGRGRGEVRLELAFEYLMSKDRLQWVTITSPQAIMMSICLQSMVDELM
+>Q96RF0_PF14604_7 <unknown description>
+ALYDFRSENPGEISLREHEVLSLCSEQDIEGWLEGVNSRGDRGLFPASYVQ
+>Q96RF0_PF00787_308 <unknown description>
+PVHRRYKHFDWLYARLAEKFPVISVPHLPEKQATGRFEEDFISKRRKGLIWWMNHMASHP
+VLAQCDVFQHFLT
+>Q96RF0_PF10456_386 <unknown description>
+DEKAWKQGKRKAEKDEMVGANFFLTLSTPPAAALDLQEVESKIDGFKCFTKKMDDSALQL
+NHTANEFARKQVTGFKKEYQKVGQSFRGLSQAFELDQQAFSVGLNQAIAFTGDAYDAIGE
+LFAEQPRQDLDPVMDLLALYQGHLANFPDIIHVQKGALTKVKESRRHVEEGKMEVQKADG
+IQDRCNTISFATLAEIHHFHQIRVRDFKSQMQHFLQQQIIFFQKVTQKLEEALHKYD
+>Q92543_PF02194_97 <unknown description>
+QLEREINRTIQMIIRDFVLSWYRSVSQEPAFEEEMEAAMKGLVQELRRRMSVMDSHAVAQ
+SVLTLCGCHLQSYIQAKEATAGKNGPVEPSHLWEAYCRATAPHPAVHSPSAEVTYTRGVV
+NLLLQGLVPKPHLETRTGRHVVVELITCNVILPLISRLSDPDWIHLVLV
+>Q92543_PF00787_571 <unknown description>
+LQQLAYHTVNRRYREFLNLQTRLEEKPDLRKFIKNVKGPKKLFPDLPLGNMDSDRVEARK
+SLLESFLKQLCAIPEIANSEEVQEFLA
+>Q92543_PF08628_838 <unknown description>
+WLCTENMQKFLRLIFGTLVQRWLEVQVANLTSPQRWVQYLLLLQESIWPGGVLPKFPRPV
+RTQEQKLAAEKQALQSLMGVLPDLVVEILGVNKCRLSWGLVLESLQQP
+>Q13596_PF03700_10 <unknown description>
+ASERLPPPFPGLEPESEGAAGGSEPEAGDSDTEGEDIFTGAAVVSKHQSPKITTSLLPIN
+NGSKENGIHEEQDQEPQDLFA
+>Q13596_PF00787_175 <unknown description>
+LFRSKQFAVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDSSSAEF
+LEKRRAALERYLQRIVNHPTMLQDPDVREFLEK
+>Q13596_PF09325_286 <unknown description>
+KMFNKATDAVSKMTIKMNESDIWFEEKLQEVECEEQRLRKLHAVVETLVNHRKELALNTA
+QFAKSLAMLGSSEDNTALSRALSQLAEVEEKIEQLHQEQANNDFFLLAELLSDYIRLLAI
+VRAAFDQRMKTWQRWQDAQATLQKKREAEARLLWANKPDKLQQAKDEILEWESRVTQYER
+DFERISTVVRKEVIRFEKEKSKDFKNHVIKYLETLLYSQQQLAKYWEAFLP
+>Q7Z614_PF00787_106 <unknown description>
+FDNNKAVLERRYSDFAKLQKALLKTFREEIEDVEFPRKHLTGNFAEEMICERRRALQEYL
+GLLYAIRCVRRSREFLDFLT
+>Q969T3_PF00787_166 <unknown description>
+AQISRRYSDFERLHRNLQRQFRGPMAAISFPRKRLRRNFTAETIARRSRAFEQFLGHLQA
+VPELRHAPDLQDFF
+>Q96L94_PF00787_36 <unknown description>
+RRHTVPRRYSEFHALHKRIKKLYKVPDFPSKRLPNWRTRGLEQRRQGLEAYIQGILYLNQ
+EVPKELLEFL
+>Q9Y343_PF00787_30 <unknown description>
+GRKHFVEKRYSEFHALHKKLKKCIKTPEIPSKHVRNWVPKVLEQRRQGLETYLQAVILEN
+EELPKLFLDFLNV
+>Q96L92_PF00595_45 <unknown description>
+RIVKSESGYGFNVRGQVSEGGQLRSINGELYAPLQHVSAVLPGGAADRAGVRKGDRILEV
+NHVNVEGATHKQVVDLIRAGEKELILTV
+>Q96L92_PF00787_190 <unknown description>
+RQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQLDARRRGLEEYLEKVCSI
+RVIGESDIMQEFLSE
+>Q96L92_PF00788_275 <unknown description>
+VELRVALPDGTTVTVRVKKNSTTDQVYQAIAAKVGMDSTTVNYFALFEVISHSFVRKLAP
+NEFPHKLYIQNYTSAVPGTCLTIRKW
+>Q8TEQ0_PF02759_44 <unknown description>
+CLCAQFEAVLQHGLKRSRGLALTAAAIKQAAGFASKTETEPVFWYYVKEVLNKHELQRFY
+SLRHIASDVGRGRAWLRCALNEHSLERYLHMLLADRCRLSTFYEDWSFVMDEERSSMLPT
+MAAGLNSILFAINID
+>Q8TEQ0_PF00787_685 <unknown description>
+KDDEWNIYRRYTEFRSLHHKLQNKYPQVRAYNFPPKKAIGNKDAKFVEERRKQLQNYLRS
+VMNK
+>O60749_PF03700_2 <unknown description>
+AAEREPPPLGDGKPTDFEDLEDGEDLFTSTVSTLESSPSSPEPASLPAEDISANSNGPKP
+TEVVLDDDREDLFA
+>O60749_PF00787_172 <unknown description>
+MFSKSEFSVKRRFSDFLGLHSKLASKYLHVGYIVPPAPEKSIVGMTKVKVGKEDSSSTEF
+VEKRRAALERYLQRTVKHPTLLQDPDLRQFLESS
+>O60749_PF09325_283 <unknown description>
+RMVNKAADAVNKMTIKMNESDAWFEEKQQQFENLDQQLRKLHVSVEALVCHRKELSANTA
+AFAKSAAMLGNSEDHTALSRALSQLAEVEEKIDQLHQEQAFADFYMFSELLSDYIRLIAA
+VKGVFDHRMKCWQKWEDAQITLLKKREAEAKMMVANKPDKIQQAKNEIREWEAKVQQGER
+DFEQISKTIRKEVGRFEKERVKDFKTVIIKYLESLVQTQQQLIKYWEAFLP
+>Q5VWJ9_PF00787_122 <unknown description>
+FDLPEYSVRRRYQDFDWLRSKLEESQPTHLIPPLPEKFVVKGVVDRFSEEFVETRRKALD
+KFLKRITDHPVLSFNEHFNIFLTA
+>Q8N9S9_PF00787_35 <unknown description>
+RVRYSQLHGWNEQLRRVFGNCLPPFPPKYYLAMTTAMADERRDQLEQYLQNVTMDPNVLR
+SDVFVEFLK
+>Q8N9S9_PF18116_270 <unknown description>
+GYLQLDPCTCDYPESGSGAVLSVGNNEISCCITLPDSQTQDIVFQMSRVKCWQVTFLGTL
+LDTDGPQRTLNQNLELRFQYSEDSCWQWFVIYTKQAFLLSSCLKKMISEKM
+>Q86XE0_PF00787_52 <unknown description>
+HFAQTEFSVVRQHEEFIWLHDAYVENEEYAGLIIPPAPPRPDFEASREKLQKLGEGDSSV
+TREEFAKMKQELEAEYLAIFKKTVAMHEVFLQRLAAHPTLRRDHNFFVFLE
+>Q86XE0_PF09325_186 <unknown description>
+VKSADEALITGMSGLKEVDDFFEHERTFLLEYHTRIRDACLRADRVMRAHKCLADDYIPI
+SAALSSLGTQEVNQLRTSFLKLAELFERLRKLEGRVASDEDLKLSDMLRYYMRDSQAAKD
+LLYRRLRALADYENANKALDKARTRNREVRPA
+>Q8WV41_PF14604_7 <unknown description>
+ALYDFHSENKEEISIQQDEDLVIFSETSLDGWLQGQNSRGETGLFPASYVE
+>Q8WV41_PF00787_257 <unknown description>
+THAASPVYRRYKHFDWLYNRLLHKFTVISVPHLPEKQATGRFEEDFIEKRKRRLILWMDH
+MTSHPVLSQYEGFQHFLS
+>Q8WV41_PF10456_337 <unknown description>
+DDKQWKMGKRRAEKDEMVGASFLLTFQIPTEHQDLQDVEDRVDTFKAFSKKMDDSVLQLS
+TVASELVRKHVGGFRKEFQKLGSAFQAISHSFQMDPPFCSEALNSAISHTGRTYEAIGEM
+FAEQPKNDLFQMLDTLSLYQGLLSNFPDIIHLQKGAFAKVKESQRMSDEGRMVQDEADGI
+RRRCRVVGFALQAEMNHFHQRRELDFKHMMQNYLRQQILFYQRVGQQLEKTLRMYD
+>O60493_PF00787_58 <unknown description>
+PIFKLKESTVRRRYSDFEWLRSELERESKVVVPPLPGKAFLRQLPFRGDDGIFDDNFIEE
+RKQGLEQFINKVAGHPLAQNERCLHMFLQD
+>O95219_PF00787_100 <unknown description>
+TDSLWRRYSEFELLRSYLLVYYPHIVVPPLPEKRAEFVWHKLSADNMDPDFVERRRIGLE
+NFLLRIASHPILCRDKIFYLFLTQ
+>Q9Y5X3_PF00787_56 <unknown description>
+PTFQSPEFSVTRQHEDFVWLHDTLIETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGS
+MTKEEFAKMKQELEAEYLAVFKKTVSSHEVFLQRLSSHPVLSKDRNFHVFLEYD
+>Q9Y5X3_PF09325_180 <unknown description>
+KEMFGGFFKSVVKSADEVLFTGVKEVDDFFEQEKNFLINYYNRIKDSCVKADKMTRSHKN
+VADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYM
+LNIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAEAHQQECCQKFEQLSESAKE
+ELINFKRKRVAAFRKNLIEMSELEIKHARNNV
+>Q9UNH7_PF00787_58 <unknown description>
+NFKQNEFSVVRQHEEFIWLHDSFVENEDYAGYIIPPAPPRPDFDASREKLQKLGEGEGSM
+TKEEFTKMKQELEAEYLAIFKKTVAMHEVFLCRVAAHPILRRDLNFHVFLEY
+>Q9UNH7_PF09325_187 <unknown description>
+FFKNMVKSADGVIVSGVKDVDDFFEHERTFLLEYHNRVKDASAKSDRMTRSHKSAADDYN
+RIGSSLYALGTQDSTDICKFFLKVSELFDKTRKIEARVSADEDLKLSDLLKYYLRESQAA
+KDLLYRRSRSLVDYENANKALDKARAKNKDVLQAETSQQLCCQKFEKISESAKQELIDFK
+TRRVAAFRKNLVELAELELKHAKGN
+>Q9UNH6_PF00787_127 <unknown description>
+FDSSEFEVRRRYQDFLWLKGKLEEAHPTLIIPPLPEKFIVKGMVERFNDDFIETRRKALH
+KFLNRIADHPTLTFNEDFKIFLTA
+>Q9Y5X2_PF00787_99 <unknown description>
+SQRFKSSVYRRYNDFVVFQEMLLHKFPYRMVPALPPKRMLGADREFIEARRRALKRFVNL
+VARHPLFSEDVVLKLFLSF
+>Q9Y5X2_PF19566_193 <unknown description>
+VGDEFLNCKLATRAKDFLPADIQAQFAISRELIRNIYNSFHKLRDRAERIASRAIDNAAD
+LLIFGKELSAIGSDTTPLPSWAALNSSTWGSLKQALKGLSVEFALLADKAAQQGKQEEND
+VVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYSLMKRQMMSATAQNREPESVEQL
+ESRIVEQENAIQTMELRNYFSLYCLHQETQLIHVYLPLTSHILRAFVNSQIQGHKEMSKV
+WNDLRPKL
+>Q9Y5X1_PF00018_6 <unknown description>
+RVMYDFAAEPGNNELTVNEGEIITITNPDVGGGWLEGRNIKGERGLVPT
+>Q9Y5X1_PF00787_275 <unknown description>
+TPTNTNRSVNHRYKHFDWLYERLLVKFGSAIPIPSLPDKQVTGRFEEEFIKMRMERLQAW
+MTRMCRHPVISESEVFQQFLNF
+>Q9Y5X1_PF10456_358 <unknown description>
+DEKEWKTGKRKAERDELAGVMIFSTMEPEAPDLDLVEIEQKCEAVGKFTKAMDDGVKELL
+TVGQEHWKRCTGPLPKEYQKIGKALQSLATVFSSSGYQGETDLNDAITEAGKTYEEIASL
+VAEQPKKDLHFLMECNHEYKGFLGCFPDIIGTHKGAIEKVKESDKLVATSKITLQDKQNM
+VKRVSIMSYALQAEMNHFHSNRIYDYNSVIRLYLEQQVQFYETIAEKLRQALSRF
+>Q9BZZ2_PF07686_25 <unknown description>
+SPQDVQGVKGSCLLIPCIFSFPADVEVPDGITAIWYYDYSGQRQVVSHSADPKLVEARFR
+GRTEFMGNPEHRVCNLLLKDLQPEDSGSYNFRFEISEVNRWSDVKGTLVTV
+>Q9BZZ2_PF08205_142 <unknown description>
+PTIASPVELLEGTEVDFNCSTPYVCLQEQVRLQWQGQDPARSVTFNSQKFEPTGVGHLET
+LHMAMSWQDHGRILRCQLSVANHRAQ
+>Q9BZZ2_PF13895_246 <unknown description>
+SPSGRNILPGELVTLTCQVNSSYPAVSSIKWLKDGVRLQTKTGVLHLPQAAWSDAGVYTC
+QAENGVGSLVSPPISLH
+>Q9BZZ2_PF13895_331 <unknown description>
+SPAGPILENQTVTLVCNTPNEAPSDLRYSWYKNHVLLEDAHSHTLRLHLATRADTGFYFC
+EVQNVHGSERSGPVSVVV
+>Q9BZZ2_PF07679_424 <unknown description>
+QAGLVGILHCSVVSEPLATLVLSHGGHILASTSGDSDHSPRFSGTSGPNSLRLEIRDLEE
+TDSGEYKCSATNSLGNATSTLD
+>Q9BZZ2_PF13895_517 <unknown description>
+PAAEVVEGQAVTLSCRSGLSPTPDARFSWYLNGALLHEGPGSSLLLPAASSTDAGSYHCR
+ARDGHSASGPSSPAVLTV
+>Q9BZZ2_PF13895_899 <unknown description>
+QVSPSPELQEGQAVVLSCQVHTGVPEGTSYRWYRDGQPLQESTSATLRFAAITLTQAGAY
+HCQAQAPGSATTS
+>Q9BZZ2_PF07679_1003 <unknown description>
+LLCRVDSDPPAQLRLLHGDRLVASTLQGVGGPEGSSPRLHVAVAPNTLRLEIHGAMLEDE
+GVYICEASNTLGQASASAD
+>Q9BZZ2_PF13895_1095 <unknown description>
+ATVREGQLVNLTCLVWTTHPAQLTYTWYQDGQQRLDAHSIPLPNVTVRDATSYRCGVGPP
+GRAPRLSRPITLDV
+>Q9BZZ2_PF13895_1267 <unknown description>
+PEAAVPEGAPITVTCADPAAHAPTLYTWYHNGRWLQEGPAASLSFLVATRAHAGAYSCQA
+QDAQGTRSSRPAALQV
+>P46721_PF03137_21 <unknown description>
+MFLLAITCAFVSKTLSGSYMNSMLTQIERQFNIPTSLVGFINGSFEIGNLLLIIFVSYFG
+TKLHRPIMIGIGCVVMGLGCFLKSLPHFLMNQYEYESTVSVSGNLSSNSFLCMENGTQIL
+RPTQDPSECTKEVKSLMWVYVLVGNIVRGMGETPILPLGISYIEDFAKFENSPLYIGLVE
+TGAIIGPLIGLLLASFCANVYVDTGFVNTDDLIITPTDTRWVGAWWFGFLICAGVNVLTA
+IPFFFLPNTLPKEGLETNADIIKNENEDKQKEEVKKEKYGITKDFLPFMKSLSCNPIYML
+FILVSVIQFNAFVNMISFMPKYLEQQYGISSSDAIFLMGIYNLPPICIGYIIGGLIMKKF
+KITVKQAAHIGCWLSLLEYLLYFLSFLMTCENSSVVGINTSYEGIPQDLYVENDIFADCN
+VDCNCPSKIWDPVCGNNGLSYLSACLAGCETSIGTGINMVFQNCSCIQTSGNSSAVLGLC
+DKGPDCSLMLQYFLILSAMSSFIYSLAAIPGYMVLLRCMKSEEKSLGVGLHTFCTRVFAG
+IPAPIYFGALMDSTCLHWGTLKCGESGACRIYDSTTF
+>P46721_PF07648_440 <unknown description>
+NVDCNCPSKIWDPVCGNNGLSYLSACLAGCETSIGTGINMVFQNCSC
+>Q9Y6L6_PF03137_29 <unknown description>
+MFLAALSLSFIAKTLGAIIMKSSIIHIERRFEISSSLVGFIDGSFEIGNLLVIVFVSYFG
+SKLHRPKLIGIGCFIMGIGGVLTALPHFFMGYYRYSKETNINSSENSTSTLSTCLINQIL
+SLNRASPEIVGKGCLKESGSYMWIYVFMGNMLRGIGETPIVPLGLSYIDDFAKEGHSSLY
+LGILNAIAMIGPIIGFTLGSLFSKMYVDIGYVDLSTIRITPTDSRWVGAWWLNFLVSGLF
+SIISSIPFFFLPQTPNKPQKERKASLSLHVLETNDEKDQTANLTNQGKNITKNVTGFFQS
+FKSILTNPLYVMFVLLTLLQVSSYIGAFTYVFKYVEQQYGQPSSKANILLGVITIPIFAS
+GMFLGGYIIKKFKLNTVGIAKFSCFTAVMSLSFYLLYFFILCENKSVAGLTMTYDGNNPV
+TSHRDVPLSYCNSDCNCDESQWEPVCGNNGITYISPCLAGCKSSSGNKKPIVFYNCSCLE
+VTGLQNRNYSAHLGECPRDDACTRKFYFFVAIQVLNLFFSALGGTSHVMLIVKIVQPELK
+SLALGFHSMVIRALGGILAPIYFGALIDTTCIKWSTNNCGTRGSCRTYNSTSF
+>Q9Y6L6_PF07648_460 <unknown description>
+NSDCNCDESQWEPVCGNNGITYISPCLAGCKSSSGNKKPIVFYNCSC
+>Q9NPD5_PF03137_29 <unknown description>
+MFLAALSFSYIAKALGGIIMKISITQIERRFDISSSLAGLIDGSFEIGNLLVIVFVSYFG
+SKLHRPKLIGIGCLLMGTGSILTSLPHFFMGYYRYSKETHINPSENSTSSLSTCLINQTL
+SFNGTSPEIVEKDCVKESGSHMWIYVFMGNMLRGIGETPIVPLGISYIDDFAKEGHSSLY
+LGSLNAIGMIGPVIGFALGSLFAKMYVDIGYVDLSTIRITPKDSRWVGAWWLGFLVSGLF
+SIISSIPFFFLPKNPNKPQKERKISLSLHVLKTNDDRNQTANLTNQGKNVTKNVTGFFQS
+LKSILTNPLYVIFLLLTLLQVSSFIGSFTYVFKYMEQQYGQSASHANFLLGIITIPTVAT
+GMFLGGFIIKKFKLSLVGIAKFSFLTSMISFLFQLLYFPLICESKSVAGLTLTYDGNNSV
+ASHVDVPLSYCNSECNCDESQWEPVCGNNGITYLSPCLAGCKSSSGIKKHTVFYNCSCVE
+VTGLQNRNYSAHLGECPRDNTCTRKFFIYVAIQVINSLFSATGGTTFILLTVKIVQPELK
+ALAMGFQSMVIRTLGGILAPIYFGALIDKTCMKWSTNSCGAQGACRIYNS
+>Q9NPD5_PF07648_460 <unknown description>
+NSECNCDESQWEPVCGNNGITYLSPCLAGCKSSSGIKKHTVFYNCSC
+>Q9NYB5_PF03137_44 <unknown description>
+VFLCALSFVYFAKALAEGYLKSTITQIERRFDIPSSLVGVIDGSFEIGNLLVITFVSYFG
+AKLHRPKIIGAGCVIMGVGTLLIAMPQFFMEQYKYERYSPSSNSTLSISPCLLESSSQLP
+VSVMEKSKSKISNECEVDTSSSMWIYVFLGNLLRGIGETPIQPLGIAYLDDFASEDNAAF
+YIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGI
+ISLLAAVPFWYLPKSLPRSQSREDSNSSSEKSKFIIDDHTDYQTPQGENAKIMEMARDFL
+PSLKNLFGNPVYFLYLCTSTVQFNSLFGMVTYKPKYIEQQYGQSSSRANFVIGLINIPAV
+ALGIFSGGIVMKKFRISVCGAAKLYLGSSVFGYLLFLSLFALGCENSDVAGLTVSYQGTK
+PVSYHERALFSDCNSRCKCSETKWEPMCGENGITYVSACLAGCQTSNRSGKNIIFYNCTC
+VGIAASKSGNSSGIVGRCQKDNGCPQMFLYFLVISVITSYTLSLGGIPGYILLLRCIKPQ
+LKSFALGIYTLAIRVLAGIPAPVYFGVLIDTSCLKWGFKRCGSRGSCRLYDSNV
+>Q9NYB5_PF07648_477 <unknown description>
+NSRCKCSETKWEPMCGENGITYVSACLAGCQTSNRSGKNIIFYNCTC
+>Q92959_PF03137_33 <unknown description>
+VFVLCQGLLQLCQLLYSAYFKSSLTTIEKRFGLSSSSSGLISSLNEISNAILIIFVSYFG
+SRVHRPRLIGIGGLFLAAGAFILTLPHFLSEPYQYTLASTGNNSRLQAELCQKHWQDLPP
+SKCHSTTQNPQKETSSMWGLMVVAQLLAGIGTVPIQPFGISYVDDFSEPSNSPLYISILF
+AISVFGPAFGYLLGSVMLQIFVDYGRVNTAAVNLVPGDPRWIGAWWLGLLISSALLVLTS
+FPFFFFPRAMPIGAKRAPATADEARKLEEAKSRGSLVDFIKRFPCIFLRLLMNSLFVLVV
+LAQCTFSSVIAGLSTFLNKFLEKQYGTSAAYANFLIGAVNLPAAALGMLFGGILMKRFVF
+SLQAIPRIATTIITISMILCVPLFFMGCSTPTVAEVYPPSTSSSIHPQSPACRRDCSCPD
+SIFHPVCGDNGIEYLSPCHAGCSNINMSSATSKQLIYLNCSCVTGGSASAKTGSCPVPCA
+HFLLPAIFLISFVSLIACISHNPLYMMVLRVVNQEEKSFAIGVQFLLMRLLAWLPSPALY
+GLTIDHSCIRWNSLCLGRRGACAYYDNDAL
+>Q92959_PF07648_447 <unknown description>
+DCSCPDSIFHPVCGDNGIEYLSPCHAGCSNINMSSATSKQLIYLNCSC
+>Q9UIG8_PF03137_41 <unknown description>
+IFLVSECALMLAQGTVGAYLVSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFG
+ARGHRPRLIGCGGIVMALGALLSALPEFLTHQYKYEAGEIRWGAEGRDVCAANGSGGDEG
+PDPDLICRNRTATNMMYLLLIGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFT
+MLVFGPACGFILGSFCTKIYVDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSL
+LMFGFPQSLPPHSEPAMESEQAMLSEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPK
+VTKHLLSNPVFTCIILAACMEIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCAC
+LGIFLGGLLVKKLSLSALGAIRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVPYGNSTA
+PGSALDPYSPCNNNCECQTDSFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPAENA
+TVVPGKCPSPGCQEAFLTFLCVMCICSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLL
+LRLLGFIPPPLIFGAGIDSTCLFWSTFCGEQGACVLYDN
+>Q9UIG8_PF07648_472 <unknown description>
+NNNCECQTDSFTPVCGADGITYLSACFAGCNSTNLT
+>Q96BD0_PF03137_106 <unknown description>
+LFFLCAAAFLQGMTVNGFINTVITSLERRYDLHSYQSGLIASSYDIAACLCLTFVSYFGG
+SGHKPRWLGWGVLLMGTGSLVFALPHFTAGRYEVELDAGVRTCPANPGAVCADSTSGLSR
+YQLVFMLGQFLHGVGATPLYTLGVTYLDENVKSSCSPVYIAIFYTAAILGPAAGYLIGGA
+LLNIYTEMGRRTELTTESPLWVGAWWVGFLGSGAAAFFTAVPILGYPRQLPGSQRYAVMR
+AAEMHQLKDSSRGEASNPDFGKTIRDLPLSIWLLLKNPTFILLCLAGATEATLITGMSTF
+SPKFLESQFSLSASEAATLFGYLVVPAGGGGTFLGGFFVNKLRLRGSAVIKFCLFCTVVS
+LLGILVFSLHCPSVPMAGVTASYGGSLLPEGHLNLTAPCNAACSCQPEHYSPVCGSDGLM
+YFSLCHAGCPAATETNVDGQKVYRDCSCIPQNLSSGFGHATAGKCTSTCQRKPLLLVFIF
+VVIFFTFLSSIPALTATLRCVRDPQRSFALGIQWIVVRILGGIPGPIAFGWVIDKACLLW
+QDQCGQQGSCLVYQNSAM
+>Q96BD0_PF07648_505 <unknown description>
+NAACSCQPEHYSPVCGSDGLMYFSLCHAGCPAATETNVDGQKVYRDCSC
+>Q6ZQN7_PF03137_107 <unknown description>
+FLLHYCLLAVTQGIVVNGLVNISISTVEKRYEMKSSLTGLISSSYDISFCLLSLFVSFFG
+ERGHKPRWLAFAAFMIGLGALVFSLPQFFSGEYKLGSLFEDTCVTTRNSTSCTSSTSSLS
+NYLYVFILGQLLLGAGGTPLYTLGTAFLDDSVPTHKSSLYIGTGYAMSILGPAIGYVLGG
+QLLTIYIDVAMGESTDVTEDDPRWLGAWWIGFLLSWIFAWSLIIPFSCFPKHLPGTAEIQ
+AGKTSQAHQSNSNADVKFGKSIKDFPAALKNLMKNAVFMCLVLSTSSEALITTGFATFLP
+KFIENQFGLTSSFAATLGGAVLIPGAALGQILGGFLVSKFRMTCKNTMKFALFTSGVALT
+LSFVFMYAKCENEPFAGVSESYNGTGELGNLIAPCNANCNCSRSYYYPVCGDGVQYFSPC
+FAGCSNPVAHRKPKVYYNCSCIERKTEITSTAETFGFEAKAGKCETHCAKLPIFLCIFFI
+VIIFTFMAGTPITVSILRCVNHRQRSLALGIQFMVLRLLGTIPGPIIFGFTIDSTCILWD
+INDCGIKGACWIYDNIKM
+>Q6ZQN7_PF07648_502 <unknown description>
+NANCNCSRSYYYPVCGDGVQYFSPCFAGCSNPVAHRKPKVYYNCSC
+>Q9H2Y9_PF03137_129 <unknown description>
+CFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIGNLVVVVFVSYF
+GGRGRRPLWLAVGGLLIAFGAALFALPHFISPPYQIQELNASAPNDGLCQGGNSTATLEP
+PACPKDSGGNNHWVYVALFICAQILIGMGSTPIYTLGPTYLDDNVKKENSSLYLAIMYVM
+GALGPAVGYLLGGLLIGFYVDPRNPVHLDQNDPRFIGNWWSGFLLCAIAMFLVIFPMFTF
+PKKLPPRHKKKKKKKFSVDAVSDDDVLKEKSNNSEQADKKVSSMGFGKDVRDLPRAAVRI
+LSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIVPSAGVGIVL
+GGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYTTGPSLTMPH
+RNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCVNSGNLSTGIRNYTECTCVQSRQ
+VITPPTVGQRSQLRVVIVKTYLNENGYAVSGKCKRTCNTLIPFLVFLFIVTFITACAQPS
+AIIVTLRSVEDEERPFALGMQFVLLRTLAYIPTPIYFGAVIDTTCMLWQQECGVQGSCWE
+YNVTSF
+>Q9H2Y9_PF07648_556 <unknown description>
+NVNCGCKIHEYEPVCGSDGITYFNPCLAGCVNSGNLSTGIRNYTECTC
+>Q86UG4_PF03137_107 <unknown description>
+CFMIFYCILLICQGVVFGLIDVSIGDFQKEYQLKTIEKLALEKSYDISSGLVAIFIAFYG
+DRKKVIWFVASSFLIGLGSLLCAFPSINEENKQSKVGIEDICEEIKVVSGCQSSGISFQS
+KYLSFFILGQTVQGIAGMPLYILGITFIDENVATHSAGIYLGIAECTSMIGYALGYVLGA
+PLVKVPENTTSATNTTVNNGSPEWLWTWWINFLFAAVVAWCTLIPLSCFPNNMPGSTRIK
+ARKRKQLHFFDSRLKDLKLGTNIKDLCAALWILMKNPVLICLALSKATEYLVIIGASEFL
+PIYLENQFILTPTVATTLAGLVLIPGGALGQLLGGVIVSTLEMSCKALMRFIMVTSVISL
+ILLVFIIFVRCNPVQFAGINEDYDGTGKLGNLTAPCNEKCRCSSSIYSSICGRDDIEYFS
+PCFAGCTYSKAQNQKKMYYNCSCIKEGLITADAEGDFIDARPGKCDAKCYKLPLFIAFIF
+STLIFSGFSGVPIVLAMTRVVPDKLRSLALGVSYVILRIFGTIPGPSIFKMSGETSCILR
+DVNKCGHTGRCWIYNKTKM
+>Q86UG4_PF07648_504 <unknown description>
+EKCRCSSSIYSSICGRDDIEYFSPCFAGCTYSKAQNQKKMYYNCSC
+>P35610_PF03062_171 <unknown description>
+FSLLSYAFGKFPTVVWTWWIMFLSTFSVPYFLFQHWATGYSKSSHPLIRSLFHGFLFMIF
+QIGVLGFGPTYVVLAYTLPPASRFIIIFEQIRFVMKAHSFVRENVPRVLNSAKEKSSTVP
+IPTVNQYLYFLFAPTLIYRDSYPRNPTVRWGYVAMKFAQVFGCFFYVYYIFERLCAPLFR
+NIKQEPFSARVLVLCVFNSILPGVLILFLTFFAFLHCWLNAFAEMLRFGDRMFYKDWWNS
+TSYSNYYRTWNVVVHDWLYYYAYKDFLWFFSKRFKSAAMLAVFAVSAVVHEYALAVCLSF
+FYPVLFVLFMFFGMAFNFIVNDSRKKPIWNVLMWTSLFLGNGVLLCFYSQ
+>O75908_PF03062_151 <unknown description>
+LEFDLLIFSFGQLPLALVTWVPMFLSTLLAPYQALRLWARGTWTQATGLGCALLAAHAVV
+LCALPVHVAVEHQLPPASRCVLVFEQVRFLMKSYSFLREAVPGTLRARRGEGIQAPSFSS
+YLYFLFCPTLIYRETYPRTPYVRWNYVAKNFAQALGCVLYACFILGRLCVPVFANMSREP
+FSTRALVLSILHATLPGIFMLLLIFFAFLHCWLNAFAEMLRFGDRMFYRDWWNSTSFSNY
+YRTWNVVVHDWLYSYVYQDGLRLLGARARGVAMLGVFLVSAVAHEYIFCFVLGFFYPVML
+ILFLVIGGMLNFMMHDQRTGPAWNVLMWTMLFLGQGIQVSLYCQ
+>Q3KNW5_PF01758_39 <unknown description>
+TVMMGLLMFSLGCSVEIRKLWSHIRRPWGIAVGLLCQFGLMPFTAYLLAISFSLKPVQAI
+AVLIMGCCPGGTISNIFTFWVDGDMDLSISMTTCSTVAALGMMPLCIYLYTWSWSLQQNL
+TIPYQNIGITLVCLTIPVAFGVYVNYRWPKQSKIILKIGAVVGGVLLLVVAVAG
+>A7XYQ1_PF15279_224 <unknown description>
+VCDWCKHIRHTKEYLDFGDGERRLQFCSAKCLNQYKMDIFYKETQANLPAGLCSTLHPPM
+ENKAEGTGVQLLTPDSWNIPLTDARRKAPSPVATAGQSQGPGPSASTTVSPSDTANCSVT
+KIPTPVPKSIPISETPNIPPVSVQPPASIGPPLGVPPRSPPMVMTNRGPVPLPIFMEQQI
+MQQIRPPFIRGPPHHASNPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMHRPMLSPHIHPP
+STPTMPGNPPGLLPPPPPGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTL
+LVPYPVIVPLPVPIPIPIPI
+>O15524_PF00017_79 <unknown description>
+FYWGPLSVHGAHERLRAEPVGTFLVRDSRQRNCFFALSVKMASGPTSIRVHFQAGRFHLD
+GSRESFDCLFELLEHY
+>O14508_PF00017_48 <unknown description>
+WYWGSMTVNEAKEKLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLD
+SIICVKSKLKQFDSVVHLIDYY
+>O14508_PF07525_161 <unknown description>
+PSLQHLCRLTINKCTGAIWGLPLPTRLKDYL
+>O14543_PF00017_46 <unknown description>
+FYWSAVTGGEANLLLSAEPAGTFLIRDSSDQRHFFTLSVKTQSGTKNLRIQCEGGSFSLQ
+SDPRSTQPVPRFDCVLKLVHHY
+>Q8WXH5_PF12610_58 <unknown description>
+TEVSLRNQERKHSCSSIELDLDHSCGHRFLGRSLKQKLQDAVGQCFPIKNCSS
+>Q8WXH5_PF00017_287 <unknown description>
+YWGVMDKYAAEALLEGKPEGTFLLRDSAQEDYLFSVSFRRYSRSLHARIEQWNHN
+>Q8WXH5_PF07525_386 <unknown description>
+PFSLQHICRTVICNCTTYDGIDALPIPSSMKLYLK
+>O75159_PF12610_146 <unknown description>
+RSGLQRRERRYGVSSVHDMDSVSSRTVGSRSLRQRLQDTVGLCFPMRTYSK
+>O75159_PF00017_382 <unknown description>
+YWGVMDRYEAEALLEGKPEGTFLLRDSAQEDYLFSVSFRRYNRSLHARIEQWNHN
+>O75159_PF07525_481 <unknown description>
+PFSLQYICRAVICRCTTYDGIDGLPLPSMLQDFLK
+>O14544_PF00017_384 <unknown description>
+WYWGPITRWEAEGKLANVPDGSFLVRDSSDDRYLLSLSFRSHGKTLHTRIEHSNGRFSFY
+EQPDVEGHTSIVDLIEH
+>O14544_PF07525_496 <unknown description>
+QVRSLQYLCRFVIRQYTRIDLIQKLPLPNKMKDYLQ
+>P00441_PF00080_15 <unknown description>
+VQGIINFEQKESNGPVKVWGSIKGLTEGLHGFHVHEFGDNTAGCTSAGPHFNPLSRKHGG
+PKDEERHVGDLGNVTADKDGVADVSIEDSVISLSGDHCIIGRTLVVHEKADDLGKGGNEE
+STKTGNAGSRLACGVI
+>P08294_PF00080_78 <unknown description>
+VTGVVLFRQLAPRAKLDAFFALEGFPTEPNSSSRAIHVHQFGDLSQGCESTGPHYNPLAV
+PHPQHPGDFGNFAVRDGSLWRYRAGLAASLAGPHSIVGRAVVVHAGEDDLGRGGNQASVE
+NGNAGRRLACCVV
+>P04179_PF00081_25 <unknown description>
+KHSLPDLPYDYGALEPHINAQIMQLHHSKHHAAYVNNLNVTEEKYQEALAKGDVTAQIAL
+QPALKFNGGGHINHSIFWTNLS
+>P04179_PF02777_113 <unknown description>
+PKGELLEAIKRDFGSFDKFKEKLTAASVGVQGSGWGWLGFNKERGHLQIAACPNQDPLQG
+TTGLIPLLGIDVWEHAYYLQYKNVRPDYLKAIWNVINWENVTER
+>O94964_PF11365_381 <unknown description>
+SADLKCQLHFAKEESALMCKKLTKLAKENDSMKEELLKYRSLYGDLDSALSAEELADAPH
+SRETELKVHLKLVEEEANLLSRRIVELEVENRGL
+>O94964_PF11365_509 <unknown description>
+LAELRRHLQFVEEEAELLRRSSAELEDQNKLLLNELAKFRSEHELDVALSEDSCSVLSEP
+SQEELAAAKLQIGELSGKVKKLQYENRVL
+>O94964_PF14818_1069 <unknown description>
+MELTRQLQISERNWSQEKLQLVERLQGEKQQVEQQVKELQNRLSQLQKAADPWVLKHSEL
+EKQDNSWKETRSEKIHDKEAVSEVELGGNGLKRTKSVSSMSEFESLLDCSPYLAGGDARG
+KKLPNNPAFGFVSSEP
+>Q5JUK2_PF00010_59 <unknown description>
+VISERERRKRMSLSCERLRALLPQFDGRREDMASVLEMSVQFLR
+>Q9NX45_PF00010_206 <unknown description>
+HSSKEKLRRERIKYCCEQLRTLLPYVKGRKNDAASVLEATVDYVKYI
+>P01242_PF00103_9 <unknown description>
+LLLAFGLLCLSWLQEGSAFPTIPLSRLFDNAMLRARRLYQLAYDTYQEFEEAYILKEQKY
+SFLQNPQTSLCFSESIPTPSNRVKTQQKSNLELLRISLLLIQSWLEPVQLLRSVFANSLV
+YGASDSNVYRHLKDLEEGIQTLMWRLEDGSPRTGQIFNQSYSKFDTKSHNDDALLKNYGL
+LYCFRKDMDKVETFLRIVQCRSVEGSC
+>P01241_PF00103_9 <unknown description>
+LLLAFGLLCLPWLQEGSAFPTIPLSRLFDNAMLRAHRLHQLAFDTYQEFEEAYIPKEQKY
+SFLQNPQTSLCFSESIPTPSNREETQQKSNLELLRISLLLIQSWLEPVQFLRSVFANSLV
+YGASDSNVYDLLKDLEEGIQTLMGRLEDGSPRTGQIFKQTYSKFDTNSHNDDALLKNYGL
+LYCFRKDMDKVETFLRIVQCRSVEGSC
+>P18583_PF17069_1909 <unknown description>
+SSSRDNRKTVRARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSRRSRTPSRRSRTPS
+RRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSVVRRRSFSISPVRLRRSRTPLRRRF
+SRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPPPT
+IEEKVAKKSGGATIEELTEKC
+>P18583_PF01585_2305 <unknown description>
+TGGMGAVLMRKMGWREGEGLGKNKEGNKEPILVDFKTDRKGLVA
+>P18583_PF14709_2371 <unknown description>
+HPVSALMEICNKRRWQPPEFLLVHDSGPDHRKHFLFRVLRNG
+>Q8WY21_PF15902_206 <unknown description>
+SLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNKRKIMLLTDPEIESSLLISSDEGATY
+QKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSAEFGRRWQLIQEGVVPNRFYWSVMGS
+NKEPDLVHLEARTVDGHSHYLTCRMQNCTEANRNQPFPGYIDPDSLIVQDHYVFVQLTSG
+GRPHYYVSYRRNAFAQMKLPKYALPKDMHVISTDENQVFAAVQEWNQNDTYNLYISDTRG
+VYFTLALENVQSSRGPEGNIMIDLYEVAGIKGMFLANKKIDNQVKTFITYNKGRDWRLLQ
+APDTDLRGDPVHCLLPYCSLHLHLKVSENPYTSGIIASKDTAPSIIVASGNIGSELSDTD
+ISMFVSSDAGNTWRQIFEEEHSVLYLDQGGVLVAMKHTSLPIRHLWLSFDEGRSWSKYSF
+TSIPLFVDG
+>Q8WY21_PF15901_638 <unknown description>
+EPGEETLIMTVFGHFSHRSEWQLVKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRI
+YKKRKSERKCMQGKYAGAMESEPCVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDC
+SLGQSYLNSTGYRKVVSNNCTDGVREQYTAKPQKC
+>Q8WY21_PF00801_808 <unknown description>
+KLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDGIAVSYVNLSSMEDGIKHVYQNVGIFRV
+TVQVDNSLGSDS
+>Q96PQ0_PF15902_180 <unknown description>
+SLWRSSDFGTSYTKLTLQPGVTTVIDNFYICPTNKRKVILVSSSLSDRDQSLFLSADEGA
+TFQKQPIPFFVETLIFHPKEEDKVLAYTKESKLYVSSDLGKKWTLLQERVTKDHVFWSVS
+GVDADPDLVHVEAQDLGGDFRYVTCAIHNCSEKMLTAPFAGPIDHGSLTVQDDYIFFKAT
+SANQTKYYVSYRRNEFVLMKLPKYALPKDLQIISTDESQVFVAVQEWYQMDTYNLYQSDP
+RGVRYALVLQDVRSSRQAEESVLIDILEVRGVKGVFLANQKIDGKVMTLITYNKGRDWDY
+LRPPSMDMNGKPTNCKPPDCHLHLHLRWADNPYVSGTVHTKDTAPGLIMGAGNLGSQLVE
+YKEEMYITSDCGHTWRQVFEEEHHILYLDHGGVIVAIKDTSIPLKILKFSVDEGLTWSTH
+NFTSTSVFVDGL
+>Q96PQ0_PF15901_614 <unknown description>
+EPGDETLVMTVFGHISFRSDWELVKVDFRPSFSRQCGEEDYSSWELSNLQGDRCIMGQQR
+SFRKRKSTSWCIKGRSFTSALTSRVCECRDSDFLCDYGFERSSSSESSTNKCSANFWFNP
+LSPPDDCALGQTYTSSLGYRKVVSNVCEGGVDMQQSQVQLQC
+>Q96PQ0_PF18911_793 <unknown description>
+AVRPGEDVLFVVRQEQGDVLTTKYQVDLGDGFKAMYVNLTLTGEPIRHRYESPGIYRVSV
+RAENTAGHDEA
+>Q9UPU3_PF15902_229 <unknown description>
+SLWRSTDYGTTYEKLNDKVGLKTVLSYLYVNPTNKRKIMLLSDPEMESSILISSDEGATY
+QKYRLTFYIQSLLFHPKQEDWVLAYSLDQKLYSSMDFGRRWQLMHERITPNRFYWSVAGL
+DKEADLVHMEVRTTDGYAHYLTCRIQECAETTRSGPFARSIDISSLVVQDEYIFIQVTTS
+GRASYYVSYRREAFAQIKLPKYSLPKDMHIISTDENQVFAAVQEWNQNDTYNLYISDTRG
+IYFTLAMENIKSSRGLMGNIIIELYEVAGIKGIFLANKKVDDQVKTYITYNKGRDWRLLQ
+APDVDLRGSPVHCLLPFCSLHLHLQLSENPYSSGRISSKETAPGLVVATGNIGPELSYTD
+IGVFISSDGGNTWRQIFDEEYNVWFLDWGGALVAMKHTPLPVRHLWVSFDEGHSWDKYGF
+TSVPLFVD
+>Q9UPU3_PF15901_664 <unknown description>
+METHIMTVFGHFSLRSEWQLVKVDYKSIFSRHCTKEDYQTWHLLNQGEPCVMGERKIFKK
+RKPGAQCALGRDHSGSVVSEPCVCANWDFECDYGYERHGESQCVPAFWYNPASPSKDCSL
+GQSYLNSTGYRRIVSNNCTDGLREKYTAKAQMC
+>Q9UPU3_PF00801_832 <unknown description>
+RLVAEQGHNATFIILMEEGDLQRTNIQLDFGDGIAVSYANFSPIEDGIKHVYKSAGIFQV
+TAYAENNLGSDTA
+>P30626_PF13833_45 <unknown description>
+QDGQIDADELQRCLTQSGIAGGYKPFNLETCRLMVSMLDRDMSGTMGFNEFKELWA
+>P30626_PF13405_105 <unknown description>
+WRQHFISFDTDRSGTVDPQELQKALTTM
+>Q92673_PF15902_134 <unknown description>
+DVYVSYDYGKSFKKISDKLNFGLGNRSEAVIAQFYHSPADNKRYIFADAYAQYLWITFDF
+CNTLQGFSIPFRAADLLLHSKASNLLLGFDRSHPNKQLWKSDDFGQTWIMIQEHVKSFSW
+GIDPYDKPNTIYIERHEPSGYSTVFRSTDFFQSRENQEVILEEVRDFQLRDKYMFATKVV
+HLLGSEQQSSVQLWVSFGRKPMRAAQFVTRHPINEYYIADASEDQVFVCVSHSNNRTNLY
+ISEAEGLKFSLSLENVLYYSPGGAGSDTLVRYFANEPFADFHRVEGLQGVYIATLINGSM
+NEENMRSVITFDKGGTWEFLQAPAFTGYGEKINCELSQGCSLHLAQRLSQLLNLQLRRMP
+ILSKESAPGLIIATGSVGKNLASKTNVYISSSAGARWREALPGPHYYTWGDHGGIITAIA
+QGMETNELKYSTNEGETWKTFIFSEKPVFVYGL
+>Q92673_PF15901_588 <unknown description>
+TEPGEKSTVFTIFGSNKENVHSWLILQVNATDALGVPCTENDYKLWSPSDERGNECLLGH
+KTVFKRRTPHATCFNGEDFDRPVVVSNCSCTREDYECDFGFKMSEDLSLEVCVPDPEFSG
+KSYSPPVPCPVGSTYRRTRGYRKISGDTCSGGDVEARLEGELVPC
+>Q92673_PF00058_845 <unknown description>
+LLYWVDAGFKKIEVANPDGDFRLTIVNSSVLDRPRALVL
+>Q92673_PF00058_890 <unknown description>
+MFWTDWGDLKPGIYRSNMDGSAAYHLVSEDVKWPNGISVD
+>Q92673_PF00057_1076 <unknown description>
+NTCLRNQYRCSNGNCINSIWWCDFDNDCGDMSDERNC
+>Q92673_PF00057_1117 <unknown description>
+CDLDTQFRCQESGTCIPLSYKCDLEDDCGDNSDESHC
+>Q92673_PF00057_1157 <unknown description>
+QCRSDEYNCSSGMCIRSSWVCDGDNDCRDWSDEANC
+>Q92673_PF00057_1198 <unknown description>
+TCEASNFQCRNGHCIPQRWACDGDTDCQDGSDEDPVNC
+>Q92673_PF00057_1239 <unknown description>
+CNGFRCPNGTCIPSSKHCDGLRDCSDGSDEQHC
+>Q92673_PF00057_1325 <unknown description>
+CDEFGFQCQNGVCISLIWKCDGMDDCGDYSDEANC
+>Q92673_PF00057_1368 <unknown description>
+CSRYFQFRCENGHCIPNRWKCDRENDCGDWSDEKDC
+>Q92673_PF00057_1417 <unknown description>
+STCLPNYYRCSSGTCVMDTWVCDGYRDCADGSDEEAC
+>Q92673_PF00057_1470 <unknown description>
+RCDRFEFECHQPKTCIPNWKRCDGHQDCQDGRDEANC
+>Q92673_PF00057_1513 <unknown description>
+TCMSREFQCEDGEACIVLSERCDGFLDCSDESDEKAC
+>Q92673_PF00041_1557 <unknown description>
+KVQNLQWTADFSGDVTLTWMRPKKMPSASCVYNVYYRVVGESIWKTLETHSNKTNTVLKV
+LKPDTTYQVKVQV
+>Q92673_PF00041_1653 <unknown description>
+APRNLQLSLPREAEGVIVGHWAPPIHTHGLIREYIVEYSRSGSKMWASQRAASNFTEIKN
+LLVNTLYTVRVAAVTSRGIGNWS
+>Q92673_PF00041_1934 <unknown description>
+PPRHLHVVHTGKTSVVIKWESPYDSPDQDLLYAVAVKDLIRKTDRSYKVKSRNSTVEYTL
+NKLEPGGKYHIIVQL
+>Q99523_PF15902_142 <unknown description>
+SKLYRSEDYGKNFKDITDLINNTFIRTEFGMAIGPENSGKVVLTAEVSGGSRGGRIFRSS
+DFAKNFVQTDLPFHPLTQMMYSPQNSDYLLALSTENGLWVSKNFGGKWEEIHKAVCLAKW
+GSDNTIFFTTYANGSCKADLGALELWRTSDLGKSFKTIGVKIYSFGLGGRFLFASVMADK
+DTTRRIHVSTDQGDTWSMAQLPSVGQEQFYSILAANDDMVFMHVDEPGDTGFGTIFTSDD
+RGIVYSKSLDRHLYTTTGGETDFTNVTSLRGVYITSVLSEDNSIQTMITFDQGGRWTHLR
+KPENSECDATAKNKNECSLHIHASYSISQKLNVPMAPLSEPNAVGIVIAHGSVGDAISVM
+VPDVYISDDGGYSWTKMLEGPHYYTILDSGGIIVAIEHSSRPINVIKFSTDEGQCWQTYT
+FTRDPIYFTGL
+>Q99523_PF15901_575 <unknown description>
+EPGARSMNISIWGFTESFLTSQWVSYTIDFKDILERNCEEKDYTIWLAHSTDPEDYEDGC
+ILGYKEQFLRLRKSSVCQNGRDYVVTKQPSICLCSLEDFLCDFGYYRPENDSKCVEQPEL
+KGHDLEFCLYGREEHLTTNGYRKIPGDKCQGGVNPVREVKDLKKKC
+>Q07889_PF00125_59 <unknown description>
+LCQAQPRSASDVEERVQKSFPHPIDKWAIADAQSAIEKRKRRNPLSLPVEKIHPLLKEVL
+GYKIDHQVSVYIVAVLEYISADILKLVGNYVRNIRHYEITKQDIKVAMCA
+>Q07889_PF00621_213 <unknown description>
+RQYIRELNLIIKVFREPFVSNSKLFSANDVENIFSRIVDIHELSVKLLGHIEDTVEMTDE
+GSPHPLVGSCFEDLAEELAFDPYESYARDILRPGFHDRFLSQLSKPGAALYLQSIGEGFK
+EAVQYVLPRLLLAPVYHCLHYFELLKQLEEKSEDQEDKECLKQAITALLNVQS
+>Q07889_PF00169_449 <unknown description>
+TLTRVGAKHERHIFLFDGLMICCKSNHGQPRLPGASNAEYRLKEKFFMRKVQINDKDDTN
+EYKHAFEIILKDENSVIFSAKSAEEKNNWMAALISL
+>Q07889_PF00618_601 <unknown description>
+IKAGTVIKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLSLIIERFEIPEPEPTEADR
+IAIENGDQPLSAELKRFRKEYIQPVQLRVLNVCRHWVEHHFYDFERDAYLLQRMEEF
+>Q07889_PF00617_783 <unknown description>
+EIARQLTLLESDLYRAVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVET
+ENLEERVAVVSRIIEILQVFQELNNFNGVLEVVSAMNSSPVYRLDHTFEQIPSRQKKILE
+EAHELSEDHYKKYLAKLRSINPPCVPFFGIYLTNILKTEEGNPEVLKRHGKELINFSKRR
+>Q07890_PF00125_61 <unknown description>
+MAQPRTVQDVEERVQKTFPHPIDKWAIADAQSAIEKRKRRNPLLLPVDKIHPSLKEVLGY
+KVDYHVSLYIVAVLEYISADILKLAGNYVFNIRHYEISQQDIKVSMCA
+>Q07890_PF00621_209 <unknown description>
+EERQYLRELNMIIKVFREAFLSDRKLFKPSDIEKIFSNISDIHELTVKLLGLIEDTVEMT
+DESSPHPLAGSCFEDLAEEQAFDPYETLSQDILSPEFHEHFNKLMARPAVALHFQSIADG
+FKEAVRYVLPRLMLVPVYHCWHYFELLKQLKACSEEQEDRECLNQAITALMNLQGSM
+>Q07890_PF00169_446 <unknown description>
+GPLTRIGAKHERHIFLFDGLMISCKPNHGQTRLPGYSSAEYRLKEKFVMRKIQICDKEDT
+CEHKHAFELVSKDENSIIFAAKSAEEKNNWMAALISL
+>Q07890_PF00618_599 <unknown description>
+IKGGTVVKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLSLLIERFEIPEPEPTDADK
+LAIEKGEQPISADLKRFRKEYVQPVQLRILNVFRHWVEHHFYDFERDLELLERLESF
+>Q07890_PF00617_781 <unknown description>
+EIARQLTLLESDLYRKVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVEA
+ENFEERVAVLSRIIEILQVFQDLNNFNGVLEIVSAVNSVSVYRLDHTFEALQERKRKILD
+EAVELSQDHFKKYLVKLKSINPPCVPFFGIYLTNILKTEEGNNDFLKKKGKDLINFSKRR
+>Q9BQ15_PF01336_27 <unknown description>
+GRVTKTKDGHEVRTCKVADKTGSINISVWDDVGNLIQPGDIIRLTKGYASVFKGCLTL
+>Q6X4U4_PF05463_7 <unknown description>
+HFYLLPLACILMKSCLAFKNDATEILYSHVVKPVPAHPSSNSTLNQARNGGRHFSNTGLD
+RNTRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGTKYWSRR
+SSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFESMSPAK
+PVQHHRERKRASKSSKHSM
+>Q9NRY2_PF15925_18 <unknown description>
+ILAELDKEKRKLLMQNQSSTNHPGASIALSRPSLNKDFRDHAEQQHIAAQQKAALQHAHA
+HSSGYFITQDSAFGNLILPVLPRL
+>Q9BQB4_PF05463_3 <unknown description>
+LPLALCLVCLLVHTAFRVVEGQGWQAFKNDATEIIPELGEYPEPPPELENNKTMNRAENG
+GRPPHHPFETKDVSEYSCRELHFTRYVTDGPCRSAKPVTELVCSGQCGPARLLPNAIGRG
+KWWRPSGPDFRCIPDRYRAQRVQLLCPGGEAPRARKVRLVASCKCKRLTRFHNQSELKDF
+GTEAARPQKGRKPRPRARSAKANQAELE
+>P56693_PF12444_13 <unknown description>
+SPVGSEEPRCLSPGSAPSLGPDGGGGGSGLRASPGPGELGKVKKEQQDGEADDDKFPVCI
+REAVSQVLSGYDWTLVPMPVR
+>P56693_PF00505_104 <unknown description>
+VKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLNESDKRPFIEEAERLRMQ
+HKKDHPDYK
+>P35716_PF00505_49 <unknown description>
+IKRPMNAFMVWSKIERRKIMEQSPDMHNAEISKRLGKRWKMLKDSEKIPFIREAERLRLK
+HMADYPDYK
+>O15370_PF00505_40 <unknown description>
+IKRPMNAFMVWSQHERRKIMDQWPDMHNAEISKRLGRRWQLLQDSEKIPFVREAERLRLK
+HMADYPDYK
+>Q9UN79_PF00505_424 <unknown description>
+IKRPMNAFMVWAKDERRKILQAFPDMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQ
+HLEKYPDYK
+>O95416_PF00505_8 <unknown description>
+IKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPYIDEAKRLRAQ
+HMKEHPDYK
+>O95416_PF12336_77 <unknown description>
+YRPRRKPKNLLKKDRYVFPLPYLGDTDPLKAAGLPVGASDGLLSAPEKARAFLPPASAPY
+SLLDPAQFSSSAIQKMGEVPHTLATGALPYASTLGYQNGAFGSLSCPSQHTHTHPSP
+>O60248_PF00505_49 <unknown description>
+VKRPMNAFMVWSSAQRRQMAQQNPKMHNSEISKRLGAQWKLLDEDEKRPFVEEAKRLRAR
+HLRDYPDYK
+>Q9H6I2_PF00505_69 <unknown description>
+RRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEEAERLRVQH
+MQDHPNYK
+>Q9H6I2_PF12067_203 <unknown description>
+YRDCQSLGAPPLDGYPLPTPDTSPLDGVDPDPAFFAAPMPGDCPAAGTYSY
+>P35713_PF00505_86 <unknown description>
+RRPMNAFMVWAKDERKRLAQQNPDLHNAVLSKMLGKAWKELNAAEKRPFVEEAERLRVQH
+LRDHPNYK
+>P35713_PF12067_197 <unknown description>
+FRELPPLGAEFDGLGLPTPERSPLDGLEPGEAAFFPPPAAPEDCALRP
+>O00570_PF00505_51 <unknown description>
+VKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKVMSEAEKRPFIDEAKRLRAL
+HMKEHPDYK
+>O00570_PF12336_120 <unknown description>
+YRPRRKTKTLLKKDKYSLAGGLLAAGAGGGGAAVAMGVGVGVGAAAVGQRLESPGGAAGG
+GYAHVNGWANGAYPGSVAAAAAAAAMMQEAQLAYGQHPGAGGAHPHAHPAHPHPHHPHAH
+PHNPQPMHRY
+>Q9Y651_PF00505_8 <unknown description>
+VKRPMNAFMVWSRAQRRKMAQENPKMHNSEISKRLGAEWKLLTESEKRPFIDEAKRLRAM
+HMKEHPDYK
+>Q9Y651_PF12336_77 <unknown description>
+YRPRRKPKTLLKKDKFAFPV
+>P48431_PF00505_41 <unknown description>
+VKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSETEKRPFIDEAKRLRAL
+HMKEHPDYK
+>P48431_PF12336_110 <unknown description>
+YRPRRKTKTLMKKDKYTLPGGLLAPGGNSMASGVGVGAGLGAGVNQRMDSYAHMNGWSNG
+SYSMMQDQLGYPQHPGLNAHGAAQMQPMHRY
+>O94993_PF00505_337 <unknown description>
+VKRPMNAFMVWARIHRPALAKANPAANNAEISVQLGLEWNKLSEEQKKPYYDEAQKIKEK
+HREEFPGW
+>P41225_PF00505_139 <unknown description>
+VKRPMNAFMVWSRGQRRKMALENPKMHNSEISKRLGADWKLLTDAEKRPFIDEAKRLRAV
+HMKEYPDYK
+>P41225_PF12336_208 <unknown description>
+YRPRRKTKTLLKKDKYSLPSGLLPPGAAAAAAAAAAAAAAASSPVGVGQRLDTYTHVNGW
+ANGAYSLVQEQLGYAQPPSMSSPPPPPALPPMHRY
+>Q06945_PF00505_59 <unknown description>
+IKRPMNAFMVWSQIERRKIMEQSPDMHNAEISKRLGKRWKLLKDSDKIPFIREAERLRLK
+HMADYPDYK
+>P35711_PF00505_556 <unknown description>
+IKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLSKQ
+HLEKYPDYK
+>P35712_PF00505_621 <unknown description>
+IKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKI
+HLEKYPNYK
+>Q9BT81_PF00505_46 <unknown description>
+RRPMNAFMVWAKDERKRLAVQNPDLHNAELSKMLGKSWKALTLSQKRPYVDEAERLRLQH
+MQDYPNYK
+>Q9BT81_PF12067_191 <unknown description>
+PSSVDTYPYGLPTPPEMSPLDVLEPEQTFFSSPCQEEHGHP
+>P57073_PF12444_19 <unknown description>
+ASSMSHVEDSDSDAPPSPAGSEGLGRAGVAVGGARGDPAEAADERFPACIRDAVSQVLKG
+YDWSLVPMPVR
+>P57073_PF00505_102 <unknown description>
+VKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLSESEKRPFVEEAERLRVQ
+HKKDHPDYK
+>P48436_PF12444_23 <unknown description>
+SPTMSEDSAGSPCPSGSGSDTENTRPQENTFPKGEPDLKKESEEDKFPVCIREAVSQVLK
+GYDWTLVPMPVR
+>P48436_PF00505_105 <unknown description>
+VKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLNESEKRPFVEEAERLRVQ
+HKKDHPDYK
+>Q9P0Z9_PF01266_9 <unknown description>
+DAIVIGAGIQGCFTAYHLAKHRKRILLLEQFFLPHSRGSSHGQSRIIRKAYLEDFYTRMM
+HECYQIWAQLEHEAGTQLHRQTGLLLLGMKENQELKTIQANLSRQRVEHQCLSSEELKQR
+FPNIRLPRGEVGLLDNSGGVIYAYKALRALQDAIRQLGGIVRDGEKVVEINPGLLVTVKT
+TSRSYQAKSLVITAGPWTNQLLRPLGIEMPLQTLRINVCYWREMVPGSYGVSQAFPCFLW
+LGLCPHHIYGLPTGEYPGLMKVSYHHGNHADPEERDCPTARTDIGDVQILSSFVRDHLPD
+LKPEPAVIESCMYTNTPDEQFILDRHPKYDNIVIGAGFSGHGFKLAPVVGKILYEL
+>P23497_PF03172_51 <unknown description>
+DIVFKHFKRNKVEISNAIKKTFPFLEGLRDRDLITNKMFEDSQDSCRNLVPVQRVVYNVL
+SELEKTFNLPVLEALFSDVNMQEYPDLIHIYKGFENV
+>P23497_PF01342_600 <unknown description>
+FKQSELPVTCGEVKGTLYKERFKQGTSKKCIQSEDKKWFTPREFEIEGDRGASKNWKLSI
+RCGGYTLKVLMENKFL
+>P23497_PF00439_810 <unknown description>
+QKPMWLNKVKTSLNEQMYTRVEGFVQDMRLIFHNHKEFYREDK
+>Q9HB58_PF03172_9 <unknown description>
+EEALFQHFMHQKLGIAYAIHKPFPFFEGLLDNSIITKRMYMESLEACRNLIPVSRVVHNI
+LTQLERTFNLSLLVTLFSQINLREYPNLVTIYRSFKR
+>Q9HB58_PF01342_458 <unknown description>
+DFHCSKLPVTCGEAKGILYKKKMKHGSSVKCIRNEDGTWLTPNEFEVEGKGRNAKNWKRN
+IRCEGMTLGELLKRK
+>Q13342_PF03172_40 <unknown description>
+EPIFRFFRENKVEIASAITRPFPFLMGLRDRSFISEQMYEHFQEAFRNLVPVTRVMYCVL
+SELEKTFGWSHLEALFSRINLMAYPDLNEIYRSFQNV
+>Q13342_PF01342_584 <unknown description>
+DFKAPLLPVTCGGVKGILHKKKLQQGILVKCIQTEDGKWFTPTEFEIKGGHARSKNWRLS
+VRCGGWPLRWLMENGFL
+>Q13342_PF00439_793 <unknown description>
+QGLKEPMWLDKIKKRLNEHGYPQVEGFVQDMRLIFQNHRASYK
+>Q9H930_PF03172_50 <unknown description>
+YDTVFKHFKRHKLEISNAIKKTFPFLEGLRDRELITNKMFEDSEDSCRNLVPVQRVVYNV
+LSELEKTFNLSVLEALFSEVNMQEYPDLIHIYKSFKN
+>Q9H930_PF01342_297 <unknown description>
+DFQAPLLPVTCGGVKGILHKEKLEQGTLAKCIQTEDGKWFTPMEFEIKGGYARSKNWRLS
+VRCGGWPLRRLMEEGSL
+>Q9H930_PF00628_406 <unknown description>
+CEVCRDGGELFCCDTCSRVFHEDCHIPPVESEKTPWNCIFCRM
+>Q9H930_PF00439_506 <unknown description>
+QGLKEPMWLDKIKKRLNEHGYPQVEGFVQDMRLIFQNHRASYK
+>Q9Y5B9_PF14826_5 <unknown description>
+LDKDAYYRRVKRLYSNWRKGEDEYANVDAIVVSVGVDEEIVYAKSTALQTWLFGYELTDT
+IMVFCDDKIIFMASKKKVEFLKQIANTKGNENANGAPAITLLIREKNESNKSSFDKMIEA
+IKESKNGKKIGVFSKDKFPGEFMKSWNDCLNKEGFDKIDISA
+>Q9Y5B9_PF00557_182 <unknown description>
+LMKKAASITSEVFNKFFKERVMEIVDADEKVRHSKLAESVEKAIEEKKYLAGADPSTVEM
+CYPPIIQSGGNYNLKFSVVSDKNHMHFGAITCAMGIRFKSYCSNLVRTLMVDPSQEVQEN
+YNFLLQLQEELLKELRHGVKICDVYNAVMDVVKKQKPELLNKITKNLGFGMGIEFREGSL
+VINSKNQYKLKKGMVFSINLGFSDLTNKEGKKPEEKTYALFIGDTVLVDE
+>Q9Y5B9_PF08644_529 <unknown description>
+IYIDKKYETVIMPVFGIATPFHIATIKNISMSVEGDYTYLRINFYCPGSALGRNEGNIFP
+NPEATFVKEITYRASNIKAPGEQTVPALNLQNAFRIIKEVQKRYKTREAEEKEKEGIVKQ
+DSLVINLNRSNPKLKDLYIRPNIAQKRMQGSLEAHVNGFRF
+>Q9Y5B9_PF08512_813 <unknown description>
+STCLLQPTSSALVNATEWPPFVVTLDEVELIHFERVQFHLKNFDMVIVYKDYSKKVTMIN
+AIPVASLDPIKEWLNSCDLKYT
+>Q15506_PF02197_14 <unknown description>
+QGFGNLLEGLTREILREQPDNIPAFAAAYFESLLEKRE
+>Q15506_PF00612_117 <unknown description>
+AAVKIQAAFRGHIAREE
+>P08047_PF00096_626 <unknown description>
+HICHIQGCGKVYGKTSHLRAHLRWH
+>P08047_PF00096_656 <unknown description>
+FMCTWSYCGKRFTRSDELQRHKRTH
+>P08047_PF00096_686 <unknown description>
+FACPECPKRFMRSDHLSKHIKTH
+>P0C7V6_PF12090_65 <unknown description>
+LEKLVRRESLPCLLVNLYPGNQGYSVMLQREDGSFAETIRLPYEERALLDYLDAEELPPA
+LGDVLDKASVNIFHSGCVIVEVRDYRQSSNMQ
+>P0C7V6_PF12090_162 <unknown description>
+SRHILLRPTMQTLAPEVKTMTRDGEKWSQEDKFPLESQLILATAEPLCLDPSVAVACTAN
+RLLYN
+>Q8NEM7_PF12090_64 <unknown description>
+LEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEELPPI
+LVDLLEKSQVNIFHCGCVIAEIRDYRQSSNM
+>Q8NEM7_PF12090_162 <unknown description>
+RHILLRPTMQTLICDVHSITSDNHKWTQEDKLLLESQLILATAEPLCLDPSIAVTCTANR
+LLYN
+>Q02086_PF00096_525 <unknown description>
+HVCHIPDCGKTFRKTSLLRAHVRLH
+>Q02086_PF00096_555 <unknown description>
+FVCNWFFCGKRFTRSDELQRHARTH
+>Q02086_PF00096_585 <unknown description>
+FECAQCQKRFMRSDHLTKHYKTH
+>Q9HAJ7_PF13866_26 <unknown description>
+GQSCCLIEDGERCVRPAGNASFSKRVQKSISQKKLKLDIDKSVRHLYICDFHKNFIQSVR
+NKRKRKTSDD
+>Q9HAJ7_PF13867_114 <unknown description>
+LQVNTLRRYKRHYKLQTRPGFNKAQLAETVSRHFRNIPVNEKETLAYFIYMVK
+>Q02447_PF00096_621 <unknown description>
+HICHIPGCGKVYGKTSHLRAHLRWH
+>Q02447_PF00096_651 <unknown description>
+FVCNWMYCGKRFTRSDELQRHRRTH
+>Q02447_PF00096_681 <unknown description>
+FVCPECSKRFMRSDHLAKHIKTH
+>Q02446_PF00096_647 <unknown description>
+HICHIEGCGKVYGKTSHLRAHLRWH
+>Q02446_PF00096_677 <unknown description>
+FICNWMFCGKRFTRSDELQRHRRTH
+>Q02446_PF13894_707 <unknown description>
+FECPECSKRFMRSDHLSKHVKTH
+>Q6BEB4_PF00096_296 <unknown description>
+HVCHVPGCGKVYGKTSHLKAHLRWH
+>Q6BEB4_PF00096_326 <unknown description>
+FVCNWLFCGKSFTRSDELQRHLRTH
+>Q6BEB4_PF00096_356 <unknown description>
+FACPECGKRFMRSDHLAKHVKTH
+>Q3SY56_PF00096_254 <unknown description>
+HNCHIPGCGKAYAKTSHLKAHLRWH
+>Q3SY56_PF00096_284 <unknown description>
+FVCNWLFCGKRFTRSDELQRHLQTH
+>Q3SY56_PF00096_314 <unknown description>
+FPCAVCSRVFMRSDHLAKHMKTH
+>Q8TDD2_PF00096_294 <unknown description>
+HSCHIPGCGKVYGKASHLKAHLRWH
+>Q8TDD2_PF00096_324 <unknown description>
+FVCNWLFCGKRFTRSDELERHVRTH
+>Q8TDD2_PF00096_354 <unknown description>
+FTCLLCSKRFTRSDHLSKHQRTH
+>Q8IXZ3_PF00096_374 <unknown description>
+HSCHIPGCGKVYGKTSHLKAHLRWH
+>Q8IXZ3_PF00096_404 <unknown description>
+FVCNWLFCGKRFTRSDELQRHLRTH
+>Q8IXZ3_PF13894_434 <unknown description>
+FACPVCNKRFMRSDHLSKHVKTH
+>P0CG40_PF00096_332 <unknown description>
+HSCHIPGCGKVYGKTSHLKAHLRWH
+>P0CG40_PF00096_362 <unknown description>
+FVCNWLFCGKRFTRSDELQRHLRTH
+>P0CG40_PF00096_392 <unknown description>
+FACPVCNKRFMRSDHLSKHIKTH
+>Q86U17_PF00079_54 <unknown description>
+ITNFALRLYKELAADAPGNIFFSPVSISTTLALLSLGAQANTSALILEGLGFNLTETPEA
+DIHQGFRSLLHTLALPSPKLELKVGNSLFLDKRLKPRQHYLDSIKELYGAFAFSANFTDS
+VTTGRQINDYLRRQTYGQVVDCLPEFSQDTFMVLANYIFFKAKWKHPFSRYQTQKQESFF
+VDERTSLQVPMMHQKEMHRFLYDQDLACTVLQIEYRGNALALLVLPDPGKMKQVEAALQP
+QTLRKWGQLLLPSLLDLHLPRFSISGTYNLEDILPQIGLTNILNLEADFSGVTGQLNKTI
+SKVSHKAMVDMSEKGTEAGAASGLLSQPPSLNTMSDPHAHFNRPFLLLLWEVTTQSLLFL
+GKVVNP
+>Q8IW75_PF00079_51 <unknown description>
+QNMDLGFKLLKKLAFYNPGRNIFLSPLSISTAFSMLCLGAQDSTLDEIKQGFNFRKMPEK
+DLHEGFHYIIHELTQKTQDLKLSIGNTLFIDQRLQPQRKFLEDAKNFYSAETILTNFQNL
+EMAQKQINDFISQKTHGKINNLIENIDPGTVMLLANYIFFRARWKHEFDPNVTKEEDFFL
+EKNSSVKVPMMFRSGIYQVGYDDKLSCTILEIPYQKNITAIFILPDEGKLKHLEKGLQVD
+TFSRWKTLLSRRVVDVSVPRLHMTGTFDLKKTLSYIGVSKIFEEHGDLTKIAPHRSLKVG
+EAVHKAELKMDERGTEGAAGTGAQTLPMETPLVVKIDKPYLLLIYSEKIPSVLFLGKIVN
+P
+>Q86W54_PF15175_10 <unknown description>
+AGSGSVCLALDQLRDVIESQEELIHQLRNVMVLQDENFVSKEEFQAVEKKLVEEKAAHAK
+TKVLLAKEEEKLQFALGEVEVLSKQLEKEKLAFEKALSSVKSKVLQESSKKDQLITKCNE
+IESHIIKQEDILNGKENEIKELQQVISQQKQIFRNHMSDFRIQKQQESYMAQVLDQKHKK
+A
+>Q9BVQ7_PF00004_237 <unknown description>
+VLLAGPPGVGKTQLVRAVAREAGAELLAVSAPALQGSRPGETEENVRRVFQRARELASRG
+PSLLFLDEMDALCPQRGSRAPESRVVAQVLTLLDGASGDREVVVVGATNRPDALDPALRR
+PGRFDREVVIG
+>Q9BVQ7_PF17862_391 <unknown description>
+VDLGLLAEMTVGYVGADLTALCREAAMHALLHSEKNQDNPVIDEIDF
+>Q9BVQ7_PF00004_501 <unknown description>
+VLLYGPPGCAKTTLVRALATSCHCSFVSVSGADLFSPFVGDSEKVLSQIFRQARASTPAI
+LFLDEIDSILGARSASKTGCDVQERVLSVLLNELDGVGLKTIERRGSKSSQQEFQEVFNR
+SVMIIAATNRPDVLDTALLRPGRLDKIIYIP
+>Q9BVQ7_PF17862_674 <unknown description>
+DVSLENLAAETCFFSGADLRNLCTEAALLALQENGLDATTVKQEHFL
+>Q8N4H0_PF14909_7 <unknown description>
+VELQIRAISCPGVFLPGKQDVYLGVYLMNQYLETNSFPSAFPIMIQESMRFEKVFESAVD
+PGAVVDLLEMWDELAYYEENTRDFLFPEPKLTPSHPRRCREVLMKTALGFPGIAPKIEFS
+TRTAI
+>Q86WD7_PF00079_48 <unknown description>
+NTDFAFRLYRRLVLETPSQNIFFSPVSVSTSLAMLSLGAHSVTKTQILQGLGFNLTHTPE
+SAIHQGFQHLVHSLTVPSKDLTLKMGSALFVKKELQLQANFLGNVKRLYEAEVFSTDFSN
+PSIAQARINSHVKKKTQGKVVDIIQGLDLLTAMVLVNHIFFKAKWEKPFHPEYTRKNFPF
+LVGEQVTVHVPMMHQKEQFAFGVDTELNCFVLQMDYKGDAVAFFVLPSKGKMRQLEQALS
+ARTLRKWSHSLQKRWIEVFIPRFSISASYNLETILPKMGIQNVFDKNADFSGIAKRDSLQ
+VSKATHKAVLDVSEEGTEATAATTTKFIVRSKDGPSYFTVSFNRTFLMMITNKATDGILF
+LGKVENP
+>Q96KW9_PF15307_19 <unknown description>
+WQETELRPRTVIPGSPTEIPFSSKQEDMSELLDEILVQEILDLNKTTPSEMPSTASTLST
+PLHAGIDENYQAGGSENYHELLENLQFSPGIEVKISNDEANANANLH
+>Q07617_PF00515_659 <unknown description>
+IYTNRALCYLKLCQFEEAKQDCDQALQLA
+>Q07617_PF13181_692 <unknown description>
+KAFYRRALAHKGLKNYQKSLIDLNKVILLDPS
+>Q07617_PF13877_802 <unknown description>
+KPNNAYEFGQIINALSTRKDKEACAHLLAITAPKDLPMFLSNKLEGDTFLLLIQSLKNNL
+IEKDPSLVYQHLLYLSKAERFKMMLTLISKGQK
+>Q9NPE6_PF07738_292 <unknown description>
+WNYARPPTVILEPHVFPGNCWAFEGDQGQVVIQLPGRVQLSDITLQHPPPSVEHTGGANS
+APRDFAVFGLQVYDETEVSLGKFTFDVEKSEIQTFHLQNDPPAAFPKVKIQILSNWGHPR
+FTCLYRVRAHGV
+>O75602_PF00514_114 <unknown description>
+SPQLAQAIVDCGALDTLVICLEDFDPGVKEAAAWALRYIAR
+>O75602_PF00514_157 <unknown description>
+AELSQAVVDAGAVPLLVLCIQEPEIALKRIAASALSDIA
+>O75602_PF00514_198 <unknown description>
+SPELAQTVVDAGAVAHLAQMILNPDAKLKHQILSALSQ
+>O75602_PF00514_328 <unknown description>
+AMAVIISKGVPQLSVCLSEEPEDHIKAAAAWALGQI
+>O75391_PF01424_47 <unknown description>
+EFRKRMEKEVSDFIQDSGQIKKKFQPMNKIERSILHDVVEVAGLTSFSFGEDDDCRYVMI
+FK
+>Q8N0X7_PF06911_427 <unknown description>
+ILSGASWVSWGLVKGAEITGKAIQKGASKLRERIQPEEKPVEVSPAVTKGLYIAKQATGG
+AAKVSQFLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKKDKDGKSPLDGAMVVAASSV
+QGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGYNAGEATHHAVDSAVNVGVTAYNINN
+IGIKA
+>Q496A3_PF15160_51 <unknown description>
+SDFLESKGCFANTTPSGKSVSSSSSVETGPSVSEPPGLPRVSAYVDTTADLDRKLSFSHS
+DHSSEMSLPEVQKDKYPEEFSLLKLQTKDGHRPEWTFYPRFSSNIHTYHVGKQCFFNGVF
+LGNKRSLSERTVDKCFGRKKYDIDPRNGIPKLTPGDNPYMYPEQSKGFHKAGSMLPPVNF
+SIVPYEKKFDTFIPLEPLPQIPNLPFWVKEKANSLKNEIQEVEELDNWQPAVPLMHML
+>Q86XZ4_PF07139_81 <unknown description>
+WTVTGKKKNKKKKNKPKPAAEPSNGIPDSSKSVSIQEEQSAPSSEKGGMNGYHVNGAIND
+TESVDSLSEGLETLSIDARELEDPESAMLDTLDRTGSMLQNGVSDFETKSLTMHSIHNSQ
+QPRNAAKSLSRPTTETQFSNMGMEDVPLATSKKLSSNIEKSVKDLQRCTVSLARYRVVVK
+EEMDASIKKMKQAFAELESCLMDREVALLAEMDKVKAEAMEILLSRQKKAELLKKMTHVA
+VQMSEQQLVELRADIKHFVSERKYDEDLGRVARFTCDVETLKKSIDSFGQVSHPKNSYST
+RSRCS
+>Q9UBP0_PF00004_378 <unknown description>
+LLLFGPPGNGKTMLAKAVAAESNATFFNISAASLTSKYVGEGEKLVRALFAVARELQPSI
+IFIDEVDSLLCERREGEHDASRRLKTEFLIEFDGVQSAGDDRVLVMGATNRPQELDEAVL
+RRFIKRVYVS
+>Q9UBP0_PF17862_534 <unknown description>
+LAQLARMTDGYSGSDLTALAKDAALGPIRELKPEQVKN
+>Q9UBP0_PF09336_578 <unknown description>
+RNIRLSDFTESLKKIKRSVSPQTLEAYIRWNKDFG
+>Q8NEY3_PF06294_55 <unknown description>
+VLRWLQGLDLSFFPRNINRDFSNGFLIAEIFCIYYPWELELSSFENGTSLKVKLDNWAQL
+EKFLARKKFKLPKELIHGTIHCKAGVPEILIEEVYT
+>Q8NB90_PF00004_390 <unknown description>
+VLLYGPPGTGKTMIARAVANEVGAYVSVINGPEIISKFYGETEAKLRQIFAEATLRHPSI
+IFIDELDALCPKREGAQNEVEKRVVASLLTLMDGIGSEVSEGQVLVLGATNRPHALDAAL
+RRPGRFDKEIEIG
+>Q8NB90_PF17862_549 <unknown description>
+LLQLANSAHGYVGADLKVLCNEAGLCALRRILK
+>Q8NB90_PF00004_664 <unknown description>
+VLLYGPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVRETFRKARAVAPSI
+IFFDELDALAVERGSSLGAGNVADRVLAQLLTEMDGIEQLKDVTILAATNRPDRIDKALM
+RPGRIDRIIYVPL
+>Q8NB90_PF17862_819 <unknown description>
+VDLDELILQTDAYSGAEIVAVCREAALLALEEDIQANLIMKRHF
+>Q9NWH7_PF14909_12 <unknown description>
+ALEISSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMVFEKVFPDAVDP
+GDVVTQLEYDTAVFELIQLVPPVGETLSTYDENTRDFMFPGPNQMSGHHDSNRQVTMRRI
+SGLRGNAPRLEFSTTSVI
+>Q9P0W8_PF15244_10 <unknown description>
+TSVLPRYGPPCLFKGHLSTKSNAFCTDSSSLRLSTLQLVKNHMAVHYNKILSAKAAVDCS
+VPVSVSTSIKYADQQRREKLKKELAQCEKEFKLTKTAMRANYKNNSKSLFNTLQKPSGEP
+QIEDDMLKEEMNGFSSFARSLVPSSERLHLSLHKSSKVITNGPEKNSSSSPSSVDYAASG
+PRKLSSGALYGRRPRSTFPNSHRFQLVISKAPSGDLLDKHSELFSNKQLPFTPRTLKTEA
+KSFLSQYRYYTPAKRKKDFTDQRIEAETQTELSFKSELGTAETKNMTDSEMNIKQASNCV
+TYDAKEKIAPLPLEGHDSTWDEIKDDALQHSSPRAMCQYSLKPPSTRKIYSDEEELLYLS
+FIEDVTDEILKLGLFSNRFLERLFERHIKQNKHLEEEKMRHLLHVLKVDLGCT
+>Q9BWV2_PF15824_1 <unknown description>
+MPIKPVGWICGQVLKNFSGRIEGIQKAIMDLVDEFKDEFPTILRLSQSNQKREPAQKTSK
+IRMAIALAKINRATLIRGLNSISRSSKSVAKLLHPQLACRLLELRDISGRLLREVNAPRQ
+PLYNIQVRKGSLFEIISFPAKTALTSIIYASYAALIYLAVCVNAVLKKVKNIFQEEESIR
+QNREESENCRKAFSEPVLSEPMFAEGEIKAKPYRSLPEKPDISDYPKLLANKQSNNIQVL
+HSVFDQSAEMNE
+>P48595_PF00079_7 <unknown description>
+SINQFALELSKKLAESAQGKNIFFSSWSISTSLTIVYLGAKGTTAAQMAQVLQFNRDQGV
+KCDPESEKKRKMEFNLSNSEEIHSDFQTLISEILKPNDDYLLKTANAIYGEKTYAFHNKY
+LEDMKTYFGAEPQPVNFVEASDQIRKDINSWVERQTEGKIQNLLPDDSVDSTTRMILVNA
+LYFKGIWEHQFLVQNTTEKPFRINETTSKPVQMMFMKKKLHIFHIEKPKAVGLQLYYKSR
+DLSLLILLPEDINGLEQLEKAITYEKLNEWTSADMMELYEVQLHLPKFKLEDSYDLKSTL
+SSMGMSDAFSQSKADFSGMSSARNLFLSNVFHKAFVEINEQGTEAAAGSGSEIDIRIRVP
+SIEFNANHPFLFFIRHNKTNTILFYGRLCSP
+>Q96P63_PF00079_7 <unknown description>
+ANTKFCFDLFQEIGKDDRHKNIFFSPLSLSAALGMVRLGARSDSAHQIDEVLHFNEFSQN
+ESKEPDPCLKSNKQKVLADSSLEGQKKTTEPLDQQAGSLNNESGLVSCYFGQLLSKLDRI
+KTDYTLSIANRLYGEQEFPICQEYLDGVIQFYHTTIESVDFQKNPEKSRQEINFWVECQS
+QGKIKELFSKDAINAETVLVLVNAVYFKAKWETYFDHENTVDAPFCLNANENKSVKMMTQ
+KGLYRIGFIEEVKAQILEMRYTKGKLSMFVLLPSHSKDNLKGLEELERKITYEKMVAWSS
+SENMSEESVVLSFPRFTLEDSYDLNSILQDMGITDIFDETRADLTGISPSPNLYLSKIIH
+KTFVEVDENGTQAAAATGAVVSERSLRSWVEFNANHPFLFFIRHNKTQTILFYGRVCSP
+>Q9UIV8_PF00079_8 <unknown description>
+STRLGFDLFKELKKTNDGNIFFSPVGILTAIGMVLLGTRGATASQLEEVFHSEKETKSSR
+IKAEEKEVIENTEAVHQQFQKFLTEISKLTNDYELNITNRLFGEKTYLFLQKYLDYVEKY
+YHASLEPVDFVNAADESRKKINSWVESKTNEKIKDLFPDGSISSSTKLVLVNMVYFKGQW
+DREFKKENTKEEKFWMNKSTSKSVQMMTQSHSFSFTFLEDLQAKILGIPYKNNDLSMFVL
+LPNDIDGLEKIIDKISPEKLVEWTSPGHMEERKVNLHLPRFEVEDGYDLEAVLAAMGMGD
+AFSEHKADYSGMSSGSGLYAQKFLHSSFVAVTEEGTEAAAATGIGFTVTSAPGHENVHCN
+HPFLFFIRHNESNSILFFGRFSSP
+>Q8IY81_PF01728_24 <unknown description>
+YRSRSAFKLIQLNRRFQFLQKARALLDLCAAPGGWLQVAAKFMPVSSLIVGVDLVPIKPL
+PNVVTLQQDITTERCRQALRKELKTWKVDVVLNDGAPNVGASWVHDAYSQAHLTLMALRL
+ACDFLARGGSFITKVFRSRDYQPLLWIFQQLFRRVQATKPQASRHESAEIFVVCQGF
+>Q8IY81_PF11861_232 <unknown description>
+TKKKPKAEGYAEGDLTLYHRTSVTDFLRAANPVDFLSKASEIMVDDEELAQHPATTEDIR
+VCCQDIRVLGRKELRSLLNWRTKLRRYVAKKLKEQAKALDISLSSGEEDEGDEEDSTAGT
+TKQPSKEEEEEEEEEQLNQTLAEMKAQEVAELKRKKKKLLREQRKQRER
+>Q8IY81_PF07780_625 <unknown description>
+EEEESWEPLRGKKRSRGPKSDDDGFEIVPIEDPAKHRILDPEGLALGAVIASSKKAKRDL
+IDNSFNRYTFNEDEGELPEWFVQEEKQHRIRQLPVGKKEVEHYRKRWREINARPIKKVAE
+AKARKKRRMLKRLEQTRKKAEAVVNTVDISEREKVAQLRSLYKKAGLGKEKRHVTYVVAK
+KGVGRKVRRPAGVRGHFKVVDSRMKKDQRAQQRKE
+>P29508_PF00079_6 <unknown description>
+EANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQIKKVLHFDQVTEN
+TTGKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYLFLQEYLDAIKK
+FYQTSVESVDFANAPEESRKKINSWVESQTNEKIKNLIPEGNIGSNTTLVLVNAIYFKGQ
+WEKKFNKEDTKEEKFWPNKNTYKSIQMMRQYTSFHFASLEDVQAKVLEIPYKGKDLSMIV
+LLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETRVDLHLPRFKVEESYDLKDTLRTMGMV
+DIFNGDADLSGMTGSRGLVLSGVLHKAFVEVTEEGAEAAAATAVVGFGSSPTSTNEEFHC
+NHPFLFFIRQNKTNSILFYGRFSSP
+>P48594_PF00079_6 <unknown description>
+EANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQISKVLHFDQVTEN
+TTEKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYQFLQEYLDAIKK
+FYQTSVESTDFANAPEESRKKINSWVESQTNEKIKNLFPDGTIGNDTTLVLVNAIYFKGQ
+WENKFKKENTKEEKFWPNKNTYKSVQMMRQYNSFNFALLEDVQAKVLEIPYKGKDLSMIV
+LLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETCVDLHLPRFKMEESYDLKDTLRTMGMV
+NIFNGDADLSGMTWSHGLSVSKVLHKAFVEVTEEGVEAAAATAVVVVELSSPSTNEEFCC
+NHPFLFFIRQNKTNSILFYGRFSSP
+>P36952_PF00079_7 <unknown description>
+ANSAFAVDLFKQLCEKEPLGNVLFSPICLSTSLSLAQVGAKGDTANEIGQVLHFENVKDV
+PFGFQTVTSDVNKLSSFYSLKLIKRLYVDKSLNLSTEFISSTKRPYAKELETVDFKDKLE
+ETKGQINNSIKDLTDGHFENILADNSVNDQTKILVVNAAYFVGKWMKKFSESETKECPFR
+VNKTDTKPVQMMNMEATFCMGNIDSINCKIIELPFQNKHLSMFILLPKDVEDESTGLEKI
+EKQLNSESLSQWTNPSTMANAKVKLSIPKFKVEKMIDPKACLENLGLKHIFSEDTSDFSG
+MSETKGVALSNVIHKVCLEITEDGGDSIEVPGARILQHKDELNADHPFIYIIRHNKTRNI
+IFFGKFCSP
+>P35237_PF00079_7 <unknown description>
+ANGTFALNLLKTLGKDNSKNVFFSPMSMSCALAMVYMGAKGNTAAQMAQILSFNKSGGGG
+DIHQGFQSLLTEVNKTGTQYLLRMANRLFGEKSCDFLSSFRDSCQKFYQAEMEELDFISA
+VEKSRKHINTWVAEKTEGKIAELLSPGSVDPLTRLVLVNAVYFRGNWDEQFDKENTEERL
+FKVSKNEEKPVQMMFKQSTFKKTYIGEIFTQILVLPYVGKELNMIIMLPDETTDLRTVEK
+ELTYEKFVEWTRLDMMDEEEVEVSLPRFKLEESYDMESVLRNLGMTDAFELGKADFSGMS
+QTDLSLSKVVHKSFVEVNEEGTEAAAATAAIMMMRCARFVPRFCADHPFLFFIQHSKTNG
+ILFCGRFSSP
+>O75635_PF00079_7 <unknown description>
+ANAEFCFNLFREMDDNQGNGNVFFSSLSLFAALALVRLGAQDDSLSQIDKLLHVNTASGY
+GNSSNSQSGLQSQLKRVFSDINASHKDYDLSIVNGLFAEKVYGFHKDYIECAEKLYDAKV
+ERVDFTNHLEDTRRNINKWVENETHGKIKNVIGEGGISSSAVMVLVNAVYFKGKWQSAFT
+KSETINCHFKSPKCSGKAVAMMHQERKFNLSVIEDPSMKILELRYNGGINMYVLLPENDL
+SEIENKLTFQNLMEWTNPRRMTSKYVEVFFPQFKIEKNYEMKQYLRALGLKDIFDESKAD
+LSGIASGGRLYISRMMHKSYIEVTEEGTEATAATGSNIVEKQLPQSTLFRADHPFLFVIR
+KDDIILFSGKVSCP
+>P50452_PF00079_7 <unknown description>
+ANGTFAISLFKILGEEDNSRNVFFSPMSISSALAMVFMGAKGSTAAQMSQALCLYKDGDI
+HRGFQSLLSEVNRTGTQYLLRTANRLFGEKTCDFLPDFKEYCQKFYQAELEELSFAEDTE
+ECRKHINDWVAEKTEGKISEVLDAGTVDPLTKLVLVNAIYFKGKWNEQFDRKYTRGMLFK
+TNEEKKTVQMMFKEAKFKMGYADEVHTQVLELPYVEEELSMVILLPDDNTDLAVVEKALT
+YEKFKAWTNSEKLTKSKVQVFLPRLKLEESYDLEPFLRRLGMIDAFDEAKADFSGMSTEK
+NVPLSKVAHKCFVEVNEEGTEAAAATAVVRNSRCSRMEPRFCADHPFLFFIRHHKTNCIL
+FCGRFSSP
+>P50453_PF00079_7 <unknown description>
+ASGTFAIRLLKILCQDNPSHNVFCSPVSISSALAMVLLGAKGNTATQMAQALSLNTEEDI
+HRAFQSLLTEVNKAGTQYLLRTANRLFGEKTCQFLSTFKESCLQFYHAELKELSFIRAAE
+ESRKHINTWVSKKTEGKIEELLPGSSIDAETRLVLVNAIYFKGKWNEPFDETYTREMPFK
+INQEEQRPVQMMYQEATFKLAHVGEVRAQLLELPYARKELSLLVLLPDDGVELSTVEKSL
+TFEKLTAWTKPDCMKSTEVEVLLPKFKLQEDYDMESVLRHLGIVDAFQQGKADLSAMSAE
+RDLCLSKFVHKSFVEVNEEGTEAAAASSCFVVAECCMESGPRFCADHPFLFFIRHNRANS
+ILFCGRFSSP
+>Q9H0A9_PF15058_5 <unknown description>
+GELMSRLLSENADLKKQVRLLKENQMLRRLLSQSCQEGGGHDLLPPRAHAYPEAGSPGSG
+VPDFGRFTSVADTPSQLQTSSLEDLLCSHAPLSSED
+>Q9H0A9_PF15058_101 <unknown description>
+DTSPGCAAPSQAPFKAFLSPPEPHSHRGTDRKLSPLLSPLQDSLVDKTLLEPR
+>Q9H0A9_PF15059_195 <unknown description>
+VVGEIAFQLDRRILAYVFPGVTRLYGFTVANIPEKIEQTSTKSLDGSVDERKLRELTQRY
+LALSARLEKLGYSRDVHPAFSEFLINTYGILKQRPDLRANPLHSSPAALRKLVIDVVPPK
+FLGDSLLLLNCLCELSKEDGKPLFAW
+>Q8NBT2_PF08286_83 <unknown description>
+LEAGLQEAGEEDTRLKASLLQLTRELEELKEIEADLERQEKEVDEDTTVTIPSAVYVAQL
+YHQVSKIEWDYECEPGMVKGIHHGPSVAQPIHLDSTQLSRKFISDYLWS
+>Q9HBM1_PF08234_151 <unknown description>
+GEKLQFIFTNIDPKNPESPFMFSLHLNEARDYEVSDSAPHLEGLAEFQENVRKTNNFSAF
+LANVRKAF
+>Q15005_PF06703_55 <unknown description>
+AVKNSLDDSAKKVLLEKYKYVENFGLIDGRLTICTISCFFAIVALIWDYMHPFPESKPVL
+ALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSLKRFDDKYTLKL
+TFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLH
+>P61009_PF04573_1 <unknown description>
+MNTVLSRANSLFAFSLSVMAALTFGCFITTAFKDRSVPVRLHVSRIMLKNVEDFTGPRER
+SDLGFITFDITADLENIFDWNVKQLFLYLSAEYSTKNNALNQVVLWDKIVLRGDNPKLLL
+KDMKTKYFFFDDGNGLKGNRNVTLTLSWNVVPNAGILPLVTGSGHVSVPFPD
+>Q9HD40_PF05889_61 <unknown description>
+MDSNNFLGNCGVGEREGRVASALVARRHYRFIHGIGRSGDISAVQPKAAGSSLLNKITNS
+LVLDIIKLAGVHTVANCFVVPMATGMSLTLCFLTLRHKRPKAKYIIWPRIDQKSCFKSMI
+TAGFEPVVIENVLEGDELRTDLKAVEAKVQELGPDCILCIHSTTSCFAPRVPDRLEELAV
+ICANYDIPHIVNNAYGVQSSKCMHLIQQGARVGRIDAFVQSLDKNFMVPVGGAIIAGFND
+SFIQEISKMYPGRASASPSLDVLITLLSLGSNGYKKLLKERKEMFSYLSNQIKKLSEAYN
+ERLLHTPHNPISLAMTLKTLDEHRDKAVTQLGSMLFTRQVSGARVVPLGSMQTVSGYTFR
+GFMSHTNNYPCAYLNAASAIGMKMQDVDLFIKRLDRCLK
+>P0DUX0_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>P0DUX0_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>P0DTA3_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>P0DTA3_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>P0DUX1_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLRELWFQLCRYMNPRARKNC
+>P0DUX1_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>A0A494C0Z2_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>A0A494C0Z2_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>P0DUD4_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>P0DUD4_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>A6NNV3_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALY
+LANDMEEDDEDPKQNIFYFLYGKTRSRIPLVRNRRFQLCRCMNPRARKNR
+>A6NNV3_PF11357_301 <unknown description>
+KNRSQIALFQKLRFQFFCSMSGRAWVSREELEEIQAYDPEHWVWARDR
+>P0DUD2_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>P0DUD2_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>P0DV79_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALY
+LANDMEEDDEDPKQNIFYFLYGKTRSRIPLVRNRRFQLCRCLNPRARKNR
+>P0DV79_PF11357_301 <unknown description>
+KNRSQIALFQKLRFQFFCSMSGRAWVSREELEEIQAYDPEHWVWARDR
+>A0A494C086_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIVYFSRAGLPSWQYQRIHFFLALY
+LANDMEEDDEDSKQNIFHFLYGKTRSRIPLLRKRWFQLGRSMNPRARKNR
+>A0A494C086_PF11357_351 <unknown description>
+KNRSQIVLFQKLRFQFFCSMSGRAWVSPEELEEIQAYDPEHWVWARDR
+>Q5TCZ1_PF00787_31 <unknown description>
+TWSDSTSQTIYRRYSKFFDLQMQLLDKFPIEGGQKDPKQRIIPFLPGKILFRRSHIRDVA
+VKRLKPIDEYCRALVRLPPHISQCDEVFRFFEA
+>Q5TCZ1_PF00018_175 <unknown description>
+SNYKKQENSELSLQAGEVVDVIEKNESGWWFVSTSEEQGWVPA
+>Q5TCZ1_PF00018_276 <unknown description>
+PYTSQSKDEIGFEKGVTVEVIRKNLEGWWYIRYLGKEGWAPA
+>Q5TCZ1_PF00018_463 <unknown description>
+ISDGISFRGGQKAEVIDKNSGGWWYVQIGEKEGWAPA
+>Q5TCZ1_PF00018_850 <unknown description>
+AYQKVQDSEISFPAGVEVQVLEKQESGWWYVRFGELEGWAPS
+>A1X283_PF00787_32 <unknown description>
+TWSSGSTEAIYRRYSKFFDLQMQMLDKFPMEGGQKDPKQRIIPFLPGKILFRRSHIRDVA
+VKRLIPIDEYCKALIQLPPYISQCDEVLQFFET
+>A1X283_PF00018_161 <unknown description>
+ANYQKQESSEISLSVGQVVDIIEKNESGWWFVSTAEEQGWVPA
+>A1X283_PF00018_228 <unknown description>
+VIYPYTARDQDEMNLERGAVVEVIQKNLEGWWKIRYQGKEGWAPA
+>A1X283_PF00018_377 <unknown description>
+AEFQTTIPDGISFQAGLKVEVIEKNLSGWWYIQIEDKEGWAPA
+>A1X283_PF07653_855 <unknown description>
+YVAVADFEGDKDTSSFQEGTVFEVREKNSSGWWFCQVLSGAPSWEGWIPSNYLRK
+>P0DUD1_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>P0DUD1_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>A0A494C191_PF11357_109 <unknown description>
+YEAFNRLLEDPVIKRLLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQNIFYFLYEETRSHIPLLSELWFQLCRYMNPRARKNC
+>A0A494C191_PF11357_214 <unknown description>
+KNCSQIALFRKYRFHFFCSMRCRAWVSLEELEEIQAYDPEHWVWARDR
+>Q495Y8_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALY
+LANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKPWFQLGHSMNPRARKNR
+>Q495Y8_PF11357_351 <unknown description>
+KNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDR
+>A6NKU9_PF11357_393 <unknown description>
+YEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLA
+NDMEEDDEAPKQKIFYFLYGKTHSHIPLRPKHWFQLCRPMNPRAR
+>A6NKU9_PF11357_498 <unknown description>
+KNCSQIALFQKRRFQFFCSMRCRAWVSPEELEEIQAYDPEHWVWARDR
+>A6NLX3_PF11357_104 <unknown description>
+EHHEAFNRLLGDPVVQKFLAWDKDLRVSDKYLLAMVIAYFSRAGLFSWQYQRIHFFLALY
+LASDMEEDNQAPKQDIFSFLYGKNYSQRPLFHKLRYQLLCSMRWRTWVSPEEMEEIQAYD
+PEHWVWARDR
+>A6NIY4_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALY
+LANDMEEDDEDSKQNIFHFLYGKNRSRIPLLRKRWFQLGRSMNPRARKKR
+>A6NIY4_PF11357_350 <unknown description>
+RKNRSQIVLFQKRRFQFFCSMSGRAWVSPEELEEIQAYDPEHWVWARDR
+>P0CI01_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALY
+LANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKRWFQLGHSMNPRARKNRS
+>P0CI01_PF11357_351 <unknown description>
+KNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDR
+>O95238_PF02198_132 <unknown description>
+KDIETACKLLNITADPMDWSPSNVQKWLLWTEHQYRLPPMGKAFQELAGKELCAMSEEQF
+RQRSPLGGDVLHAHLDIWKSA
+>O95238_PF00178_250 <unknown description>
+HLWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGIRKNRPAMNYDKLSRSI
+RQYYKKGIIRKPDISQRLVYQFV
+>Q5MJ70_PF11357_69 <unknown description>
+QDMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTISEHTRINFFIALY
+LANTVEEDEEETKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRCCEEVMAI
+APTHYIWQRER
+>Q5MJ68_PF11357_38 <unknown description>
+QEVQAFLSLLEDSFVQEFLSKDPCFQISDKYLLAMVLVYFQRAHLKLSEYTHSSLFLALY
+LANDMEEDLEGPKCEIFPWALGKDWCLRVGKFLHQRDKLWARMGFRAVVSRQCCEEVMAK
+EPFHWAWTRDR
+>A6NHP3_PF11357_194 <unknown description>
+EHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALY
+LANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKPWFQLGHSMNPRARKNR
+>A6NHP3_PF11357_351 <unknown description>
+KNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDR
+>Q9H9C1_PF04840_177 <unknown description>
+SLQDKLQLLEEAVSMHDGNVITAVLIFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGD
+QKLLLDLFRFLDRTEELALSHYREHLNIQDPDKRKEFLKTCV
+>Q9BSE5_PF00491_72 <unknown description>
+DAAFIGVPLDTGTSNRPGARFGPRRIREESVMLGTVNPSTGALPFQSLMVADLGDVNVNL
+YNLQDSCRRIQEAYEKIVAAGCIPLTLGGDHTITYPILQAMAKKHGPVGLLHVDAHTDTT
+DKALGEKLYHGAPFRRCVDEGLLDCKRVVQIGIRGSSTTLDPYRYNRSQGFRVVLAEDCW
+MKSLVPLMGEVRQQMGGKPIYISFDIDALDPAYAPGTGTPEIAGLTPSQALEIIRGCQGL
+NVMGCDLVEVSPPYDLSGNTALLAANLLFEML
+>P19623_PF17284_19 <unknown description>
+GWFRETCSLWPGQALSLQVEQLLHHRRSRYQDILVFRSKTYGNVLVLDGVIQCTE
+>P19623_PF01564_76 <unknown description>
+EFSYQEMIANLPLCSHPNPRKVLIIGGGDGGVLREVVKHPSVESVVQCEIDEDVIQVSKK
+FLPGMAIGYSSSKLTLHVGDGFEFMKQNQDAFDVIITDSSDPMGPAESLFKESYYQLMKT
+ALKEDGVLCCQGECQWLHLDLIKEMRQFCQSLFPVVAYAYCTIPTYPSGQIGFMLCSKNP
+STNFQ
+>Q9Y4P9_PF06294_13 <unknown description>
+LYLWVDNIPLSRPKRNLSRDFSDGVLVAEVIKFYFPKMVEMHNYVPANSLQQKLSNWGHL
+NRKVLKRLNFSVPDDVMRKIAQCAPGVVELVLIPL
+>Q9C093_PF06294_5 <unknown description>
+LCQWLNKELKVSRTVSPKSFAKAFSSGYLLGEVLHKFELQDDFSEFLDSRVSSAKLNNFS
+RLEPTLNLLGVQFDQNVAHGIITEKPGVATKLLYQLY
+>Q9C093_PF00406_682 <unknown description>
+TRAQLGAKSEQLLKKGKSIPDVLLVDIIVNAINEIPVNQDCILDGFPMTLNQAQLLEEAL
+TGCNRNLTEV
+>A0A087WV53_PF00612_30 <unknown description>
+SAAIRIQASYRGHRSRKELR
+>A0A087WV53_PF07679_54 <unknown description>
+PRVLEPLKDVVLIEGSAAKLTCRISAFPDPFIRWSKDGKELRDGPKYRYVFEDPDVVALV
+VRDGELADLGQYSINVTNPFGQCSDSARI
+>A0A087WV53_PF07679_149 <unknown description>
+KIQKGPDNTKARKGTTVTLTAEILGEPAPDVGWTKDGEDIEEDDRVFFEIGSTTTTLTIR
+RATPQDSGKYEVYVENSLGMDQSFARVDV
+>Q15772_PF07679_43 <unknown description>
+PVFLRPLKNAAVCAGSDVRLRVVVSGTPQPSLRWFRDGQLLPAPAPEPSCLWLRRCGAQD
+AGVYSCMAQNERGRASCEAVLTV
+>Q15772_PF07679_722 <unknown description>
+PVFEIPLQNVVVAPGADVLLKCIITANPPPQVSWHKDGSALRSEGRLLLRAEGERHTLLL
+REARAADAGSYMATATNELGQATCAASLTV
+>Q15772_PF16650_812 <unknown description>
+RPGGSTSPFSSPITSDEEYLSPPEEFPEPGETWPRTPTMKPSPSQNRRSSDTGSKAP
+>Q15772_PF07679_869 <unknown description>
+PTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPVRPDQRRFAEEAEGGLCRLR
+ILAAERGDAGFYTCKAVNEYGARQCEARLEV
+>Q15772_PF07679_972 <unknown description>
+APLQDVDVGAGEMALFECLVAGPTDVEVDWLCRGRLLQPALLKCKMHFDGRKCKLLLTSV
+HEDDSGVYTCKLSTAKDELTCSARLTV
+>Q15772_PF07679_1064 <unknown description>
+PLFTRLLEDVEVLEGRAARFDCKISGTPPPVVTWTHFGCPMEESENLRLRQDGGLHSLHI
+AHVGSEDEGLYAVSAVNTHGQAHCSAQLYV
+>Q15772_PF07679_1188 <unknown description>
+PDFLRPLQDLEVGLAKEAMLECQVTGLPYPTISWFHNGHRIQSSDDRRMTQYRDVHRLVF
+PAVGPQHAGVYKSVIANKLGKAACYAHLYV
+>Q15772_PF07679_1391 <unknown description>
+AMLDKPDIVYVVEGQPASVTVTFNHVEAQVVWRSCRGALLEARAGVYELSQPDDDQYCLR
+ICRVSRRDMGALTCTARNRHGTQTCSVTLE
+>Q15772_PF07679_1485 <unknown description>
+PRFESIMEDVEVGAGETARFAVVVEGKPLPDIMWYKDEVLLTESSHVSFVYEENECSLVV
+LSTGAQDGGVYTCTAQNLAGEVSCKAELAV
+>Q15772_PF00069_1601 <unknown description>
+YDIHQEIGRGAFSYLRRIVERSSGLEFAAKFIPSQAKPKASARREARLLARLQHDCVLYF
+HEAFERRRGLVIVTELCTEELLERIARKPTVCESEIRAYMRQVLEGIHYLHQSHVLHLDV
+KPENLLVWDGAAGEQQVRICDFGNAQELTPGEPQYCQYGTPEFVAPEIVNQSPVSGVTDI
+WPVGVVAFLCLTGISPFVGENDRTTLMNIRNYNVAFEETTFLSLSREARGFLIKVLVQDR
+LRPTAEETLEHPWF
+>Q15772_PF07679_2584 <unknown description>
+PVFHIKLKDQVLLEGEAATLLCLPAACPAPHISWMKDKKSLRSEPSVIIVSCKDGRQLLS
+IPRAGKRHAGLYECSATNVLGSITSSCTVAV
+>Q15772_PF00069_2974 <unknown description>
+RGRFGVVRACRENATGRTFVAKIVPYAAEGKRRVLQEYEVLRTLHHERIMSLHEAYITPR
+YLVLIAESCGNRELLCGLSDRFRYSEDDVATYMVQLLQGLDYLHGHHVLHLDIKPDNLLL
+APDNALKIVDFGSAQPYNPQALRPLGHRTGTLEFMAPEMVKGEPIGSATDIWGAGVLTYI
+MLSGRSPFYEPDPQETEARIVGGRFDAFQLYPNTSQSATLFLRKVLSVHPWSRPSLQDCL
+AHPWL
+>Q8N4L4_PF15670_18 <unknown description>
+NSNSCQDLGNSVLLLLGLIICINISINIVTLLWSRFRGVLYQVFHDTICEKEAPKSSLLR
+KQTQPPKKQSSPAVHLRCTMDPVMMTVSPPPAH
+>Q0P670_PF15670_1 <unknown description>
+MENQLWHNTVRCCNQYQESPHDAEDILLLLLGLIVLVNIGINVATMMWHGLQNALDKMID
+WATQKNEIQASESPPSGPPDKAQDVHIHCILDPVQVKMSRPTQYSSFSCHHFSNHHSSSL
+LRCVRRRRRRHRRCRRRCCNHQQRPQNYRQIPHSHSVFRNPHRSQKMSQLHRVPFFDQED
+PDSYLEEEDNLPFPYPKYPRRGWGGFYQRAGLPSNVGLWGHQGGILASLPPPSLYLSPEL
+RCMPKRVEARSELRLQSY
+>A0A1B0GUW6_PF15670_6 <unknown description>
+YHGAQVCSGTNPRKCQDLGDSILLLLGSFILLNVWINVVTLLWKHLKSSLRILFRHFFPK
+DKQPS
+>Q76KD6_PF15058_1 <unknown description>
+MSLLTNYEGLRHQIERLVRENEELKKLVRLIRENHELKSAIKTQAGGLGISGFTSGLGEA
+TAGLSSRQNNGVFLPPSPAVANERVLEEVGIMALAPLAEMLTSLQPSATPGSLMSPLTGT
+LSTLLSGPAPTSQSSPLTSFLT
+>Q76KD6_PF15058_134 <unknown description>
+SSPLTSFLTSPIAGPLTGTLASSLGLPSTGTLTPSSLVAGPVAMSQSSPLIAPVMGTVAV
+SLSSPLLSSTATPPGVSQNLLANPMSNLVLPEAPR
+>Q76KD6_PF15059_445 <unknown description>
+LVGEIAFQLDRRILSSIFPERVRLYGFTVSNIPEKIIQASLNPSDHKLDEKLCQRLTQRY
+VSVMNRLQSLGYNGRVHPALTEQLVNAYGILRERPELAASEGGPYTVDFLQRVVVETVHP
+GMLADALLLLSCLSQLAHDDGKPMFIW
+>Q6UW49_PF15754_20 <unknown description>
+YPSITVTPDEEQNLNHYIQVLENLVRSVPSGEPGREKKSNSPKHVYSIASKGSKFKELVT
+HGDASTENDVLTNPISEETTTFPTGGFTPEIGKKKHTESTPFWSIKPNNVSIVLHAEEPY
+IENEEPEPEPEPAAKQTEAPRMLPVVTESSTSPYVTSYKSPVTTLDKSTGIGISTESEDV
+PQLSGETAIEKPEEFGKHPESWNNDDILKKILDINSQVQQALLSDTSNPAYREDIEASKD
+HLKRSLALAAAAEHKLKTMYKSQLLPVGRTSNKIDDIETVINMLCNSRSKLYEYLDIKCV
+PPEMREKAATVFNTLKNMC
+>O75934_PF05700_12 <unknown description>
+VVDALPYFDQGYEAPGVREAAAALVEEETRRYRPTKNYLSYLTAPDYSAFETDIMRNEFE
+RLAARQPIELLSMKRYELPAPSSGQKNDITAWQECVNNSMAQLEHQAVRIENLELMSQHG
+CNAWKVYNENLVHMIEHAQKELQKLRKHIQDLNWQRKNMQLTAGSKLREMESNWVSLVSK
+NYEIERTIVQLENEIYQIKQQHGE
+>O75940_PF06003_66 <unknown description>
+STQPTHSWKVGDKCMAVWSEDGQCYEAEIEEIDEENGTAAITFAGYGNAEVTPLLNLKPV
+EEGRKAKEDSGNKPMSKKEMIAQQREYKKKKALKKAQ
+>Q96I25_PF01585_237 <unknown description>
+TVAHKIMQKYGFREGQGLGKHEQGLSTALSVEKTSKRGGK
+>Q96I25_PF00076_328 <unknown description>
+EECEKYGKVGKCVIFEIPGAPDDEAVRIFLEFERVESAIKAVVDLNGRYFGGRVVK
+>Q8N0X2_PF00400_392 <unknown description>
+GHTDWLSDCCFHPSGDKLATSSGDTTVKLWD
+>Q8N0X2_PF00400_426 <unknown description>
+GDCILTFEGHSRAVWSCTWHSCGNFVASSSLDKTSKIWD
+>Q8N0X2_PF00400_469 <unknown description>
+RCRCTLYGHTDSVNSIEFFPFSNTLLTSSADKTLSIWD
+>Q8N0X2_PF00400_512 <unknown description>
+CEQSLYGHMHSINDAIFDPRGHMIASCDACGVTKLWD
+>Q8N0X2_PF00400_596 <unknown description>
+IHKLMGHENEAHTVVFSHDGEILFSGGSDGTVRTWS
+>Q6Q759_PF14874_2076 <unknown description>
+FHLLPSSVKFGVLKEGHTYATVVKLKNVGVDFCRFKVKQPPPSTGLKVTYKPGPVAAGMQ
+TELNIELFATAVGED
+>Q9NZD8_PF00561_87 <unknown description>
+FCDGFRKLLDHLQLDKVHLFGASLGGFLAQKFAEYTHKSPRVHSLILCNSFSDTSIFNQT
+WTANSFWLMPAFM
+>Q9UQ90_PF06480_144 <unknown description>
+TLLVIAVVMSLLNALSTSGGSISWNDFVHEMLAKGEVQRVQVVPESDVVEVYLHPGAVVF
+GRPRLALMYRMQVANIDKFEEKLRAAEDELNIEA
+>Q9UQ90_PF00004_346 <unknown description>
+LLLGPPGCGKTLLAKAVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIV
+YIDEIDAVGKKRSTTMSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADILDGAL
+MRPGRLDRHVFID
+>Q9UQ90_PF17862_508 <unknown description>
+RLAELTPGFSGADIANICNEAALHAAREGHTSVHTLNFE
+>Q9UQ90_PF01434_562 <unknown description>
+ILSKEEQKVVAFHESGHALVGWMLEHTEAVMKVSITPRTNAALGFAQMLPRDQHLFTKEQ
+LFERMCMALGGRASEALSFNEVTSGAQDDLRKVTRIAYSMVKQFGMAPGIGPISFPEAQE
+GLMGIGRRPFSQGLQQMMDHEARLLVAKAYRHTEKVLQDNLDKLQALANALLEKEVINYE
+DIEA
+>Q9NYA1_PF00781_16 <unknown description>
+RVLVLLNPRGGKGKALQLFRSHVQPLLAEAEISFTLMLTERRNHARELVRSEELGRWDAL
+VVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPAGSGNALAASLNHYAGYEQ
+>Q9NRA0_PF00781_182 <unknown description>
+RLLLLVNPFGGRGLAWQWCKNHVLPMISEAGLSFNLIQTERQNHARELVQGLSLSEWDGI
+VTVSGDGLLHEVLNGLLDRPDWEEAVKMPVGILPCGSGNALAGAVNQHGGF
+>Q9NRA0_PF19279_544 <unknown description>
+ISPSHLGADLVAAPHARFDDGLVHLCWVRSGISRAALLRLFLAMERGSHFSLGCPQLGYA
+AARAFRLEPLTPRGVLTVDGEQVEYGPLQAQMHPGIGTL
+>P51688_PF00884_23 <unknown description>
+RNALLLLADDGGFESGAYNNSAIATPHLDALARRSLLFRNAFTSVSSCSPSRASLLTGLP
+QHQNGMYGLHQDVHHFNSFDKVRSLPLLLSQAGVRTGIIGKKHVGPETVYPFDFAYTEEN
+GSVLQVGRNITRIKLLVRKFLQTQDDRPFFLYVAFHDPHRCGHSQPQYGTFCEKFGNGES
+GMGRIPDWTPQAYDPLDVLVPYFVPNTPAARADLAAQYTTVGRMDQGVGLVLQELRDAGV
+LNDTLVIFTSDNGIPFPSGRTNLYWPGTAEPLLVSSPEHPKRWGQVSEAYVSLLDLTPTI
+LDWFS
+>P51688_PF16347_417 <unknown description>
+AGQPTGWYKDLRHYYYRARWELYDRSRDPHETQNLATDPRFAQLLEMLRDQLAKWQWETH
+>P17947_PF00178_171 <unknown description>
+RLYQFLLDLLRSGDMKDSIWWVDKDKGTFQFSSKHKEALAHRWGIQKGNRKKMTYQKMAR
+ALRNYGKTGEVKKVKKKLTYQF
+>Q99865_PF02513_50 <unknown description>
+VGCRISHGWKEGDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELH
+>Q99865_PF02513_129 <unknown description>
+IGKAVEHMFEGEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLL
+>Q99865_PF02513_210 <unknown description>
+IGKHVEYTKEDGSKRIGMVIHQVETKPSVYFIKFDDDFHIYVYDLV
+>Q9BPZ2_PF02513_50 <unknown description>
+VGCRISHGWKEGDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELH
+>Q9BPZ2_PF02513_129 <unknown description>
+IGKAVEHMFEGEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLL
+>Q9BPZ2_PF02513_210 <unknown description>
+IGKHVEYTKEDGSKRIGMVIHQVEAKPSVYFIKFDDDFHIYVYDLV
+>O75830_PF00079_25 <unknown description>
+KNTEFAVDLYQEVSLSHKDNIIFSPLGITLVLEMVQLGAKGKAQQQIRQTLKQQETSAGE
+EFFVLKSFFSAISEKKQEFTFNLANALYLQEGFTVKEQYLHGNKEFFQSAIKLVDFQDAK
+ACAEMISTWVERKTDGKIKDMFSGEEFGPLTRLVLVNAIYFKGDWKQKFRKEDTQLINFT
+KKNGSTVKIPMMKALLRTKYGYFSESSLNYQVLELSYKGDEFSLIIILPAEGMDIEEVEK
+LITAQQILKWLSEMQEEEVEISLPRFKVEQKVDFKDVLYSLNITEIFSGGCDLSGITDSS
+EVYVSQVTQKVFFEINEDGSEAATSTGIHIPVIMSLAQSQFIANHPFLFIMKHNPTESIL
+FMGRVTNP
+>Q01892_PF00178_170 <unknown description>
+RLYQFLLGLLTRGDMRECVWWVEPGAGVFQFSSKHKELLARRWGQQKGNRKRMTYQKLAR
+ALRNYAKTGEIRKVKRKLTYQF
+>Q8N0Z3_PF15678_36 <unknown description>
+VTDLTVHRATPEDLVRRHEIHKSKNRALVHWELQEKALKRKWRKQKPETLNLEKRRLSIM
+KEILSDQYQMQDVLEKSDHLIAAAKELFPRRRTGFPNVTVAPDSSQGPIVVNQDPITQSI
+FNESVIEPQALNDVDGEEEGTVNSQSGESENENELDNSLNSQSNTNTDRFLQQLTEENFE
+LISKLWTDIQQKIATQSQITPPGTPSSALSSGEQRAALNATNAVKRLQTRLQPEESTETL
+DSSYVVGHVLNSRKQKQLLNKVKRKPNLHALSKPKKNISSGSTTSADLPNRTNSNLDVLK
+HMIHEVEHEMEEYERWTGREVKGLQSSQGLTGFTLSLVSSLCRLVRYLKESEIQLRKEVE
+TRQQLEQVLGDHRELIDALTAEILRLREENAATQARLQQ
+>Q8N5J4_PF00178_112 <unknown description>
+RLFEYLHESLYNPEMASCIQWVDKTKGIFQFVSKNKEKLAELWGKRKGNRKTMTYQKMAR
+ALRNYGRSGEITKIRRKLTYQF
+>Q14159_PF14950_11 <unknown description>
+KRKRSWNTECPSFPGERPLQVRRAGLRTAGAAASLSEAWLRCGEGFQNTSGNPSLTAEEK
+TITEKHLELCPRPKQETTTSKSTSGLTDITWSSSGSDLSDEDKTLSQLQRDELQFIDWEI
+DSDRAEASDCDEFEDDEGAVEISDCASCASNQSLTSDEKLSELPKPSSIEILEYSSDSEK
+EDDLENVLLIDSESPHKYHVQFASDARQIMERLIDPRTKSTETILHTPQKPTAKFPRTPE
+NSAKKKLLRGGLAERLNGLQNRERSAISLWRHQCISYQKTLSGRKSGVLTVKILELHEEC
+AMQVAMCEQLLGSPATSSSQSVAPRPGAGLKVLFTKETAGYLRGRPQDTVRIFPPWQKL
+>Q14159_PF14951_521 <unknown description>
+QDACGMFGEVHLEFTMSKARQLEGKSCSLVGMKVLQKVTRGRTAGIFSLIDTLWPPAIPL
+KTPGRDQPCEEIKTHLPPPALCYILTAHPNLGQIDIIDEDPIYKLYQPPVTRCLRDILQM
+NDLGTRCSFYATVIYQKPQLKSLLLLEQREIWLLVTDVTLQTKEERDPRLPKTLLVYVAP
+LCVLGSEVLEALAGAAPHSLFFKDALRDQGRIVCAERTVLLLQKPLLSVVSGASSCELPG
+PVMLDSLDSATPVNSICSVQGTVVGVDESTAFSWPVCDMCGNGRLEQRPEDRGAFSCGDC
+SRVVTSPVLKRHLQVFLDCRSRPQCRVKVKLLQRSISSLLRFAAGEDGSYEVKSVLGKEV
+GLLNCFVQSVTAHPTSCIGLEEIEL
+>Q9Y657_PF02513_54 <unknown description>
+VGCRIQHGWKEGNGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLEL
+>Q9Y657_PF02513_133 <unknown description>
+IGKAVEHMFETEDGSKDEWRGMVLARAPVMNTWFYITYEKDPVLYMYQLL
+>Q9Y657_PF02513_214 <unknown description>
+VGKQVEYAKEDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLV
+>Q5JUX0_PF02513_50 <unknown description>
+VGCRIQHGWKDGDEPLTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELH
+>Q5JUX0_PF02513_129 <unknown description>
+VGKAVEHIFETEEGSKNEWRGMVLAQAPVMNTWFYITYEKDPVLYMYQLL
+>Q5JUX0_PF02513_210 <unknown description>
+VGKQVEYAKDDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLV
+>Q56A73_PF02513_41 <unknown description>
+VGCRIQHGWKEGNEPVEQWKGTVLEQVSVKPTLYIIKYDGKDSVYGLELH
+>Q56A73_PF02513_119 <unknown description>
+IGKAVEHVFEGEHGTKDEWKGMVLARAPVMDTWFYITYEKDPVLYMYTLL
+>Q56A73_PF02513_201 <unknown description>
+VGKQVEHAKDDGSKRTGIFIHQVVAKPSVYFIKFDDDIHIYVYGLV
+>Q08AE8_PF16474_40 <unknown description>
+LSLEEILRLYNQPINEEQAWAVCYQCCGSLRAAARRRQPRHRVRSAAQIRVWRDGAVTLA
+PAADDAGEPPPVAGKLGYSQCMETEVIESLGIIIYKALDYGLKENEERELSPPLEQLIDH
+MANTVEADGSNDEGYEAAEEGLGDEDEKRKISAIRSYRDVMKLCAAHLPTESDAPNHYQA
+VCRALFAETMELHTFLT
+>Q8WWL2_PF16474_22 <unknown description>
+LSLEEVLKAYEQPLNEEQAWAVCFQGCRGLRGSPGRRLRDTGDLLLRGDGSVGAREPEAA
+EPATMVVPLASSEAQTVQSLGFAIYRALDWGLDESEERELSPQLERLIDLMANNDSEDSG
+CGAADEGYGGPEEEEEAEGVPRSVRTFAQAMRLCAARLTDPRGAQAHYQAVCRALFVETL
+ELRAFL
+>O43278_PF07502_49 <unknown description>
+DCLNSFTAGVPGFVLDTNASVSNGATFLESPTVRRGWDCVRACCTTQNCNLALVELQPDR
+GEDAIAACFLINCLYEQNFVCKFAPREGFI
+>O43278_PF00014_250 <unknown description>
+CLASNKVGRCRGSFPRWYYDPTEQICKSFVYGGCLGNKNNYLREEECILACR
+>O43278_PF00057_318 <unknown description>
+TCQPTQFRCSNGCCIDSFLECDDTPNCPDASDEAAC
+>O43278_PF00014_375 <unknown description>
+CVDLPDTGLCKESIPRWYYNPFSEHCARFTYGGCYGNKNNFEEEQQCLESCR
+>O43291_PF00014_37 <unknown description>
+FCLVSKVVGRCRASMPRWWYNVTDGSCQLFVYGGCDGNSNNYLTKEECLKKC
+>O43291_PF00014_133 <unknown description>
+CTANAVTGPCRASFPRWYFDVERNSCNNFIYGGCRGNKNSYRSEEACMLRC
+>P49223_PF00014_35 <unknown description>
+VCAFPMEKGPCQTYMTRWFFNFETGECELFAYGGCGGNSNNFLRKEKCEKFCK
+>Q6UDR6_PF00014_41 <unknown description>
+CKLDMNFGSCYEVHFRYFYNRTSKRCETFVFSGCNGNLNNFKLKIEREVAC
+>Q2M3C7_PF05716_1595 <unknown description>
+SGPPTGTASPQRSLLVINFDLEPECPDAELRATLQWIAASELGIPTIYFKKSQENRIEKF
+LDVVQLVHRKSWKVGDIFHAVVQYCKMHEEQKDGRLSLFDWL
+>O95149_PF11538_25 <unknown description>
+HPRLSQYKSKYSSLEQSERRRRLLELQKSKRLDYVNHARR
+>Q9NZQ3_PF00018_3 <unknown description>
+RALYAFRSAEPNALAFAAGETFLVLERSSAHWWLAARARSGETGYVP
+>Q9NZQ3_PF09431_548 <unknown description>
+EQLPDLCVNLLLALNLHLPAADQNVIMAALSKHANVKIFSEKLLLLLNRGDDPVRIFKHE
+PQPPHSVLKFLQDVFGSPATAAIFYHTDMMALIDITVRHIADLSPGDKLRMEYLSLMHAI
+VRTTPYLQHRHRLPD
+>Q9BUA3_PF18658_71 <unknown description>
+VSWEQEFLVGSSPGGSGRALCMVCGAEIRAPSADTARSHILEQHPHTLDLSPSEKSNILE
+AWSE
+>Q9H2V7_PF07690_68 <unknown description>
+INLLNYMDRFTVAGVLPDIEQFFNIGDSSSGLIQTVFISSYMVLAPVFGYLGDRYNRKYL
+MCGGIAFWSLVTLGSSFIPGEHFWLLLLTRGLVGVGEASYSTIAPTLIADLFVADQRSRM
+LSIFYFAIPVGSGLGYIAGSKVKDMAGDWHWALRVTPGLGVVAVLLLFLVVREPPRGAVE
+RHSDLPPLNPTSWWADLRALARNPSFVLSSLGFTAVAFVTGSLALWAPAFLLRSRVVLGE
+TPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRHSNPRADPLVCATGLLGSA
+PFLFLSLACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPT
+>Q8IVW8_PF07690_109 <unknown description>
+SLGNVLNYLDRYTVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRK
+VILSCGIFFWSAVTFSSSFIPQQYFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRT
+LMLSVFYFAIPLGSGLGYITGSSVKQAAGDWHWALRVSPVLGMITGTLILILVPATKRGH
+ADQLGDQLKARTSWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQK
+TAETCNSPPCGAKDSLIFGAITCFTGFLGVVTGAGATRWCRLKTQRADPLVCAVGMLGSA
+IFICLIFVAAKSSIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSH
+LL
+>Q6ZMD2_PF07690_54 <unknown description>
+INLLNYMNWFIIAGVLLDIQEVFQISDNHAGLLQTVFVSCLLLSAPVFGYLGDRHSRKAT
+MSFGILLWSGAGLSSSFISPRYSWLFFLSRGIVGTGSASYSTIAPTVLGDLFVRDQRTRV
+LAVFYIFIPVGSGLGYVLGSAVTMLTGNWRWALRVMPCLEAVALILLILLVPDPPRGAAE
+TQGEGAVGGFRSSWCEDVRYLGKNWSFVWSTLGVTAMAFVTGALGFWAPKFLLEARVVHG
+LQPPCFQEPCSNPDSLIFGALTIMTGVIGVILGAEAARRYKKVIPGAEPLICASSLLATA
+PCLYLALVLAPTTLLASYVFLGLGELLLSCNWAVVADILLSVVVPRCRGTAEALQITVGH
+I
+>Q9NS26_PF07458_1 <unknown description>
+MDKQSSAGGVKRSVPCDSNEANEMMPETPTGDSDPQPAPKKMKTSESSTILVVRYRRNFK
+RTSPEELLNDHARENRINPLQMEEEEFMEIMVE
+>Q9NS25_PF07458_1 <unknown description>
+MGQQSSVRRLKRSVPCESNEANEANEANKTMPETPTGDSDPQPAPKKMKTSESSTILVVR
+YRRNVKRTSPEELLNDHARENRINPDQMEEEEFIEITTE
+>Q9NY87_PF07458_1 <unknown description>
+MDKQSSAGGVKRSVPCDSNEANEMMPETSSGYSDPQPAPKKLKTSESSTILVVRYRRNVK
+RTSPEELVNDHARENRINPLQMEEEEFMEIMVE
+>Q9BXN6_PF07458_1 <unknown description>
+MDKQSSAGGVKRSVPCDSNEANEMMPETSSGYSDPQPAPKKLKTSESSTILVVRYRRNFK
+RTSPEELVNDHARKNRINPLQMEEEEFMEIMVE
+>Q9Y5K1_PF03533_2 <unknown description>
+AFAPMGPEASFFDVLDRHRESLLAALRRGGREPPTGGSRLASS
+>Q9Y5K1_PF04406_109 <unknown description>
+QKFSLILKILSMIYKLVQSNTYATKRDIYYTDSQLFGNQTVVDNIINDISCMLKVSRRSL
+HI
+>Q5VVC0_PF15162_10 <unknown description>
+KWTTTIIISSSLKSYEVATALENRSHKVRYSDSVENGSIIFSLSGVAFLLMDTKECLLST
+EEIFLAKIEKFINIHQNSFLVLSAALHGPEEWKLMFRIQQRFLGCNLRILPVHNTVNAIN
+LMCTIAKTTSKPYIDSICYRMITAKAYIIEQSPVWKTLQKI
+>Q6ZMY3_PF07500_608 <unknown description>
+VRGTVVRSMQEVLWTRLRELPDPVLSEEVVEGIAAGIEAALWDLTQGTNGRYKTKYRSLL
+FNLRDPRNLDLFLKVVHGDVTPYDLVRMSSMQLAPQELARWRDQEEKRGL
+>Q6ZMY3_PF07744_859 <unknown description>
+KALPCLPPWEGVLDMFSIKRFRARAQLVSGHSCRLVQALPTVIRSAGCIPSNIVWDLLAS
+ICPAKAKDVCVVRLCPHGARDTQNCRLLYSYLNDRQRHGLASVEHMGMVLLPLPAFQPLP
+TRLRPLGGPGLWALPVSPLLSPGLEVTHSSLLLAVLLP
+>Q9HCB6_PF02014_58 <unknown description>
+YTEFSLRVEGDPDFYKPGTSYRVTLSAAPPSYFRGFTLIALRENREGDKEEDHAGTFQII
+DEEETQFMSNCPVAVTESTPRRRTRIQVFWIAPPAGTGCVILKASIVQKRIIYF
+>Q9HCB6_PF06468_205 <unknown description>
+AKYRLTFYGNWSEKTHPKDYPRRANHWSAIIGGSHSKNYVLWEYGGYASEGVKQVAELGS
+PVKMEEEIRQQSDEVLTVIKAKAQWPAWQPLNVRAAPSAEFSVDRTRHLMSFLTMMGPSP
+DWNVGLSAEDLCTKECGWVQKVVQDLIPWDAGTDSGVTYESPNKPTIPQEKIRPLTSLDH
+PQSPFYDPEGGSI
+>Q9HCB6_PF00090_446 <unknown description>
+SNWSPWSACSSSTCDKGKRMRQRMLKAQLDLSVPCPDTQDFQPCMGPGC
+>Q9HCB6_PF00090_505 <unknown description>
+SEWITWSPCSISCGMGMRSRERYVKQFPEDGSVCTLPTEETEKCTVNEEC
+>Q9HCB6_PF00090_563 <unknown description>
+EWGEWDECSATCGMGMKKRHRMIKMNPADGSMCKAETSQAEKCMMPEC
+>Q9HCB6_PF00090_618 <unknown description>
+SPWSEWSDCSVTCGKGMRTRQRMLKSLAELGDCNEDLEQVEKCMLPEC
+>Q9HCB6_PF19028_669 <unknown description>
+CELTEWSQWSECNKSCGKGHVIRTRMIQMEPQFGGAPCPETVQRKKCRIRKC
+>Q9HCB6_PF00090_759 <unknown description>
+PWTAWSECTKLCGGGIQERYMTVKKRFKSSQFTSCKDKKEIRACNVHPC
+>Q9BUD6_PF06468_41 <unknown description>
+AKYSITFTGKWSQTAFPKQYPLFRPPAQWSSLLGAAHSSDYSMWRKNQYVSNGLRDFAER
+GEAWALMKEIEAAGEALQSVHAVFSAPAVPSGTGQTSAELEVQRRHSLVSFVVRIVPSPD
+WFVGVDSLDLCDGDRWREQAALDLYPYDAGTDSGFTFSSPNFATIPQDTVTEITSSSPSH
+PANSFYYPRLKALPP
+>Q9BUD6_PF19028_278 <unknown description>
+CEVSLWSSWGLCGGHCGRLGTKSRTRYVRVQPANNGSPCPELEEEAECVPDNC
+>Q6IQ16_PF00917_65 <unknown description>
+MKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVRAKFKFSLLNAKREETKAMESQRAYR
+FVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEV
+>Q6IQ16_PF00651_192 <unknown description>
+NLWENTRFTDCSFFVRGQEFKAHKSVLAARSPVFNAMFEHEMEESKKNRVEINDLDPEVF
+KEMMRFIYTGRAPNLDKMADNLLAAADKYALERLKVMCEEALCSN
+>O43791_PF00917_65 <unknown description>
+LKWCLRVNPKGLDEESKDYLSLYLLLVSCPKSEVRAKFKFSILNAKGEETKAMESQRAYR
+FVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEV
+>O43791_PF00651_193 <unknown description>
+LWENSRFTDCCLCVAGQEFQAHKAILAARSPVFSAMFEHEMEESKKNRVEINDVEPEVFK
+EMMCFIYTGKAPNLDKMADDLLAAADKYALERLKVMCEDALCSN
+>Q13103_PF07448_73 <unknown description>
+VLDENNLVMNLEFSIRETTCRKDSGEDPATCAFQRDYYVSTAVCRSTVKVSAQQVQGVHA
+RCSW
+>Q8TCT8_PF02225_83 <unknown description>
+IKSKAVVVPWGSCHFLEKARIAQKGGAEAMLVVNNSVLFPPSGNRSEFPDVKILIAFISY
+KDF
+>Q8TCT8_PF04258_210 <unknown description>
+KKKEEYLTFSPLTVVIFVVICCVMMVLLYFFYKWLVYVMIAIFCIASAMSLYNCLAALIH
+KIPYGQCTIACRGKNMEVRLIFLSGLCIAVAVVWAVFRNEDRWAWILQDILGIAFCLNLI
+KTLKLPNFKSCVILLGLLLLYDVFFVFITPFITKNGESIMVELAAGPFGNNEKLPVVIRV
+PKLIYFSVMSVCLMPVSILGFGDIIVPGLLIAYCRRFDVQTGSSYIYYVSSTVAYAIGMI
+LTFVVLVLMKKGQPALLYLVPCTLITASVVAWRRKEMKKFWKGNS
+>Q8TCT7_PF02225_76 <unknown description>
+CSAADLPARGFSNQIPLVARGNCTFYEKVRLAQGSGARGLLIVSRERLVPPGGNKTQYDE
+IGIPVALLSYKDMLD
+>Q8TCT7_PF04258_213 <unknown description>
+KQEDEAVDVTPVMTCVFVVMCCSMLVLLYYFYDLLVYVVIGIFCLASATGLYSCLAPCVR
+RLPFGKCRIPNNSLPYFHKRPQARMLLLALFCVAVSVVWGVFRNEDQWAWVLQDALGIAF
+CLYMLKTIRLPTFKACTLLLLVLFLYDIFFVFITPFLTKSGSSIMVEVATGPSDSATREK
+LPMVLKVPRLNSSPLALCDRPFSLLGFGDILVPGLLVAYCHRFDIQVQSSRVYFVACTIA
+YGVGLLVTFVALALMQRGQPALLYLVPCTLVTSCAVALWRRELGVFWTGS
+>Q8IUH8_PF04258_250 <unknown description>
+DFTPAMTGVVVTLSCSLMLLLYFFYDHFVYVTIGIFGLGAGIGLYSCLSPLVCRLSLRQY
+QRPPHSLWASLPLPLLLLASLCATVIIFWVAYRNEDRWAWLLQDTLGISYCLFVLHRVRL
+PTLKNCSSFLLALLAFDVFFVFVTPFFTKTGESIMAQVALGPAESSSHERLPMVLKVPRL
+RVSALTLCSQPFSILGFGDIVVPGFLVAYCCRFDVQVCSRQIYFVACTVAYAVGLLVTFM
+AMVLMQMGQPALLYLVSSTLLTSLAVAACRQELSLFWTGQ
+>Q8TCT6_PF04258_61 <unknown description>
+TNNSIQTIDSTQALFLPIGASVSLLVMFFFFDSVQVVFTICTAVLATIAFAFLLLPMCQY
+LTRPCSPQNKISFGCCGRFTAAELLSFSLSVMLVLIWVLTGHWLLMDALAMGLCVAMIAF
+VRLPSLKVSCLLLSGLLIYDVFWVFFSAYIFNSNVMVKVATQPADNPLDVLSRKLHLGPN
+VGRDVPRLSLPGKLVFPSSTGSHFSMLGIGDIVMPGLLLCFVLRYDNYKKQASGDSCGAP
+GPANISGRMQKVSYFHCTLIGYFVGLLTATVASRIHRAAQPALLYLVPFTLLPLLTMAYL
+KGDLRRMWSEPF
+>P35321_PF02389_17 <unknown description>
+QQQQVKQPCQPPPQEPCIPKTKEPCHPKVPEPCHPKVPEPCQPKVPEPCQPKVPEPC
+>P35321_PF02389_59 <unknown description>
+PKVPEPCQPKVPEPCPSTVTPAPAQQKTK
+>P22528_PF02389_17 <unknown description>
+QQQQVKQPCQPPPQEPCIPKTKEPCHPKVPEPCHPKVPEPCQPKVPEPCHPKVPEPC
+>P22528_PF02389_65 <unknown description>
+CHPKVPEPCPSIVTPAPAQQKTK
+>P35326_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKYPPVTPSP
+PCQSKYPP
+>P35325_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKYPPVTPSP
+PCQPKYPP
+>P22532_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPSPKCPQPCPPQQCQQKYPPVTPSP
+PCQPKCPP
+>P22531_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKCPPVTPSP
+PCQPKCPP
+>Q96RM1_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPAPKCPEPCPPPKCPEPCPPSKCPQSCPPQQCQQKCPPVTPSP
+PCQPKCPP
+>Q9BYE4_PF14820_2 <unknown description>
+SYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPYLPPPCPPEHCPPPPCQDKCPPVQPY
+PPCQQKYPP
+>P09486_PF09289_72 <unknown description>
+CQNHHCKHGKVCELDENNTPMC
+>P09486_PF00050_95 <unknown description>
+CQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPC
+>P09486_PF10591_154 <unknown description>
+PCLDSELTEFPLRMRDWLKNVLVTLYERDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPV
+ELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETC
+DLDNDKYIALDEWAGC
+>Q7Z699_PF00568_17 <unknown description>
+VRAVVMTRDDSSGGWLPLGGSGLSSVTVFKVPHQEENGCADFFIRGERLRDKMVVLECML
+KKDLIYNKVTPTFHHWKIDDKKFGLTFQSPADARAFDRGIR
+>Q7Z699_PF05210_332 <unknown description>
+RSRCVYCQERFNHEENVRGKCQDAPDPIKRCIYQVSCMLCAESMLYHCMSDSEGDFSDPC
+SCDTSDDKFCLRWLALVALSFIVPCMCCYVPLRMCHRCGEACGCC
+>Q7Z698_PF00568_14 <unknown description>
+VRVKAVVMTRDDSSGGWFPQEGGGISRVGVCKVMHPEGNGRSGFLIHGERQKDKLVVLEC
+YVRKDLVYTKANPTFHHWKVDNRKFGLTFQSPADARAFDRGVRKA
+>Q7Z698_PF05210_306 <unknown description>
+RSRCVYCRDMFNHEENRRGHCQDAPDSVRTCIRRVSCMWCADSMLYHCMSDPEGDYTDPC
+SCDTSDEKFCLRWMALIALSFLAPCMCCYLPLRACYHCGVMCRCC
+>Q2MJR0_PF00568_44 <unknown description>
+RQGHYVIHGERLRDQKTTLECTLKPGLVYNKVNPIFHHWSLGDCKFGLTFQSPAEADEFQ
+KSL
+>Q2MJR0_PF05210_295 <unknown description>
+ARCVHCRALFRRRADGRGGRCAEAPDPGRLLVRRLSCLWCAESLLYHCLSDAEGDFSDPC
+ACEPGHPRPAARWAALAALSLAVPCLCCYAPLRACHWVAARCGCAGC
+>P35270_PF00106_9 <unknown description>
+VCLLTGASRGFGRTLAPLLASLLSPGSVLVLSARNDEALRQLEAELGAERSGLRVVRVPA
+DLGAEAGLQQLLGALRELPRPKGLQRLLLINNAGSLGDVSKGFVDLSDSTQVNNYWALNL
+TSMLCLTSSVLKAFPDSPGLNRTVVNISSLCALQPFKGWALYCAGKAARDMLFQVLALEE
+PNVRVLNYAPGPLDTDMQQLARET
+>Q14515_PF09289_433 <unknown description>
+CMSFQCKRGHICKADQQGKPHC
+>Q14515_PF00050_456 <unknown description>
+CQDPVTCPPTKPLDQVCGTDNQTYASSCHLFATKCRLEGTKKGHQLQLDYFGAC
+>Q14515_PF10591_513 <unknown description>
+PTCTDFEVIQFPLRMRDWLKNILMQLYEANSEHAGYLNEKQRNKVKKIYLDEKRLLAGDH
+PIDLLLRDFKKNYHMYVYPVHWQFSELDQHPMDRVLTHSELAPLRASLVPMEHCITRFFE
+ECDPNKDKHITLKEWGHC
+>Q9H741_PF10218_72 <unknown description>
+SNQCRNSIQGKHLITDELGYVCERKDLLVNGCCNVNVPSTKQYCCDGCWPNGCCSAYEYC
+VSCCLQPNKQLLLERFLNRAAVAFQNLFMAVEDHFELCLAKCRTSSQSVQHENTYRDPIA
+KYCY
+>Q5BIV9_PF14999_19 <unknown description>
+CDSGAAKGGRGGARGSARGGVRGGARGASRVRVRPAQRYGAPGSSLRVAAAGAAAGAAAG
+AAAGLAAGSGWRRAAGPGERGLEDEEDGVPGGNGTGPGIYSYRAWTSGAGPTRGPRLCLV
+LGGALGALGLLRP
+>Q9UBC9_PF02389_17 <unknown description>
+QQQQVKQPSQPPPQEIFVPTTKEPCHSKVPQPGNTKIPEPGCTKVPEPGCTKVPEPGCTK
+VPEPGCTKVPEPG
+>Q9UBC9_PF02389_67 <unknown description>
+TKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGYTKVPEPGSIKVPDQGFIKFP
+EPGAIKVPEQGYTKVPVPGYTKLPEPCPSTVTPGPAQQKTK
+>Q9H040_PF10263_46 <unknown description>
+QALFVQFNDQFFWGQLEAVEVKWSVRMTLCAGICSYEGKGGMCSIRLSEPLLKLRPRKDL
+VETLLHEMIHAYLFVTNNDKDREGHGPEFCKHMHRINSLTGANITVYH
+>Q8NCJ5_PF00622_80 <unknown description>
+YFEVSIVDSGVRGTIAVGLVPQYYSLDHQPGWLPDSVAYHADDGKLYNGRAKGRQFGSKC
+NSGDRIGCGIEPVSFDVQTAQIFFTKNGKRVGSTIMPMSPDGLFPAVGMHSLGEEV
+>Q8NCJ5_PF00622_259 <unknown description>
+HYFEVEIVDPGEKCYIALGLARKDYPKNRHPGWSRGSVAYHADDGKIFHGSGVGDPFGPR
+CYKGDIMGCGIMF
+>Q8WW59_PF00622_87 <unknown description>
+RHYWEVTVKRSQQFRIGVADVDMSRDSCIGVDDRSWVFTYAQRKWYTMLANEKAPVEGIG
+QPEKVGLLLEYEAQKLSLVDVSQVSVVHTLQTDFRGPVVPAFALWDG
+>Q5W111_PF00622_64 <unknown description>
+KSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDMHSLVMRNDGALYHNNEEKNRLPANSL
+PQEGDVVGITYDHVELNVYLNGKNMHCPASGIRGTVYPVVYVDDSAILDCQF
+>P49903_PF00586_82 <unknown description>
+LVQTTDYIYPIVDDPYMMGRIACANVLSDLYAMGVTECDNMLMLLGVSNKMTDRERDKVM
+PLIIQGFKDAAEEAGTSVTGGQTVLNPW
+>P49903_PF02769_193 <unknown description>
+PGDVLVLTKPLGTQVAVAVHQWLDIPEKWNKIKLVVTQEDVELAYQEAMMNMARLNRTAA
+GLMHTFNAHAATDITGFGILGHAQNLAKQQRNEVSFVIHNLPVLAKMAAVSKACGNMFGL
+MHGTCPETSGGLLICLPREQAARFCAEIKSPKYGEGHQAWIIGIVEKGNRTARII
+>Q9NUQ6_PF07139_59 <unknown description>
+WNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEAGPLQPQPPQIQNGPMNGCEKDSSST
+DSANEKPALIPREKKISILEEPSKALRGVTEGNRLLQQKLSLDGNPKPIHGTTERSDGLQ
+WSAEQPCNPSKPKAKTSPVKSNTPAAHLEIKPDELAKKRGPNIEKSVKDLQRCTVSLTRY
+RVMIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMEILTARQKKAEELKR
+LTDLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQIMLCGEITHPK
+NNYSSRTPCS
+>Q99611_PF00586_133 <unknown description>
+LVQTTDFFYPLVEDPYMMGRIACANVLSDLYAMGITECDNMLMLLSVSQSMSEEEREKVT
+PLMVKGFRDAAEEGGTAVTGGQTVVNPW
+>Q99611_PF02769_244 <unknown description>
+VGDVLVLTKPLGTQVAVNAHQWLDNPERWNKVKMVVSREEVELAYQEAMFNMATLNRTAA
+GLMHTFNAHAATDITGFGILGHSQNLAKQQRNEVSFVIHNLPIIAKMAAVSKASGRFGLL
+QGTSAETSGGLLICLPREQAARFCSEIKSSKYGEGHQAWIVGIVEKGNRTARII
+>Q96BD6_PF00622_98 <unknown description>
+HVWQITWAMRQRGTHAVVGVATADAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQP
+SKTYPAFLEPDETFIVPDSFLVALDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVW
+GHC
+>Q96BD6_PF07525_233 <unknown description>
+EPLPLMDLCRRSVRLALGRERLGEIHTLPLPASLKAYLL
+>Q99619_PF00622_89 <unknown description>
+HAWEISWPLEQRGTHAVVGVATALAPLQTDHYAALLGSNSESWGWDIGRGKLYHQSKGPG
+APQYPAGTQGEQLEVPERLLVVLDMEEGTLGYAIGGTYLGPAFRGLKGRTLYPAVSAVWG
+QCQVRI
+>Q99619_PF07525_223 <unknown description>
+EPHSLLHLSRLCVRHNLGDTRLGQVSALPLPPAMKRYLL
+>Q6PJ21_PF00622_149 <unknown description>
+HFWEIKMTSPVYGTDMMVGIGTSDVDLDKYRHTFCSLLGRDEDSWGLSYTGLLHHKGDKT
+SFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCIGVAATKLQNKRFYPMVCSTAA
+>Q96A44_PF00622_98 <unknown description>
+HAWQINWPARQRGTHAVVGVATARAPLHSVGYTALVGSDAESWGWDLGRSRLYHDGKNQP
+GVAYPAFLGPDEAFALPDSLLVVLDMDEGTLSFIVDGQYLGVAFRGLKGKKLYPVVSAVW
+GHCEVTM
+>Q96A44_PF07525_233 <unknown description>
+EPLPLMDLCRRSIRSALGRQRLQDISSLPLPQSLKNYLQ
+>P52788_PF17950_21 <unknown description>
+TILKGLQSIFQEQGMAESVHTWQDHGYLATYTNKNGSFANLRIYPHGLVLLDLQSYDGDA
+QGKEEIDSILNKVEERMKELSQDSTGRVKRLPPIVRG
+>P52788_PF17284_123 <unknown description>
+YWPTADGRLVEYDIDEVVYDEDSPYQNIKILHSKQFGNILILSGDVNLAE
+>P52788_PF01564_176 <unknown description>
+AYTRAIMGSGKEDYTGKDVLILGGGDGGILCEIVKLKPKMVTMVEIDQMVIDGCKKYMRK
+TCGDVLDNLKGDCYQVLIEDCIPVLKRYAKEGREFDYVINDLTAVPISTSPEEDSTWEFL
+RLILDLSMKVLKQDGKYFTQGNCVNLTEALSLYEEQLGRLYCPVEFSKEIVCVPSYLELW
+VFYTVWKKA
+>Q96N96_PF00018_779 <unknown description>
+ALWDHVTMDDQELGFKAGDVIQVLEASNKDWWWGRSEDKEAWFPA
+>Q96N96_PF00621_869 <unknown description>
+VIREIMDTERVYIKHLRDICEGYIRQCRKHTGMFTVAQLATIFGNIEDIYKFQRKFLKDL
+EKQYNKEEPHLSEIGSCFLQNQEGFAIYSEYCNNHPGACLELANLMKQGKYRHFFEACRL
+LQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTTQEHGDYSNIKAAYEAMKNVACLIN
+>Q96N96_PF00169_1082 <unknown description>
+IHSGELTKITKQGKSQQRTFFLFDHQLVSCKKDLLRRDMLYYKGRLDMDEMELVDLGDGR
+DKDCNLSVKNAFKLVSRTTDEVYLFCAKKQEDKARWLQA
+>Q9BXB7_PF15015_5 <unknown description>
+SSRSLENAVNRIYHDQLVPKINTSKKMSTLAHPPNILEMSQEIKKNCGGKQVEITLERTK
+MTKGIKEKQSNDLEKAAFKRKAEGEEKPTRKKQAKITELDNQLITMPLPHIPLKNIMDVE
+MKLVYIDEMGVRYEFVESFMSTGSQPTCQAAEIVDPLSVHNFSFLPQIDKWLQVALKDAS
+SCYRQKKYALAAGQFRTALELCSKGAVLGEPFDAPAEDIASVASFIETKLVTCYLRMRKP
+DLALNHAHRSIVLNPAYFRNHLRQATVFRCLERYSEAARSAMIADYMFWLGGGREESISK
+LIKLYWQAMIEEAITRAESFSVMYTPFATKIRADKIEKVKDAFTKTHPAYAEYMYTDLQA
+LHMLPQTVDWSSFPPQQYLLTLGFKNKDDGKFLEKISSRKLPIFTEHKTPFGLTREDTVR
+QMETMGKRILPILDFIRSTQLNGSFPASSGVMEKLQYASLLSQLQRVKEQSQVINQAMAE
+LATIPYLQDISQQEAELLQSLMADAMDTLEGRRNNNERVWNMIQKVGQIEDFLYQLEDSF
+LKTKKLRTARRQKTKMKRLQTVQ
+>Q96L03_PF00612_34 <unknown description>
+DAAVKIQSWFRGCQVRAY
+>Q96L03_PF00612_57 <unknown description>
+RIVTIIQKWWRSFLGRKQYQ
+>Q96L03_PF00612_95 <unknown description>
+AVRIQRRWRGYRVRKY
+>Q7Z5L4_PF15212_25 <unknown description>
+SSDIDVVESEAVSVLHHWLKKTEEEASRGIKEKLSINHPS
+>Q7Z5L4_PF15212_64 <unknown description>
+SQGVREKMSTDSPPTHGQDIHVTRDVVKHHLSKSDLLANQSQEVLEERTRIQFIRWSHTR
+IFQVPSEMTEDIMRDRIEQVRRSISRLTDVSAQDFSMRPSSSDC
+>Q8TB22_PF03190_79 <unknown description>
+NRLIHEKSPYLLQHAYNPVDWYPWGQEAFDKARKENKPIFLSVGYSTCHWCHMMEEESFQ
+NEEIGRLLSEDFVSVKVDREERPDVDKVYMTFVQATSSGGGWPMNVWLTPNLQPFVGGTY
+FPPEDGLTRVGFRTVLLRIREQWKQNKNTLLENSQRVTTAL
+>Q8TB22_PF07221_295 <unknown description>
+SYWLSHRLTQDGSRAQQMALHTLKMMANGGIRDHVGQGFHRYSTDRQWHVPHFEKMLYDQ
+AQLAVAYSQAFQLSGDEFYSDVAKGILQYVARSLSHRSGGFYSAEDADSPPERGQRP
+>Q9BR10_PF15218_1 <unknown description>
+MSYFRTPQTHPGPLPSGQGGAASPGLSLGLCSPVEPVVVASGGTGPLSQKAEQVAPAAQA
+WGPALAMPQARGCPGGTSWETLRKEYSRNCHKFPHVRQLESLGWDNGYSRSRAPDLGGPS
+RPRPLMLCGLSPRVLPVPSEAVGKEASSQPDICILTLAMMIAGIPTVPVPGVREEDLIWA
+AQAFMMAHPEPEGAVEGARWEQAHAHTASGKMPLVRSKRGQPPGSCL
+>Q68D10_PF08243_582 <unknown description>
+KRQREYEEEDDDDDEYDSEMEDFIEDEGEPQEEISKHIREIFGYDRKKYKDESDYALRYM
+ESSWKEQQKEEAKSLRLGMQEDLEEMRREEEEMQRRRAKK
+>Q96LK8_PF15310_138 <unknown description>
+EENHVSACHHSISAQTSKHLFWANKLIQASEHSLQRAINMQLNNGSAGQPIRSPLREAIP
+TNALCSEEQLQIPDAHSAPPTTSSQAPSPLLSSDLPPPIDLTELITFASSLAMASSSRMD
+LPSLEHMMKAPPQEALEPSTEPLLTTVEEREPENHAETLPEKPREARAPLKSWSQEDKNF
+AQSYFDFSKPGIKRATIKGQIQLLQPPATSPLLQGSKEDSVPPGKEKENPLLVKIHFKLS
+APTIPE
+>Q96N06_PF15382_71 <unknown description>
+EKPDVKQKSSRKKVVVPQIIITRASNETLVSCSSSGSDQQRTIREPEDWGPYRRHRNPST
+ADAYNSH
+>Q5T0L3_PF17734_1 <unknown description>
+MENFSLLSISGPPISSSALSAFPDIMFSRATSLPDIAKTAVPTEASSPAQALPPQYQSII
+VRQGIQNTALSPDCSLGDTQHGEKLRRNCTIYRPWFSPYSYFVCADKESQLEAYDFPEVQ
+QDEGKWDNCLSEDMAENICSSSSSPENTCPREATKKSRHGLDSITSQDILMASRWHPAQQ
+NGYKCVACCRMYPTLDFLKSHIKRGFREGFSCKVYYRKLKALWSK
+>A4D263_PF15073_308 <unknown description>
+KPINFVSSSSRSKYIPLYTGHVQSTNADDVDNPLGDIASLAKQRYSKPLYTNTSRAANIP
+GYTGKVHFTATHPANSNIPSTTPSPDSELHRVFQKEMAVDLFRHQAPLSRLVTTVRPYNP
+FNKKDKE
+>P63272_PF06093_13 <unknown description>
+LRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGIIAMMSPEDSWVS
+KWQRVSNFKPGVYAVSVT
+>O00267_PF11942_75 <unknown description>
+FILDEADVDDEYEDEDQWEDGAEDILEKEEIEASNIDNVVLDEDRSGARRLQNLWRDQRE
+EELGEYYMKKYAKSSVGETVYGGSDELSDDITQQQLLP
+>O00267_PF03439_178 <unknown description>
+NLWTVKCKIGEERATAISLMRKFIAYQFTDTPLQIKSVVAPEHVKGYIYVEAYKQTHVKQ
+AIEGVGNLRLGYWNQQMVPIKEMTDVL
+>O00267_PF00467_475 <unknown description>
+GDHVKVIAGRFEGDTGLIVRVEENFVI
+>Q7KZ85_PF14632_38 <unknown description>
+EEEEEENLDDQDEQGNLKGFINDDDDEDEGEEDEGSDSGDSEDDVGHKKRKRTSFDDRLE
+DDDFDLIEENLGVKVKRGQKYRRVKKMSDD
+>Q7KZ85_PF14641_307 <unknown description>
+GAEDDELEEEADWIYRNAFATPTISLQESCDYLDRGQPASSFSRKGPSTIQKIKEALGFM
+RNQHFEVPFIAFYRKEYVEPELHINDLWRVWQWDEKWTQLRIRKENLTRLFEKMQA
+>Q7KZ85_PF14639_775 <unknown description>
+QGKGIRVLGIAFSSARDHPVFCALVNGEGEVTDFLRLPHFTKRRTAWREEEREKKAQDIE
+TLKKFLLNKKPHVVTVAGENRDAQMLIEDVKRIVHELDQGQQLSSIGVELVDNELAILYM
+NSKKSEAEFRDYPPVLRQAVSLARRIQDPLIEFAQVC
+>Q7KZ85_PF14635_935 <unknown description>
+EDILCLKFHPLQEHVVKEELLNALYCEFINRVNEVGVDVNRAIAHPYSQALIQYVCGLGP
+RKGTHLLKILKQNNTRLESRTQLVTMCHMGPKVFMNCAGFLKID
+>Q7KZ85_PF17674_1051 <unknown description>
+EVLDGSRVHPETYEWARKMAVDALEYDESAEDANPAGALEEILENPERLKDLDLDAFAEE
+LERQGYGDKHITLYDIRAELSCRYKDLR
+>Q7KZ85_PF00575_1227 <unknown description>
+GVKTRLDNGVTGFIPTKFLSDKVVKRPEERVKVGMTVHCRIMKIDIEKFSADLTCR
+>Q7KZ85_PF14633_1300 <unknown description>
+YYDFDAEAADHKQEEDMKRKQQRTTYIKRVIAHPSFHNINFKQAEKMMETMDQGDVIIRP
+SSKGENHLTVTWKVSDGIYQHVDVREEGKENAFSLGATLWINSEEFEDLDEIVARYVQPM
+ASFARDLLNHKYYQDCSGGDRKKLEELLIKTKKEKPTFIPYFICACKELPGKFLLGYQPR
+GKPRIEYVTVTPEGFRYRGQIFPTVNGLFRWFKDH
+>P02549_PF00435_53 <unknown description>
+YHLQVFKRDADDLGKWIMEKVNILTDKSYEDPTNIQGKYQKHQSLEAEVQTKSRLMSELE
+KTREERFTMGHSAHEETKAHIEELRHLWDLLLELTLEKGDQLL
+>P02549_PF00435_159 <unknown description>
+KFQQYVQECADILEWIGDKEAIATSVELGEDWERTEVLHKKFEDFQVELVAKEGRVVEVN
+QYANECAEENHPDLPLIQSKQNEVNAAWERLRGLALQRQKALS
+>P02549_PF00435_265 <unknown description>
+NLQRFKRDVTEAIQWIKEKEPVLTSEDYGKDLVASEGLFHSHKGLERNLAVMSDKVKELC
+AKAEKLTLSHPSDAPQIQEMKEDLVSSWEHIRALATSRYEKLQ
+>P02549_PF00435_371 <unknown description>
+WYHRFSSDFDELSGWMNEKTAAINADELPTDVAGGEVLLDRHQQHKHEIDSYDDRFQSAD
+ETGQDLVNANHEASDEVREKMEILDNNWTALLELWDERHRQYEQ
+>P02549_PF00435_477 <unknown description>
+DFHLFYRDSEQVDSWMSRQEAFLENEDLGNSLGSAEALLQKHEDFEEAFTAQEEKIITVD
+KTATKLIGDDHYDSENIKAIRDGLLARRDALREKAATRRRLLKE
+>P02549_PF00435_583 <unknown description>
+LLQKLYEDSDDLKNWINKKKKLADDEDYKDIQNLKSRVQKQQVFEKELAVNKTQLENIQK
+TGQEMIEGGHYASDNVTTRLSEVASLWEELLEATKQKGTQLHE
+>P02549_PF00435_688 <unknown description>
+QQLQFENNAEDLQRWLEDVEWQVTSEDYGKGLAEVQNRLRKHGLLESAVAARQDQVDILT
+DLAAYFEEIGHPDSKDIRARQESLVCRFEALKEPLATRKKKLL
+>P02549_PF00435_794 <unknown description>
+HLQLICRDTEDEEAWIQETEPSATSTYLGKDLIASKKLLNRHRVILENIASHEPRIQEIT
+ERGNKMVEEGHFAAEDVASRVKSLNQNMESLRARAARRQNDLE
+>P02549_PF00435_900 <unknown description>
+QFQQYLADLHEAETWIREKEPIVDNTNYGADEEAAGALLKKHEAFLLDLNSFGDSMKALR
+NQANACQQQQ
+>P02549_PF00018_984 <unknown description>
+ALYDFQARSPREVTMKKGDVLTLLSSINKDWWKVEAADHQGIVPA
+>P02549_PF00435_1087 <unknown description>
+LAYEAGDMLEWIQEKKAENTGVELDDVWELQKKFDEFQKDLNTNEPRLRDINKVADDLLF
+EGLLTPEGAQIRQELNSRWGSLQRLADEQRQLL
+>P02549_PF00435_1185 <unknown description>
+VEVFHREADDTKEQIEKKCQALSAADPGSDLFSVQALQRRHEGFERDLVPLGDKVTILGE
+TAERLSESHPDATEDLQRQKMELNEAWEDLQGRTKDRKESLNE
+>P02549_PF00435_1290 <unknown description>
+KFYLFLSKARDLQNWISSIGGMVSSQELAEDLTGIEILLERHQEHRADMEAEAPTFQALE
+DFSAELIDSGHHASPEIEKKLQAVKLERDDLEKAWEKRKKILD
+>P02549_PF00435_1396 <unknown description>
+ELQMFQGNCDQVESWMVARENSLRSDDKSSLDSLEALMKKRDDLDKAITAQEGKITDLEH
+FAESLIADEHYAKEEIATRLQRVLDRWKALKAQLIDERTKLG
+>P02549_PF00435_1501 <unknown description>
+NLKQFYRDLEELEEWISEMLPTACDESYKDATNIQRKYLKHQTFAHEVDGRSEQVHGVIN
+LGNSLIECSACDGNEEAMKEQLEQLKEHWDHLLERTNDKGKKLNE
+>P02549_PF00435_1608 <unknown description>
+RQQRFNTSIRDFEFWLSEAETLLAMKDQARDLASAGNLLKKHQLLEREMLAREDALKDLN
+TLAEDLLSSGTFNVDQIVKKKDNVNKRFLNVQELAAAHHEKLKE
+>P02549_PF00435_1714 <unknown description>
+ALFQFFQDLDDEESWIEEKLIRVSSQDYGRDLQGVQNLLKKHKRLEGELVAHEPAIQNVL
+DMAEKLKDKAAVGQEEIQLRLAQFVEHWEKLKELAKARGLKLEE
+>P02549_PF00435_1820 <unknown description>
+EYLQFMQNAEEEEAWINEKNALAVRGDCGDTLAATQSLLMKHEALENDFAVHETRVQNVC
+AQGEDILNKVLQEESQNKEISSKIEALNEKTPSLAKAIAAWKLQLED
+>P02549_PF00435_1929 <unknown description>
+AFQEFNWKADVVEAWIADKETSLKTNGNGADLGDFLTLLAKQDTLDASLQSFQQERLPEI
+TDLKDKLISAQHNQSKAIEERYAALLKRWEQLLEASAVHRQKLLE
+>P02549_PF00435_2044 <unknown description>
+LFVEFAHKASALNNWCEKMEENLSEPVHCVSLNEIRQLQKDHEDFLASLARAQADFKCLL
+ELDQQIKALGVPSSPYTWLTVEVLERTWKHLSDIIEEREQELQ
+>P02549_PF00435_2158 <unknown description>
+MCQEFEQNASTFLQWILETRAYFLDGSLLKETGTLESQLEANKRKQKEIQAMKRQLTKIV
+DLGDNLEDALILDIKYSTIGLAQQWDQLYQLGLRMQHNLEQ
+>P02549_PF08726_2350 <unknown description>
+NIKSSDEIENAFQALAEGKSYITKEDMKQALTPEQVSFCATHMQQYMDPRGRSHLSGYDY
+VGFTNSYFG
+>Q8NHX4_PF15662_1 <unknown description>
+MKKVKKKRSEARRHRDSTSQHASSNSTSQQPSPESTPQQPSPESTPQQPSPESTPQHSSL
+ETTSRQPAFQALPAPEIRRSSCCLLSPDANVKAAPQSRKAGPLIRAGPHSCSCATCPCSS
+ACWRRLGLCHSRIFDVLLPRDWQMAPGRGLPNLLTFYRKSSRKPSSHRNACPPSPRNCGC
+GSGGSRSCLLH
+>P11277_PF00307_55 <unknown description>
+VQKKTFTKWVNSHLARVSCRITDLYKDLRDGRMLIKLLEVLSGEMLPKPTKGKMRIHCLE
+NVDKALQFLKEQRVHLENMGSHDIVDGNHRLVLGLIWTIILRFQ
+>P11277_PF00307_174 <unknown description>
+SAKDALLLWCQMKTAGYPHVNVTNFTSSWKDGLAFNALIHKHRPDLIDFDKLKDSNARHN
+LEHAFNVAERQLGIIPLLDPEDVFTENPDEKSIITYVVAFYHYFS
+>P11277_PF00435_303 <unknown description>
+MIEKYSGLASDLLTWIEQTITVLNSRKFANSLTGVQQQLQAFSTYRTVEKPPKFQEKGNL
+EVLLFTIQSRMRANNQKVYTPHDGKLVSDINRAWESLEEAEYRRELALR
+>P11277_PF00435_423 <unknown description>
+LARRFDRKAAMRETWLSENQRLVAQDNFGYDLAAVEAAKKKHEAIETDTAAYEERVRALE
+DLAQELEKENYHDQKRITARKDNILRLWSYLQELLQSRRQRLE
+>P11277_PF00435_529 <unknown description>
+ALQKLFQDMLHSIDWMDEIKAHLLSAEFGKHLLEVEDLLQKHKLMEADIAIQGDKVKAIT
+AATLKFTEGKGYQPCDPQVIQDRISHLEQCFEELSNMAAGRKAQLEQ
+>P11277_PF00435_638 <unknown description>
+RLWKFFWEMDEAESWIKEKEQIYSSLDYGKDLTSVLILQRKHKAFEDELRGLDAHLEQIF
+QEAHGMVARKQFGHPQIEARIKEVSAQWDQLKDLAAFCKKNLQD
+>P11277_PF00435_744 <unknown description>
+NFFQFQGDADDLKAWLQDAHRLLSGEDVGQDEGATRALGKKHKDFLEELEESRGVMEHLE
+QQAQGFPEEFRDSPDVTHRLQALRELYQQVVAQADLRQQRLQE
+>P11277_PF00435_851 <unknown description>
+YTVFGETDACELWMGEKEKWLAEMEMPDTLEDLEVVQHRFDILDQEMKTLMTQIDGVNLA
+ANSLVESGHPRSREVKQYQDHLNTRWQAFQTLVSERREAV
+>P11277_PF00435_956 <unknown description>
+VHNYCVDCEETSKWITDKTKVVESTKDLGRDLAGIIAIQRKLSGLERDVAAIQARVDALE
+RESQQLMDSHPEQKEDIGQRQKHLEELWQGLQQSLQGQEDLLG
+>P11277_PF00435_1062 <unknown description>
+QLQAFLQDLDDFQAWLSITQKAVASEDMPESLPEAEQLLQQHAGIKDEIDGHQDSYQRVK
+ESGEKVIQGQTDPEYLLLGQRLEGLDTGWNALGRMWESRSHTLA
+>P11277_PF00435_1170 <unknown description>
+FQEFQKDAKQAEAILSNQEYTLAHLEPPDSLEAAEAGIRKFEDFLGSMENNRDKVLSPVD
+SGNKLVAEGNLYSDKIKEKVQLIEDRHR
+>P11277_PF00435_1275 <unknown description>
+ELQNFLQNCQELTLWINDKLLTSQDVSYDEARNLHNKWLKHQAFVAELASHEGWLENIDA
+EGKQLMDEKPQFTALVSQKLEALHRLWDELQATTKEKTQHL
+>P11277_PF00435_1389 <unknown description>
+ADLNKWISAMEDQLRSDDPGKDLTSVNRMLAKLKRVEDQVNVRKEELGELFAQVPSMGEE
+GGDADLSIEKRFLDLLE
+>P11277_PF00435_1481 <unknown description>
+LQISRDLEDETLWVEERLPLAQSADYGTNLQTVQLFMKKNQTLQNEILGHTPRVEDVLQR
+GQQLVEAAEIDCQDLEERLGHLQSSWDRLREAAAGRLQRLRD
+>P11277_PF00435_1585 <unknown description>
+EAQQYYLDADEAEAWIGEQELYVISDEIPKDEEGAIVMLKRHLRQQRAVEDYGRNIKQLA
+SRAQGLLSAGHPEGEQIIRLQGQVDKHYAGLKDVAEERKRKLEN
+>P11277_PF00435_1690 <unknown description>
+YHLFQLKRETDDLEQWISEKELVASSPEMGQDFDHVTLLRDKFRDFARETGAIGQERVDN
+VNAFIERLIDAGHSEAATIAEWKDGLNEMWADLLELIDTRMQLL
+>P11277_PF00435_1797 <unknown description>
+YDLHRYFYTGAEILGLIDEKHRELPEDVGLDASTAESFHRVHTAFERELHLLGVQVQQFQ
+DVATRLQTAYAGEKAEAIQNKEQEVSAAWQALLDACAGRRTQL
+>P11277_PF00435_1906 <unknown description>
+FRFFSMARDLLSWMESIIRQIETQERPRDVSSVELLMKYHQGINAEIETRSKNFSACLEL
+GESLLQRQHQASEEIREKLQQVMSRRKEMNEKWEARWERLR
+>P11277_PF00435_2013 <unknown description>
+QFSRDASVAEAWLIAQEPYLASGDFGHTVDSVEKLIKRHEAFEKSTASWAERFAALEKPT
+TLE
+>P11277_PF15410_2182 <unknown description>
+EGYLGRKHDLEGPNKKASNRSWNNLYCVLRNSELTFYKDAKNLALGMPYHGEEPLALRHA
+ICEIAANYKKKKHVFKLRLSNGSEWLFHGKDEEEMLSWLQG
+>Q01082_PF00307_55 <unknown description>
+VQKKTFTKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLE
+NVDKALQFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQ
+>Q01082_PF00307_174 <unknown description>
+SAKDALLLWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYN
+LQNAFNLAEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFS
+>Q01082_PF00435_303 <unknown description>
+MIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKGNL
+EVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALR
+>Q01082_PF00435_423 <unknown description>
+LARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQAVV
+AVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLE
+>Q01082_PF00435_530 <unknown description>
+LQKIFQEMLYIMDWMDEMKVLVLSQDYGKHLLGVEDLLQKHTLVEADIGIQAERVRGVNA
+SAQKFATDGEGYKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEE
+>Q01082_PF00435_639 <unknown description>
+RLWKFFWEMAEEEGWIREKEKILSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAI
+KEGEDMIAEEHFGSEKIRERIIYIREQWANLEQLSAIRKKRLEE
+>Q01082_PF00435_745 <unknown description>
+LLHQFQADADDIDAWMLDILKIVSSSDVGHDEYSTQSLVKKHKDVAEEIANYRPTLDTLH
+EQASALPQEHAESPDVRGRLSGIEERYKEVAELTRLRKQALQD
+>Q01082_PF00435_850 <unknown description>
+ALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNNQASRVAVVN
+QIARQLMHSGHPSEKEIKAQQDKLNTRWSQFRELVDRKKDALL
+>Q01082_PF00435_957 <unknown description>
+IQNYHLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQ
+KEAEKLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGE
+>Q01082_PF00435_1063 <unknown description>
+KLQQFLRDLDDFQSWLSRTQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMR
+DMGEMVTQGQTDAQYMFLRQRLQALDTGWNELHKMWENRQNLLS
+>Q01082_PF00435_1170 <unknown description>
+AYQQFLRDTKQAEAFLNNQEYVLAHTEMPTTLEGAEAAIKKQEDFMTTMDANEEKINAVV
+ETGRRLVSDGNINSDRIQEKVDSIDDRHR
+>Q01082_PF00435_1276 <unknown description>
+DLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFMAELASNKEWLDKIEK
+EGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRL
+>Q01082_PF00435_1381 <unknown description>
+KAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQ
+SQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLNERKHNLL
+>Q01082_PF00435_1486 <unknown description>
+EIHQFNRDVEDEILWVGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIF
+ERSQNIVTDSSSLSAEAIRQRLADLKQLWGLLIEETEKRHRRLEE
+>Q01082_PF00435_1592 <unknown description>
+HRAQQYYFDAAEAEAWMSEQELYMMSEEKAKDEQSAVSMLKKHQILEQAVEDYAETVHQL
+SKTSRALVADSHPESERISMRQSKVDKLYAGLKDLAEERRGKLDE
+>Q01082_PF00435_1698 <unknown description>
+HRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERFREFARDTGNIGQERVDT
+VNHLADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQIL
+>Q01082_PF00435_1805 <unknown description>
+YELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQVRQLQ
+EDAARLQAAYAGDKADDIQKRENEVLEAWKSLLDACESRRVRL
+>Q01082_PF00435_1914 <unknown description>
+FRFFSMVRDLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTTCIEL
+GKSLLARKHYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLR
+>Q01082_PF00435_2018 <unknown description>
+EVHQFSRDASVAEAWLLGQEPYLSSREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALE
+RLTTLELLEVRRQQEEEERK
+>Q01082_PF15410_2201 <unknown description>
+EGFLNRKHEWEAHNKKASSRSWHNVYCVINNQEMGFYKDAKTAASGIPYHSEVPVSLKEA
+VCEVALDYKKKKHVFKLRLNDGNEYLFQAKDDEEMNTWIQAIS
+>O15269_PF00155_99 <unknown description>
+ECINFASFNFLGLLDNPRVKAAALASLKKYGVGTCGPRGFYGTFDVHLDLEDRLAKFMKT
+EEAIIYSYGFATIASAIPAYSKRGDIVFVDRAACFAIQKGLQASRSDIKLFKHNDMADLE
+RLLKEQEIEDQKNPRKARVTRRFIVVEGLYMNTGTICPLPELVKLKYKYKARIFLEESLS
+FGVLGEHGRGVTEHYGINIDDIDLISANMENALASIGGFCCGRSFVIDHQRLSGQGYCFS
+ASLPPLLAAAAIEALNIMEENPGIFAVLKEKCGQIHKALQGISGLKVVGESLSPAFHLQL
+EESTGSREQDVRLLQEIVDQCMNRSIALTQARYLEKEEKCLPPPSIRVVVTVEQTEEELE
+RAASTI
+>O15270_PF00155_169 <unknown description>
+GVINMGSYNYLGFARNTGSCQEAAAKVLEEYGAGVCSTRQEIGNLDKHEELEELVARFLG
+VEAAMAYGMGFATNSMNIPALVGKGCLILSDELNHASLVLGARLSGATIRIFKHNNMQSL
+EKLLKDAIVYGQPRTRRPWKKILILVEGIYSMEGSIVRLPEVIALKKKYKAYLYLDEAHS
+IGALGPTGRGVVEYFGLDPEDVDVMMGTFTKSFGASGGYIGGKKELIDYLRTHSHSAVYA
+TSLSPPVVEQIITSMKCIMGQDGTSLGKECVQQLAENTRYFRRRLKEMGFIIYGNEDSPV
+VPLMLYMPAKIGAFGREMLKRNIGVVVVGFPATPIIESRARFCLSAAHTKEILDTALKEI
+>Q9NUV7_PF00155_160 <unknown description>
+KDVINMGSYNFLGLAAKYDESMRTIKDVLEVYGTGVASTRHEMGTLDKHKELEDLVAKFL
+NVEAAMVFGMGFATNSMNIPALVGKGCLILSDELNHTSLVLGARLSGATIRIFKHNNTQS
+LEKLLRDAVIYGQPRTRRAWKKILILVEGVYSMEGSIVHLPQIIALKKKYKAYLYIDEAH
+SIGAVGPTGRGVTEFFGLDPHEVDVLMGTFTKSFGASGGYIAGRKDLVDYLRVHSHSAVY
+ASSMSPPIAEQIIRSLKLIMGLDGTTQGLQRVQQLAKNTRYFRQRLQEMGFIIYGNENAS
+VVPLLLYMPGKVAAFARHMLEKKIGVVVVGFPATPLAEARARFCVSAAHTREMLDTVLEA
+L
+>Q96JI7_PF14649_2095 <unknown description>
+LVGMKLLDKISSVPHGELSCTTELLILAHHCFTLTCHMEGIIRVLQAAHMLTDNHLAPSE
+EYGLVVRLLTGIGRYNEMTYIFDLLHKKHYFEVLMRKKLDPSGTLKTALLDYIKRCRPGD
+SEKHNMIALCFSMCREIGENHEAAARIQLKLIESQPWEDSLKDGHQLKQLLLKALTLMLD
+AAESYAKDSCVRQAQHCQRLTKLITLQIHFLNTGQNTMLINLGRHKLMDCILALPRFYQA
+SIVAEAYDFVPDWAEILYQQVILKGDFNYLEEFKQQRLLKSSIFEEISKKYKQ
+>Q13813_PF00435_45 <unknown description>
+RFQFFQRDAEELEKWIQEKLQIASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDE
+TGNLMISEGHFASETIRTRLMELHRQWELLLEKMREKGIKLL
+>Q13813_PF00435_150 <unknown description>
+KLVQYLRECEDVMDWINDKEAIVTSEELGQDLEHVEVLQKKFEEFQTDMAAHEERVNEVN
+QFAAKLIQEQHPEEELIKTKQDEVNAAWQRLKGLALQRQGKL
+>Q13813_PF00435_256 <unknown description>
+EVQRFNRDVDETISWIKEKEQLMASDDFGRDLASVQALLRKHEGLERDLAALEDKVKALC
+AEADRLQQSHPLSATQIQVKREELITNWEQIRTLAAERHARLN
+>Q13813_PF00435_361 <unknown description>
+YRLQRFLADFRDLTSWVTEMKALINADELASDVAGAEALLDRHQEHKGEIDAHEDSFKSA
+DESGQALLAAGHYASDEVREKLTVLSEERAALLELWELRRQQYEQ
+>Q13813_PF00435_468 <unknown description>
+DLQLFYRDTEQVDNWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALD
+EFATKLIQNNHYAMEDVATRRDALLSRRNALHERAMRRRAQLA
+>Q13813_PF00435_574 <unknown description>
+HLQQFFRDSDELKSWVNEKMKTATDEAYKDPSNLQGKVQKHQAFEAELSANQSRIDALEK
+AGQKLIDVNHYAKDEVAARMNEVISLWKKLLEATELKGIKLRE
+>Q13813_PF00435_679 <unknown description>
+QQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKHALLEADVAAHQDRIDGIT
+IQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKLA
+>Q13813_PF00435_785 <unknown description>
+RLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRIKAVT
+QKGNAMVEEGHFAAEDVKAKLHELNQKWEALKAKASQRRQDLED
+>Q13813_PF00435_891 <unknown description>
+QAQQYFADANEAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALR
+EQAQSCRQQVAPTDDETGK
+>Q13813_PF00018_974 <unknown description>
+ALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPA
+>Q13813_PF00435_1096 <unknown description>
+LFREANELQQWINEKEAALTSEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAE
+DLESEGLMAEE
+>Q13813_PF00435_1233 <unknown description>
+HEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFERDLAALGDKVNSL
+GETAERLIQSHPESAEDLQEKCTELNQAWSSLGKRADQRKAKLG
+>Q13813_PF00435_1339 <unknown description>
+HDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAF
+EQFGQQLLAHGHYASPEIKQKLDILDQERADLEKAWVQRRMMLD
+>Q13813_PF00435_1446 <unknown description>
+ELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQ
+AFADQLIAAGHYAKGDISSRRNEVLDRWRRLKAQMIEKRSKLGE
+>Q13813_PF00435_1552 <unknown description>
+TLQQFSRDVDEIEAWISEKLQTASDESYKDPTNIQLSKLLSKHQKHQAFEAELHANADRI
+RGVIDMGNSLIERGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKE
+>Q13813_PF00435_1664 <unknown description>
+KQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLN
+SQADSLMTSSAFDTSQVKDKRDTINGRFQKIKSMAASRRAKLNE
+>Q13813_PF00435_1769 <unknown description>
+HRLHQFFRDMDDEESWIKEKKLLVGSEDYGRDLTGVQNLRKKHKRLEAELAAHEPAIQGV
+LDTGKKLSDDNTIGKEEIQQRLAQFVEHWKELKQLAAARGQRLEE
+>Q13813_PF00435_1876 <unknown description>
+EYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVC
+TNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDE
+>Q13813_PF00435_1983 <unknown description>
+FLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANIT
+ALKDQLLAAKHVQSKAIEARHASLMKRWSQLLANSAARKKKLLE
+>Q13813_PF00435_2097 <unknown description>
+LFLTFAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLA
+ELDRQIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQ
+>Q13813_PF00435_2211 <unknown description>
+LRQEFAQHANAFHQWIQETRTYLLDGSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKI
+EDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQ
+>Q13813_PF13499_2335 <unknown description>
+MMFKHFDKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQ
+EYMAFM
+>Q13813_PF08726_2407 <unknown description>
+NVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAFD
+YVEFTRSLF
+>O15020_PF00307_58 <unknown description>
+VQKKTFTKWVNSHLARVTCRVGDLYSDLRDGRNLLRLLEVLSGEILPKPTKGRMRIHCLE
+NVDKALQFLKEQKVHLENMGSHDIVDGNHRLTLGLVWTIILRFQ
+>O15020_PF00307_177 <unknown description>
+SAKDALLLWCQMKTAGYPNVNVHNFTTSWRDGLAFNAIVHKHRPDLLDFESLKKCNAHYN
+LQNAFNLAEKELGLTKLLDPEDVNVDQPDEKSIITYVATYYHYFS
+>O15020_PF00435_306 <unknown description>
+LVEKYESLASELLQWIEQTIVTLNDRQLANSLSGVQNQLQSFNSYRTVEKPPKFTEKGNL
+EVLLFTIQSKLRANNQKVYTPREGRLISDINKAWERLEKAEHERELALR
+>O15020_PF00435_427 <unknown description>
+AARFDRKAAMRETWLSENQRLVSQDNFGLELAAVEAAVRKHEAIETDIVAYSGRVQAVDA
+VAAELAAERYHDIKRIAARQHNVARLWDFLRQMVAARRERL
+>O15020_PF00435_532 <unknown description>
+ELQKVFQDLLYLMDWMEEMKGRLQSQDLGRHLAGVEDLLQLHELVEADIAVQAERVRAVS
+ASALRFCNPGKEYRPCDPQLVSERVAKLEQSYEALCELAAARRARLEE
+>O15020_PF00435_642 <unknown description>
+RLWRFLWEVGEAEAWVREQQHLLASADTGRDLTGALRLLNKHTALRGEMSGRLGPLKLTL
+EQGQQLVAEGHPGASQASARAAELQAQWERLEALAEERAQRLA
+>O15020_PF00435_749 <unknown description>
+LYQFQADANDMEAWLVDALRLVSSPELGHDEFSTQALARQHRALEEEIRSHRPTLDALRE
+QAAALPPTLSRTPEVQSRVPTLERHYEELQARAGERARALE
+>O15020_PF00435_855 <unknown description>
+YTMLSEAGACGLWVEEKEQWLNGLALPERLEDLEVVQQRFETLEPEMNTLAAQITAVNDI
+AEQLLKANPPGKDRIVNTQEQLNHRWQQFRRLADGKKAAL
+>O15020_PF00435_960 <unknown description>
+IQNYHLECTETQAWMREKTKVIESTQGLGNDLAGVLALQRKLAGTERDLEAIAARVGELT
+REANALAAGHPAQAVAINARLREVQTGWEDLRATMRRREESLGE
+>O15020_PF00435_1066 <unknown description>
+RLQDFLRSLDDFQAWLGRTQTAVASEEGPATLPEAEALLAQHAALRGEVERAQSEYSRLR
+ALGEEVTRDQADPQCLFLRQRLEALGTGWEELGRMWESRQGRLA
+>O15020_PF00435_1174 <unknown description>
+FQGFLRDARQAEGVLSSQEYVLSHTEMPGTLQAADAAIKKLEDFMSTMDANGERIHGLLE
+AGRQLVSEGNIHADKIREKADSIERRHKK
+>O15020_PF00435_1279 <unknown description>
+EQQHFLQDCHELKLWIDEKMLTAQDVSYDEARNLHTKWQKHQAFMAELAANKDWLDKVDK
+EGRELTLEKPELKALVSEKLRDLHRRWDELETTTQAKARSL
+>O15020_PF00435_1384 <unknown description>
+RAELFAQSCCALESWLESLQAQLHSDDYGKDLTSVNILLKKQQMLEWEMAVREKEVEAIQ
+AQAKALAQEDQGAGEVERTSRAVEEKFRALCQPMRERCRRLQ
+>O15020_PF00435_1489 <unknown description>
+EQHQFHRDVEDEILWVTERLPMASSMEHGKDLPSVQLLMKKNQTLQKEIQGHEPRIADLR
+ERQRALGAAAAGPELAELQEMWKRLGHELELRGKRLED
+>O15020_PF00435_1589 <unknown description>
+RAQQFYRDAAEAEAWMGEQELHMMGQEKAKDELSAQAEVKKHQVLEQALADYAQTIHQLA
+ASSQDMIDHEHPESTRISIRQAQVDKLYAGLKELAGERRERLQE
+>O15020_PF00435_1696 <unknown description>
+LCQLRRELDDLEQWIQEREVVAASHELGQDYEHVTMLRDKFREFSRDTSTIGQERVDSAN
+ALANGLIAGGHAARATVAEWKDSLNEAWADLLELLDTRGQVL
+>O15020_PF00435_1801 <unknown description>
+YELQRFLHGARQALARVQHKQQQLPDGTGRDLNAAEALQRRHCAYEHDIQALSPQVQQVQ
+DDGHRLQKAYAGDKAEEIGRHMQAVAEAWAQLQGSSAARRQLLL
+>O15020_PF00435_1910 <unknown description>
+FRFFKAVRELMLWMDEVNLQMDAQERPRDVSSADLVIKNQQGIKAEIEARADRFSSCIDM
+GKELLARSHYAAEEISEKLSQLQARRQETAEKWQEKMDWLQ
+>O15020_PF00435_2017 <unknown description>
+VFGRDAGMAEAWLCSQEPLVRSAELGCTVDEVESLIKRHEAFQKSAVAWEERFCALEKLT
+>O15020_PF15410_2222 <unknown description>
+EGMLCRKQEMEAFGKKAANRSWQNVYCVLRRGSLGFYKDAKAASAGVPYHGEVPVSLARA
+QGSVAFDYRKRKHVFKLGLQDGKEYLFQAKDEAEMSSWLRVVNAAI
+>Q9H254_PF00307_62 <unknown description>
+VQKKTFTKWVNSHLARVGCHIGDLYVDLRDGFVLTRLLEVLSGEQLPRPTRGRMRIHSLE
+NVDKALQFLKEQRVHLENVGSHDIVDGNHRLTLGLVWTIILRFQ
+>Q9H254_PF00307_181 <unknown description>
+SAKDALLLWCQMKTAGYPEVNIQNFTTSWRDGLAFNALIHRHRPDLVDFSKLTKSNANYN
+LQRAFRTAEQHLGLARLLDPEDVNMEAPDEKSIITYVVSFYHYFS
+>Q9H254_PF00435_311 <unknown description>
+IERYEELAAELLAWIHRTVGLISNQKFANSLSGVQQQLQAFTAYCTLEKPVKFQEKGNLE
+VLLFSIQSKLRACNRRLFVPREGCGIWDIDKAWGELEKAEHEREAALR
+>Q9H254_PF00435_430 <unknown description>
+LAQRFDHKVAMRESWLNENQRLVSQDNFGYELPAVEAAMKKHEAIEADIAAYEERVQGVA
+ELAQALAAEGYYDIRRVAAQRDSVLRQWALLTGLVGARRTRLEQ
+>Q9H254_PF00435_536 <unknown description>
+ALQKVFQEMVYMVDWMEEMQAQLLSRECGQHLVEADDLLQKHGLLEGDIAAQSERVEALN
+AAALRFSQLQGYQPCDPQVICNRVNHVHGCLAELQEQAARRRAELE
+>Q9H254_PF00435_774 <unknown description>
+ALHQFGADLDGLLDWLRDAYRLAAAGDFGHDEASSRRLARQHRALTGEVEAHRGPVSGLR
+RQLATLGGASGAGPLVVALQVRVVEAEQLFAEVTEVAALRRQWLRD
+>Q9H254_PF00435_884 <unknown description>
+YRMFGEVHACELWIGEKEQWLLSMRVPDSLDDVEVVQHRFESLDQEMNSLMGRVLDVNHT
+VQELVEGGHPSSDEVRSCQDHLNSRWNRIVELVEQRKEE
+>Q9H254_PF00435_1089 <unknown description>
+RLQRFLHDLDAFLDWLVRAQEAAGGSEGPLPNSLEEADALLARHAALKEEVDQREEDYAR
+IVAASEALLAADGAELGPGLALDEWLPHLELGWHKLLGLWEARREALV
+>Q9H254_PF00435_1306 <unknown description>
+ELQHFLRDCHELDGWIHEKMLMARDGTREDNHKLHKRWLRHQAFMAELAQNKEWLEKIER
+EGQQLMQEKPELAASVRKKLGEIRQCWAELESTTQAKARQLF
+>Q9H254_PF00435_1412 <unknown description>
+ADQLVQSFAELDKKLLHMESQLQDVDPGGDLATVNSQLKKLQSMESQVEEWYREVGELQA
+QTAALPLEPASKELVGERQNAVGERLVRLLEPLQERRRLLL
+>Q9H254_PF00435_1515 <unknown description>
+KELHQVAHDLDDELAWVQERLPLAMQTERGNGLQAVQQHIKKNQGLRREIQAHGPRLEEV
+LERAGALASLRSPEAEAVRRGLEQLQSAWAGLREAAERRQQVL
+>Q9H254_PF00435_1623 <unknown description>
+VEQYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSR
+QCRALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVALEQ
+>Q9H254_PF00435_1728 <unknown description>
+WLYQLSRQVSELEHWIAEKEVVAGSPELGQDFEHVSVLQEKFSEFASETGMAGRERLAAV
+NQMVDELIECGHTAAATMAEWKDGLNEAWAELLELMGTRAQLL
+>Q9H254_PF00435_1835 <unknown description>
+ELHKFFSDARELQGQIEEKRRRLPRLTTPPEPRPSASSMQRTLRAFEHDLQLLVSQVRQL
+QEGAAQLRTVYAGEHAEAIASREQEVLQGWKELLSACEDAR
+>Q9H254_PF00435_1944 <unknown description>
+ALRFHSQVRDLLSWMDGIASQIGAADKPRDVSSVEVLMNYHQGLKTELEARVPELTTCQE
+LGRSLLLNKSAMADEIQAQLDKLGTRKEEVSEKWDRHWEWLQQ
+>Q9H254_PF00435_2049 <unknown description>
+EVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERFSSLR
+RLTTIEKIKAEQSKQ
+>Q9H254_PF15410_2421 <unknown description>
+HEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGPASGSTHGGEPLLSLHKA
+TSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAV
+>Q9NRC6_PF00307_55 <unknown description>
+MQEKTFTKWINNVFQCGQAGIKIRNLYTELADGIHLLRLLELISGEALPPPSRGRLRVHF
+LENSSRALAFLRAKVPVPLIGPENIVDGDQTLILGLIWVIILRFQ
+>Q9NRC6_PF00307_178 <unknown description>
+STKEALLVWCQRKTASYTNVNITDFSRSWSDGLGFNALIHAHRPDLLDYGSLRPDRPLHN
+LAFAFLVAEQELGIAQLLDPEDVAAAQPDERSIMTYVSLYYHYCS
+>Q9NRC6_PF00435_307 <unknown description>
+LQTQYEQLVADLLRWIAEKQMQLEARDFPDSLPAMRQLLAAFTIFRTQEKPPRLQQRGAA
+EALLFRLQTALQAQNRRPFLPHEGLGLAELSQCWAGLEWAEAARSQALQQ
+>Q9NRC6_PF00435_428 <unknown description>
+ARRFQHKAALRESFLKDAEQVLDQARAPPASLATVEAAVQRLGMLEAGILPQEGRFQALA
+EIADILRQEQYHSWADVARRQEEVTVRWQRLLQHLQGQRKQV
+>Q9NRC6_PF00435_642 <unknown description>
+AEFLRNCEEEEAWLKECGQRVGNAALGRDLSQIAGALQKHKALEAEVHRHQAVCVDLVRR
+GRDLSARRPPTQPDPGERAEAVQGGWQLLQTRVVGRGARLQ
+>Q9NRC6_PF00435_747 <unknown description>
+VLQYFADAAEAASWLRERRSSLERASCGQDQAAAETLLRRHVRLERVLRAFAAELRRLEE
+QGRA
+>Q9NRC6_PF00435_900 <unknown description>
+GFCSSCGELQLWLEKQTVLLQRVQPQADTLEVMQLKYENFLTALAVGKGLWAEVSSSAEQ
+LRQRYPGNSTQIQRQQEELSQRWGQLEALKREKAVQL
+>Q9NRC6_PF00435_1103 <unknown description>
+ARQSFLQESQQLLLWAESVQAQLRSKEVSVDVASAQRLLREHQDLLEEIHLWQERLQQLD
+AQSQPMAALDCPDSQEVPNTLRVLGQQGQELKVLWEQRQQWLQE
+>Q9NRC6_PF00435_1209 <unknown description>
+ELQKFGREVDGFTATCANHQAWLHLDNLGEDVREALSLLQQHREFGRLLSTLGPRAEALR
+AHGEKLVQSQHPAAHTVREQLQSIQAQWTRLQGRSEQRRRQLL
+>Q9NRC6_PF00435_1315 <unknown description>
+QLQEWKQDVAELMQWMEEKGLMAAHEPSGARRNILQTLKRHEAAESELLATRRHVEALQQ
+VGRELLSRRPCGQEDIQTRLQGLRSKWEALNRKMTERGDELQQ
+>Q9NRC6_PF00435_1521 <unknown description>
+ELHQFCHLSNMELSWVAEHMPHGSPTSYTECLNGAQSLHRKHKELQVEVKAHQGQVQRVL
+SSGRSLAASGHPQAQHIVEQCQELEGHWAELERACEARAQCLQQ
+>Q9NRC6_PF00435_1628 <unknown description>
+FQQYFLDVSELEGWVEEKRPLVSSRDYGRDEAATLRLINKHQALQEELAIYWSSMEELDQ
+TAQTLTGPEVPEQQRVVQERLREQLRALQELAATRDRELE
+>Q9NRC6_PF00435_1731 <unknown description>
+RLHEFLREAEDLQGWLASQKQAAKGGESLGEDPEHALHLCTKFAKFQHQVEMGSQRVAAC
+RLLAESLLERGHSAGPMVRQRQQDLQTAWSELWELTQARGHALRD
+>Q9NRC6_PF00435_1842 <unknown description>
+VHRDLLEVLTQVQEKATSLPNNVARDLCGLEAQLRSHQGLERELVGTERQLQELLETAGR
+VQKLCPGPQAHAVQQRQQAVTQAWAVLQRRMEQRRAQLE
+>Q9NRC6_PF00435_1944 <unknown description>
+LLARFRTAVRDYASWAARVRQDLQVEESSQEPSSGPLKLSAHQWLRAELEAREKLWQQAT
+QLGQQALLAAGTPTKEVQEELRALQDQRDQVYQTWARKQERLQ
+>Q9NRC6_PF00435_2052 <unknown description>
+QLFLRECGRLEEILAAQEVSLKTSALGSSVEEVEQLIRKHEVFLKVLTAQDKKEAALRER
+LKTLRRPRVRDRLPILLQRRMRVKELAESRGHALH
+>Q9NRC6_PF00435_2150 <unknown description>
+LMASFTQAATQAEDWIQAWAQQLKEPVPPGDLRDKLKPLLKHQAFEAEVQAHEEVMTSVA
+KKGEALLAQSHPRAGEVSQRLQGLRKHWEDLRQAMALRGQELED
+>Q9NRC6_PF00435_2256 <unknown description>
+NFLEFLQRVDLAEAWIQEKEVKMNVGDLGQDLEHCLQLRRRLREFRGNSAGDTVGDACIR
+SISDLSLQLKNRDPEEVKIICQRRSQLNNRWASFHGNLLRYQQQLE
+>Q9NRC6_PF00435_2366 <unknown description>
+IHVLSRELDNVTKRIQEKEALIQALDCGKDLESVQRLLRKHEELEREVHPIQAQVESLER
+EVGRLCQRSPEAAHGLRHRQQEVAESWWQLRSRAQKRREALD
+>Q9NRC6_PF00435_2471 <unknown description>
+QAQKLQAMLQELLVSAQRLRAQMDTSPAPRSPVEARRMLEEHQECKAELDSWTDSISLAR
+STGQQLLTAGHPFSSDIRQVLAGLEQELSSLEGAWQEHQLQLQQ
+>Q9NRC6_PF00435_2577 <unknown description>
+ELQLFLSSVEKMERWLCSKEDSLASEGLWDPLAPMEPLLWKHKMLEWDLEVQAGKISALE
+ATARGLHQGGHPEAQSALGRCQAMLLRKEALFRQAGTRRHRLEE
+>Q9NRC6_PF00435_2683 <unknown description>
+QLQAFLQDSQEVAAWLREKNLVALEEGLLDTAMLPAQLQKQQNFQAELDASMHQQQELQR
+EGQRLLQGGHPASEAIQERLEELGALWGELQDNSQKKVAKLQ
+>Q9NRC6_PF00435_2791 <unknown description>
+RLRRSMEELENWLEPIEVELRAPTVGQALPGVGELLGTQRELEAAVDKKARQAEALLGQA
+QAFVREGHCLAQDVEEQARRLLQRFKSLREPLQERRTALE
+>Q9NRC6_PF00435_2894 <unknown description>
+LLLKFFRDADEEMAWVQEKLPLAAAQDYGQSLSAVRHLQEQHQNLESEMSSHEALTRVVL
+GTGYKLVQAGHFAAHEVAARVQQLEKAMAHLRAEAARRRLLLQQ
+>Q9NRC6_PF00435_3000 <unknown description>
+EAQQFLTELLEAGSWLAERGHVLDSEDMGHSAEATQALLRRLEATKRDLEAFSPRIERLQ
+QTAALLESRKNPESPKVLAQLQAVREAHAELLRRAEARGHGLQE
+>Q9NRC6_PF00435_3106 <unknown description>
+QLHQLERETLLLDAWLTTKAATAESQDYGQDLEGVKVLEEKFDAFRKEVQSLGQAKVYAL
+RKLAGTLERGAPRRYPHIQAQRSRIEAAWERLDQAIKARTENLA
+>Q9NRC6_PF00435_3213 <unknown description>
+EVHSFQQAAAELQGRMQEKTALMKGEDGGHSLSSVRTLQQQHRRLERELEAMEKEVARLQ
+TEACRLGQLHPAAPGGLAKVQEAWATLQAKAQERGQWLA
+>Q9NRC6_PF00435_3318 <unknown description>
+AFLGRCQELLAWAQERQELASSEELAEDVAGAEQLLGQHEELGQEIRECRLQAQDLRQEG
+QQLVDNSHFMSAEVTECLQELEGRLQELEEAWALRWQR
+>Q9NRC6_PF00435_3422 <unknown description>
+LQKLRQRLEQAEAWLACWEGLLLKPDYGHSVSDVELLLHRHQDLEKLLAAQEEKFAQMQK
+TEMEQEL
+>Q969W0_PF11779_11 <unknown description>
+KQMSWFYYQYLLVTALYMLEPWERTVFNSMLVSIVGMALYTGYVFMPQHIMAI
+>Q8NFR3_PF11779_7 <unknown description>
+KEYFSWLYYQYQIISCCAVLEPWERSMFNTILLTIIAMVVYTAYVFIPIHIRL
+>Q8NCC5_PF07690_79 <unknown description>
+KATLFLGTLDTIFLFSYAVGLFISGIVGDRLNLRWVLSFGMCSSALVVFVFGALTEWLRF
+YNKWLYCCLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVFGLWSACASVGNILGACLAS
+SVLQYGYEYAFLVTASVQFAGGIVIFFGLLVSPEEIGLSGIEAEENFEEDSHRPLINGGE
+NEDEYEPNYSIQDDSSVAQVKAISFYQACCLPGVIPYSLAYACLKLVNYSFFFWLPFYLS
+NNFGWKEAEADKLSIWYDVGGIIGGTLQGFISDVLQKRAPVLALSLLLAVGSLIGYSRSP
+NDKSINALLMTVTGFFIGGPSNMISSAISADL
+>Q5VSR9_PF07458_1 <unknown description>
+MEQPTSSINGEKRKSPCESNNENDEMQETPNRDLAPEPSLKKMKTSEYSTVLAFCYRKAK
+KIHSNQLENDQS
+>Q5MJ10_PF07458_1 <unknown description>
+MEQPTSSTNGEKRKSPCESNNKKNDEMQEAPNRVLAPKQSLQKTKTIEYLTIIVYYYRKH
+TKINSNQLEKDQSRENSINPVQEEEDEGLDSAEG
+>Q5MJ09_PF07458_1 <unknown description>
+MEQPTSSTNGEKTKSPCESNNKKNDEMQEVPNRVLAPEQSLKNTKTSEYPIIFVYYLRKG
+KKINSNQLENEQSQENSINPIQKEEDEGVDLSEG
+>Q5MJ08_PF07458_1 <unknown description>
+MEEPTSSTNENKMKSPCESNKRKVDKKKKNLHRASAPEQSLKETEKAKYPTLVFYCRKNK
+KRNSNQLENNQPTESSTDPIKEKGDL
+>Q5MJ07_PF07458_1 <unknown description>
+MEKPTSSTNGEKRKSPCDSNSKNDEMQETPNRDLVLEPSLKKMKTSEYSTVLVLCYRKTK
+KIHSNQLENDQS
+>Q9BT56_PF15171_27 <unknown description>
+APQRLLERRNWTPQAMLYLKGAQGRRFISDQSRRKDLSDRPLPERRSPNPQLLTIPEAAT
+ILLASLQKSPEDEEKNFDQTRFLEDSLLNW
+>O43609_PF05210_186 <unknown description>
+KCKCGECTAPRTLPSCLACNRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDEGDSYSDNP
+CSCSQSHCCSRYLCMGAMSLFLPCLLCYPPAKGCLKLCRRCYDWIHRPGCRCK
+>O43597_PF05210_183 <unknown description>
+KCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADNPC
+SCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCK
+>O43610_PF05210_152 <unknown description>
+RCKCVPCTAARPLPSCWLCNQRCLCSAESLLDYGTCLCCVKGLFYHCSTDDEDNCADEPC
+SCGPSSCFVRWAAMSLISLFLPCLCCYLPTRGCLHLCQQGYDSLRRPGCRCK
+>Q9C004_PF05210_164 <unknown description>
+KCKCKECASPRTLPSCWVCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHP
+CSCSRSNCCARWSFMGALSVVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCK
+>Q9Y6N5_PF07992_44 <unknown description>
+YEVLVLGGGSGGITMAARMKRKVGAENVAIVEPSERHFYQPIWTLVGAGAKQLSSSGRPT
+ASVIPSGVEWIKARVTELNPDKNCIHTDDDEKISYRYLIIALGIQLDYEKIKGLPEGF
+>Q13501_PF00564_41 <unknown description>
+PGPCERLLSRVAALFPALRPGGFQAHYRDEDGDLVAFSSDEELTMAMSYVKDDIFRIYIK
+>Q13501_PF00569_123 <unknown description>
+HPNVICDGCNGPVVGTRYKCSVCPDYDLCSVCEGKGL
+>Q13501_PF16577_379 <unknown description>
+EAALYPHLPPEADPRLIESLSQMLSMGFSDEGGWLTRLLQTKNYDIGAALDTIQYSKHPP
+PL
+>O15042_PF00076_276 <unknown description>
+LYLGNINPQMNEEMLCQEFGRFGPLASVKIMWPRTDEERARERNCGFVAFMNRRDAERAL
+KNLNGKMIMSFEM
+>O15042_PF01805_429 <unknown description>
+ALIHRMIEFVVREGPMFEAMIMNREINNPMFRFLFENQTPAHVYYRWKLYS
+>O15042_PF04818_541 <unknown description>
+KLEEILRGLTPRKNDIGDAMVFCLNNAEAAEEIVDCITESLSILKTPLPKKIARLYLVSD
+VLYNSSAKVANASYYRKFFETKLCQIFSDLNATYRTIQGHLQSENFKQRVMTCFRAWEDW
+AIYPEPFLIK
+>O15042_PF08312_837 <unknown description>
+SEEKRAKLREIELKVMKFQDELESGKRPKKPGQSFQEQVEHYRDKLLQ
+>Q8N9Q2_PF13917_10 <unknown description>
+SVRAGCKKCGYPGHLTFECRN
+>Q9HD15_PF07304_62 <unknown description>
+PMGPPPPSSKAPRSPPVGSGPASGVEPTSFPVESEAVMEDVLRPLEQALEDCRGHTRKQV
+CDDISRRLALLQEQWAGGKLSIPVKKRMALLVQELSSHRWDAADDIHRSLMVDHVTEVSQ
+WMVGVKRLIAEKRSLFSEEAANEEKS
+>Q8NEQ6_PF15547_34 <unknown description>
+HQKTVPTAHLTFVIDCTHGKQLSLAATASPPQAPSPNRGLVTPPMKTYIVFCGENWPHLT
+RVTPMGGGCLAQARATLPLCRGSVASASFPVSPLCPQEVPEAKGKPVKAAPVRSSTWGTV
+KDSLKALSSCVCGQAD
+>Q8WTU2_PF00530_61 <unknown description>
+VGGPSRCRGRLEVMHGGSWGSVCDDDWDVVDANVVCRQLGCGLALPVPRPLAFGQGRGPI
+LLDNVECRGQEAALSECGSRGWGVHNCFHYEDVAVLC
+>Q8WTU2_PF00530_193 <unknown description>
+GGANLCQGRVEILHSGLWGTVCDDDWGLPDAAVVCRQLGCGAAMAATTNAFFGYGTGHIL
+LDNVHCEGGEPRLAACQSLGWGVHNCGHHEDAGALC
+>Q8WTU2_PF00530_348 <unknown description>
+GGPGPCRGRVEVLHAGGWGTVCDDDWDFADARVACREAGCGPALGATGLGHFGYGRGPVL
+LDNVGCAGTEARLSDCFHLGWGQHNCGHHEDAGALC
+>Q8WTU2_PF00530_476 <unknown description>
+VNGAHRCEGRVELYLGQRWGTVCDDAWDLRAAGVLCRQLGCGQALAAPGEAHFGPGRGPI
+LLDNVKCRGEESALLLCSHIRWDAHNCDHSEDASVLCQ
+>Q8N5C6_PF09371_219 <unknown description>
+SERTNIEPWVCANIIRLFNDDNTIPFIIRYRKELINNLDADSLREVQQTLEELRAVAKKV
+HSTIQKIKKEGKMSECLLKAMLNCKTFEELEHVSAPYKTGSKGTKAQRARQLGLEGAARA
+LLEKPGELSLLSYIRPDVKGLSTLQDIEIGVQHILADMIAKDKDTLDFIRNLCQKRHVCI
+Q
+>Q8N5C6_PF16921_538 <unknown description>
+TLMGVDPGYKHGCKLAIISPTSQILHTDVVYLHCGQGFREAEKIKTLLLNFNCSTVVIGN
+GTACRETEAYFADLIMKNYFAPLDVVYCIVSEAGASIYSVSPEANKEMPGLDPNLRSAVS
+IARRVQ
+>Q8N5C6_PF12836_703 <unknown description>
+VSFVGVDINICSEVLLRHIAGLNANRAKNIIEWREKNGPFINREQLKKVKGLGPKSFQQC
+AGFIR
+>Q8N5C6_PF17674_821 <unknown description>
+NPLDQTCIHPESYDIAMRFLSSIGGTLYEVGKPEMQQKINSFLEKEGMEKIAERLQTTVH
+TLQVIIDGLSQPE
+>Q8N5C6_PF00575_916 <unknown description>
+LQIGTVLTGKVENATLFGIFVDIGVGKSGLIPIRNVTEAKLSKTKKRRSLGLGPGERVEV
+QVLNIDIPRSRITL
+>P36956_PF00010_324 <unknown description>
+KRTAHNAIEKRYRSSINDKIIELKDLVVGTEAKLNKSAVLRKAIDYIRFLQ
+>Q12772_PF00010_331 <unknown description>
+RRTTHNIIEKRYRSSINDKIIELKDLVMGTDAKMHKSGVLRKAIDYIKYLQ
+>Q9BX66_PF02208_370 <unknown description>
+TQDLSDVSMDEVGIPLRNTERSKDWYKTMFKQIHKLNRDTPE
+>Q9BX66_PF07653_798 <unknown description>
+ARAKFDFKAQTLKELPLQKGDIVYIYKQIDQNWYEGEHHGRVGIFPRTYIE
+>Q9BX66_PF00018_873 <unknown description>
+IAKFNFNGDTQVEMSFRKGERITLLRQVDENWYEGRIPGTSRQGIFP
+>Q9BX66_PF14604_1238 <unknown description>
+ALYSYIPQNDDELELRDGDIVDVMEKCDDGWFVGTSRRTKQFGTFPGNYVK
+>Q14247_PF02218_83 <unknown description>
+GYGGKFGVEQDRMDKSAVGHEYQSKLSKHCSQVDS
+>Q14247_PF02218_120 <unknown description>
+GFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYS
+>Q14247_PF02218_157 <unknown description>
+GFGGKYGVQADRVDKSAVGFDYQGKTEKHESQRDYS
+>Q14247_PF02218_194 <unknown description>
+GFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDY
+>Q14247_PF02218_231 <unknown description>
+GFGGKFGVQTDRQDKCALGWDHQEKLQLHESQKDYK
+>Q14247_PF02218_268 <unknown description>
+GFGGKFGVQSERQDSAAVGFDYKEKLAKHESQQDYS
+>Q14247_PF02218_305 <unknown description>
+GFGGKYGVQKDRMDKNASTFEDVTQVS
+>Q14247_PF14604_499 <unknown description>
+ALYDYQAAGDDEISFDPDDIITNIEMIDDGWWRGVCKGRYGLFPANYVE
+>Q6ZRS2_PF07529_128 <unknown description>
+PEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKEERARREE
+QAKLRRIA
+>Q6ZRS2_PF00176_635 <unknown description>
+KKLNGILADEMGLGKTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFK
+ILTYYGAQKERKLKRQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNF
+KSQRWQSLLNFNSQRRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGM
+IEGSQEYNEGLVKRLHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMA
+QTTTKETLATGHFMSVINILMQLRKVCNHPNLF
+>Q6ZRS2_PF00271_2044 <unknown description>
+KLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVEQRQALME
+RFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIG
+>Q86TD4_PF16880_59 <unknown description>
+RLRKIYHSSIKPLEQSYKYNELRQHEITDGEI
+>Q86TD4_PF00350_96 <unknown description>
+VLFLGPWSVGKSTMINYLLGLENTRYQLYTGAEPTTSEFTVLMHGPKLKTIEGIVMAADS
+ARSFSPLEKFGQNFLEKLIGIEVPHKLLERVTFVDTPGIIENRKQQERGYPFNDVCQWFI
+DRADLIFVVFDPTKLDVGLELEMLFRQLKGRESQIRIILNK
+>P23327_PF10529_214 <unknown description>
+HRHRGHGSEEDEDVS
+>P23327_PF10529_238 <unknown description>
+HRHQGHEEDDDDDDD
+>P23327_PF10529_271 <unknown description>
+HRHQGHGIEEDEDVS
+>P23327_PF10529_295 <unknown description>
+HRHRSHEEDDNDDDD
+>P23327_PF10529_319 <unknown description>
+HRHQDHRKEEVEAVS
+>P23327_PF10529_343 <unknown description>
+HRHQGHRDEEEDEDVS
+>Q9C0H9_PF03915_184 <unknown description>
+FLQFGEETRRVHITHEVSSLDTLHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYEL
+EDVRDIQDRSIIKIY
+>A1L4H1_PF00530_24 <unknown description>
+DGPHGCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRQLGCGGALAAPGGAFFGEGAGPVW
+LSELACRGNEGQLGLCHHRGWKAHICSHEEDAGVVC
+>A1L4H1_PF00530_202 <unknown description>
+SGPHRCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRELGCGGALAAPGGARFGPGAGPVW
+MDDVGCGGGEQALRDCPRSPWGRSNCDHSEDAGLVCT
+>A1L4H1_PF00530_308 <unknown description>
+DGPHGCAGRLEVWHGGRWGSVCDDAWDLRDAAVACRELGCGGALAAPGGAFFGEGSGPII
+LDDLRCRGNETALRFCPARPWGQHDCHHREDAGAVC
+>A1L4H1_PF00530_471 <unknown description>
+AGPSKCSGRLEVWHDQRWGTVCDDSWDMRDSAVVCRELGCGGPQQPDPAAGRFGWGAGPI
+WLDDVGCVGTEASLSDCPAAPWGKHNCAHNEDVGVTCT
+>A1L4H1_PF00530_776 <unknown description>
+DGPNRCAGRLEVWHAGRWGTVCDDNWDLRDATVACWELGCGKVRPRVGKTHYGPGTGPIW
+LDDMGCKGSEASLSDCPSGAWGKHNCDHEEDVGLTCT
+>Q4G0T1_PF00530_35 <unknown description>
+STCDGVVLVRHHGAWGYVCNQEWTLAEASVVCRQLGCGPAVGAPKYVPLPGEMAQPWLHN
+VSCRGNESSLWECSLGSWCQSPCPHAWVVVALCS
+>Q4G0T1_PF00530_139 <unknown description>
+KGRSPCAGLPEIRNVNGVDRLCVLHVEEAMVFCRELGCGPVLQAPRRDVGVVRKYLACRG
+TEPTIRSCRLDNNFRSGCDLRLDAEVVCS
+>Q4G0T1_PF00530_235 <unknown description>
+VGGEHPCAGRLEVTWGTVCDAALDLATAHVVCRELQCGAVVSTPEGARFGRGSGPVWTEA
+FRCAGNESLLFHCPRGRGSQCGHGHDAGLRCS
+>Q4G0T1_PF00530_331 <unknown description>
+VNGSSSCEGRVEFQVQGSWAPLCATHWDIADATVLCHQLNCGNAVAAPGGGHFGDGDAAI
+WPDAFHCEGTESYLWNCPVSTLGAPACAPGNTASAVCS
+>Q4G0T1_PF00530_438 <unknown description>
+EGQSRCDGRVEVSLDGVWGRVLDDAWDLRGAGVVCRQLGCRGAQQAYDAPAPSRGSVQVA
+LSRVRCLGTETRLTQCNVSATLQEPAGTSRDAGVVCS
+>Q4G0T1_PF00530_573 <unknown description>
+HGGAWGTVCDDAWDLRDAHVVCRQLGCGRALSALGAAHFGAGAGRIWLDELGCQGHESAL
+WQCPSAGWGRHDWRHKEDAGVFCS
+>Q4G0T1_PF00530_665 <unknown description>
+GGTCCCAGWLDVFYNGTWGAMCSNALKDLSLSIICKQLGCG
+>Q4G0T1_PF00530_790 <unknown description>
+GGEDRCSGRVELWHAGSWGTVCDDGWDLADAEVVCRQLGCGRAVAALGAAAFGPGSGPVW
+LDEVGCRGSEASLWGCPAERWGRGDRAHEEDAGVRC
+>P12931_PF00018_90 <unknown description>
+VALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLSTGQTGYIPS
+>P12931_PF00017_151 <unknown description>
+WYFGKITRRESERLLLNAENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKL
+DSGGFYITSRTQFNSLQQLVAYY
+>P12931_PF07714_271 <unknown description>
+RLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYA
+VVSEEPIYIVTEYMSKGSLLDFLKGETGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDL
+RAANILVGENLVCKVADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWS
+FGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPESLHDLMCQCWRKEPEERP
+TFEYLQAF
+>Q96GP6_PF00053_185 <unknown description>
+CYCSATSRCDPQTGACLCHAGWWGRSCNNQC
+>Q14162_PF00053_103 <unknown description>
+CPCHPHGQCEPATGACQCQADRWGARCE
+>Q14162_PF00053_163 <unknown description>
+CQCNTAAARCEQATGACVCKPGWWGRRCS
+>Q8WXA9_PF00076_23 <unknown description>
+VTNLSSAVTSEQMRTLFSFLGEIEELRLYPPDNAPLAFSSKVCYVKFRDPSSVGVAQHLT
+NTVF
+>Q8WXA9_PF00076_184 <unknown description>
+VYVGNLNSQTTTADQLLEFFKQVGEVKFVRMAGDETQPTRFAFVEFADQNSVPRALAFNG
+VMFGDRPLK
+>Q8NEF9_PF09073_355 <unknown description>
+RRNFKEQAPKTRSLDFPQNEPQIKNQFNKKLSGRLENTKQQLQLPLHPSWEASRRRKEQQ
+SNIAVFQGKKITFD
+>P11831_PF00319_150 <unknown description>
+FIDNKLRRYTTFSKRKTGIMKKAYELSTLTGTQVLLLVASETGHVYTF
+>P0DJJ0_PF00611_31 <unknown description>
+QMKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAEHFLAKTRSTKDQQFKKDQ
+NVLSPVNCWNLLLNQVKWESRDHT
+>Q9UGK8_PF00415_17 <unknown description>
+LFAWGANSYGQLGLGHKEDVLLPQQLNDFCKPRSVRRITGGGGHSAVV
+>Q9UGK8_PF00415_68 <unknown description>
+GDLFVCGLNKDGQLGLGHTEDIPYFTPCKSLFGCPIQQVACGWDFTIML
+>Q9UGK8_PF00415_119 <unknown description>
+NGQVLSCGSNSFGQLGVPHGPRRCVVPQAIELHKEKVVCIAAGLRHAVA
+>Q9UGK8_PF13540_219 <unknown description>
+AGSDHSASLTDAGEVYVWGSNKHGQ
+>Q9UGK8_PF00415_284 <unknown description>
+GKMFTWGRADYGQLGRKLETYEGWKLEKQDSFLPCSRPPNSMPSSPHCLTGATEVSCGSE
+HNLAI
+>Q9UGK8_PF00415_351 <unknown description>
+GVCYSWGWNEHGMCGDGTEANVWAPKPVQALLSSSGLLVGCGAGHSLAL
+>P10124_PF04360_3 <unknown description>
+QKLLKCSRLVLALALILVLESSVQGYPTRRARYQWVRCNPDSNSANCLEEKGPMFELLPG
+ESNKIPRLRTDLFPKTRIQDLNRIFPLSEDYSGSGFGSGSGSGSGSGSGFLTEMEQDYQL
+VDESDAFHDNLRSLDRNLPSDSQDLGQHG
+>Q7Z6B7_PF00611_33 <unknown description>
+KCLEQQTEMRVQLLQDLQDFFRKKAEIETEYSRNLEKLAERFMAKTRSTKDHQQYKKDQN
+LLSPVNCWYLLLNQVRRESKDHA
+>Q7Z6B7_PF00620_520 <unknown description>
+PLIVESCIRFINLYGLQHQGIFRVSGSQVEVNDIKNSFERGENPLADDQSNHDINSVAGV
+LKLYFRGLENPLFPKERFNDLISCIRIDNLYERALHIRKLLLTLPRSVLIVMRYLFAFLN
+HLSQYSDENMMDPYNLAICFGPTLMPVPE
+>Q7Z6B7_PF00018_749 <unknown description>
+IAKFDYVGRSARELSFKKGASLLLYHRASEDWWEGRHNGIDGLVPH
+>O75044_PF00611_31 <unknown description>
+QMKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAERFLAKTRSTKDQQFKKDQ
+NVLSPVNCWNLLLNQVKRESRDHT
+>O75044_PF00620_505 <unknown description>
+PLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKNAFERGEDPLAGDQNDHDMDSIAGV
+LKLYFRGLEHPLFPKDIFHDLMACVTMDNLQERALHIRKVLLVLPKTTLIIMRYLFAFLN
+HLSQFSEENMMDPYNLAICFGPSLMSVPEG
+>O75044_PF00018_734 <unknown description>
+IAKFDYVGRTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPH
+>O43295_PF00611_31 <unknown description>
+QFKCLEQQSESRLQLLQDLQEFFRRKAEIELEYSRSLEKLAERFSSKIRSSREHQFKKDQ
+YLLSPVNCWYLVLHQTRRESRDHA
+>O43295_PF00620_520 <unknown description>
+PLVVESCIRYINLYGLQQQGIFRVPGSQVEVNDIKNSFERGEDPLVDDQNERDINSVAGV
+LKLYFRGLENPLFPKERFQDLISTIKLENPAERVHQIQQILVTLPRVVIVVMRYLFAFLN
+HLSQYSDENMMDPYNLAICFGPTLMHIPDG
+>O43295_PF00018_750 <unknown description>
+IAKFDYMGRSPRELSFKKGASLLLYHRASEDWWEGRHNGVDGLIPH
+>Q9H3Y6_PF00017_120 <unknown description>
+WYFSGVSRTQAQQLLLSPPNEPGAFLIRPSESSLGGYSLSVRAQAKVCHYRVSMAADGSL
+YLQKGRLFPGLEELLTYY
+>Q9H3Y6_PF07714_232 <unknown description>
+LGRKLGEGYFGEVWEGLWLGSLPVAIKVIKSANMKLTDLAKEIQTLKGLRHERLIRLHAV
+CSGGEPVYIVTELMRKGNLQAFLGTPEGRALRLPPLLGFACQVAEGMSYLEEQRVVHRDL
+AARNVLVDDGLACKVADFGLARLLKDDIYSPSSSSKIPVKWTAPEAANYRVFSQKSDVWS
+FGVLLHEVFTYGQCPYEGMTNHETLQQIMRGYRLPRPAACPAEVYVLMLECWRSSPEERP
+SFATLREKL
+>P49458_PF05486_4 <unknown description>
+YQTWEEFSRAAEKLYLADPMKARVVLKYRHSDGNLCVKVTDDLVCLVYKTDQAQDVKKIE
+KFHSQLMRLM
+>P37108_PF02290_4 <unknown description>
+LESEQFLTELTRLFQKCRTSGSVYITLKKYDGRTKPIPKKGTVEGFEPADNKCLLRATDG
+KKKISTVVSSKEVNKFQMAYSNLLRANMDGL
+>P09132_PF01922_17 <unknown description>
+CIYPAYLNNKKTIAEGRRIPISKAVENPTATEIQDVCSAVGLNVFLEKNKMYSREWNRDV
+QYRGRVRVQLKQEDGSLCLVQFPSRKSVMLYAAEMIPK
+>P61011_PF02881_6 <unknown description>
+LGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAIDLEEM
+ASGLNKRKMIQHAVFKEL
+>P61011_PF00448_102 <unknown description>
+NVIMFVGLQGSGKTTTCSKLAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYG
+SYTEMDPVIIASEGVEKFKNENFEIIIVDTSGRHKQEDSLFEEMLQVANAIQPDNIVYVM
+DASIGQACEAQAKAFKDKVDVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDD
+FEPFKTQPFISKLLG
+>P61011_PF02978_326 <unknown description>
+QFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFMSKGNEQESMARLKKLMTIMDSMNDQ
+ELDSTDGAKVFSKQPGRIQRVARGSGVSTRDVQELLTQYTKFAQMV
+>Q9UHB9_PF16969_75 <unknown description>
+LRHGDFQRYRGYCSRRQRRLRKTLNFKMGNRHKFTGKKVTEELLTDNRYLLLVLMDAERA
+WSYAMQLKQEANTEPRKRFHLLSRLRKAVKHAEELERLCESNRVDAKTKLEAQAYTAYLS
+GMLRFEHQEWKAAIEAFNKCKTIYEKLASAFTEEQAVLYNQRVEEISPNIRYCAYNIGDQ
+SAINELMQMRLRSGGTEGLLAEKLEALITQTRAKQAATMSEVEWRGRTVPVKIDKVRIFL
+LGLADNEAAIVQAESEETKERLFESMLSECRDAIQVVREELKPDQKQRDYILEGEPGKVS
+NLQYLHSYLTYIKLSTAIKRNENMAKGLQRALLQQQPEDDSKRSPRPQDLIRLYDIILQN
+LVELLQLPGLEEDKAFQKEIGLKTLVFKAYRCFFIAQSYVLVKKWSEALVLYDRVLKYAN
+EVNSDAGAFKNSLKDLPDVQELITQVRSEKCSLQAAAILDANDAHQTETSSSQVKDNKPL
+VERFETFCLDPSLVTKQANLVHFPPGFQPIPCKPLFFDLALNHV
+>O76094_PF17004_34 <unknown description>
+VNKILQINKDDVTALHCKVVCLIQNGSFKEALNVINTHTKVLANNSLSFEKAYCEYRLNR
+IENALKTIESANQQTDKLKELYGQVLYRLERYDECLAVYRDLVRNSQDDYDEERKTNLSA
+VV
+>O76094_PF13181_177 <unknown description>
+ELCYNTACALIGQGQLNQAMKILQKAEDL
+>O76094_PF13181_230 <unknown description>
+GQMAYILQLQGRTEEALQLYNQIIKLKPTD
+>O76094_PF08492_532 <unknown description>
+KKGGKVTGDSQPKEQGQGDLKKKKKKKKGKLPKNYDPKVTPDPERWLPMRERSYYRG
+>Q96SB4_PF00069_80 <unknown description>
+YHVIRKLGWGHFSTVWLSWDIQGKKFVAMKVVKSAEHYTETALDEIRLLKSVRNSDPNDP
+NREMVVQLLDDFKISGVNGTHICMVFEVLGHHLLKWIIKSNYQGLPLPCVKKIIQQVLQG
+LDYLHTKCRIIHTDIKPENILLSVNEQ
+>Q96SB4_PF00069_489 <unknown description>
+EKLKVKIADLGNACWVHKHFTEDIQTRQYRSLEVLIGSGYNTPADIWSTACMAFELATGD
+YLFEPHSGEEYTRDEDHIALIIELLGKVPRKLIVAGKYSKEFFTKKGDLKHITKLKPWGL
+FEVLVEKYEWSQEEAAGFTDFLLPMLELIPEKRATAAECLRHPWL
+>P78362_PF00069_92 <unknown description>
+YHVIRKLGWGHFSTVWLCWDMQGKRFVAMKVVKSAQHYTETALDEIKLLKCVRESDPSDP
+NKDMVVQLIDDFKISGMNGIHVCMVFEVLGHHLLKWIIKSNYQGLPVRCVKSIIRQVLQG
+LDYLHSKCKIIHTDIKPENILMC
+>P78362_PF00069_535 <unknown description>
+IRVKIADLGNACWVHKHFTEDIQTRQYRSIEVLIGAGYSTPADIWSTACMAFELATGDYL
+FEPHSGEDYSRDEDHIAHIIELLGSIPRHFALSGKYSREFFNRRGELRHITKLKPWSLFD
+VLVEKYGWPHEDAAQFTDFLIPMLEMVPEKRASAGECLRHPWL
+>Q9UPE1_PF00069_79 <unknown description>
+YHVVRKLGWGHFSTVWLCWDIQRKRFVALKVVKSAGHYTETAVDEIKLLKCVRDSDPSDP
+KRETIVQLIDDFRISGVNGVHVCMVLEVLGHQLLKWIIKSNYQGLPVPCVKSIVRQVLHG
+LDYLHTKCKIIHTDIKPENILLC
+>Q9UPE1_PF00069_388 <unknown description>
+SNLLVNPLEPQNADKIKIKIADLGNACWVHKHFTEDIQTRQYRAVEVLIGAEYGPPADIW
+STACMAFELATGDYLFEPHSGEDYSRDEDHIAHIVELLGDIPPAFALSGRYSREFFNRRG
+ELRHIHNLKHWGLYEVLMEKYEWPLEQATQFSAFLLPMMEYIPEKRASAADCLQHPWL
+>P08240_PF04086_28 <unknown description>
+PVNALIRSVLLQERGGNNSFTHEALTLKYKLDNQFELVFVVGFQKILTLTYVDKLIDDVH
+RLFRDKYRTEIQQQSALSLLNGTFDFQNDFLRLLREAEESSKIRAPTTMKKFEDSEKAKK
+PVRSMIETRGEKPKEKAKNSKKKGAKKEGSDGPLATSKPVPAEKSGLPVGPENGVELSKE
+ELIRRKREEFIQKHGRGMEKSNKSTKSDAPKEKGKKAPRVWELGGCANKEVLDYSTPTTN
+GTPEAALSEDINLI
+>P08240_PF02881_320 <unknown description>
+MFGMLKGLVGSKSLSREDMESVLDKMRDHLIAKNVAADIAVQLCESVANKLEGKVMGTFS
+TVTSTVKQAL
+>P08240_PF00448_419 <unknown description>
+YVVTFCGVNGVGKSTNLAKISFWLLENGFSVLIAACDTFRAGAVEQLRTHTRRLSALHPP
+EKHGGRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGRMQDNAPLMTALAKL
+ITVNTPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDGIVLTKFDTIDDKVG
+AAISMTYITSKPIVFVGTGQTYCDLRSLNAKAVVAALM
+>Q9Y5M8_PF09439_62 <unknown description>
+SSQRAVLLVGLCDSGKTLLFVRLLTGLYRDTQTSITDSCAVYRVNNNRGNSLTLIDLPGH
+ESLRLQFLERFKSSARAIVFVVDSAAFQREVKDVAEFLYQVLIDSMGLKNTPSFLIACNK
+QDIAMAKSAKLIQQQLEKELNTLRVTRSAAPSTLDSSSTAPAQLGKKGKEFEFSQLPLKV
+>O60687_PF00084_82 <unknown description>
+SLGTRCELSCDRGFRLIGRRSVQCLPSRRWSGT
+>O60687_PF00084_122 <unknown description>
+CHALPFITSGTYTCTNGVLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVC
+>O60687_PF02494_177 <unknown description>
+VDIDPPKIRCPHSREKMAEPEKLTARVYWDPPLVKDSADGTITRVTLRGPEPGSHFPEGE
+HVIRYTAYDRAYNRASCKFIVKV
+>O60687_PF00084_264 <unknown description>
+CPTLKPPQHGYLTCTSAGDNYGATCEYHCDGGYDRQGTPSRVCQSSRQWSGSPPIC
+>O60687_PF13778_335 <unknown description>
+LDQFYEKQRLLIISAPDPSNRYYKMQISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIRE
+QQLSANIIEELRQFQRLTRSYFNMVLIDKQGIDRDRYMEPVTPEEIFTFIDDYLLSNQE
+>P78539_PF00084_57 <unknown description>
+CSPIKVKYGDVYCRAPQGGYYKTALGTRCDIRCQKGYELHGSSLLICQSNKRWSDK
+>P78539_PF00084_120 <unknown description>
+CPTLAMPANGGFKCVDGAYFNSRCEYYCSPGYTLKGERTVTCMDNKAWSGRPASC
+>P78539_PF02494_175 <unknown description>
+VDMEPPRIKCPSVKERIAEPNKLTVRVSWETPEGRDTADGILTDVILKGLPPGSNFPEGD
+HKIQYTVYDRAENKGTCKFRVKV
+>P78539_PF00084_262 <unknown description>
+CGKLNAPENGYMKCSSDGDNYGATCEFSCIGGYELQGSPARVCQSNLAWSGTEPTC
+>P78539_PF13778_333 <unknown description>
+LDQFYEKRRLLIVSTPTARNLLYRLQLGMLQQAQCGLDLRHITVVELVGVFPTLIGRIGA
+KIMPPALALQLRLLLRIPLYSFSMVLVDKHGMDKERYVSLVMPVALFNLIDTFPLRKEE
+>Q9UH36_PF07985_141 <unknown description>
+CVCYGIGNFATCIVARNQLTFLLLLLEKCQIPRSHCWVYDPLFSQLEIEVLNTL
+>Q8IYB3_PF01480_46 <unknown description>
+EVIKPWITKRVTEILGFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGEL
+WPLLLSAQEN
+>Q9UQ35_PF08312_58 <unknown description>
+LDHERKRRVELRCLELEEMMEEQGYEEQQIQEKVATFRLMLLEK
+>A7MD48_PF15230_457 <unknown description>
+YSRYSPSRERDPKYSEKDSQQRERERARRRRRSYSPMRKRRRDSPSHLEARRITSARKRP
+IPYYRP
+>Q9BXP5_PF12066_153 <unknown description>
+KEFLLSLDDSVDETEAVKRYNDYKLDFRRQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQE
+ARGALQNRLRVFLSLMETGWFDNLLLDIDKADAIVKMLDAAVIKMEGGTE
+>Q9BXP5_PF04959_646 <unknown description>
+RGPMPPNRISHGEVLEWQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNT
+QELGKDKWLCPLSGKKFKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEI
+KPAQPPGPAQILPPGLTPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGPPYP
+HAPYGAGRGNYDAFRGQGGYPGKPRN
+>Q9GZT4_PF00291_21 <unknown description>
+DSIHLTPVLTSSILNQLTGRNLFFKCELFQKTGSFKIRGALNAVRSLVPDALERKPKAVV
+THSSGNHGQALTYAAKLEGIPAYIVVPQTAPDCKKLAIQAYGASIVYCEPSDESRENVAK
+RVTEETEGIMVHPNQEPAVIAGQGTIALEVLNQVPLVDALVVPVGGGGMLAGIAITVKAL
+KPSVKVYAAEPSNADDCYQSKLKGKLMPNLYPPETIADGVKSSIGLNTWPIIRDLVDDIF
+TVTEDEIKCATQLVWERMKLLIEPTAGVGVAAVLSQHFQTVSPEVKNICIVLSG
+>O75494_PF00076_12 <unknown description>
+LFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFEDVRDAEDALHNL
+DRKWICGRQI
+>Q05519_PF00076_37 <unknown description>
+VTNVSPSASSEQMRTLFGFLGKIDELRLFPPDDSPLPVSSRVCFVKFHDPDSAVVAQHLT
+NTVFVDR
+>Q8WXF0_PF00076_12 <unknown description>
+LFIRNVADATRPEDLRREFGRYGPIVDVYIPLDFYTRRPRGFAYVQFEDVRDAEDALYNL
+NRKWVCGRQI
+>Q07955_PF00076_18 <unknown description>
+IYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVEFEDPRDAEDAVYGRDGY
+DYDGYRLR
+>Q07955_PF00076_123 <unknown description>
+VVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKFRS
+HE
+>Q01130_PF00076_17 <unknown description>
+KVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFVRFHDKRDAEDAMDAMD
+GAVLDGRELR
+>P84103_PF00076_12 <unknown description>
+VYVGNLGNNGNKTELERAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAADAVRELDGRTL
+CGCRV
+>Q08170_PF00076_4 <unknown description>
+VYIGRLSYQARERDVERFFKGYGKILEVDLKNGYGFVEFDDLRDADDAVYELNGKDLCGE
+R
+>Q08170_PF00076_106 <unknown description>
+LIVENLSSRCSWQDLKDYMRQAGEVTYADAHKGRKNEGVIEFVSYSDMKRALEKLDGTEV
+NGRKIR
+>Q13243_PF00076_6 <unknown description>
+VFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGKELCSE
+RV
+>Q13243_PF00076_110 <unknown description>
+LIVENLSSRVSWQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEI
+NGRKIK
+>Q13247_PF00076_4 <unknown description>
+VYIGRLSYNVREKDIQRFFSGYGRLLEVDLKNGYGFVEFEDSRDADDAVYELNGKELCGE
+R
+>Q13247_PF00076_112 <unknown description>
+LIVENLSSRCSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEI
+NGRNIR
+>Q16629_PF00076_13 <unknown description>
+VYVGNLGTGAGKGELERAFSYYGPLRTVWIARNPPGFAFVEFEDPRDAEDAVRGLDGKVI
+CGSRV
+>Q9BRL6_PF00076_17 <unknown description>
+KVDNLTYRTSPDSLRRVFEKYGRVGDVYIPREPHTKAPRGFAFVRFHDRRDAQDAEAAMD
+GAELDGRELR
+>Q13242_PF00076_16 <unknown description>
+IYVGNLPTDVREKDLEDLFYKYGRIREIELKNRHGLVPFAFVRFEDPRDAEDAIYGRNGY
+DYGQCRLR
+>Q13242_PF00076_113 <unknown description>
+VLVSGLPPSGSWQDLKDHMREAGDVCYADVQKDGVGMVEYLRKEDMEYALRKLDDTKFRS
+HE
+>Q9UHV2_PF06031_45 <unknown description>
+LFDLSVLKLHHSLQQSEPDLRHLVLVVNTLRRIQA
+>Q14140_PF06031_40 <unknown description>
+IFNISLMKLYNHRPLTEPSLQKTVLINNMLRRIQEE
+>Q9UJW9_PF06031_33 <unknown description>
+LLRISLDKVQRSLGPRAPSLRRHVLIHNTLQQLQA
+>Q9NUC0_PF06031_108 <unknown description>
+ILYMSLEKLKFIDDPEVYLRRSVLINNLMKRIHGE
+>A2A2V5_PF15872_2 <unknown description>
+SEPDTSSGFSGSVENGTFLELFPTSLSTSVDPSSGHLSNVYIYVSIFLSLLAFLLLLLII
+ALQRLKNIISSSSSYPEYPSDAGSSFTNLEVCSISSQRSTFSNLS
+>A0A1B0GWG4_PF15872_18 <unknown description>
+FPTLATEVDTSSDKYSNLYMYVGLFLSLLAILLILLFTMLLRLKHVISPINSDSTESVPQ
+FTDVEM
+>Q9BYN0_PF02195_44 <unknown description>
+VPLSVLIRPLPSVLDPAKVQSLVDTIREDPDSVPPIDVLWIKGAQGGDYFYSFGGCHRYA
+AYQQLQRETIPAKLVQSTLSDLRVYLG
+>Q05066_PF00505_60 <unknown description>
+VKRPMNAFIVWSRDQRRKMALENPRMRNSEISKQLGYQWKMLTEAEKWPFFQEAQKLQAM
+HREKYPNYK
+>Q9BWG4_PF04503_82 <unknown description>
+EHSGEAKAFQDYSAAAAPSPVMGSMAPGDTMAAGSMAAGFFQGPPGSQPSPHNPNAPMMG
+PHGQPFMSPRFPGGPRPTLRMPSQPPAGLPGSQPLLPGAMEPSPRAQGHPSMGGPMQRVT
+PPRGMASVGPQSYGGGMRPPPNSLAGPGLPAMNMGPGVRGPWASPSGNSIPYSSSSPGSY
+TGPPGGGGPPGTPIMPSPGDSTNSSENMYTIMNPIGQGAGRANFPLGPGPEGPMAAMSAM
+EPHHVNGSLGSGDMDGLPKSSPGAVAGLSNAPGTPRDDG
+>Q04837_PF00436_30 <unknown description>
+LNRVHLLGRVGQDPVLRQVEGKNPVTIFSLATNEMWRSGDSEVYQLGDVSQKTTWHRISV
+FRPGLRDVAYQYVKKGSRIYLEGKIDYGEYMDKNNVRRQATTIIADNIIFL
+>P51649_PF00171_71 <unknown description>
+PAAATFPVQDPASGAALGMVADCGVREARAAVRAAYEAFCRWREVSAKERSSLLRKWYNL
+MIQNKDDLARIITAESGKPLKEAHGEILYSAFFLEWFSEEARRVYGDIIHTPAKDRRALV
+LKQPIGVAAVITPWNFPSAMITRKVGAALAAGCTVVVKPAEDTPFSALALAELASQAGIP
+SGVYNVIPCSRKNAKEVGEAICTDPLVSKISFTGSTTTGKILLHHAANSVKRVSMELGGL
+APFIVFDSANVDQAVAGAMASKFRNTGQTCVCSNQFLVQRGIHDAFVKAFAEAMKKNLRV
+GNGFEEGTTQGPLINEKAVEKVEKQVNDAVSKGATVVTGGKRHQLGKNFFEPTLLCNVTQ
+DMLCTHEETFGPLAPVIKFDTEEEAIAIANAADVGLAGYFYSQDPAQIWRVAEQLEVGMV
+GVNEGLISSVECPFGGVKQSGLGREGSKYGIDEYLELKYV
+>Q9NQ55_PF04427_42 <unknown description>
+NIRQLSLDVRRVMEPLTASRLQVRKKNSLKDCVAVAGPLGVTHFLILSKTETNVYFKLMR
+LPGGPTLTFQVKKYSLVRDVVSSLRRHRMHEQQFAHPPLLVLNSFGPHGMHVKLMATMFQ
+NLFPSINVHKVNLNTIKRCLLIDYNPDSQELDFRHYSIKVVPVGASRGMKKLLQEKFPNM
+SRLQDISELLATGAGLSESEAEPDGDHNITELPQAVAGRGNMRAQQSAVRLTEIGPRMTL
+QLIK
+>Q8WYL5_PF08766_251 <unknown description>
+TERLIKAKLRSIMMSQDLENVTSKEIRNELEKQMNCNLKELKEFIDNEMLLIL
+>Q8WYL5_PF00782_316 <unknown description>
+LYLGSEWNASNLEELQGSGVDYILNVTREIDNFFPGLFAYHNIRVYDEETTDLLAHWNEA
+YHFINKAKRNHSKCLVHCKMGVSRSASTVIAYAMKEFGWPLEKAYNYVKQKRSITRPNAG
+FMRQLSEYE
+>Q8TE77_PF08766_272 <unknown description>
+EQAIRAELWKVLDVSDLESVTSKEIRQALELRLGLPLQQYRDFIDNQMLL
+>Q8TE77_PF00782_336 <unknown description>
+LYLGSEWNAANLEELQRNRVTHILNMAREIDNFYPERFTYHNVRLWDEESAQLLPHWKET
+HRFIEAARAQGTHVLVHCKMGVSRSAATVLAYAMKQYECSLEQALRHVQELRPIARPNPG
+FLRQLQIYQ
+>Q8WWF3_PF15468_1 <unknown description>
+MGDLFSLFWEVDPPPIPVNCAIPNQDYECWKDDSCGTIGSFLLWYFVIVFVLMFFSRASV
+WMSEDKKDEGSGTSTSVRKASKETSCKRQSKDSAWDPSQTMKKPKQNQLTPVTNSEVALV
+NAYPEQRRARRQSQFNEVNQNQHDSDTTEYGSEESNSEASSWKESESEHHPSPDSIKRRK
+MAQRQRNLGSYQMSERHCLHCKALRTNEWLAHHSRQKPSVTPPMKRDSQEESSISDINKK
+FSK
+>Q14714_PF04103_55 <unknown description>
+LLALLQLALGIAVTVVGFLMASISSSLLVRDTPFWAGIIVCLVAYLGLFMLCVSYQVDER
+TCIQFSMKLLYFLLSALGLTVCVLAVAFAAHHYSQLTQFTCETTLDSCQCKLPSSEPLSR
+TFVYRDVTDCTSVTGTFKLFLLIQMILNLVCGLVCL
+>P30872_PF00001_75 <unknown description>
+GNSMVIYVILRYAKMKTATNIYILNLAIADELLMLSVPFLVTSTLLRHWPFGALLCRLVL
+SVDAVNMFTSIYCLTVLSVDRYVAVVHPIKAARYRRPTVAKVVNLGVWVLSLLVILPIVV
+FSRTAANSDGTVACNMLMPEPAQRWLVGFVLYTFLMGFLLPVGAICLCYVLIIAKMRMVA
+LKAGWQQRKRSERKITLMVMMVVMVFVICWMPFYVVQLVNVFAEQDDATVSQLSVILGYA
+NSCANPILY
+>P30874_PF00001_60 <unknown description>
+GNTLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVM
+TVDGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMITMAVWGVSLLVILPIMI
+YAGLRSNQWGRSSCTINWPGESGAWYTGFIIYTFILGFLVPLTIICLCYLFIIIKVKSSG
+IRVGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSMAISPTPALKGMFDFVVV
+LTYANSCANPILY
+>P32745_PF00001_61 <unknown description>
+GNSLVIYVVLRHTASPSVTNVYILNLALADELFMLGLPFLAAQNALSYWPFGSLMCRLVM
+AVDGINQFTSIFCLTVMSVDRYLAVVHPTRSARWRTAPVARTVSAAVWVASAVVVLPVVV
+FSGVPRGMSTCHMQWPEPAAAWRAGFIIYTAALGFFGPLLVICLCYLLIVVKVRSAGRRV
+WAPSCQRRRRSERRVTRMVVAVVALFVLCWMPFYVLNIVNVVCPLPEEPAFFGLYFLVVA
+LPYANSCANPILY
+>P31391_PF00001_64 <unknown description>
+GNALVIFVILRYAKMKTATNIYLLNLAVADELFMLSVPFVASSAALRHWPFGSVLCRAVL
+SVDGLNMFTSVFCLTVLSVDRYVAVVHPLRAATYRRPSVAKLINLGVWLASLLVTLPIAI
+FADTRPARGGQAVACNLQWPHPAWSAVFVVYTFLLGFLLPVLAIGLCYLLIVGKMRAVAL
+RAGWQQRRRSEKKITRLVLMVVVVFVLCWMPFYVVQLLNLFVTSLDATVNHVSLILSYAN
+SCANPILY
+>P35346_PF00001_57 <unknown description>
+GNTLVIYVVLRFAKMKTVTNIYILNLAVADVLYMLGLPFLATQNAASFWPFGPVLCRLVM
+TLDGVNQFTSVFCLTVMSVDRYLAVVHPLSSARWRRPRVAKLASAAAWVLSLCMSLPLLV
+FADVQEGGTCNASWPEPVGLWGAVFIIYTAVLGFFAPLLVICLCYLLIVVKVRAAGVRVG
+CVRRRSERKVTRMVLVVVLVFAGCWLPFFTVNIVNLAVALPQEPASAGLYFFVVILSYAN
+SCANPVLY
+>P43307_PF03896_7 <unknown description>
+LLLLLLLVFPATVLFRGGPRGLLAVAQDLTEDEETVEDSIIEDEDDEAEVEEDEPTDLVE
+DKEEEDVSGEPEASPSADTTILFVKGEDFPANNIVKFLVGFTNKGTEDFIVESLDASFRY
+PQDYQFYIQNFTALPLNTVVPPQRQATFEYSFIPAEPMGGRPFGLVINLNYKDLNGNVFQ
+DAVFNQTVTVIEREDGLDGETIFMYMFLAGLGLLVIVGLHQLLESRKRKRPIQKVEMGTS
+SQNDVDMSWIPQETLNQINKASPRRLPRKRAQKRSVGSD
+>P43308_PF05753_6 <unknown description>
+FVVLALFAVTQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELSDDSFP
+PEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATITYLAQEDGPVVIGST
+SAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTPK
+>P51571_PF05404_12 <unknown description>
+LLLLSSLSRCSAEACLEPQITPSYYTTSDAVISTETVFIVEISLTCKNRVQNMALYADVG
+GKQFPVTRGQDVGRYQVSWSLDHKSAHAGTYEVRFFDEESYSLLRKAQRNNEDISIIPPL
+FTVSVDHRGTWNGPWVSTEVLAAAIGLVIYYLAFSAKSHIQ
+>Q9UNL2_PF07074_12 <unknown description>
+EEDLLLQDFSRNLSAKSSALFFGNAFIVSAIPIWLYWRIWHMDLIQSAVLYSVMTLVSTY
+LVAFAYKNVKFVLKHKVAQKREDAVSKEVTRKLSEADNRKMSRKEKDERILWKKNEVADY
+EATTFSIFYNNTLFLVVVIVASFFILKNFNPTVNYILSISASSGLIALLSTG
+>Q08945_PF17292_6 <unknown description>
+EFNDVYQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGHGLKLL
+TKNGHVYKYDGFRESEFEKLSDFFKTHYRLEL
+>Q08945_PF03531_106 <unknown description>
+GWNWGTVKFGGQLLSFDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRF
+YVPPT
+>Q08945_PF08512_340 <unknown description>
+CITCSYKASSGLLYPLERGFIYVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGT
+QYTFSSIEREEYGKLFDFVNAKKLNIKN
+>Q08945_PF00505_547 <unknown description>
+PKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKAEDARRD
+YEKAMKEYE
+>Q9NP77_PF04722_6 <unknown description>
+LRVAVVCSSNQNRSMEAHNILSKRGFSVRSFGTGTHVKLPGPAPDKPNVYDFKTTYDQMY
+NDLLRKDKELYTQNGILHMLDRNKRIKPRPERFQNCKDLFDLILTCEERVYDQVVEDLNS
+REQETCQPVHVVNVDIQDNHEEATLGAFLICELCQCIQHTEDMENEIDELLQEFEEKSGR
+TFLHTVCFY
+>Q16384_PF09514_158 <unknown description>
+KRGKHAWTHRLRERKQLVIYEEISDPEEDD
+>Q16385_PF01352_24 <unknown description>
+AFDDIAKYFSKEEWEKMKASEKIFY
+>Q16385_PF09514_162 <unknown description>
+HAWTHRLRERKQLVIYEEISDPEEDD
+>Q99909_PF09514_162 <unknown description>
+HAWTHRLRERKQLVIYEEISDPEEDD
+>O60224_PF09514_158 <unknown description>
+KRGKHAWTHRLRERKQLVVYEEISDPEEDD
+>O60225_PF09514_158 <unknown description>
+KRGKHAWTHRVRERKQLVIYEEISDPQEDD
+>Q7RTT5_PF09514_158 <unknown description>
+KRGKHAWTHRLRERKQLVIYEEISDPEEDD
+>Q15532_PF05030_14 <unknown description>
+GEITPAAIQKMLDDNNHLIQCIMDSQNKGKTSECSQYQQMLHTNLVYLATIADSNQNMQS
+>Q9Y5Y6_PF01390_88 <unknown description>
+KVFNGYMRITNENFVDAYENSNSTEFVSLASKVKDALKLLYSGVPFLGPYHKESAVTAFS
+EGSVIAYYWSEFSIP
+>Q9Y5Y6_PF00431_227 <unknown description>
+RFTTPGFPDSPYPAHARCQWALRGDADSVLSLTFRSFDLASCDERGSDLVTVYNTLSPME
+PHALVQLCGTYPPSYNLTFHSSQNVLLITLITNTERRHPGFEATF
+>Q9Y5Y6_PF00431_340 <unknown description>
+CGGRLRKAQGTFNSPYYPGHYPPNIDCTWNIEVPNNQHVKVRFKFFYLLEPGVPAGTCPK
+DYVEINGEKYCGERSQFVVTSNSNKITVRFHSDQSYTDTGFLAEY
+>Q9Y5Y6_PF00057_454 <unknown description>
+PGQFTCRTGRCIRKELRCDGWADCTDHSDELNC
+>Q9Y5Y6_PF00057_488 <unknown description>
+CDAGHQFTCKNKFCKPLFWVCDSVNDCGDNSDEQGC
+>Q9Y5Y6_PF00057_525 <unknown description>
+CPAQTFRCSNGKCLSKSQQCNGKDDCGDGSDEASC
+>Q9Y5Y6_PF00057_566 <unknown description>
+TCTKHTYRCLNGLCLSKGNPECDGKEDCSDGSDEKDC
+>Q9Y5Y6_PF00089_615 <unknown description>
+VVGGTDADEGEWPWQVSLHALGQGHICGASLISPNWLVSAAHCYIDDRGFRYSDPTQWTA
+FLGLHDQSQRSAPGVQERRLKRIISHPFFNDFTFDYDIALLELEKPAEYSSMVRPICLPD
+ASHVFPAGKAIWVTGWGHTQYGGTGALILQKGEIRVINQTTCENLLPQQITPRMMCVGFL
+SGGVDSCQGDSGGPLSSVEADGRIFQAGVVSWGDGCAQRNKPGVYTRLPLFRDWI
+>Q9UEE5_PF00069_65 <unknown description>
+RELGRGKFAVVRKCIKKDSGKEFAAKFMRKRRKGQDCRMEIIHEIAVLELAQDNPWVINL
+HEVYETASEMILVLEYAAGGEIFDQCVADREEAFKEKDVQRLMRQILEGVHFLHTRDVVH
+LDLKPQNILLTSESPLGDIKIVDFGLSRILKNSEELREIMGTPEYVAPEILSYDPISMAT
+DMWSIGVLTYVMLTGISPFLGNDKQETFLNISQMNLSYSEEEFDVLSESAVDFIRTLLVK
+KPEDRATAEECLKHPWL
+>O94768_PF00069_36 <unknown description>
+SKELGRGKFAVVRQCISKSTGQEYAAKFLKKRRRGQDCRAEILHEIAVLELAKSCPRVIN
+LHEVYENTSEIILILEYAAGGEIFSLCLPELAEMVSENDVIRLIKQILEGVYYLHQNNIV
+HLDLKPQNILLSSIYPLGDIKIVDFGMSRKIGHACELREIMGTPEYLAPEILNYDPITTA
+TDMWNIGIIAYMLLTHTSPFVGEDNQETYLNISQVNVDYSEETFSSVSQLATDFIQSLLV
+KNPEKRPTAEICLSHSWL
+>O60284_PF01530_367 <unknown description>
+KCPIPGCDGTGHVTGLYPHHRSLSGCP
+>O60284_PF01530_411 <unknown description>
+KCPTPGCTGRGHVNSNRNTHRSLSGCPIA
+>O60284_PF08474_480 <unknown description>
+SQAITSPRATVSKEQEKFGKVPFDYASFDAQVFGKRPLIQTVQGRKTPPFPESKHFPNPV
+KFPNRLPSAGAHTQSPGRASSYSYGQCSEDTHIAAAAAILNLSTRCREATDILSNKPQSL
+HAKGAEIEVDENGTLDLSMKKNRILDKSAPLTSSNTSIPTPSSSPFKTSSILVNAAFYQA
+LCDQEGWDTPINYSKTHGKTEEEKEKDPVSSLENLEEKKFPGEASIPSPKPKLHA
+>O60284_PF01530_723 <unknown description>
+TCPTPGCDGSGHVTGNYASHRSVSGCPLA
+>O60284_PF01530_767 <unknown description>
+KCPTPGCDGSGHVTGNYASHRSLSGCPRA
+>O60284_PF01530_815 <unknown description>
+KCPVIGCDGQGHISGKYTSHRTASGCPLA
+>O60284_PF01530_869 <unknown description>
+CPLPGCNGLGHVNNVFVTHRSLSGCPL
+>P50225_PF00685_38 <unknown description>
+PDDLLISTYPKSGTTWVSQILDMIYQGGDLEKCHRAPIFMRVPFLEFKAPGIPSGMETLK
+DTPAPRLLKTHLPLALLPQTLLDQKVKVVYVARNAKDVAVSYYHFYHMAKVHPEPGTWDS
+FLEKFMVGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPE
+ETVDFVVQHTSFKEMKKNPMTNYTTVPQEFMDHSISPFMRKGMAGDWKTTFTVAQNERFD
+ADYAEKMAGC
+>P50226_PF00685_38 <unknown description>
+PDDLLISTYPKSGTTWVSQILDMIYQGGDLEKCHRAPIFMRVPFLEFKVPGIPSGMETLK
+NTPAPRLLKTHLPLALLPQTLLDQKVKVVYVARNAKDVAVSYYHFYHMAKVYPHPGTWES
+FLEKFMAGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPE
+ETVDLMVEHTSFKEMKKNPMTNYTTVRREFMDHSISPFMRKGMAGDWKTTFTVAQNERFD
+ADYAKKMAGC
+>P0DMM9_PF00685_38 <unknown description>
+PDDLLINTYPKSGTTWVSQILDMIYQGGDLEKCNRAPIYVRVPFLEVNDPGEPSGLETLK
+DTPPPRLIKSHLPLALLPQTLLDQKVKVVYVARNPKDVAVSYYHFHRMEKAHPEPGTWDS
+FLEKFMAGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPE
+ETMDFMVQHTSFKEMKKNPMTNYTTVPQELMDHSISPFMRKGMAGDWKTTFTVAQNERFD
+ADYAEKMAGC
+>P0DMN0_PF00685_38 <unknown description>
+PDDLLINTYPKSGTTWVSQILDMIYQGGDLEKCNRAPIYVRVPFLEVNDPGEPSGLETLK
+DTPPPRLIKSHLPLALLPQTLLDQKVKVVYVARNPKDVAVSYYHFHRMEKAHPEPGTWDS
+FLEKFMAGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPE
+ETMDFMVQHTSFKEMKKNPMTNYTTVPQELMDHSISPFMRKGMAGDWKTTFTVAQNERFD
+ADYAEKMAGC
+>O43704_PF00685_38 <unknown description>
+PDDIVIATYPKSGTTWVSEIIDMILNDGDIEKCKRGFITEKVPMLEMTLPGLRTSGIEQL
+EKNPSPRIVKTHLPTDLLPKSFWENNCKMIYLARNAKDVSVSYYHFDLMNNLQPFPGTWE
+EYLEKFLTGKVAYGSWFTHVKNWWKKKEEHPILFLYYEDMKENPKEEIKKIIRFLEKNLN
+DEILDRIIHHTSFEVMKDNPLVNYTHLPTTVMDHSKSPFMRKGTAGDWKNYFTVAQNEKF
+DAIYETEMSKT
+>O00338_PF00685_39 <unknown description>
+PDDLLICTYPKAGTTWIQEIVDMIEQNGDVEKCQRAIIQHRHPFIEWARPPQPSGVEKAK
+AMPSPRILKTHLSTQLLPPSFWENNCKFLYVARNAKDCMVSYYHFQRMNHMLPDPGTWEE
+YFETFINGKVVWGSWFDHVKGWWEMKDRHQILFLFYEDIKRDPKHEIRKVMQFMGKKVDE
+TVLDKIVQETSFEKMKENPMTNRSTVSKSILDQSISSFMRKGTVGDWKNHFTVAQNERFD
+EIYRRKMEGT
+>Q6IMI6_PF00685_46 <unknown description>
+PDDLILATYPKSGTTWMHEILDMILNDGDVEKCKRAQTLDRHAFLELKFPHKEKPDLEFV
+LEMSSPQLIKTHLPSHLIPPSIWKENCKIVYVARNPKDCLVSYYHFHRMASFMPDPQNLE
+EFYEKFMSGKVVGGSWFDHVKGWWAAKDMHRILYLFYEDIKKNPKHEIHKVLEFLEKTWS
+GDVINKIVHHTSFDVMKDNPMANHTAVPAHIFNHSISKFMRKGMPGDWKNHFTVALNENF
+DKHYEKKMAGS
+>O75897_PF00685_45 <unknown description>
+PDDLLISTYPKAGTTWTQEIVELIQNEGDVEKSKRAPTHQRFPFLEMKIPSLGSGLEQAH
+AMPSPRILKTHLPFHLLPPSLLEKNCKIIYVARNPKDNMVSYYHFQRMNKALPAPGTWEE
+YFETFLAGKVCWGSWHEHVKGWWEAKDKHRILYLFYEDMKKNPKHEIQKLAEFIGKKLDD
+KVLDKIVHYTSFDVMKQNPMANYSSIPAEIMDHSISPFMRKGAVGDWKKHFTVAQNERFD
+EDYKKKMTDT
+>P49888_PF00685_37 <unknown description>
+PDDLVIATYPKSGTTWVSEIVYMIYKEGDVEKCKEDVIFNRIPFLECRKENLMNGVKQLD
+EMNSPRIVKTHLPPELLPASFWEKDCKIIYLCRNAKDVAVSFYYFFLMVAGHPNPGSFPE
+FVEKFMQGQVPYGSWYKHVKSWWEKGKSPRVLFLFYEDLKEDIRKEVIKLIHFLERKPSE
+ELVDRIIHHTSFQEMKNNPSTNYTTLPDEIMNQKLSPFMRKGITGDWKNHFTVALNEKFD
+KHYEQQMKES
+>Q06520_PF00685_34 <unknown description>
+DEDVIILTYPKSGTNWLAEILCLMHSKGDAKWIQSVPIWERSPWVESEIGYTALSETESP
+RLFSSHLPIQLFPKSFFSSKAKVIYLMRNPRDVLVSGYFFWKNMKFIKKPKSWEEYFEWF
+CQGTVLYGSWFDHIHGWMPMREEKNFLLLSYEELKQDTGRTIEKICQFLGKTLEPEELNL
+ILKNSSFQSMKENKMSNYSLLSVDYVVDKAQLLRKGVSGDWKNHFTVAQAEDFDKLFQEK
+MADL
+>O00204_PF00685_60 <unknown description>
+DDDIFIITYPKSGTTWMIEIICLILKEGDPSWIRSVPIWERAPWCETIVGAFSLPDQYSP
+RLMSSHLPIQIFTKAFFSSKAKVIYMGRNPRDVVVSLYHYSKIAGQLKDPGTPDQFLRDF
+LKGEVQFGSWFDHIKGWLRMKGKDNFLFITYEELQQDLQGSVERICGFLGRPLGKEALGS
+VVAHSTFSAMKANTMSNYTLLPPSLLDHRRGAFLRKGVCGDWKNHFTVAQSEAFDRAYRK
+QMRGM
+>Q8WU08_PF00069_23 <unknown description>
+FEILRAIGKGSFGKVCIVQKNDTKKMYAMKYMNKQKCVERNEVRNVFKELQIMQGLEHPF
+LVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFKEETVKLFICELVMALDYLQNQRI
+IHRDMKPDNILLDEHGHVHITDFNIAAMLPRETQITTMAGTKPYMAPEMFSSRKGAGYSF
+AVDWWSLGVTAYELLRGRRPYHIRSSTSSKEIVHTFETTVVTYPSAWSQEMVSLLKKLLE
+PNPDQRFSQLSDVQNFPY
+>Q9NY57_PF00069_23 <unknown description>
+FQILRAIGKGSFGKVCIVQKRDTKKMYAMKYMNKQKCIERDEVRNVFRELQIMQGLEHPF
+LVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFTEGTVKLYICELALALEYLQRYHI
+IHRDIKPDNILLDEHGHVHITDFNIATVVKGAERASSMAGTKPYMAPEVFQVYMDRGPGY
+SYPVDWWSLGITAYELLRGWRPYEIHSVTPIDEILNMFKVERVHYSSTWCKGMVALLRKL
+LTKDPESRVSSLHDIQSVPY
+>Q86UX6_PF00069_93 <unknown description>
+FQILRAIGKGSFGKVCIVQKRDTEKMYAMKYMNKQQCIERDEVRNVFRELEILQEIEHVF
+LVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVQFSEDTVRLYICEMALALDYLRGQHI
+IHRDVKPDNILLDERGHAHLTDFNIATIIKDGERATALAGTKPYMAPEIFHSFVNGGTGY
+SFEVDWWSVGVMAYELLRGWRPYDIHSSNAVESLVQLFSTVSVQYVPTWSKEMVALLRKL
+LTVNPEHRLSSLQ
+>Q9Y2H1_PF00069_90 <unknown description>
+FESLKVIGRGAFGEVRLVQKKDTGHIYAMKILRKSDMLEKEQVAHIRAERDILVEADGAW
+VVKMFYSFQDKRNLYLIMEFLPGGDMMTLLMKKDTLTEEETQFYISETVLAIDAIHQLGF
+IHRDIKPDNLLLDAKGHVKLSDFGLCTGLKKAHRTEFYRNLTHNPPSDFSFQNMNSKRKA
+ETWKKNRRQLAYSTVGTPDYIAPEVFMQTGYNKLCDWWSLGVIMYEMLIGYPPFCSETPQ
+ETYRKVMNWKETLVFPPEVPISEKAKDLILRFCIDSENRIGNSGVEEIKGHPFF
+>Q9Y2H1_PF00433_402 <unknown description>
+IKSIDDTSNFDDFPESDILQPVPNTTEPDYKSKDWVFLNYTY
+>Q9BR01_PF00685_45 <unknown description>
+PSDVWIVTYPKSGTSLLQEVVYLVSQGADPDEIGLMNIDEQLPVLEYPQPGLDIIKELTS
+PRLIKSHLPYRFLPSDLHNGDSKVIYMARNPKDLVVSYYQFHRSLRTMSYRGTFQEFCRR
+FMNDKLGYGSWFEHVQEFWEHRMDSNVLFLKYEDMHRDLVTMVEQLARFLGVSCDKAQLE
+ALTEHCHQLVDQCCNAEALPVGRGRVGLWKDIFTVSMNEKFDLVYKQKMGKC
+>O94864_PF07524_151 <unknown description>
+WHSCRQLLYQAVATILAHAGFDCANESVLETLTDVAHEYCLKFTKLLRFAVDREARLGQT
+PFPDVMEQVFHEVGIGSVL
+>Q6IMI4_PF00685_56 <unknown description>
+DDIVLASYPKCGSNWILHIVSELIYAVSKKKYKYPEFPVLECGDSEKYQRMKGFPSPRIL
+ATHLHYDKLPGSIFENKAKILVIFRNPKDTAVSFLHFHNDVPDIPSYGSWDEFFRQFMKG
+QVSWGRYFDFAINWNKHLDGDNVKFILYEDLKENLAAGIKQIAEFLGFFLTGEQIQTISV
+QSTFQAMRAKSQDTHGAVGPFLFRKGEVGDWKNLFSEIQNQEMDEKFKECLAGT
+>Q8TDW4_PF04184_42 <unknown description>
+ASLWFVAGLGLLYALRIPLRLCENLAAVTVFLNSLTPKFYVALTGTSSLISGLIFIFEWW
+YFHKHGTSFIEQVSVSHLQPLMGGTESSISEPGSPSRNRENETSRQNLSECKVWRNPLNL
+FRGAEYRRYTWVTGKEPLTYYDMNLSAQDHQTFFTCDTDFLRPSDTVMQKAWRERNPPAR
+IKAAYQALELNNDCATAYVLLAEEEATTIVDAERLFKQALKAGETIYRQSQQCQHQSPQH
+EAQLRRDTNVLVYIKRRLAMCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLE
+LQAYPDVQAVLAKYDDISLPKSAAICYTAALLKTRTVSEKFSPETASRRGLSTAEINAVE
+AIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALNLLQC
+TWEGTFRMIPYPLEKGHLFYPYPSCTETADRELLPTFHHVSVYPKKELPLFIHFTAGFCS
+STAMIAILTHQFPEIMGIFAKAVLGL
+>Q9Y365_PF01852_37 <unknown description>
+CEAEVGWNLTYSRAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWD
+SNVIETFDIARLTVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYP
+PRKDLVRAVSIQTGYLIQSTGPKSCVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMY
+KACLK
+>Q9Y3M8_PF07647_61 <unknown description>
+KEACDWLRAAGFPQYAQLYEDSQFPINIVAVKNDHDFLEKDLVEPLCRRLNTLNKCASMK
+>Q9Y3M8_PF00620_677 <unknown description>
+PQSIQQALRYLRSNCLDQVGLFRKSGVKSRIHALRQMNENFPENVNYEDQSAYDVADMVK
+QFFRDLPEPLFTNKLSETFLHIYQYVSKEQRLQAVQAAILLLADENREVLQTLLCFLNDV
+VNLVEENQMTPMNLAVCLAPSLFH
+>Q9Y3M8_PF01852_911 <unknown description>
+NHLIQGLQKEAKEKFKGWVTCSSTDNTDLAFKKVGDGNPLKLWKASVEVEAPPSVVLNRV
+LRERHLWDEDFVQWKVVETLDRQTEIYQYVLNSMAPHPSRDFVVLRTWKTDLPKGMCTLV
+SLSVEHEEAQLLGGVRAVVMDSQYLIEPCGSGKSRLTHICRIDLKGHSPEWYSKGFGHLC
+AAEVARIR
+>P42229_PF02865_3 <unknown description>
+GWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRAQATQLLE
+GLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQKTYDRCPLELVRCIRHILYNEQRLVRE
+A
+>P42229_PF01017_146 <unknown description>
+QTFEELRLVTQDTENELKKLQQTQEYFIIQYQESLRIQAQFAQLAQLSPQERLSRETALQ
+QKQVSLEAWLQREAQTLQQYRVELAEKHQKTLQLLRKQQTIILDDELIQWKRRQQLAGNG
+GPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLCQQLPIPGPVEEMLAEVNATITDI
+>P42229_PF02864_336 <unknown description>
+KQPPQVLKTQTKFAATVRLLVGGKLNVHMNPPQVKATIISEQQAKSLLKNENTRNECSGE
+ILNNCCVMEYHQATGTLSAHFRNMSLKRIKRADRRGAESVTEEKFTVLFESQFSVGSNEL
+VFQVKTLSLPVVVI
+>P42229_PF00017_595 <unknown description>
+LGFVNKQQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPERNLWNLKPFTTRDFSIR
+SLADRLGDLSYLIYV
+>P51692_PF02865_2 <unknown description>
+AVWIQAQQLQGEALHQMQALYGQHFPIEVRHYLSQWIESQAWDSVDLDNPQENIKATQLL
+EGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLVR
+EA
+>P51692_PF01017_146 <unknown description>
+QTFEELRLVTQDTENELKKLQQTQEYFIIQYQESLRIQAQFGPLAQLSPQERLSRETALQ
+QKQVSLEAWLQREAQTLQQYRVELAEKHQKTLQLLRKQQTIILDDELIQWKRRQQLAGNG
+GPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLCQQLPIPGPVEEMLAEVNATITDI
+>P51692_PF02864_336 <unknown description>
+KQPPQVLKTQTKFAATVRLLVGGKLNVHMNPPQVKATIISEQQAKSLLKNENTRNDYSGE
+ILNNCCVMEYHQATGTLSAHFRNMSLKRIKRSDRRGAESVTEEKFTILFESQFSVGGNEL
+VFQVKTLSLPVVVI
+>P51692_PF00017_595 <unknown description>
+LGFVNKQQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSQERMFWNLMPFTTRDFSIR
+SLADRLGDLNYLIYV
+>Q9NY15_PF02469_384 <unknown description>
+DQGCREILTTAGPFTVLVPSVSSFSSRTMNASLAQQLCRQHIIAGQHILEDTRTQQTRRW
+WTLAGQEITVTFNQFTKYSYKYKDQPQQTFNIYKANNIAANGVFHVV
+>Q9NY15_PF02469_518 <unknown description>
+AFSRFETILENCGLPSILDGPGPFTVFAPSNEAVDSLRDGRLIYLFTAGLSKLQELVRYH
+IYNHGQLTVEKLISKGRILTMANQVLAVNISEEGRILLGPEGVPLQRVDVMAANGVIHML
+DGILLP
+>Q9NY15_PF12947_829 <unknown description>
+QHCHLHARCVSQEGVARCRCLDGFEGDGFSC
+>Q9NY15_PF12947_951 <unknown description>
+CRAGNGGCHGLATCRAVGGGQRVCTCPPGFGGDGFSC
+>Q9NY15_PF02469_1000 <unknown description>
+HFSIFYQWLKSAGITLPADRRVTALVPSEAAVRQLSPEDRAFWLQPRTLPNLVRAHFLQG
+ALFEEELARLGGQEVATLNPTTRWEIRNISGRVWVQNASVDVADLLATNGVLHILSQVLL
+P
+>Q9NY15_PF02469_1140 <unknown description>
+AFSLFRELLQHHGLVPQIEAATAYTIFVPTNRSLEAQGNSSHLDADTVRHHVVLGEALSM
+ETLRKGGHRNSLLGPAHWIVFYNHSGQPEVN
+>Q9NY15_PF12947_1459 <unknown description>
+CAHGHGGCSPHANCTKVAPGQRTCTCQDGYMGDGELC
+>Q9NY15_PF12947_1501 <unknown description>
+CLIHHGGCHIHAECIPTGPQQVSCSCREGYSGDGI
+>Q9NY15_PF12947_1544 <unknown description>
+CSKNNGGCSPYATCKSTGDGQRTCTCDTAHTVGDGLTC
+>Q9NY15_PF02469_1606 <unknown description>
+KELKGDGPFTIFVPHADLMSNLSQDELARIRAHRQLVFRYHVVGCRRLRSEDLLEQGYAT
+ALSGHPLRFSEREGSIYLNDFARVVSSDHEAVNGILHFIDRVLLP
+>Q9NY15_PF02469_1737 <unknown description>
+YKIFSGLLKVAGLLPLLREASHRPFTMLWPTDAAFRALPPDRQAWLYHEDHRDKLAAILR
+GHMIRNVEALASDLPNLGPLRTMHGTPISFSCSRTRAGELMVGEDDARIVQRHLPFEGGL
+AYGIDQLLEP
+>Q9NY15_PF12947_2095 <unknown description>
+CQDGHGGCSEHANCSQVGTMVTCTCLPDYEGDGWSC
+>Q9NY15_PF00193_2209 <unknown description>
+VFHLQATSGPYGLNFSEAEAACEAQGAVLASFPQLSAAQQLGFHLCLMGWLANGSTAHPV
+VFPVADCGNGRVGIVSLGARKNLSERWDAYCF
+>Q8WWQ8_PF12947_245 <unknown description>
+ICHPHAHCTYLGPNRHSCTCQEGYRGDGQVC
+>Q8WWQ8_PF12947_326 <unknown description>
+CKSDNPCHRNANCTTVAPGRTECICQKGYVGDGLTC
+>Q8WWQ8_PF02469_383 <unknown description>
+RLTSFISLLDKAYAWPLSKLGPFTVLLPTDKGLKGFNVNELLVDNKAAQYFVKLHIIAGQ
+MNIEYMNNTDMFYTLTGKSGEIFNSDKDNQIKLKLHGGKKKVKIIQGDIIASNGLLHILD
+RAM
+>Q8WWQ8_PF02469_527 <unknown description>
+RYSKFRSLLEETNLGHALDEDGVGGPYTIFVPNNEALNNMKDGTLDYLLSPEGSRKLLEL
+VRYHIVPFTQLEVATLISTPHIRSMANQLIQFNTTDNGQILANDVAMEEIEITAKNGRIY
+TLTGVLIP
+>Q8WWQ8_PF12947_837 <unknown description>
+CHIHATCEYSNGTASCICKAGYEGDGTLC
+>Q8WWQ8_PF12947_957 <unknown description>
+CLEQTGKCHPLASCQSTSSGVWSCVCQEGYEGDGFLC
+>Q8WWQ8_PF02469_1008 <unknown description>
+AIFNRWINNASLQPTLSATSNLTVLVPSQQATEDMDQDEKSFWLSQSNIPALIKYHMLLG
+TYRVADLQTLSSSDMLATSLQGNFLHLAKVDGNITIEGASIVDGDNAATNGVIHIINKVL
+VP
+>Q8WWQ8_PF02469_1149 <unknown description>
+DYSIFRGYIIQYNLANAIEAADAYTVFAPNNNAIENYIREKKVLSLEEDVLRYHVVLEEK
+LLKNDLHNGMHRETMLGFSYFLSFFLHNDQLYVNEAPINYTNVATDKGVIHGLGKVL
+>Q8WWQ8_PF12947_1475 <unknown description>
+CEISNGGCSAKADCKRTTPGRRVCTCKAGYTGDGIVC
+>Q8WWQ8_PF12947_1517 <unknown description>
+CLENHGGCDKNAECTQTGPNQAACNCLPAYTGDGKVC
+>Q8WWQ8_PF12947_1559 <unknown description>
+CLTKNGGCSEFAICNHTGQVERTCTCKPNYIGDGFTC
+>Q8WWQ8_PF02469_1618 <unknown description>
+EHFVKDLVGPGPFTVFAPLSAAFDEEARVKDWDKYGLMPQVLRYHVVACHQLLLENLKLI
+SNATSLQGEPIVISVSQSTVYINNKAKIISSDIISTNGIVHIIDKLLSP
+>Q8WWQ8_PF02469_1754 <unknown description>
+IKFSNLIQDSGLLSVITDPIHTPVTLFWPTDQALHALPAEQQDFLFNQDNKDKLKEYLKF
+HVIRDAKVLAVDLPTSTAWKTLQGSELSVKCGAGRDIGDLFLNGQTCRIVQRELLFDLGV
+AYGIDCLLI
+>Q8WWQ8_PF12947_2086 <unknown description>
+CKQDNGGCAKVARCSQKGTKVSCSCQKGYKGDGHSC
+>Q8WWQ8_PF12947_2132 <unknown description>
+NGGCHEHATCKMTGPGKHKCECKSHYVGDGLNC
+>Q8WWQ8_PF00193_2199 <unknown description>
+VFHLRSPLGQYKLTFDKAREACANEAATMATYNQLSYAQKAKYHLCSAGWLETGRVAYPT
+AFASQNCGSGVVGIVDYGPRPNKSEMWDVFCY
+>Q8WWQ8_PF02469_2355 <unknown description>
+GTLFVPQNSGLGENETLSGRDIEHHLANVSMFFYNDLVNGTTLQTRLGSKLLITASQDPL
+QPTETRFVDGRAILQWDIFASNGIIHVISRPL
+>O95630_PF08969_13 <unknown description>
+DRVRALSQLGSAVEVNEDIPPRRYFRSGVEIIRMASIYSEEGNIEHAFILYNKYITLFIE
+KLPKHRDYKSAVIPEKKDTVKKLKEIAFPKAEELKAELLKRYTK
+>O95630_PF01398_254 <unknown description>
+LRHVVVPGRLCPQFLQLASANTARGVETCGILCGKLMRNEFTITHVLIPKQSAGSDYCNT
+ENEEELFLIQDQQGLITLGWIHTHPTQTAFLSSVDLHTHCSYQMMLPE
+>Q6ZMT1_PF00130_111 <unknown description>
+HSFQEHVFKRASPCELCHQLIVGNSKQGLRCKMCKVSVHLWCSEEIS
+>Q6ZMT1_PF16664_167 <unknown description>
+TSFRRNFSSPLLVHEPPPVCATSKESPPTGDSGKVDPVYETLRYGTSLALMNRSSFSSTS
+ESPTRSLSERDELTEDGEGSIRSSEEGPGDSASPVFTAPAESEGPGPEEKSPGQQLPKAT
+LRKDVGPMY
+>Q6ZMT1_PF14604_299 <unknown description>
+ALYKFLPQENNDLALQPGDRIMLVDDSNEDWWKGKIGDRVGFFPANFVQ
+>Q96MF2_PF00130_90 <unknown description>
+HKFKDHFFKKPKFCDVCARMIVLNNKFGLRCKNCKTNIHEHCQSYVEM
+>Q96MF2_PF16664_147 <unknown description>
+GFHRAYSSPLYSNQQYACVKDLSAANRNDPVFETLRTGVIMANKERKKGQADKKNPVAAM
+MEEEPESARPEEGKPQDGNPEGDKKAE
+>Q96MF2_PF00018_253 <unknown description>
+VALYRFKALEKDDLDFPPGEKITVIDDSNEEWWRGKIGEKVGFFP
+>Q96MF2_PF07653_311 <unknown description>
+HRVTRSFVGNREIGQITLKKDQIVVQKGDEAGGYVKVYTGRKVGLFPTDFLEE
+>Q99469_PF00130_108 <unknown description>
+HAFQEYIFKKPTFCDVCNHMIVGTNAKHGLRCKACKMSIHHKCTD
+>Q99469_PF16664_165 <unknown description>
+KGFRRYYSSPLLIHEQFGCIKEVMPIACGNKVDPVYETLRFGTSLAQRTKKGSSGSGSDS
+PHRTSTSD
+>Q99469_PF14604_292 <unknown description>
+ALYKFVPQENEDLEMRPGDIITLLEDSNEDWWKGKIQDRIGFFPANFVQ
+>Q99469_PF07653_349 <unknown description>
+FRCVRTFIGCKEQGQITLKENQICVSSEEEQDGFIRVLSGKKKGLIPLDVLE
+>Q8WVM7_PF08514_161 <unknown description>
+DYPLTMPGPQWKKFRSNFCEFIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRH
+TSTLAAMKLMTALVNVALNLSIHQDNTQRQYEAERNKMIGKRANERLE
+>Q8N3U4_PF08514_158 <unknown description>
+DYPLTMAGPQWKKFKSSFCEFIGVLVRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRH
+TSTLAAMKLMTALVNVALNLSINMDNTQRQYEAERNKMIGKRANERLE
+>Q96FJ0_PF08969_27 <unknown description>
+EERVRALSKLGCNITISEDITPRRYFRSGVEMERMASVYLEEGNLENAFVLYNKFITLFV
+EKLPNHRDYQQCAVPEKQDIMKKLKEIAFPRTDELKNDLLKKYN
+>Q96FJ0_PF01398_266 <unknown description>
+LRCVVLPEDLCHKFLQLAESNTVRGIETCGILCGKLTHNEFTITHVIVPKQSAGPDYCDM
+ENVEELFNVQDQHDLLTLGWIHTHPTQTAFLSSVDLHTHCSYQLMLP
+>Q92783_PF00790_7 <unknown description>
+NPFDQDVEKATSEMNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPHVAMQAL
+TLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFKNDPQLS
+LISAMIKNLKEQG
+>Q92783_PF02809_172 <unknown description>
+EEEDLAKAIELSLKEQ
+>Q92783_PF00018_216 <unknown description>
+RAIYDFEAAEDNELTFKAGEIITVLDDSDPNWWKGETHQGIGLFPS
+>O75886_PF00790_6 <unknown description>
+ANPFEQDVEKATNEYNTTEDWSLIMDICDKVGSTPNGAKDCLKAIMKRVNHKVPHVALQA
+LTLLGACVANCGKIFHLEVCSRDFATEVRAVIKNKAHPKVCEKLKSLMVEWSEEFQKDPQ
+FSLISATIKSMKEEG
+>O75886_PF02809_166 <unknown description>
+EDEDIAKAIELSLQEQ
+>O75886_PF00018_208 <unknown description>
+RALYDFEAVEDNELTFKHGEIIIVLDDSDANWWKGENHRGIGLFPS
+>Q9ULZ2_PF00169_27 <unknown description>
+YFEGFLLIKRSGYREYEHYWTELRGTTLFFYTDKKSIIYVDKLDIVDLTCLTEQNSTEKN
+CAKFTLVLPKEEVQLKTENTESGEEWR
+>Q9ULZ2_PF00017_181 <unknown description>
+TVSRKEATEMLQKNPSLGNMILRPGSDSRNYSITIRQEIDIPRIKHYKVMSVGQNYTIEL
+E
+>Q14849_PF10457_47 <unknown description>
+SDVRRTFCLFVTFDLLFISLLWIIELNTNTGIRKNLEQEIIQYNFKTSFFDIFVLAFFRF
+SGLLLGYAVLRLRHWWVIAVTTLVSSAFLIVKVILSELLSKGAFGYLLPIVSFVLAWLET
+WFLDFKVLPQEAEEERWYLAAQVAVARGPLLFSGALSEGQFYSPPES
+>Q14849_PF01852_241 <unknown description>
+QGKEATAVVDQILAQEENWKFEKNNEYGDTVYTIEVPFHGKTFILKTFLPCPAELVYQEV
+ILQPERMVLWNKTVTACQILQRVEDNTLISYDVSAGAAGGVVSPRDFVNVRRIERRRDRY
+LSSGIATSHSAKPPTHKYVRGENGPGGFIVLKSASNPRVCTFVWILNTDLKGRLPRYLIH
+QSLAATMFEFAFHLRQRISELG
+>Q96DR4_PF01852_16 <unknown description>
+NTLIQYHSIEEDKWRVAKKTKDVTVWRKPSEEFNGYLYKAQGVIDDLVYSIIDHIRPGPC
+RLDWDSLMTSLDILENFEENCCVMRYTTAGQLWNIISPREFVDFSYTVGYKEGLLSCGIS
+LDWDEKRPEFVRGYNHPCGWFCVPLKDNPNQSLLTGYIQTDLRGMIPQSAVDTAMASTLT
+NFYGDLRKA
+>Q9NSY2_PF01852_9 <unknown description>
+MSEAVAEKMLQYRRDTAGWKICREGNGVSVSWRPSVEFPGNLYRGEGIVYGTLEEVWDCV
+KPAVGGLRVKWDENVTGFEIIQSITDTLCVSRTSTPSAAMKLISPRDFVDLVLVKRYEDG
+TISSNATHVEHPLCPPKPGFVRGFNHPCGCFCEPLPGEPTKTNLVTFFHTDLSGYLPQNV
+VDSFFPRSMTRFYANLQKAVKQF
+>P59095_PF01852_12 <unknown description>
+QEVLGYNRDTSGWKVVKTSKKITVSSKASRKFHGNLYRVEGIIPESPAKLSDFLYQTGDR
+ITWDKSLQVYNMVHRIDSDTFICHTITQSFAVGSISPRDFIDLVYIKRYEGNMNIISSKS
+VDFPEYPPSSNYIRGYNHPCGFVCSPMEENPAYSKLVMFVQTEMRGKLSPSIIEKTMPSN
+LVNFI
+>Q9NQZ5_PF01852_133 <unknown description>
+DSEGKEQRWEMVMDKKHFKLWRRPITGTHLYQYRVFGTYTDVTPRQFFNVQLDTEYRKKW
+DALVIKLEVIERDVVSGSEVLHWVTHFPYPMYSRDYVYVRRYSVDQENNMMVLVSRAVEH
+PSVPESPEFVRVRSYESQMVIRPHKSFDENGFDYLLTYSDNPQTVFPRYCVSWMVSSGMP
+DFLEKLHMATLKA
+>Q92502_PF00620_666 <unknown description>
+PQSIQQAMRYLRSQCLDQVGIFRKSGVKSRIQNLRQMNETSPDNVCYEGQSAYDVADLLK
+QYFRDLPEPIFTSKLTTTFLQIYQLLPKDQWLAAAQAATLLLPDENREVLQTLLYFLSDI
+ASAEENQMTAGNLAVCLAPSIFHLN
+>Q92502_PF01852_899 <unknown description>
+YMEENIQDLLRDAAERFKGWMSVPGPQHTELACRKAPDGHPLRLWKASTEVAAPPAVVLH
+RVLRERALWDEDLLRAQVLEALMPGVELYHYVTDSMAPHPCRDFVVLRMWRSDLPRGGCL
+LVSQSLDPEQPVPESGVRALMLTSQYLMEPCGLGRSRLTHICRADLRGRSPDWYNKVFGH
+LCAMEVAKIRDSFPTL
+>Q9P2P6_PF00225_9 <unknown description>
+RVRPLSKRETKEGGRIIVEVDGKVAKIRNLKVDNRPDGFGDSREKVMAFGFDYCYWSVNP
+EDPQYASQDVVFQDLGMEVLSGVAKGYNICLFAYGQTGSGKTYTMLGTPASVGLTPRICE
+GLFVREKDCASLPSSCRIKVSFLEIYNERVRDLLKQSGQKKSYTLRVREHPEMGPYVQGL
+SQHVVTNYKQVIQLLEEGIANRITAATHVHEASSRSHAIFTIHYTQAILENNLPSEMASK
+INLVDLAGSERADPSYCKDRIAEGANINKSLVTLGIVISTLAQNSQVFSSCQSLNSSVSN
+GGDSGILSSPSGTSSGGAPSRRQSYIPYRDSVLTWLLKDSLGGNSKTIMVATVSPAHTSY
+SETMSTLRYASSAKNI
+>Q9P2P6_PF00498_504 <unknown description>
+KIGRIDSDQEQDIVLQGQWIERDHCTITSACGVVVLRPARGARCTVNGREVTASCRLTQG
+AVITLG
+>Q9P2P6_PF01852_4546 <unknown description>
+GVVSQPLSRVWAAVSDPTVWPLYYKPIQTARLHQRVTNSISLVYLVCNTTLCALKQPRDF
+CCVCVEAKEGHLSVMAAQSVYDTSMPRPSRKMVRGEILPSAWILQPITVEGKEVTRVIYL
+AQVELGAPGFPPQLLSSFIKR
+>P49675_PF01852_78 <unknown description>
+QGEEAMQKALGILSNQEGWKKESQQDNGDKVMSKVVPDVGKVFRLEVVVDQPMERLYEEL
+VERMEAMGEWNPNVKEIKVLQKIGKDTFITHELAAEAAGNLVGPRDFVSVRCAKRRGSTC
+VLAGMATDFGNMPEQKGVIRAEHGPTCMVLHPLAGSPSKTKLTWLLSIDLKGWLPKSIIN
+QVLSQTQVDFANHLRKRLESHPA
+>P42224_PF02865_2 <unknown description>
+SQWYELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAANDVSFATIRFHDLL
+SQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPIQMSMIIYSCLKEERKILENA
+>P42224_PF01017_144 <unknown description>
+SKVRNVKDKVMCIEHEIKSLEDLQDEYDFKCKTLQNREHETNGVAKSDQKQEQLLLKKMY
+LMLDNKRKEVVHKIIELLNVTELTQNALINDELVEWKRRQQSACIGGPPNACLDQLQNWF
+TIVAESLQQVRQQLKKLEELEQKYTYEHDPITKNKQVLWDRT
+>P42224_PF02864_323 <unknown description>
+PCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKVKVLFDKDVNERNTVKGFRKFNI
+LGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGTRTNEGPLIVTEELHSLSFETQLCQP
+GLVIDLETTSLPVVVI
+>P42224_PF00017_578 <unknown description>
+IMGFISKERERALLKDQQPGTFLLRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKK
+E
+>P42224_PF12162_715 <unknown description>
+SRLQTTDNLLPMSPEEFDEVSRIVG
+>P52630_PF02865_2 <unknown description>
+AQWEMLQNLDSPFQDQLHQLYSHSLLPVDIRQYLAVWIEDQNWQEAALGSDDSKATMLFF
+HFLDQLNYECGRCSQDPESLLLQHNLRKFCRDIQPFSQDPTQLAEMIFNLLLEEKRILIQ
+A
+>P52630_PF01017_147 <unknown description>
+SRILDLRAMMEKLVKSISQLKDQQDVFCFRYKIQAKGKTPSLDPHQTKEQKILQETLNEL
+DKRRKEVLDASKALLGRLTTLIELLLPKLEEWKAQQQKACIRAPIDHGLEQLETWFTAGA
+KLLFHLRQLLKELKGLSCLVSYQDDPLTKGVDLRNAQVTELL
+>P52630_PF02864_321 <unknown description>
+QPCMPQTPHRPLILKTGSKFTVRTRLLVRLQEGNESLTVEVSIDRNPPQLQGFRKFNILT
+SNQKTLTPEKGQSQGLIWDFGYLTLVEQRSGGSGKGSNKGPLGVTEELHIISFTVKYTYQ
+GLKQELKTDTLPVVII
+>P52630_PF00017_577 <unknown description>
+IMGFVSRSQERRLLKKTMSGTFLLRFSESSEGGITCSWVEHQDDDKVLIYSVQPYTKEVL
+QSLPLTEIIRHY
+>P52630_PF12188_783 <unknown description>
+SQPVPEPDLPCDLRHLNTEPMEIFRNCVKIEEIMPNGDPLLAGQNTVDEVYVSRPS
+>P40763_PF02865_2 <unknown description>
+AQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNLL
+GEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTA
+>P40763_PF01017_145 <unknown description>
+EQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLKSQGDMQDLNGNNQSVTRQKMQQLE
+QMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEELADWKRRQQIACIGGPPNICLDRLE
+NWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQHRPMLEERIVEL
+>P40763_PF02864_326 <unknown description>
+QPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNYQLKIKVCIDKDSGDVAALRGSRKFN
+ILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGNGGRANCDASLIVTEELHLITFETEV
+YHQGLKIDLETHSLPVVVI
+>P40763_PF00017_584 <unknown description>
+YIMGFISKERERAILSTKPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQ
+QLNNMSFAEIIMGYKIMDATNILVSPLVYLY
+>Q14765_PF02865_2 <unknown description>
+SQWNQVQQLEIKFLEQVDQFYDDNFPMEIRHLLAQWIENQDWEAASNNETMATILLQNLL
+IQLDEQLGRVSKEKNLLLIHNLKRIRKVLQGKFHGNPMHVAVVISNCLREERRILAAA
+>Q14765_PF01017_144 <unknown description>
+EHKVAAIKNSVQMTEQDTKYLEDLQDEFDYRYKTIQTMDQSDKNSAMVNQEVLTLQEMLN
+SLDFKRKEALSKMTQIIHETDLLMNTMLIEELQDWKRRQQIACIGGPLHNGLDQLQNCFT
+LLAESLFQLRRQLEKLEEQSTKMTYEGDPIPMQRTHMLERVTFL
+>Q14765_PF02864_322 <unknown description>
+PCMPTHPQRPLVLKTLIQFTVKLRLLIKLPELNYQVKVKASIDKNVSTLSNRRFVLCGTN
+VKAMSIEESSNGSLSVEFRHLQPKEMKSSAGGKGNEGCHMVTEELHSITFETQICLYGLT
+IDLETSSLPVVMI
+>Q14765_PF00017_574 <unknown description>
+VMGFVSKEKERLLLKDKMPGTFLLRFSESHLGGITFTWVDHSESGEVRFHSVEP
+>P42226_PF02865_2 <unknown description>
+SLWGLVSKMPPEKVQRLYVDFPQHLRHLLGDWLESQPWEFLVGSDAFCCNLASALLSDTV
+QHLQASVGEQGEGSTILQHISTLESIYQRDPLKLVATFRQILQGEKKAVMEQ
+>P42226_PF01017_182 <unknown description>
+LLQETTGELEAAKALVLKRIQIWKRQQQLAGNGAPFEESLAPLQERCESLVDIYSQLQQE
+VGA
+>P42226_PF02864_277 <unknown description>
+KQPPQVLKTQTKFQAGVRFLLGLRFLGAPAKPPLVRADMVTEKQARELSVPQGPGAGAES
+TGEIINNTVPLENSIPGNCCSALFKNLLLKKIKRCERKGTESVTEEKCAVLFSASFTLGP
+GKLPIQLQALSLPLVVI
+>P42226_PF00017_538 <unknown description>
+IIGFISKQYVTSLLLNEPDGTFLLRFSDSEIGGITIAHVIRGQDGSPQIENIQPFSAKDL
+SIRSLGDRIRDLAQLKNLY
+>P42226_PF14596_655 <unknown description>
+PLPTPELQMPTMVPSYDLGMAPDSSMSMQLGPDMVPQVYPPHSHSIPPYQGLSPEESVNV
+LSAFQEPHLQMPPSLGQMSLPFDQPHPQGLLPCQPQEHAVSSPDPLLCSDVTMVEDSCLS
+QPVTAFPQGTWIGEDIFPPLLPPTEQDLTKLLLEGQGESGGGSLGAQPLLQPSHYGQSGI
+SMSHMDLRANPSW
+>P02808_PF03875_20 <unknown description>
+DSSEEKFLRRIGRFGYGYGPYQPVPEQPLYPQPYQPQYQQY
+>O95793_PF00035_103 <unknown description>
+YNYNMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKTRQAAKHDAAAKALRIL
+>O95793_PF00035_186 <unknown description>
+ISQVFEIALKRNLPVNFEVARESGPPHMKNFVTKVSVGEFVGEGEGKSKKISKKNAAIAV
+LEEL
+>O95793_PF00035_287 <unknown description>
+PISRLAQIQQAKKEKEPEYTLLTERGLPRRREFVMQVKVGNHTAEGTGTNKKVAKRNAAE
+NMLEIL
+>O95793_PF16482_447 <unknown description>
+AKATVTAMIARELLYGGTSPTAETILKNNISSGHVPHGPLTRPSEQLDYLSRVQGFQVEY
+KDFPKNNKNEFVSLINCSSQPPLISHGIGKDVESCHDMAALNILKLLSELD
+>Q9NUL3_PF00035_11 <unknown description>
+CLVNELARFNRVQPQYKLLNERGPAHSKMFSVQLSLGEQTWESEGSSIKKAQQAVANKAL
+>Q9NUL3_PF00035_141 <unknown description>
+PVPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQAL
+>Q9NUL3_PF00035_210 <unknown description>
+SLVFEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVL
+QEL
+>Q9NUL3_PF00035_308 <unknown description>
+PISRLAQIQQAKKEKEPDYVLLSERGMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAE
+AMLLQL
+>Q9NUL3_PF16482_457 <unknown description>
+TSNSSATIARELLMNGTSSTAEAIGLKGSSPTPPCSPVQPSKQLEYLARIQGFQAALS
+>O95210_PF00686_263 <unknown description>
+QVSVRFQVHYVTSTDVQFIAVTGDHECLGRWNTYIPLHYNKDGFWSHSIFLPADTVVEWK
+FVLVENGGVTRWEECSNRFLETGHEDKVV
+>P52823_PF03298_7 <unknown description>
+VLLVLVISASATHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACLENSTCD
+TDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGVTSKVFLAIRRCSTFQRMIAEVQE
+ECYSKLNVCSIAKRNPEAITEVVQLPNHFSNRYYNRLVRSLLECDEDTVSTIRDSLMEKI
+GPNMASLFHILQTDHCAQT
+>O76061_PF03298_14 <unknown description>
+LVLATFDPARGTDATNPPEGPQDRSSQQKGRLSLQNTAEIQHCLVNAGDVGCGVFECFEN
+NSCEIRGLHGICMTFLHNAGKFDAQGKSFIKDALKCKAHALRHRFGCISRKCPAIREMVS
+QLQRECYLKHDLCAAAQENTRVIVEMIHFKDLLLHEPYVDLVNLLLTCGEEVKEAITHSV
+QVQCEQNWGSLCSILSFCTS
+>Q9UHE8_PF01794_119 <unknown description>
+PMVSITLLALVYLPGVIAAIVQLHNGTKYKKFPHWLDKWMLTRKQFGLLSFFFAVLHAIY
+SLSYPMRRSYRYKLLNWAYQQVQQNKEDAWIEHDVWRMEIYVSLGIVGLAILALLAVTSI
+PSVSDSLTWREFHYIQSKLGIVSLLL
+>Q8NFT2_PF03807_32 <unknown description>
+TVGVIGSGDFAKSLTIRLIRCGYHVVIGSRNPKFASEFFPHVVDVTHHEDALTKTNIIFV
+AIHREHYTSLWDLRHLLVGKILIDVSN
+>Q8NFT2_PF01794_260 <unknown description>
+PIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLETWLQCRKQLGLLSFFFAMVHVAY
+SLCLPMRRSERYLFLNMAYQQVHANIENSWNEEEVWRIEMYISFGIMSLGLLSLLAVTSI
+PSVSNALNWREFSFIQSTLGYVALL
+>Q658P3_PF03807_40 <unknown description>
+KVGILGSGDFARSLATRLVGSGFKVVVGSRNPKRTARLFPSAAQVTFQEEAVSSPEVIFV
+AVFREHYSSLCSLSDQLAGKILVDVSNP
+>Q658P3_PF01794_270 <unknown description>
+PCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQHRKQIGLLSFFCAALHALY
+SFCLPLRRAHRYDLVNLAVKQVLANKSHLWVEEEVWRMEIYLSLGVLALGTLSLLAVTSL
+PSIANSLNWREFSFVQSSLGFVALVL
+>Q687X5_PF03807_22 <unknown description>
+VCIFGTGDFGRSLGLKMLQCGYSVVFGSRNPQKTTLLPSGAEVLSYSEAAKKSGIIIIAI
+HREHYDFLTELTEVLNGKILVDISN
+>Q687X5_PF01794_248 <unknown description>
+PITALTLLALVYLPGVIAAILQLYRGTKYRRFPDWLDHWMLCRKQLGLVALGFAFLHVLY
+TLVIPIRYYVRWRLGNLTVTQAILKKENPFSTSSAWLSDSYVALGILGFFLFVLLGITSL
+PSVSNAVNWREFRFVQSKLGYLTLILC
+>Q13285_PF00105_12 <unknown description>
+LCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKTQRKRCPFCRFQ
+KCLTVGMR
+>Q13285_PF00104_253 <unknown description>
+KSRPDQPAAFGLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQNCWSELLVFDHIY
+RQVQHGKEGSILLVTGQEVELTTVATQAGSLLHSLVLRAQELVLQLLALQLDRQEFVCLK
+FIILFSLDLKFLNNHILVKDAQEKANAALLDYTLCHYPHCGDKFQQLLLCLVEVRALSMQ
+AKEYLY
+>Q15468_PF15253_33 <unknown description>
+LWNPTPTGDFIYLHLSYYRNPKLVVTEKTIRLAYRHAKQNKKNSSCFLLGSLTADEDEEG
+VTLTVDRFDPGREVPECLEITPTASLPGDFLIPCKVHTQELCSREMIVHSVDDFSSALKA
+LQCHICSKDSLDCGKLLSLRVHITSRESLDSVEFDLHWAAVTLANNFKCTPVKPIPIIPT
+ALARNLSSNLNISQVQGTYKYGYLTMDETRKLLLLLESDPKVYSLPLVGIWLSGITHIYS
+PQVWACCLRYIFNSSVQERVFSESGNFIIVLYSMTHKEPEFYECFPCDGKIPDFRFQLLT
+SKETLHLFKNVEPPDKNPIRCELSAESQNAETEFFSKASKNFSIKRSSQKLSSGKMPIHD
+HDSGVEDEDFSPRPIPSPHPVSQKISKIQPSVPELSLVLDGNF
+>Q9P246_PF07647_133 <unknown description>
+VHNWTLEDTLQWLIEFVELPQYEKNFRDNNVKGTTLPRIAVHEPSFMISQLKISDRSHRQ
+KLQLKALDV
+>Q9P246_PF16533_345 <unknown description>
+WSVPDALQKWLQLTHEVEVQYYNIKRQNAEMQLAIAKDEAEKIKKKRSTVFGTLHVAHSS
+SLDEVDHKILEAKKALSELTTCLRERLFRWQQIEKICGFQI
+>Q86TL2_PF12400_100 <unknown description>
+CSLYLINFLLDATVGMLLIYVGVRAVSVLVEWQQWESLRFGEYGDPLQCGAWVGQCALYI
+VIMIFEKSVVFIVLLILQWKKVALLNPIENPDLKLAIVMLIVPFFVNALMFWVVDNFLM
+>Q86WV6_PF15009_45 <unknown description>
+RYLVLHLASLQLGLLLNGVCSLAEELRHIHSRYRGSYWRTVRACLGCPLRRGALLLLSIY
+FYYSLPNAVGPPFTWMLALLGLSQALNILLGLKGLAPAEISAVCEKGNFNVAHGLAWSYY
+IGYLRLILPELQARIRTYNQHYNNLLRGAVSQRLYILLPLDCGVPDNLSMADPNIRFLDK
+LPQQTGDHAGIKDRVYSNSIYELLENGQRAGTCVLEYATPLQTLFAMSQYSQAGFSREDR
+LEQAKLFCRTLEDILADAPESQNNCRLIAYQEPADDSSFSLSQEVLRHLRQEE
+>P31948_PF13414_16 <unknown description>
+SVGNIDDALQCYSEAIKLDPHNHVLYSNRSAAYAKKG
+>P31948_PF17830_130 <unknown description>
+MPNLYQKLESDPRTRTLLSDPTYRELIEQLRNKPSDLGTKLQDPRIMTTLSVLLG
+>P31948_PF07719_230 <unknown description>
+ELGNDAYKKKDFDTALKHYDKAKELDPTN
+>P31948_PF13424_262 <unknown description>
+ITNQAAVYFEKGDYNKCRELCEKAIEVGRENREDYRQIAKAYARIGNSYFKEEKYKDAIH
+FYNKSLA
+>P31948_PF13414_367 <unknown description>
+GNECFQKGDYPQAMKHYTEAIKRNPKDAKLYSNRAACYTK
+>P31948_PF13181_429 <unknown description>
+KGYTRKAAALEAMKDYTKAMDVYQKALDLDSS
+>P31948_PF17830_483 <unknown description>
+EDVKRRAMADPEVQQIMSDPAMRLILEQMQKDPQALSEHLKNPVIAQKIQKLMD
+>O94804_PF00069_37 <unknown description>
+EIVGELGDGAFGKVYKAKNKETGALAAAKVIETKSEEELEDYIVEIEILATCDHPYIVKL
+LGAYYHDGKLWIMIEFCPGGAVDAIMLELDRGLTEPQIQVVCRQMLEALNFLHSKRIIHR
+DLKAGNVLMTLEGDIRLADFGVSAKNLKTLQKRDSFIGTPYWMAPEVVMCETMKDTPYDY
+KADIWSLGITLIEMAQIEPPHHELNPMRVLLKIAKSDPPTLLTPSKWSVEFRDFLKIALD
+KNPETRPSAAQLLEHPFV
+>O94804_PF12474_587 <unknown description>
+HELQLEQMHKRFEQEINAKKKFFDTELENLERQQKQQVEKMEQDHAVRRREEARRIRLEQ
+DRDYTRFQEQLKLMKKEVKNEVEKLPRQQRKESMKQKMEEHTQKKQLLDRDFVAKQKEDL
+ELAMKRLTTDNRREICDKE
+>O94804_PF12474_755 <unknown description>
+HQLVKQQLKDQYFLQRHELLRKHEKEREQMQRYNQRMIEQLKVRQQQEKARLPKIQRSEG
+KTRMAMYKKSLHINGGGSAAEQREKIKQFSQQEEKRQKSERLQQQQKHENQMRDMLAQCE
+SNMSELQQLQNEKCHLLVEHE
+>Q15831_PF00069_53 <unknown description>
+DLLGEGSYGKVKEVLDSETLCRRAVKILKKKKLRRIPNGEANVKKEIQLLRRLRHKNVIQ
+LVDVLYNEEKQKMYMVMEYCVCGMQEMLDSVPEKRFPVCQAHGYFCQLIDGLEYLHSQGI
+VHKDIKPGNLLLTTGGTLKISDLGVAEALHPFAADDTCRTSQGSPAFQPPEIANGLDTFS
+GFKVDIWSAGVTLYNITTGLYPFEGDNIYKLFENIGKGSYAIPGDCGPPLSDLLKGMLEY
+EPAKRFSIRQIRQHSWF
+>O75716_PF00069_22 <unknown description>
+FIQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDREEAQREADMHRLFNHPNILRLV
+AYCLRERGAKHEAWLLLPFFKRGTLWNEIERLKDKGNFLTEDQILWLLLGICRGLEAIHA
+KGYAHRDLKPTNILLGDEGQPVLMDLGSMNQACIHVEGSRQALTLQDWAAQRCTISYRAP
+ELFSVQSHCVIDERTDVWSLGCVLYAMMFGEGPYDMVFQKGDSVALAVQNQLSIPQSPRH
+SSALRQLLNSMMTVDPHQRPHIPLLL
+>P49842_PF10494_53 <unknown description>
+EDALPPIVLRSQVYSLVPDRTVADRQLKELQEQGEIRIVQLGFDLDAHGIIFTEDYRTRV
+LKACDGRPYAGAVQKFLASVLPACGDLSFQQDQMTQTFGFRDSEITHLVNAGVLTVRDAG
+SWWLAVPGAGRFIKYFVKGRQAVLSMVRKAKYRELLLSELLGRRAPVVVRLGLTYHVHDL
+IGAQLVDCISTTSGTLLRL
+>Q9Y6E0_PF00069_24 <unknown description>
+FTKLEKIGKGSFGEVFKGIDNRTQKVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSPYVT
+KYYGSYLKDTKLWIIMEYLGGGSALDLLEPGPLDETQIATILREILKGLDYLHSEKKIHR
+DIKAANVLLSEHGEVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIKQSAYDSKADIW
+SLGITAIELARGEPPHSELHPMKVLFLIPKNNPPTLEGNYSKPLKEFVEACLNKEPSFRP
+TAKELLKHKFI
+>O00506_PF00069_20 <unknown description>
+FTKLDRIGKGSFGEVYKGIDNHTKEVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSPYIT
+RYFGSYLKSTKLWIIMEYLGGGSALDLLKPGPLEETYIATILREILKGLDYLHSERKIHR
+DIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIKQSAYDFKADIW
+SLGITAIELAKGEPPNSDLHPMRVLFLIPKNSPPTLEGQHSKPFKEFVEACLNKDPRFRP
+TAKELLKHKFI
+>Q9P289_PF00069_24 <unknown description>
+FTKLERIGKGSFGEVFKGIDNRTQQVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSSYVT
+KYYGSYLKGSKLWIIMEYLGGGSALDLLRAGPFDEFQIATMLKEILKGLDYLHSEKKIHR
+DIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIQQSAYDSKADIW
+SLGITAIELAKGEPPNSDMHPMRVLFLIPKNNPPTLVGDFTKSFKEFIDACLNKDPSFRP
+TAKELLKHKFI
+>Q9BXU1_PF00567_29 <unknown description>
+HYDKVEDVVGSHIEDAVTFWAQSINRNKDIMKIGCSLSEVCPQASSVLGNLDPNKIYGGL
+FSEDQCWYRCKVLKIISVEKCLVRYIDYGNTEILNRSDIVEIPLELQFSSVAKKYKLWG
+>Q9BXU1_PF00069_799 <unknown description>
+CKSDPMAYLMVPYYPRANLNAVQANMPLNSEETLKVMKGVAQGLHTLHKADIIHGSLHQN
+NVFALNREQGIVGDFDFTKSVSQRASVNMMVGDLSLMSPELKMGKPASPGSDLYAYGCLL
+LWLSVQNQEFEINKDGIPKVDQ
+>Q9BYT3_PF00069_116 <unknown description>
+YTFGRILGKGSFGIVIEATDKETETKWAIKKVNKEKAGSSAVKLLEREVNILKSVKHEHI
+IHLEQVFETPKKMYLVMELCEDGELKEILDRKGHFSENETRWIIQSLASAIAYLHNNDIV
+HRDLKLENIMVKSSLIDDNNEINLNIKVTDFGLAVKKQSRSEAMLQATCGTPIYMAPEVI
+SAHDYSQQCDIWSIGVVMYMLLRGEPPFLASSEEKLFELIRKGELHFENAVWNSISDCAK
+SVLKQLMKVDPAHRITAKELLDNQWL
+>Q8TDR2_PF00069_202 <unknown description>
+YSLLAEIGRGSYGVVYEAVAGRSGARVAVKKIRCDAPENVELALAEFWALTSLKRRHQNV
+VQFEECVLQRNGLAQRMSHGNKSSQLYLRLVETSLKGERILGYAEEPCYLWFVMEFCEGG
+DLNQYVLSRRPDPATNKSFMLQLTSAIAFLHKNHIVHRDLKPDNILITERSGTPILKVAD
+FGLSKVCAGLAPRGKEGNQDNKNVNVNKYWLSSACGSDFYMAPEVWEGHYTAKADIFALG
+IIIWAMIERITFIDSETKKELLGTYIKQGTEIVPVGEALLENPKMELHIPQKRRTSMSEG
+IKQLLKDMLAANPQDRPDAFE
+>Q9NRP7_PF00069_4 <unknown description>
+YHVLEMIGEGSFGRVYKGRRKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLRHPNI
+VHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHRILH
+RDMKPQNILLAKGGGIKLCDFGFARAMSTNTMVLTSIKGTPLYMSPELVEERPYDHTADL
+WSVGCILYELAVGTPPFYATSIFQLVSLILKDPVRWPSTISPCFKNFLQGLLTKDPRQRL
+SWPDLLYHPFI
+>Q9NRP7_PF13646_1156 <unknown description>
+LLLLGLGDKDPVVRCSASFAVGNAAYQAGPLGPALAAAVPSMTQLLGDPQAGIRRNVASA
+LGNLGPEGLGEELLQCEVPQRLLEMACGDPQPNVKEAALIALR
+>Q15208_PF00069_89 <unknown description>
+FESLKVIGRGAFGEVRLVQKKDTGHVYAMKILRKADMLEKEQVGHIRAERDILVEADSLW
+VVKMFYSFQDKLNLYLIMEFLPGGDMMTLLMKKDTLTEEETQFYIAETVLAIDSIHQLGF
+IHRDIKPDNLLLDSKGHVKLSDFGLCTGLKKAHRTEFYRNLNHSLPSDFTFQNMNSKRKA
+ETWKRNRRQLAFSTVGTPDYIAPEVFMQTGYNKLCDWWSLGVIMYEMLIGYPPFCSETPQ
+ETYKKVMNWKETLTFPPEVPISEKAKDLILRFCCEWEHRIG
+>Q15208_PF00433_401 <unknown description>
+IKSIDDTSNFDEFPESDILKPTVATSNHPETDYKNKDWVFINYTY
+>Q9UEW8_PF00069_63 <unknown description>
+YELQEVIGSGATAVVQAALCKPRQERVAIKRINLEKCQTSMDELLKEIQAMSQCSHPNVV
+TYYTSFVVKDELWLVMKLLSGGSMLDIIKYIVNRGEHKNGVLEEAIIATILKEVLEGLDY
+LHRNGQIHRDLKAGNILLGEDGSVQIADFGVSAFLATGGDVTRNKVRKTFVGTPCWMAPE
+VMEQVRGYDFKADMWSFGITAIELATGAAPYHKYPPMKVLMLTLQNDPPTLETGVEDKEM
+MKKYGKSFRKLLSLCLQKDPSKRPTAAELLKCKFF
+>Q9UEW8_PF12202_452 <unknown description>
+VNLVLRLRNSRKELNDIRFEFTPGRDTADGVSQELFSAGLVDGHDVVIVAANLQKIVD
+>Q13188_PF00069_28 <unknown description>
+DVLEKLGEGSYGSVFKAIHKESGQVVAIKQVPVESDLQEIIKEISIMQQCDSPYVVKYYG
+SYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLIEDEIATILKSTLKGLEYLHFMRKIHRDI
+KAGNILLNTEGHAKLADFGVAGQLTDTMAKRNTVIGTPFWMAPEVIQEIGYNCVADIWSL
+GITSIEMAEGKPPYADIHPMRAIFMIPTNPPPTFRKPELWSDDFTDFVKKCLVKNPEQRA
+TATQLLQHPFI
+>Q13188_PF11629_437 <unknown description>
+FDFLKNLSLEELQMRLKALDPMMEREIEELRQRYTAKRQPILDAMDAK
+>Q8N2I9_PF00069_81 <unknown description>
+QEERQGKMLLHTEYSLLSLLHTQDGVVHHHGLFQDRTCEIVEDTESSRMVKKMKKRICLV
+LDCLCAHDFSDKTADLINLQHYVIKEKRLSERETVVIFYDVVRVVEALHQKNIVHRDLKL
+GNMVLNKRTHRITITNFCLGKHLVSEGDLLKDQRGSPAYISPDVLSGRPYRGKPSDMWAL
+GVVLFTMLYGQFPFYDSIPQELFRKIKAAEYTIPEDGRVSENTVCLIRKLLVLDPQQRLA
+AADVLE
+>Q13043_PF00069_31 <unknown description>
+DVLEKLGEGSYGSVYKAIHKETGQIVAIKQVPVESDLQEIIKEISIMQQCDSPHVVKYYG
+SYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLTEDEIATILQSTLKGLEYLHFMRKIHRDI
+KAGNILLNTEGHAKLADFGVAGQLTDTMAKRNTVIGTPFWMAPEVIQEIGYNCVADIWSL
+GITAIEMAEGKPPYADIHPMRAIFMIPTNPPPTFRKPELWSDNFTDFVKQCLVKSPEQRA
+TATQLLQHPFV
+>Q13043_PF11629_433 <unknown description>
+YEFLKSWTVEDLQKRLLALDPMMEQEIEEIRQKYQSKRQPILDAIEAK
+>Q8NE28_PF00069_35 <unknown description>
+NPGALGVNLVVEEMETKVKHVIKQVECMDDHYASQALEELMPLLKLRHAHISVYQELFIT
+WNGEISSLYLCLVMEFNELSFQEVIEDKRKAKKIIDSEWMQNVLGQVLDALEYLHHLDII
+HRNLKPSNIILISSDHCKLQDLSSNVLMTDKAKWNIRAEEDPFRKSWMAPEALNFSFSQK
+SDIWSLGCIILDMTSCSFMDGTEAMHLRKSLRQSPGSLKAVLKTMEEKQIPDVETFRNLL
+PLMLQIDPSDRITIKDVVHITF
+>H3BQB6_PF00836_130 <unknown description>
+NGESYDVTLTTTEKPLRKPPSRLKKLKIKKQVKDFTMKDIEEKMEAAEERRKTKEEEIRK
+RLR
+>Q9UBI4_PF01145_81 <unknown description>
+IVPTYERMIVFRLGRIRTPQGPGMVLLLPFIDSFQRVDLRTRAFNVPPCKLASKDGAVLS
+VGADVQFRIWDPVLSVMTVKDLNTATRMTAQNAMTKALLKRPLREIQMEKLKISDQLLLE
+INDVTRAWGLEVDRVEL
+>Q9UBI4_PF02036_300 <unknown description>
+PFLSEALVSQVGACYQFNVVLPSGTQSAYFLDLTTGRGRVGHGVPDGIPDVVVEMAEADL
+RALLCRELRPLGAYMSGRLKVKGDLAMAMKLEAVL
+>Q9UJZ1_PF01145_41 <unknown description>
+VPQQEAWVVERMGRFHRILEPGLNILIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQ
+IDGVLYLRIMDPYKASYGVEDPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNASIVDA
+INQAADCWGIRCLRYEIKDIHVPPRVKESMQMQVEAERRKRATVLESEG
+>Q9UJZ1_PF16200_260 <unknown description>
+ILAAALTQHNGDAAASLTVAEQYVSAFSKLAKDSNTILLPSNPGDVTSMVAQAMGVYGAL
+T
+>Q8TAV4_PF01145_53 <unknown description>
+IIKEYERAVVFRLGRIQADKAKGPGLILVLPCIDVFVKVDLRTVTCNIPPQEILTRDSVT
+TQVDGVVYYRIYSAVSAVANVNDVHQATFLLAQTTLRNVLGTQTLSQILAGREEIAHSIQ
+TLLDDATELWGIRVARVEIKDVRIPVQLQRSMAAEAEATREARAKVLAAEGE
+>P16949_PF00836_5 <unknown description>
+DIQVKELEKRASGQAFELILSPRSKESVPEFPLSPPKKKDLSLEEIQKKLEAAEERRKSH
+EAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKLERLR
+EKDKHIEEVRKNKE
+>Q93045_PF00836_39 <unknown description>
+DMEVKQINKRASGQAFELILKPPSPISEAPRTLASPKKKDLSLEEIQKKLEAAEERRKSQ
+EAQVLKQLAEKREHEREVLQKALEENNNFSKMAEEKLILKMEQIKENREANLAAIIERLQ
+EKERHAAEVRRNKE
+>Q9NZ72_PF00836_39 <unknown description>
+DMEVKQLDKRASGQSFEVILKSPSDLSPESPMLSSPPKKKDTSLEELQKRLEAAEERRKT
+QEAQVLKQLAERREHEREVLHKALEENNNFSRQAEEKLNYKMELSKEIREAHLAALRERL
+REKELHAAEVRRNKEQ
+>Q9H169_PF00836_76 <unknown description>
+DMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEEIQKKLEAAEERRKYQ
+EAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNKENREAHLAAMLERLQ
+EKDKHAEEVRKNKE
+>E0CX11_PF15054_4 <unknown description>
+FLLGFTLGNVVGMYLAQNYDIPNLAKKLEEIKKDLDAKKKP
+>Q9H668_PF01336_58 <unknown description>
+DVLGTVIGVRERDAFYSYGVDDSTGVINCICWKKLNTESVSAAPSAARELSLTSQLKKLQ
+ETIEQKTKIEIGDTIRVRGSIRTYREEREIHATTY
+>Q9H668_PF09170_157 <unknown description>
+DPVWNIQIARMLELPTIYRKVYDQPFHSSALEKEEALSNPGALDLPSLTSLLSEKAKEFL
+MENRVQSFYQQELEMVESLLSLANQPVIHSASSDQVNFKKDTTSKAIHSIFKNAIQLLQE
+KGLVFQKDDGFDNLYYVTREDKDLHRKIHRIIQQDCQKPNHMEKGCHFLHILACARL
+>P27105_PF01145_56 <unknown description>
+IIKEYERAIIFRLGRILQGGAKGPGLFFILPCTDSFIKVDMRTISFDIPPQEILTKDSVT
+ISVDGVVYYRVQNATLAVANITNADSATRLLAQTTLRNVLGTKNLSQILSDREEIAHNMQ
+STLDDATDAWGIKVERVEIKDVKLPVQLQRAMAAEAEASREARAKVIAAEGE
+>Q9Y6Q2_PF00928_403 <unknown description>
+VSKPKKNYEEQEISLEIVDNFWGKVTKEGKFVESAVITQIYCLCFVNGNLECFLTLNDLE
+LPKRDESYYEKDSEKKGIDILDYHFHKCVNVQEFEQSRIIKFVPLDACRFELMRFKTLYN
+GDNLPFSLKSVVVVQGAYVELQAFVNMASLAQRSSYAGSLRSCDNIRIHFPVPSQWIKAL
+WTMNLQRQKSLKAKMNRRACLGSLQELESEPVIQVTVGSAKYESAYQAVVWKIDRLPDKN
+SSLDHPHCLSYKLELGSDQEIPSDWYPFATVQFSVPDTCASRTEVRSLGVESDVQPQKHV
+QQRACYNIQVEI
+>Q8WXE9_PF12016_1 <unknown description>
+MTTLDHVIATHQSEWVSFNEEPPFPAHSQGGTEEHLPGLSSSPDQSESSSGENHVVDGGS
+QDHSHSEQDDSSEKMGLISEAASPPGSPEQPPPDLASAISNWVQFEDDTPWASTSPPHQE
+TAETALPLTMPCWTCPSFDSLGRCPLTSESSWTTHSEDTSSPSFGCSYTDLQLINAEEQT
+SGQASGADSTDKRTEWQTGRQTAVSPVQACSEHTSTRTHRLDP
+>Q8WXE9_PF12016_235 <unknown description>
+NPGEGPEGASAPNDNSSSLQEDEEVEMEAISWQASSPAMNGHPAPPVTSARFPSWVTFDD
+NEVSCPLPPVTSPLKPNTPPSASVIPDVPYNSMGSFKKRDRPKSTLMNFSKVQKLDISSL
+NRTPSVTEASPWRATNPFLNETLQDVQPSPINPFSAFFEEQER
+>Q8WXE9_PF00928_617 <unknown description>
+STVGLNYLEEEITVDVRDEFSGIVSKGDNQILQHHVLTRIHILSFLSGLAECRLGLNDIL
+VKGNEIVLRQDIMPTTTTKWIKLHECRFHGCVDEDVFHNSRVILFNPLDACRFELMRFRT
+VFAEKTLPFTLRTATSVNGAEVEVQSWLRMSTGFSANRDPLTQVPCENVMIRYPVPSEWV
+KNFRRESVLGEKSLKAKVNRGASFGSTSVSGSEPVMRVTLGTAKYEHAFNSIVWRINRLP
+DKNSASGHPHCFFCHLELGSDREVPSRFANHVNVEFSMPTTSASKASVRSISVEDKTDVR
+KWVNYSAHYSYQVE
+>Q6ZVD7_PF10264_111 <unknown description>
+MNPITQSQFVPLGEVLCCAISDMNTAQIVVTQESLLERLMKHYPGIAIPSEDILYTTLGT
+LIKERKIYHTGEGYFIVTP
+>Q9P2F5_PF10264_63 <unknown description>
+MSPISQSQFIPLGEILCLAISAMNSARKPVTQEALMEHLTTCFPGVPTPSQEILRHTLNT
+LVRERKIYPTPDGYFIVTP
+>P09430_PF02079_2 <unknown description>
+STSRKLKSHGMRRSKSRSPHKGVKRGGSKRKYRKGNLKSRKRGDDANRNYR
+>Q05952_PF01254_1 <unknown description>
+MDTQTHSLPITHTQLHSNSQPQSRTCTRHCQTFSQSCRQSHRGSRSQSSSQSPASHRNPT
+GAHSSSGHQSQSPNTSPPPKRHKKTMNSHHSPMRPTILHCRCPKNRKNLEGKLKKKKMAK
+RIQQVYKTKTRSSG
+>Q9H6E5_PF12874_16 <unknown description>
+FRCCLCHVTTANRPSLDAHLGGRKH
+>Q9H6E5_PF00076_58 <unknown description>
+VFVSGFPRDVDSAQLSEYFLAFGPVASVVMDKDKGVFAIVEMGDVGAREAVLSQSQHSLG
+GH
+>Q9H6E5_PF19088_362 <unknown description>
+PSARRPVVKFCHRPSGLHGDVSLSNRLALHNSRFLSLCSELDGRVRPLVYTLRCWAQGRG
+LSGSGPLLSNYALTLLVIYFLQTRDPPVLPT
+>Q9H6E5_PF03828_491 <unknown description>
+LSSLLAQFFSCVSCWDLRGSLLSLREGQALPVAGGLPSNLWEGLRLGPLNLQDPFDLSH
+>Q5TH74_PF07004_187 <unknown description>
+GPPPGHYDINESLVKQSPN
+>Q5TH74_PF07004_225 <unknown description>
+GPGPGYYNPSDCTKVPKK
+>Q5TH74_PF07004_267 <unknown description>
+PGPGQYEIVDYLGPRKH
+>Q5TH74_PF07004_306 <unknown description>
+LPGPATYKPEL
+>Q8N412_PF07004_63 <unknown description>
+PGPGHYNVSEAQKISRSPT
+>Q8N412_PF07004_157 <unknown description>
+GPGPGQYDIVQKKTSYYENVNIKRDQQQNYCSFIPRLYEIIVLQEKK
+>Q8N7X2_PF07004_230 <unknown description>
+PGPNTYNILPGSRLQSPRSPAFSMS
+>Q8N7X2_PF07004_268 <unknown description>
+TPGPAAYHVEDCNSRFPSAPG
+>Q8N801_PF07004_176 <unknown description>
+GPGPGHYNVKMPPTSSVTSCFQSRVPR
+>Q8N801_PF07004_210 <unknown description>
+TPGPGAYTTLRQFPKQSPTIAKMGQEH
+>O95772_PF10457_49 <unknown description>
+SDVRRTFCLFVTFDLLFVTLLWIIELNVNGGIENTLEKEVMQYDYYSSYFDIFLLAVFRF
+KVLILAYAVCRLRHWWAIALTTAVTSAFLLAKVILSKLFSQGAFGYVLPIISFILAWIET
+WFLDFKVLPQEAEEENRLLIVQDASERAALIPGGLSDGQFYSPPES
+>Q9BX79_PF14752_41 <unknown description>
+PSCHTSIPPGLYHACLASLSILVLLLLAMLVRRRQLWPDCVRGRPGLPSPVDFLAGDRPR
+AVPAAVFMVLLSSLCLLLPDEDALPFLTLASAPSQDGKTEAPRGAWKILGLFYYAALYYP
+LAACATAGHTAAHLLGSTLSWAHLGVQVWQRAECPQVPKIYKYYSLLASLPLLLGLGFLS
+LWYPVQLVRSFSRRTGAGSKGLQSSYSEEYLRNLLCRKKLGSSYHTSKHGFLSWARVCLR
+HCIYTPQPGFHLPLKLVLSATLTGTAIYQVALLLLVGVVPTIQKVRAGVTTDVSYLLAGF
+GIVLSEDKQEVVELVKHHLWALEVCYISALVLSCLLTFLVLMRSLVTHRTNLRALHRGAA
+LDLSPLHRSPHPSRQAIFCWMSFSAYQTAFICLGLLVQQIIFFLGTTALAFLVLMPVLHG
+RNLLLFRSLESSWPFWLTLALAVILQNMAAHWVFLETHDGHPQLTNRRVLYAATFLLFPL
+NVLVGAMVATWRVLLSALYNAIHLGQMDLSLLPPRAATLDPGYYTYRNFLKIEVSQSHPA
+MTAFCSLLLQAQSLLPRTMAAPQDSLRPGEEDEGMQLLQTKDSMAKGARPGASRGRARWG
+LAYTLLHNPTLQVFRKT
+>Q7RTN6_PF00069_69 <unknown description>
+YELLTVIGKGFEDLMTVNLARYKPTGEYVTVRRINLEACSNEMVTFLQGELHVSKLFNHP
+NIVPYRATFIADNELWVVTSFMAYGSAKDLICTHFMDGMNELAIAYILQGVLKALDYIHH
+MGYVHRSVKASHILISVDGKVYLSGLRSNLSMISHGQRQRVVHDFPKYSVKVLPWLSPEV
+LQQNLQGYDAKSDIYSVGITACELANGHVPFKDMPATQMLLEKLNGTVPCLLDTSTIPAE
+ELTMSPSRSVANSGLSDSLTTSTPRPSNGDSPSHPYHRTFSPHFHHFVEQCLQRNPDARP
+SASTLLNHSFF
+>Q9C0K7_PF00069_58 <unknown description>
+YELQVEIGRGFDNLTSVHLARHTPTGTLVTIKITNLENCNEERLKALQKAVILSHFFRHP
+NITTYWTVFTVGSWLWVISPFMAYGSASQLLRTYFPEGMSETLIRNILFGAVRGLNYLHQ
+NGCIHRSIKASHILISGDGLVTLSGLSHLHSLVKHGQRHRAVYDFPQFSTSVQPWLSPEL
+LRQDLHGYNVKSDIYSVGITACELASGQVPFQDMHRTQMLLQKLKGPPYSPLDISIFPQS
+ESRMKNSQSGVDSGIGESVLVSSGTHTVNSDRLHTPSSKTFSPAFFSLVQLCLQQDPEKR
+PSASSLLSHVFF
+>Q9Y3F4_PF00400_52 <unknown description>
+IGTFLGHKGAVWGATLNKDATKAATAAADFTAKVWD
+>Q9Y3F4_PF00400_94 <unknown description>
+LMTLAHKHIVKTVDFTQDSNYLLTGGQDKLLRIYD
+>Q9Y3F4_PF00400_138 <unknown description>
+EISGHTSGIKKALWCSEDKQILSADDKTVRLWD
+>Q9Y3F4_PF00400_256 <unknown description>
+EELESYKGHFGPIHCVRFSPDGELYASGSEDGTLRLW
+>Q96SI9_PF07528_87 <unknown description>
+RIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNTVKDNLPIQIQKLTEEKYQVEQCVNEA
+SIIIRNTKEPTLTLKVILTSPLIRDELEKKDGENVSMKDPPDLLDRQKCLNALASLRHAK
+WFQARANGLKSCVIVLRILRDLCNRVPTWAPLKGWPLELICEKSIGTCNRPLGAGEALRR
+VMECLASGILLPGGPGLHDPCERDPTDALSYMTIQQKEDITHSAQHALRLSAFGQIYKVL
+EMDPLPS
+>Q96SI9_PF00035_397 <unknown description>
+QIRPGLQYKLLSQSGPVHAPVFTMSVDVDGTTYEASGPSKKTAKLHVAVKVLQA
+>Q96SI9_PF00035_515 <unknown description>
+VMELNEKRRGLKYELISETGGSHDKRFVMEVEVDGQKFRGAGPNKKVAKASAALAALEKL
+>Q13033_PF08232_64 <unknown description>
+TIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKMLEY
+ALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDTEAPTAPQNSQLTWKQGRQLLRQYL
+QEVGYTDTIL
+>Q13033_PF00400_470 <unknown description>
+WNPKYTLRSHFDGVRALAFHPVEPVLVTASEDHTLKLWN
+>Q13033_PF00400_524 <unknown description>
+EPIYTFRAHIGPVLSLAISSNGEQCFSGGIDATIQWWN
+>Q13033_PF00400_580 <unknown description>
+GTLVGHTDAVWGLAYSGIKNQLLSCSADGTVRLWN
+>Q13033_PF00400_714 <unknown description>
+KMIHSMVAHLDAVTSLAVDPNGIYLMSGSHDCSIRLWN
+>Q13033_PF00400_756 <unknown description>
+TCVQEITAHRKKLDESIYDVAFHSSKAYIASAGADA
+>Q9NRL3_PF08232_64 <unknown description>
+SLPGILHFIQHEWARFEAEKARWEAERAELQAQVAFLQGERKGQENLKTDLVRRIKMLEY
+ALKQERAKYHKLKFGTDLNQGEKKADVSEQVSNGPVESVTLENSPLVWKEGRQLLRQYLE
+EVGYTDTIL
+>Q9NRL3_PF00400_428 <unknown description>
+WNPKFTLRSHYDGIRSLAFHHSQSALLTASEDGTLKLWN
+>Q9NRL3_PF00400_537 <unknown description>
+SHVLEGHGDAVWGLAFSPTSQRLASCSADGTVRIWD
+>Q9NRL3_PF00400_669 <unknown description>
+GKPVHSMVAHLDAVTCLAVDPNGAFLMSGSHDCSLRLWS
+>Q9NRL3_PF00400_712 <unknown description>
+TCVQEITAHRKKHEEAIHAVACHPSKALIASAGADA
+>O43815_PF08232_48 <unknown description>
+SLPGILHFLQHEWARFEVERAQWEVERAELQAQIAFLQGERKGQENLKKDLVRRIKMLEY
+ALKQERAKYHKLKYGTELNQGDMKPPSYDSDEGNETEVQPQQNSQLMWKQGRQLLRQYLQ
+EVGYTDTIL
+>O43815_PF00400_453 <unknown description>
+WNPKFTLRSHFDGIRALAFHPIEPVLITASEDHTLKMWN
+>O43815_PF00400_507 <unknown description>
+EPIYTFRAHKGPVLCVVMSSNGEQCYSGGTDGLIQGWN
+>O43815_PF00400_565 <unknown description>
+LLGHTDAVWGLAYSAAHQRLLSCSADGTLRLWN
+>O43815_PF00400_696 <unknown description>
+GKLIHSMVAHLEAVTSLAVDPNGLYLMSGSHDCSIRLWN
+>O43815_PF00400_739 <unknown description>
+TCIQEFTAHRKKFEESIHDVAFHPSKCYIASAGADA
+>Q5VSL9_PF07923_67 <unknown description>
+DLEFEYADTDKWAAELSELYSYTEGPEFLMNRKCFEEDFRIHVTDKKWTELDTNQHRTHA
+MRLLDGLEVTAREKRLKVARAILYVAQGTFGECSSEAEVQSWMRYNIFLLLEVGTFNALV
+ELLNMEIDNSAACSSAVRKPAISLADSTDLRVLLNIMYLIVETVHQECEGDKAEWRTMRQ
+TFRAELGSPLYNNEPFAIMLFGMVTKFCSGHAPHFPMKKVLLLLWKTVLCTLGGFEELQS
+MKAEKRSILGLPPLPEDSIKVIRNMRAASP
+>Q5VSL9_PF11882_437 <unknown description>
+LESSRSKFIGYTLGSDTNTVVGLPRPIHESIKTLKQHKYTSIAEVQAQMEEEYLRSPLSG
+GEEEVEQVPAETLYQGLLPSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPTT
+VLQSMKLGVDVNRHKEVIVKAISAVLLLLLKHFKLNHVYQFEYMAQHLVFANCIPLILKF
+FNQNIMSYITAKN
+>Q5VSL9_PF11882_629 <unknown description>
+NSISVLDYPHCVVHELPELTAESLEAGDSNQFCWRNLFSCINLLRILNKLTKWKHSRTMM
+LVVFKSAPILKRALKVKQAMMQLYVLKLLKVQTKYLGRQWRKSNMKTMSAIYQKVRHRLN
+DDWAYGNDLDARPWDFQAEECALRANIERFNARRYDRA
+>Q9ULQ0_PF07923_49 <unknown description>
+PTLEFEYGDADGHAAELSELYSYTENLEFTNNRRCFEEDFKTQVQGKEWLELEEDAQKAY
+IMGLLDRLEVVSRERRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSTF
+LELLHMEIDNSQACSSALRKPAVSIADSTELRVLLSVMYLMVENIRLERETDPCGWRTAR
+ETFRTELSFSMHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQ
+TLKVQKRAELGLPPLAEDSIQVVKSMRAASPPSY
+>Q9ULQ0_PF11882_502 <unknown description>
+CEILYQGMLYSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPITVLQSMKLGI
+DVNRHKEIIVKSISTLLLLLLKHFKLNHIYQFEYVSQHLVFANCIPLILKFFNQNILSYI
+TAKNS
+>Q9ULQ0_PF11882_626 <unknown description>
+SISVLDYPCCTIQDLPELTTESLEAGDNSQFCWRNLFSCINLLRLLNKLTKWKHSRTMML
+VVFKSAPILKRALKVKQAMLQLYVLKLLKLQTKYLGRQWRKSNMKTMSAIYQKVRHRMND
+DWAYGNDIDARPWDFQAEECTLRANIEAFNSRRYDRPQ
+>P46977_PF02516_17 <unknown description>
+LLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPYFNYRTTRFLAEEGFYKFHNWFDDRA
+WYPLGRIIGGTIYPGLMITSAAIYHVLHFFHITIDIRNVCVFLAPLFSSFTTIVTYHLTK
+ELKDAGAGLLAAAMIAVVPGYISRSVAGSYDNEGIAIFCMLLTYYMWIKAVKTGSICWAA
+KCALAYFYMVSSWGGYVFLINLIPLHVLVLMLTGRFSHRIYVAYCTVYCLGTILSMQISF
+VGFQPVLSSEHMAAFGVFGLCQIHAFVDYLRSKLNPQQFEVLFRSVISLVGFVLLTVGAL
+LMLTGKISPWTGRFYSLLDPSYAKNNIPIIASVSEHQPTTWSSYYFDLQLLVFMFPVGLY
+YCFSNLSDARIFIIMYGVTSMYFSAVMVRLMLVLAPVMCILSGIGVSQVLSTYMKNLDIS
+RPDKKSKKQQDSTYPIKNEVASGMILVMAFFLITYTFHSTWVTSEAYS
+>Q8TCJ2_PF02516_72 <unknown description>
+LSFTILFLAWLAGFSSRLFAVIRFESIIHEFDPWFNYRSTHHLASHGFYEFLNWFDERAW
+YPLGRIVGGTVYPGLMITAGLIHWILNTLNITVHIRDVCVFLAPTFSGLTSISTFLLTRE
+LWNQGAGLLAACFIAIVPGYISRSVAGSFDNEGIAIFALQFTYYLWVKSVKTGSVFWTMC
+CCLSYFYMVSAWGGYVFIINLIPLHVFVLLLMQRYSKRVYIAYSTFYIVGLILSMQIPFV
+GFQPIRTSEHMAAAGVFALLQAYAFLQYLRDRLTKQEFQTLFFLGVSLAAGAVFLSVIYL
+TYTGYIAPWSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHILVCTFPAGLWF
+CIKNINDERVFVALYAISAVYFAGVMVRLMLTLTPVVCMLSAIAFSNVFEHYLGDDMKRE
+NPPVEDSSDEDDKRNQGNLYDKAGKVRKHATEQEKTEEGLGPNIKSIVTMLMLMLLMMFA
+VHCTWVTSNAYS
+>Q69YW2_PF15795_44 <unknown description>
+AAIPYMPFPVAVICLFLNTFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQ
+ILTAIVMVGWIMSIFWGMDMVIL
+>O60499_PF09177_5 <unknown description>
+DPFFVVRGEVQKAVNTARGLYQRWCELLQESAAVGREELDWTTNELRNGLRSIEWDLEDL
+EETIGIVEANPGKFKLPAGDLQERKVFVERMREAVQEMK
+>O60499_PF05739_194 <unknown description>
+MLDAFAQEMDHTQSRMDGVLRKLAKVSHMTSDRRQWCAIAVLVGVLLLVLIL
+>O75558_PF00804_44 <unknown description>
+LYRDIRDIQDENQLLVADVKRLGKQNARFLTSMRRLSSIKRDTNSIAKAIKARGEVIHCK
+LRAMKELSEAAEAQHGPHSAVARISRAQYNALTLTFQRAMHDYNQAEMKQRDNCKIRIQR
+QLEIMGKEVSGDQIEDMFEQGKWDVFSENLLADVKGARAALNEIESRHRELLRLESRIRD
+VHELFLQMAVLVEKQA
+>Q86Y82_PF14523_30 <unknown description>
+SGNIQRISQATAQIKNLMSQLGTKQDSSKLQENLQQLQHSTNQLAKETNELLKELGSLPL
+PLSTSEQRQQRLQKERLMNDFSAALNNFQAVQRRVSEKEKES
+>Q86Y82_PF05739_215 <unknown description>
+LIDSIEANVESSEVHVERATEQLQRAAYYQKKSRKKMCILVLVLSVIILIL
+>O14662_PF00804_81 <unknown description>
+GVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEEHAIEITTQEITQLFHRCQRAV
+QALPSRARACSEQEGRLLGNVVASLAQALQELSTSFRHAQSGYLKRMKNREERSQHFFDT
+SVPLMDDGDDNTLYHRGFTEDQLVLVEQNTLMVEEREREIRQIVQSISDLNEIFRDLGAM
+IVEQG
+>O14662_PF05739_266 <unknown description>
+TVLDRIDYNVEQSCIKTEDGLKQLHKAEQYQKKNRKMLVILILFVIIIVLIVV
+>Q9P2W9_PF10496_4 <unknown description>
+DITLLFRASVKTVKTRNKALGVAVGGGVDGSRDELFRRSPRPKGDFSSRAREVISHIGKL
+RDFLLEHRKDYINAYSHTMSEYGRMTDTERDQI
+>Q8N4C7_PF00804_50 <unknown description>
+HLHEIQKLQESINNLADNVQKFGQQQKSLVASMRRFSLLKRESTITKEIKIQAEYINRSL
+NDLVKEVKKSEVENGPSSVVTRILKSQHAAMFRHFQQIMFIYNDTIAAKQEKCKTFILRQ
+LEVAGKEMSEEDVNDMLHQGKWEVFNESLLTEINITKAQLSEIEQRHKELVNLENQIKDL
+RDLFIQISLLVEEQG
+>Q16623_PF00804_32 <unknown description>
+EFFEQVEEIRGFIDKIAENVEEVKRKHSAILASPNPDEKTKEELEELMSDIKKTANKVRS
+KLKSIEQSIEQEEGLNRSSADLRIRKTQHSTLSRKFVEVMSEYNATQSDYRERCKGRIQR
+QLEITGRTTTSEELEDMLESGNPAIFASGIIMDSSISKQALSEIETRHSEIIKLENSIRE
+LHDMFMDMAMLVESQG
+>Q16623_PF05739_228 <unknown description>
+EMIDRIEYNVEHAVDYVERAVSDTKKAVKYQSKARRKKIMIIICCVILGIVI
+>P61266_PF00804_31 <unknown description>
+EFFEQVEEIRGCIEKLSEDVEQVKKQHSAILAAPNPDEKTKQELEDLTADIKKTANKVRS
+KLKAIEQSIEQEEGLNRSSADLRIRKTQHSTLSRKFVEVMTEYNATQSKYRDRCKDRIQR
+QLEITGRTTTNEELEDMLESGKLAIFTDDIKMDSQMTKQALNEIETRHNEIIKLETSIRE
+LHDMFVDMAMLVESQG
+>P61266_PF05739_227 <unknown description>
+EMIDRIEYNVEHSVDYVERAVSDTKKAVKYQSKARRKKIMIIICCVVLGVVL
+>P32856_PF00804_31 <unknown description>
+DFFHQVEEIRNSIDKITQYVEEVKKNHSIILSAPNPEGKIKEELEDLNKEIKKTANKIRA
+KLKAIEQSFDQDESGNRTSVDLRIRRTQHSVLSRKFVEAMAEYNEAQTLFRERSKGRIQR
+QLEITGRTTTDDELEEMLESGKPSIFTSDIISDSQITRQALNEIESRHKDIMKLETSIRE
+LHEMFMDMAMFVETQG
+>P32856_PF05739_227 <unknown description>
+EMINNIERNVMNATDYVEHAKEETKKAIKYQSKARRKKWIIIAVSVVLVAII
+>Q13277_PF00804_34 <unknown description>
+EFFSEIEETRLNIDKISEHVEEAKKLYSIILSAPIPEPKTKDDLEQLTTEIKKRANNVRN
+KLKSMEKHIEEDEVRSSADLRIRKSQHSVLSRKFVEVMTKYNEAQVDFRERSKGRIQRQL
+EITGKKTTDEELEEMLESGNPAIFTSGIIDSQISKQALSEIEGRHKDIVRLESSIKELHD
+MFMDIAMLVENQG
+>Q13277_PF05739_227 <unknown description>
+EMLDNIELNVMHTVDHVEKARDETKKAVKYQSQARKKLIIIIVLVVVLLGIL
+>Q12846_PF00804_40 <unknown description>
+EFFHKVRTIRQTIVKLGNKVQELEKQQVTILATPLPEESMKQELQNLRDEIKQLGREIRL
+QLKAIEPQKEEADENYNSVNTRMRKTQHGVLSQQFVELINKCNSMQSEYREKNVERIRRQ
+LKITNAGMVSDEELEQMLDSGQSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRE
+LHDIFTFLATEVEMQG
+>Q12846_PF05739_236 <unknown description>
+EMINRIEKNILSSADYVERGQEHVKTALENQKKARKKKVLIAICVSITVVLL
+>Q13190_PF11416_53 <unknown description>
+DTMSCRDRTQEFLSACKSLQTR
+>Q13190_PF05739_299 <unknown description>
+ETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFV
+>O43752_PF09177_5 <unknown description>
+DPFFVVKGEVQKAVNTAQGLFQRWTELLQDPSTATREEIDWTTNELRNNLRSIEWDLEDL
+DETISIVEANPRKFNLDATELSIRKAFITSTRQVVRDMK
+>O43752_PF05739_200 <unknown description>
+MLEDFSHELESTQSRLDNVMKKLAKVSHMTSDRRQWCAIAILFAVLLVVLIL
+>O15400_PF14523_18 <unknown description>
+ISSNIQKITQCSVEIQRTLNQLGTPQDSPELRQQLQQKQQYTNQLAKETDKYIKEFGSLP
+TTPSEQRQRKIQKDRLVAEFTTSLTNFQKVQRQAAEREKEFV
+>O15400_PF05739_202 <unknown description>
+VIDSIEANVENAEVHVQQANQQLSRAADYQRKSRKTLCIIILILVIGVAII
+>Q9UNK0_PF05739_181 <unknown description>
+EIIDDLANLVENTDEKLRNETRRVNMVDRKSASCGMIMVILLLLVAIVVVAVW
+>P61764_PF00995_29 <unknown description>
+KVLVVDQLSMRMLSSCCKMTDIMTEGITIVEDINKRREPLPSLEAVYLITPSEKSVHSLI
+SDFKDPPTAKYRAAHVFFTDSCPDALFNELVKSRAAKVIKTLTEINIAFLPYESQVYSLD
+SADSFQSFYSPHKAQMKNPILERLAEQIATLCATLKEYPAVRYRGEYKDNALLAQLIQDK
+LDAYKADDPTMGEGPDKARSQLLILDRGFDPSSPVLHELTFQAMSYDLLPIENDVYKYET
+SGIGEARVKEVLLDEDDDLWIALRHKHIAEVSQEVTRSLKDFSSSKRMNTGEKTTMRDLS
+QMLKKMPQYQKELSKYSTHLHLAEDCMKHYQGTVDKLCRVEQDLAMGTDAEGEKIKDPMR
+AIVPILLDANVSTYDKIRIILLYIFLKNGITEENLNKLIQHAQIPPEDSEIITNMAHLGV
+PIVTDSTLRRRSKPERKERISEQTYQLSRWTPIIKDIMEDTIEDKLDTKHYPYISTRSSA
+SFSTTAVSARYGHWHKNKAPGEYRSGPRLIIFILGGVSLNEMRCAYEVTQANGKWEVLIG
+STHILTPQKLLD
+>Q15833_PF00995_29 <unknown description>
+KVLIMDHPSMRILSSCCKMSDILAEGITIVEDINKRREPIPSLEAIYLLSPTEKSVQALI
+KDFQGTPTFTYKAAHIFFTDTCPEPLFSELGRSRLAKVVKTLKEIHLAFLPYEAQVFSLD
+APHSTYNLYCPFRAEERTRQLEVLAQQIATLCATLQEYPAIRYRKGPEDTAQLAHAVLAK
+LNAFKADTPSLGEGPEKTRSQLLIMDRAADPVSPLLHELTFQAMAYDLLDIEQDTYRYET
+TGLSEAREKAVLLDEDDDLWVELRHMHIADVSKKVTELLRTFCESKRLTTDKANIKDLSQ
+ILKKMPQYQKELNKYSTHLHLADDCMKHFKGSVEKLCSVEQDLAMGSDAEGEKIKDSMKL
+IVPVLLDAAVPAYDKIRVLLLYILLRNGVSEENLAKLIQHANVQAHSSLIRNLEQLGGTV
+TNPGGSGTSSRLEPRERMEPTYQLSRWTPVIKDVMEDAVEDRLDRNLWPFVSDPAPTASS
+QAAVSARFGHWHKNKAGIEARAGPRLIVYVMGGVAMSEMRAAYEVTRATEGKWEVLIGSS
+HILTPTRFLDD
+>O00186_PF00995_33 <unknown description>
+KIMLLDEFTTKLLASCCKMTDLLEEGITVVENIYKNREPVRQMKALYFITPTSKSVDCFL
+HDFASKSENKYKAAYIYFTDFCPDNLFNKIKASCSKSIRRCKEINISFIPHESQVYTLDV
+PDAFYYCYSPDPGNAKGKDAIMETMADQIVTVCATLDENPGVRYKSKPLDNASKLAQLVE
+KKLEDYYKIDEKSLIKGKTHSQLLIIDRGFDPVSTVLHELTFQAMAYDLLPIENDTYKYK
+TDGKEKEAILEEEDDLWVRIRHRHIAVVLEEIPKLMKEISSTKKATEGKTSLSALTQLMK
+KMPHFRKQITKQVVHLNLAEDCMNKFKLNIEKLCKTEQDLALGTDAEGQKVKDSMRVLLP
+VLLNKNHDNCDKIRAILLYIFSINGTTEENLDRLIQNVKIENESDMIRNWSYLGVPIVPQ
+SQQGKPLRKDRSAEETFQLSRWTPFIKDIMEDAIDNRLDSKEWPYCSQCPAVWNGSGAVS
+ARQKPRANYLEDRKNGSKLIVFVIGGITYSEVRCAYEVSQAHKSCEVIIGSTHVLTPKKL
+LDD
+>Q6ZWJ1_PF00595_27 <unknown description>
+KETGLGLKVLGGINRNEGPLVYIQEIIPGGDCYKDGRLKPGDQLVSVNKESMIGVSFEEA
+KSIITGAKLRL
+>Q6ZWJ1_PF00397_498 <unknown description>
+LPYGWEEAYTADGIKYFINHVTQTTSWIHP
+>Q5T5C0_PF00400_240 <unknown description>
+IHSVAWHHEGKQFICSHSDGTLTIWN
+>Q5T5C0_PF08366_276 <unknown description>
+ITPHGKQLKDGKKPEPCKPILKVEFKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKST
+AVLEMDYSIVDFLTLCETPYPNDFQEPYAVVVLLEKDLVLIDLAQNGYP
+>Q5T5C0_PF08596_906 <unknown description>
+SQEISENQYAVICSEKQAKVISLPTQNCAYKQNITETSFVLRGDIVALSNSICLACFCAN
+GHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFTNNGQALYLVSPTEIQRLTYSQETCEN
+L
+>Q8NFX7_PF15277_44 <unknown description>
+YLTYICLSVTNKKPTQASITKVKQFEGSTSFVRRSQWMLEQLRQVNGIDPNGDSAEFDLL
+FENAFDQWVASTASEKCTFFQILHHTCQR
+>Q8NFX7_PF00957_157 <unknown description>
+SAADSVTSAVQKASQALNERGERLGRAEEKTEDLKNSAQQFAETAHKLAMK
+>Q6J9G0_PF07714_116 <unknown description>
+VLEQICSGSCGPIFRANMNTGDPSKPKSVILKALKEPAGLHEVQDFLGRIQFHQYLGKHK
+NLVQLEGCCTEKLPLYMVLEDVAQGDLLSFLWTCRRDVMTMDGLLYDLTEKQVYHIGKQV
+LLALEFLQEKHLFHGDVAARNILMQSDLTAKLCGLGLAYEVYTRGAISSTQTIPLKWLAP
+ERLLLRPASIRADVWSFGILLYEMVTLGAPPYPEVPPTSILEHLQRRKIMKRPSSCTHTM
+YSIMKSCWRWREADRPSPRELR
+>Q9Y6J8_PF00581_25 <unknown description>
+LTDPNYLCLLDVRSKWEYDESHVITALRVKKKNNEYLLPESVDLECVKYCVVYDNNSSTL
+EILLKDDDDDSDSDGDGKDLVPQAAIEYGRILTRLTHHPVYILKGGYERFS
+>Q9Y6J8_PF00782_168 <unknown description>
+VFVGNFSQACDPKIQKDLKIKAHVNVSMDTGPFFAGDADKLLHIRIEDSPEAQILPFLRH
+MCHFIEIHHHLGSVILIFSTQGISRSCAAIIAYLMHSNEQTLQRSWAYVKKCKNNMCPNR
+GLVSQLLEWEK
+>Q5VZP5_PF00782_141 <unknown description>
+VFIAEKSVAVNKGRLKRLGITHILNAAHGTGVYTGPEFYTGLEIQYLGVEVDDFPEVDIS
+QHFRKASEFLDEALLTYRGKVLVSSEMGISRSAVLVVAYLMIFHNMAILEALMTVRKKRA
+IYPNEGFLKQLRELNE
+>Q8WUJ0_PF00782_36 <unknown description>
+LFLGPYSSAMKSKLPVLQKHGITHIICIRQNIEANFIKPNFQQLFRYLVLDIADNPVENI
+IRFFPMTKEFIDGSLQMGGKVLVHGNAGISRSAAFVIAYIMETFGMKYRDAFAYVQERRF
+CINPNAGFVHQLQEYEA
+>P53597_PF02629_53 <unknown description>
+DKNTKIICQGFTGKQGTFHSQQALEYGTKLVGGTTPGKGGQTHLGLPVFNTVKEAKEQTG
+ATASVIYVPPPFAAAAINEAIEAEIPLVVCITEG
+>P53597_PF00549_199 <unknown description>
+VSRSGTLTYEAVHQTTQVGLGQSLCVGIGGDPFNGTDFIDCLEIFLNDSATEGIILIGEI
+GGNAEENAAEFLKQHNSGPNSKPVVSFIAGLTAPPGRRMGHAGAIIAGGKGGAKEKISAL
+QSAGVV
+>Q9P2R7_PF08442_55 <unknown description>
+LHEYMSMELLQEAGVSVPKGYVAKSPDEAYAIAKKLGSKDVVIKAQVLAGGRGKGTFESG
+LKGGVKIVFSPEEAKAVSSQMIGKKLFTKQTGEKGRICNQVLVCERKYPRREYYFAITME
+RSFQGPVLIGSSHGGVNIEDVAAESPEAIIKEPIDIEEGIKKEQALQLAQKMGFPPNIVE
+SAAENMVKLYSLFLKYDATMIEINPMVE
+>Q9P2R7_PF00549_321 <unknown description>
+LVNGAGLAMATMDIIKLHGGTPANFLDVGGGATVHQVTEAFKLITSDKKVLAILVNIFGG
+IMRCDVIAQGIVMAVKDLEIKIPVVVRLQGTRVDDAKALIADSGLKILACDDLDEAARMV
+V
+>Q96I99_PF08442_39 <unknown description>
+NLQEYQSKKLMSDNGVRVQRFFVADTANEALEAAKRLNAKEIVLKAQILAGGRGKGVFNS
+GLKGGVHLTKDPNVVGQLAKQMIGYNLATKQTPKEGVKVNKVMVAEALDISRETYLAILM
+DRSCNGPVLVGSPQGGVDIEEVAASNPELIFKEQIDIFEGIKDSQAQRMAENLGFVGPLK
+SQAADQITKLYNLFLKIDATQVEVNPF
+>Q96I99_PF00549_307 <unknown description>
+VNGAGLAMATCDIIFLNGGKPANFLDLGGGVKEAQVYQAFKLLTADPKVEAILVNIFGGI
+VNCAIIANGITKACRELELKVPLVVRLEGTNVQEAQKILNNSGLPITSAIDLEDAAKKAV
+>Q9HAC7_PF02515_41 <unknown description>
+LEGVKILDLTRVLAGPFATMNLGDLGAEVIKVERPGAGDDTRTWGPPFVGTESTYYLSVN
+RNKKSIAVNIKDPKGVKIIKELAAVCDVFVENYVPGKLSAMGLGYEDIDEIAPHIIYCSI
+TGYGQTGPISQRAGYDAVASAVSGLMHITGPENGDPVRPGVAMTDLATGLYAYGAIMAGL
+IQKYKTGKGLFIDCNLLSSQVACLSHIAANYLIGQKEAKRWGTAHGSIVPYQAFKTKDGY
+IVVGAGNNQQFATVCKILDLPELIDNSKYKTNHLRVHNRKELIKILSERFEEELTSKWLY
+LFEGSGVPYGPINNMKNVFAEPQVLHNGLVMEMEHPTVGKISVPGPAVRYSKFKMSEARP
+PPLLGQHT
+>Q9UBS9_PF07738_323 <unknown description>
+NPEAKSTSAILIENMDLYMLNPCSTKIWFVIELCEPIQVKQLDIANYELFSSTPKDFLVS
+ISDRYPTNKWIKLGTFHGRDERNVQSFPLDEQMYAKYVKMFIKYIKVELLSHFGSEHFCP
+LSLIRVFGT
+>Q9BXA5_PF00001_40 <unknown description>
+GNTIVVYGYIFSLKNWNSSNIYLFNLSVSDLAFLCTLPMLIRSYANGNWIYGDVLCISNR
+YVLHANLYTSILFLTFISIDRYLIIKYPFREHLLQKKEFAILISLAIWVLVTLELLPILP
+LINPVITDNGTTCNDFASSGDPNYNLIYSMCLTLLGFLIPLFVMCFFYYKIALFLKQRNR
+QVATALPLEKPLNLVIMAVVIFSVLFTPYHVMRNVRIASRLGSWKQYQCTQVVINSFYIV
+TRPLAFLNSVINPVFY
+>Q9UMX1_PF05076_64 <unknown description>
+GPDPLDYVSMYRNVGSPSANIPEHWHYISFGLSDLYGDNRVHEFTGTDGPSGFGFELTFR
+LKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHSPLDNSESRIQHMLLTEDP
+QMQPVQTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRTVPIAGGPWLITDMRR
+>Q9UMX1_PF12470_253 <unknown description>
+ERVDKGIETDGSNLSGVSAKCAWDDLSRPPEDDEDSRSICIGTQPRRLSGKDTEQIRETL
+RRGLEINSKPVLPPINPQRQNGLAHDRAPSRKDSLESDSSTAIIPHELIRTRQLESVHLK
+FNQESGALIPLCLRGRLLHGRHFTYKSITGDMAITFVSTGVEGAFATEEHPYAAHGPWLQ
+ILLTEEFVEKMLEDLEDLTSPEEFKLPKEYSWPEKKLKVSI
+>Q8IWZ8_PF01805_189 <unknown description>
+RKVIEKLARFVAEGGPELEKVAMEDYKDNPAFAFLHDKNSREFLYYRKKVAE
+>Q8IWZ8_PF01805_266 <unknown description>
+LAEKLARFIADGGPEVETIALQNNRENQAFSFLYEPNSQGYKYYRQKLEEF
+>Q8IWZ8_PF01585_563 <unknown description>
+ENIGYQMLMKMGWKEGEGLGSEGQGIKNPVNKGTTTVDGAGFGI
+>Q8IX01_PF01805_787 <unknown description>
+TAEKLARFVAQVGPEIEQFSIENSTDNPDLWFLHDQNSSAFKFYRKKVFE
+>Q8IX01_PF01585_1012 <unknown description>
+KNLGFQMLQKMGWKEGHGLGSLGKGIREPVSVGTPSEGEGLGA
+>Q06330_PF09271_35 <unknown description>
+VLILHAKVAQKSYGNEKRFFCPPPCVYLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGN
+SDQEMQQLNLEGKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKP
+SKKKQSLKNAD
+>Q06330_PF09270_193 <unknown description>
+EGGNFHASSQQWGAFFIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCSVTGMALPRLIIR
+KVDKQTALLDADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPCPKEPNKEMINDG
+ASW
+>Q06330_PF20144_341 <unknown description>
+VPVVESLQLNGGGDVAMLELTGQNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFRE
+GWRWVRQPVQVPVTLVRNDGIIYSTSLTFTY
+>P14410_PF00088_66 <unknown description>
+VLNDPVNVRINCIPEQFPTEGICAQRGCCWRPWNDSLIPWCFF
+>P14410_PF16863_125 <unknown description>
+GVEAKLNRIPSPTLFGNDINSVLFTTQNQTPNRFRFKITDPNNRRYEVPHQYVKEFTGPT
+VSDTLYDVKVAQNPFSIQVIRKSNGKTLFDTSIGPLVYSDQYLQISTRLPS
+>P14410_PF01055_324 <unknown description>
+YILLGDTPEQVVQQYQQLVGLPAMPAYWNLGFQLSRWNYKSLDVVKEVVRRNREAGIPFD
+TQVTDIDYMEDKKDFTYDQVAFNGLPQFVQDLHDHGQKYVIILDPAISIGRRANGTTYAT
+YERGNTQHVWINESDGSTPIIGEVWPGLTVYPDFTNPNCIDWWANECSIFHQEVQYDGLW
+IDMNEVSSFIQGSTKGCNVNKLNYPPFTPDILDKLMYSKTICMDAVQNWGKQYDVHSLYG
+YSMAIATEQAVQKVFPNKRSFILTRSTFAGSGRHAAHWLGDNTASWEQMEWSITGMLEFS
+LFGIPLVGADICGFVAETTEELCRRWMQLGAFYPFSRNHNSDGYEHQDPAFFGQNSLLVK
+SSRQYLTIRYTLLPFLYTLFYKAHVFGETVARPVLHEFYEDTNSWIEDTEFLWGPALLIT
+PVLKQGADTVSAYIPDAIWYDYESGAKRPWRKQRVDMYLPADKIGLHLRGGYII
+>P14410_PF00088_936 <unknown description>
+SENERFNCYPDADLATEQKCTQRGCVWRTGSSLSKAPECYFP
+>P14410_PF16863_994 <unknown description>
+GITADLQLNTANARIKLPSDPISTLRVEVKYHKNDMLQFKIYDPQKKRYEVPVPLNIPTT
+PISTYEDRLYDVEIKENPFGIQIRRRSSGRVIWDSWLPGFAFNDQFIQISTRLPS
+>P14410_PF01055_1195 <unknown description>
+YMFLGPTPEVATKQYHEVIGHPVMPAYWALGFQLCRYGYANTSEVRELYDAMVAANIPYD
+VQYTDIDYMERQLDFTIGEAFQDLPQFVDKIRGEGMRYIIILDPAISGNETKTYPAFERG
+QQNDVFVKWPNTNDICWAKVWPDLPNITIDKTLTEDEAVNASRAHVAFPDFFRTSTAEWW
+AREIVDFYNEKMKFDGLWIDMNEPSSFVNGTTTNQCRNDELNYPPYFPELTKRTDGLHFR
+TICMEAEQILSDGTSVLHYDVHNLYGWSQMKPTHDALQKTTGKRGIVISRSTYPTSGRWG
+GHWLGDNYARWDNMDKSIIGMMEFSLFGMSYTGADICGFFNNSEYHLCTRWMQLGAFYPY
+SRNHNIANTRRQDPASWNETFAEMSRNILNIRYTLLPYFYTQMHEIHANGGTVIRPLLHE
+FFDEKPTWDIFKQFLWGPAFMVTPVLEPYVQTVNAYVPNARWFDYHTGKDIGVRGQFQTF
+NASYDTINLHVRGGHIL
+>Q8IWU6_PF00884_43 <unknown description>
+PNIILVLTDDQDVELGSLQVMNKTRKIMEHGGATFINAFVTTPMCCPSRSSMLTGKYVHN
+HNVYTNNENCSSPSWQAMHEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGL
+IKNSRFYNYTVCRNGIKEKHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPVMMVISHA
+APHGPEDSAPQFSKLYPNASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNILQRKR
+LQTLMSVDDSVERLYNMLVETGELENTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPF
+FIRGPSVEPGSIVPQIVLNIDLAPTILDIAG
+>Q8IWU6_PF12548_534 <unknown description>
+KPRFVHTRQTRSLSVEFEGEIYDINLEEEEELQVLQPRNIAKRHDEGHKGPRDLQASSGG
+NRGRMLADSSNAVGPPTTVRVTHKCFILPNDSIHCERELYQSARAWKDHKAYIDKEIEAL
+QDKIKNLREVRGHLKRRKPEECSCSK
+>Q8IWU5_PF00884_44 <unknown description>
+PNIILVLTDDQDVELGSMQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHN
+HNTYTNNENCSSPSWQAQHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGL
+LKNSRFYNYTLCRNGVKEKHGSDYSKDYLTDLITNDSVSFFRTSKKMYPHRPVLMVISHA
+APHGPEDSAPQYSRLFPNASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMEFTNMLQRKR
+LQTLMSVDDSMETIYNMLVETGELDNTYIVYTADHGYHIGQFGLVKGKSMPYEFDIRVPF
+YVRGPNVEAGCLNPHIVLNIDLAPTILDIAG
+>Q8IWU5_PF12548_528 <unknown description>
+KASYVRSRSIRSVAIEVDGRVYHVGLGDAAQPRNLTKRHWPGAPEDQDDKDGGDFSGTGG
+LPDYSAANPIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLRE
+VRGHLKKKRPEECDCHK
+>Q8NBK3_PF03781_90 <unknown description>
+KMVPIPAGVFTMGTDDPQIKQDGEAPARRVTIDAFYMDAYEVSNTEFEKFVNSTGYLTEA
+EKFGDSFVFEGMLSEQVKTNIQQAVAAAPWWLPVKGANWRHPEGPDSTILHRPDHPVLHV
+SWNDAVAYCTWAGKRLPTEAEWEYSCRGGLHNRLFPWGNKLQPKGQHYANIWQGEFPVTN
+TGEDGFQGTAPVDAFPPNGYGLYNIVGNAWEWTSDWWTVHHSVEETLNPKGPPSGKDRVK
+KGGSYMCHRSYCYRYRCAARSQNTPDSSASNLGFRCAA
+>Q8NBJ7_PF03781_27 <unknown description>
+ATSMVQLQGGRFLMGTNSPDSRDGDGPVREATVKPFAIDIFPVTNKDFRDFVREKKYRTE
+AEMFGWSFVFEDFVSDELRNKATQPMKSVLWWLPVEKAFWRQPAGPGSGIRERLEHPVLH
+VSWNDARAYCAWRGKRLPTEEEWEFAARGGLKGQVYPWGNWFQPNRTNLWQGKFPKGDKA
+EDGFHGVSPVNAFPAQNNYGLYDLLGNVWEWTASPYQAAEQDMRVLRGASWIDTADGSAN
+HRARVTTRMGNTPDSASDNLGFRCAA
+>P63165_PF11976_22 <unknown description>
+IKLKVIGQDSSEIHFKVKMTTHLKKLKESYCQRQGVPMNSLRFLFEGQRIADNHTPKELG
+MEEEDVIEVY
+>P61956_PF11976_18 <unknown description>
+INLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFRFDGQPINETDTPAQLE
+MEDEDTIDV
+>P55854_PF11976_17 <unknown description>
+INLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFRFDGQPINETDTPAQLE
+MEDEDTIDV
+>Q6EEV6_PF11976_18 <unknown description>
+INLKVAGQDGSVVQFKIKRQTPLSKLMKAYCEPRGLSVKQIRFRFGGQPISGTDKPAQLE
+MEDEDTIDV
+>O94901_PF09387_62 <unknown description>
+ACTLGDGEAVGADSGTSSAVSLKNRAARTTKQRRSTNKSAFSINHVSRQVTSSGVSHGGT
+VSLQDAVTRRPPVLDESWIREQTTVDHFWGLDDDGDLKGGNKAAIQGNGDVGAAAATAHN
+GFSCSNCSMLSERKDVLTAHPAAPGPVSRVYSRDRNQKCYFLLQILRRIGAVGQAVSRTA
+WSALWLAVVAPGKAASGVFWWLGIGWYQFVTLISWLNVFLLTRCLRNICK
+>O94901_PF18580_547 <unknown description>
+LQTMLRDLQLQILRNVTHHVSVTKQLPTSEAVVSAVSEAGASGITEAQARAIVNSALKL
+>O94901_PF07738_649 <unknown description>
+WYFSQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMMIHPAAFTLEHIPKTLSPTGNISS
+APKDFAVYGLENEYQEEGQLLGQFTYDQDGESLQMFQALKRPDDTAFQIVELRIFSNWGH
+PEYTCLYRFRVHGE
+>Q9UH99_PF18580_481 <unknown description>
+MQAQLRELESKILTHVAEMQGKSAREAAASLSLTLQKEGVIGVTEEQVHHIVKQALQR
+>Q9UH99_PF07738_582 <unknown description>
+WYHSQSPRVILQPDVHPGNCWAFQGPQGFAVVRLSARIRPTAVTLEHVPKALSPNSTISS
+APKDFAIFGFDEDLQQEGTLLGKFTYDQDGEPIQTFHFQAPTMATYQVVELRILTNWGHP
+EYTCIYRFRVHGE
+>Q8TAQ9_PF07738_221 <unknown description>
+NHEMPPDIILQPDVYPGKCWAFPGSQGHTLIKLATKIIPTAVTMEHISEKVSPSGNISSA
+PKEFSVYGITKKCEGEEIFLGQFIYNKTGTTVQTFELQHAVSEYLLCVKLNIFSNWGHPK
+YTCLYRFRVHGT
+>Q8TC36_PF07738_232 <unknown description>
+WNYAQPPDVILEPNVTPGNCWAFEGDRGQVTIQLAQKVYLSNLTLQHIPKTISLSGSLDT
+APKDFVIYGMEGSPKEEVFLGAFQFQPENIIQMFPLQNQPARAFSAVKVKISSNWGNPGF
+TCLYRVRVHGS
+>P51687_PF00173_86 <unknown description>
+TKEEVSSHTSPETGIWVTLGSEVFDVTEFVDLHPGGPSKLMLAAGGPLEPFWALYAVHNQ
+SHVRELLAQYKIGEL
+>P51687_PF00174_219 <unknown description>
+HLPVPNLDPDTYRLHVVGAPGGQSLSLSLDDLHNFPRYEITVTLQCAGNRRSEMTQVKEV
+KGLEWRTGAISTARWAGARLCDVLAQAGHQLCETEAHVCFEGLDSDPTGTAYGASIPLAR
+AMDPEAEVLLAYEMNGQPLPRDHGFPVRVVVPGVVGARHVKWLGRVSVQPEESYSHW
+>P51687_PF03404_419 <unknown description>
+SIQELPVQSAITEPRDGETVESGEVTIKGYAWSGGGRAVIRVDVSLDGGLTWQVAKLDGE
+EQRPRKAWAWRLWQLKAPVPAGQKELNIVCKAVDDGYNVQPDTVAPIWNLRGVLSNAWHR
+VHVY
+>O75486_PF02269_25 <unknown description>
+SFATELQSMMYSLGDARRPLHETAVLVEDVVHTQLINLLQQAAEVSQLRGARVITPEDLL
+FLMRKDKKKLRRLLKYMFIRDYKSKIVKGIDE
+>Q15526_PF02104_69 <unknown description>
+IPVTAFGLGTWQVQRRKWKLNLIAELESRVLAEPVPLPADPMELKNLEYRPVKVRGCFDH
+SKELYMMPRTMVDPVREAREGGLISSSTQSGAYVVTPFHCTDLGVTILVNRGFVPRKKVN
+PETRQKGQIEGEVDLIGMVRLTETRQPFVPENNPERNHWHYRDLEAMARITGAEPIFIDA
+NFQSTVPGGPIGGQTRVTLRNEHLQYIVTWYGLSA
+>Q15527_PF05477_6 <unknown description>
+GDVRAFLREHPSLRLQTDARKVRCILTGHELPCRLPELQVYTRGKKYQRLVRASPAFDYA
+EFEPHIVPSTKNPHQLFCKLTLRHINKCPEHVLRHTQGRRYQRALCKYEECQKQGVEYVP
+ACLVHRRRRREDQMDGDGPRPREAFWEPTSSDEGGAASDDSMTDLYPPELFTRKDLGSTE
+DGDGTDDFLTDKEDEKAKPPREKATDEGRRETTVYRGLVQKRGKKQLGSLKKKFKSHHRK
+>O15260_PF02077_4 <unknown description>
+NDLMGTAEDFADQFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWSEQRDYIDTTWNCGY
+LLASSFVFLNLLGQLTGCVLVLSRNFVQYACFGLFGIIALQTIAYSILWDLKFLMRNLAL
+GGGLLLLLAESRSEGKSMFAGVPTMRESSPKQYMQLGGRVLLVLMFMTLLHFDASFFSIV
+QNIVGTALMILVAIGFKTKLAALTLVVWLFAINVYFNAFWTIPVYKPMHDFLKYDFFQTM
+SVIGGLLLVVALGPGGVSMDEKKKEW
+>O75683_PF04935_140 <unknown description>
+AALEKRRRRKQERDRKKRKRKELRAKEKARKAEEATEAQEVVEATPEGACTEPREPPGLI
+FNKVEVSEDEPASKAQRRKEKRQRVKGNLTPLTGRNYRQLLERLQARQSRLDELRGQDEG
+KAQELEAKMKWTNLLYKAEGVKIRDDERLLQEALKRKEKRRAQRQRRWEKRTAGVVEKMQ
+QRQDRRRQNLRRKKAARAERR
+>Q6UWL2_PF07645_73 <unknown description>
+DKNECQFGATLVCGNHTSCHNTPGGFYCICLEGYRATNNN
+>Q6UWL2_PF07645_125 <unknown description>
+DIDECEVSGLCRHGGRCVNTHGSFECYCMDGYLPRN
+>Q6UWL2_PF00084_179 <unknown description>
+CGTPPEVPDGYIIGNYTSSLGSQVRYACREGFFSVPEDTVSSCTGLGTWESPKLHC
+>Q6UWL2_PF00084_239 <unknown description>
+CGNPPEMRHAILVGNHSSRLGGVARYVCQEGFESPGGKITSVCTEKGTWRESTLTC
+>Q9UGT4_PF01033_29 <unknown description>
+ESCSMRCGALDGPCSCHPTCSGLGTCCLDFRDFCLE
+>Q9UGT4_PF03782_290 <unknown description>
+RTQCQAWEELEDQLPNFLEELPDCPCTLTQARADSGRFFTDYGCDMEQGSVCTYHPGAVH
+CVRSVQASLRYGSGQQCCYTADGTQLLTADSSGGSTPDRGHDWGAPPFRTPPRVPSMSHW
+LYDVLSFYYCCLWAPDCPRY
+>Q9UGT4_PF00094_448 <unknown description>
+SAFGDPHFVTFDGTNFTFNGRGEYVLLEAALTDLRVQARAQPGTMSNGTETRGTGLTAVA
+VQEGNSDVVEVRLANRTGGLEVLLNQEVLSFTEQSWMDLKGMFLSVAAGDRVSIMLASGA
+GLEVSVQGPFLSVSVLLPEKFLTHTHGLLGTLNNDPTDDFTLHSG
+>Q9UGT4_PF00084_731 <unknown description>
+PPNGQKEGNRYLAGSTIYFHCDNGYSLAGAETSTCQADGTWSSPTPKC
+>Q96L08_PF00084_32 <unknown description>
+CAKLRLPPQATFQVLRGNGASVGTVLMFRCPSNHQMVGSGLLTCTWKGSIAEWSSGSPVC
+>Q5VX71_PF00084_57 <unknown description>
+CADPGIPENGFRTPSGGVFFEGSVARFHCQDGFKLKGATKRLCLKHFNGT
+>Q5VX71_PF00084_122 <unknown description>
+CRIPQIEDAEIHNKTYRHGEKLIITCHEGFKIRYPDLHNMVSLCRDDGTWNNLPIC
+>Q5VX71_PF00084_180 <unknown description>
+CLRPLASSNGYVNISELQTSFPVGTVISYRCFPGFKLDGSAYLECLQNLIWSSSPPRC
+>Q5VX71_PF00084_243 <unknown description>
+CPLPPMVSHGDFVCHPRPCERYNHGTVVEFYCDPGYSLTSDYKYITCQYGEWFPS
+>O60279_PF00193_40 <unknown description>
+FFVLESQNGSQGLQLEAARLSCKSRGAHLASADELRRVVQDCSFAVCTTGWLADGTLGTT
+VCSKGSGEQQIMRAVDVRIESNPVPGGTYSALC
+>Q92537_PF00084_42 <unknown description>
+CPLPPEPENGGYICHPRPCRDPLTAGSVIEYLCAEGYMLKGDYKYLTCKNGEWKPAMEIS
+C
+>Q8IYB8_PF18114_62 <unknown description>
+SLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKVLDKFYKRKEIQKLGADYGLDARLFH
+QAFISFRNYIMQSHSLDVDIHIVLNDICFGAAHADDLFPFFLRHAKQIFPVLDCKDDL
+>Q8IYB8_PF00271_358 <unknown description>
+LESLDNLRPGDCIVCFSKNDIYSVSRQIEIRGLESAVIYGSLPPGTKLAQAKKFNDPNDP
+CKILVATDAIGMGLNLSIRRIIFYSLIKPSINEKGERELEPITTSQALQIAGRAGRF
+>Q8IYB8_PF18147_558 <unknown description>
+AELIQHIPLSLRVRYVFCTAPINKKQPFVCSSLLQFARQYS
+>Q8IYB8_PF12513_625 <unknown description>
+LMDLEAVHDVLDLYLWLSYRFMDMFPDASLIRDLQKELDGIIQDGV
+>O43463_PF00385_43 <unknown description>
+FEVEYLCDYKKIREQEYYLVKWRGYPDSESTWEPRQNLKCVRILKQFHK
+>O43463_PF05033_141 <unknown description>
+HLGRITVENEVDLDGPPRAFVYINEYRVGEGITLNQVAVGCECQDCLWAPTGGCCPGASL
+HKFAYNDQGQVRLRAGLPIYECNSRCRCGYDCPNR
+>O43463_PF00856_255 <unknown description>
+GWGVRTLEKIRKNSFVMEYVGEIITSEEAERRGQIYDRQGATYLFDLDYVEDVYTVDAAY
+YGNISHFVNHSCDPNLQVYNVFIDNLDERLPRIAFFATRTIRAGEELTFDYN
+>Q9H5I1_PF00385_47 <unknown description>
+YEVEYLCDYKVVKDMEYYLVKWKGWPDSTNTWEPLQNLKCPLLLQQFS
+>Q9H5I1_PF05033_148 <unknown description>
+KNHKGMIFVENTVDLEGPPSDFYYINEYKPAPGISLVNEATFGCSCTDCFFQKCCPAEAG
+VLLAYNKNQQIKIPPGTPIYECNSRCQCGPDCPNR
+>Q9H5I1_PF00856_262 <unknown description>
+GWGVKTLVKIKRMSFVMEYVGEVITSEEAERRGQFYDNKGITYLFDLDYESDEFTVDAAR
+YGNVSHFVNHSCDPNLQVFNVFIDNLDTRLPRIALFSTRTINAGEELTFDY
+>Q15022_PF09733_547 <unknown description>
+EDGEVEQQRTYSSGHNRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTITQIEEFSDV
+NEGEKEVMKLWNLHVMKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFMLHLVSMHDF
+NLISIMSIDKAVT
+>Q7L0J3_PF00083_193 <unknown description>
+AEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLSVNSVFAFFSSFV
+QGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFWMIGGVYAAAMAW
+AIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRFFLENGKHDEAWM
+VLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRWGVRALSLGGQVW
+GNFLSCFGPEYRRITLMMMGVWFTMSFSY
+>Q7L0J3_PF07690_600 <unknown description>
+VSFLGTLAVLPGNIVSALLMDKIGRLRMLAGSSVMSCVSCFFLSFGNSESAMIALLCLFG
+GVSIASWNALDVLTVELYPSDKRTTAFGFLNALCKLAAVLGISIFTSFVGITKAAPILFA
+SAALALG
+>Q7L1I2_PF00083_129 <unknown description>
+VSFALPSAEKDMCLSSSKKGMLGMIVYLGMMAGAFILGGLADKLGRKRVLSMSLAVNASF
+ASLSSFVQGYGAFLFCRLISGIGIGGALPIVFAYFSEFLSREKRGEHLSWLGIFWMTGGL
+YASAMAWSIIPHYGWGFSMGTNYHFHSWRVFVIVCALPCTVSMVALKFMPESPRFLLEMG
+KHDEAWMILKQVHDTNMRAKGTPE
+>Q7L1I2_PF13599_458 <unknown description>
+KFTRMYFKHVLFEDTFFDECYFEDVTSTDTYFKNCTIESTIFYNTDLYEHKFINCRFINS
+TFLEQ
+>Q7L1I2_PF07690_539 <unknown description>
+YLVSFLGSLSVLPGNIISALLMDRIGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCL
+FCGTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPIL
+LAAASLVGGGLIALRLPET
+>Q496J9_PF00083_186 <unknown description>
+PNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQGYGFFL
+FCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAIIPHYG
+WSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMILKLIHD
+TNMR
+>Q496J9_PF13599_501 <unknown description>
+RFIGVKFKSVTFKDSVFKSCTFEDVTSVNTYFKNCTFIDTVFDNTDFEPYKFIDSEFKNC
+SFFHNK
+>Q496J9_PF07690_581 <unknown description>
+WIYFVNFLGTLAVLPGNIVSALLMDRIGRLTMLGGSMVLSGISCFFLWFGTSESMMIGML
+CLYNGLTISAWNSLDVVTVELYPTDRRATGFGFLNALCKAAAVLGNLIFGSLVSITKSIP
+ILLASTVLVCGGLVGLCLPDTR
+>Q8N300_PF15674_4 <unknown description>
+PARKEKTKVKESVSRVEKAKQKSAQQELKQRQRAEIYALNRVMTELEQQQFDEFCKQMQP
+PGE
+>Q4LDE5_PF00092_84 <unknown description>
+LVFLVDDSSSVGEVNFRSELMFVRKLLSDFPVVPTATRVAIVTFSSKNYVVPRVDYISTR
+RARQHKCALLLQEIPAISYRGGGTYTKGAFQQAAQILLHARENSTKVVFLITDGYSNGGD
+PRPIAASLRDSGVEIFTFGIWQGNIRELNDMASTPKEEHCYLLHSFEEFEAL
+>Q4LDE5_PF07699_310 <unknown description>
+GYYGKGLQYECTACPSGTYKPEGSPGGISSCIPCPDENHTSPPGSTSPEDC
+>Q4LDE5_PF00084_378 <unknown description>
+CPALKPPENGYFIQNTCNNHFNAACGVRCHPGFDLVGSSIILCLPNGLWSGSESYC
+>Q4LDE5_PF00084_438 <unknown description>
+CPHLRQPKHGHISCSTREMLYKTTCLVACDEGYRLEGSDKLTCQGNSQWDGPEPRC
+>Q4LDE5_PF00084_514 <unknown description>
+CGKQPAKFGTICYVSCRQGFILSGVKEMLRCTTSGKWN
+>Q4LDE5_PF02494_560 <unknown description>
+KDVEAPQINCPKDIEAKTLEQQDSANVTWQIPTAKDNSGEKVSVHVHPAFTPPYLFPIGD
+VAIVYTATDLSGNQASCIFHIKV
+>Q4LDE5_PF02494_644 <unknown description>
+DAEPPVIDWCRSPPPVQVSEKVHAASWDEPQFSDNSGAELVITRSHTQGDLFPQGETIVQ
+YTATDPSGNNRTCDIHIV
+>Q4LDE5_PF07699_1005 <unknown description>
+GTYYNLEHFTCESCRIGSYQDEEGQLECKLCPSGMYTEYIHSRNISDC
+>Q4LDE5_PF07699_1059 <unknown description>
+GTYSYSGLETCESCPLGTYQPKFGSRSCLSCPENTSTVKRGAVNISAC
+>Q4LDE5_PF07699_1113 <unknown description>
+GKFSRSGLMPCHPCPRDYYQPNAGKAFCLACPFYGTTPFAGSRSITEC
+>Q4LDE5_PF00008_1200 <unknown description>
+NPCHNSGTCQQLGRGYVCLCPLGYTG
+>Q4LDE5_PF00008_1235 <unknown description>
+CSPLPCLNNGVCKDLVGEFICECPSGYTGQR
+>Q4LDE5_PF00008_1273 <unknown description>
+CSSSPCLNKGICVDGVAGYRCTCVKGFVGL
+>Q4LDE5_PF12661_1316 <unknown description>
+CLNNAVCEDQVGGFLCKCPPGF
+>Q4LDE5_PF00008_1349 <unknown description>
+CLSQPCKNGATCKDGANSFRCLCAAGFTGSH
+>Q4LDE5_PF00008_1387 <unknown description>
+CQSNPCRNQATCVDELNSYSCKCQPGFSGKR
+>Q4LDE5_PF00354_1441 <unknown description>
+LDGMLPSLHALTCTFWMKSSDDMNYGTPISYAVDNGSDNTLLLTDYNGWVLYVNGREKIT
+NCPSVNDGRWHHIAITWTSANGIWKVYIDGKLSDGGAGLSVGLPIPGGGALVLGQEQDKK
+GEGFSPAESFVGSISQLNLWDYVLSPQQVKSLATSCPEELSKGNVLAWPDFLSGIVGKVK
+>Q4LDE5_PF00084_1628 <unknown description>
+CSDCPRLGGSVPHLRTASEDLKPGSKVNLFCDPGFQLVGNPVQYCLNQGQWTQPLPHC
+>Q4LDE5_PF00084_1690 <unknown description>
+CGVPPPLENGFHSADDFYAGSTVTYQCNNGYYLLGDSRMFCTDNGSWNGVSPSC
+>Q4LDE5_PF07645_1745 <unknown description>
+DVDECAVGSDCSEHASCLNVDGSYICSCVPPYTGDGKNC
+>Q4LDE5_PF00084_1789 <unknown description>
+CKAPGNPENGHSSGEIYTVGAEVTFSCQEGYQLMGVTKITCLESGEWNHLIPYC
+>Q4LDE5_PF00084_1847 <unknown description>
+CGKPAIPENGCIEELAFTFGSKVTYRCNKGYTLAGDKESSCLANSSWSHSPPVC
+>Q4LDE5_PF00084_1905 <unknown description>
+CSSPENINNGKYILSGLTYLSTASYSCDTGYSLQGPSIIECTASGIWDRAPPAC
+>Q4LDE5_PF00084_1963 <unknown description>
+CGEPPAIKDAVITGNNFTFRNTVTYTCKEGYTLAGLDTIECLADGKWSRSDQQC
+>Q4LDE5_PF00084_2021 <unknown description>
+CDEPPIVDHASPETAHRLFGDIAFYYCSDGYSLADNSQLLCNAQGKWVPPEGQDMPRC
+>Q4LDE5_PF00084_2083 <unknown description>
+CEKPPSVSYSILESVSKAKFAAGSVVSFKCMEGFVLNTSAKIECMRGGQWNPSP
+>Q4LDE5_PF00084_2146 <unknown description>
+CGEPPSIMNGYASGSNYSFGAMVAYSCNKGFYIKGEKKSTCEATGQWSSPIPTC
+>Q4LDE5_PF00084_2204 <unknown description>
+CGEPPKVENGFLEHTTGRIFESEVRYQCNPGYKSVGSPVFVCQANRHWHSESPLMC
+>Q4LDE5_PF00084_2264 <unknown description>
+CGKPPPIQNGFMKGENFEVGSKVQFFCNEGYELVGDSSWTCQKSGKWNKKSNPKC
+>Q4LDE5_PF00084_2323 <unknown description>
+CPEPPLLENQLVLKELTTEVGVVTFSCKEGHVLQGPSVLKCLPSQQWNDSFPVC
+>Q4LDE5_PF00084_2381 <unknown description>
+CTPPPLISFGVPIPSSALHFGSTVKYSCVGGFFLRGNSTTLCQPDGTWSSPLPEC
+>Q4LDE5_PF00084_2440 <unknown description>
+CPQPEEIPNGIIDVQGLAYLSTALYTCKPGFELVGNTTTLCGENGHWLGGKPTC
+>Q4LDE5_PF00084_2498 <unknown description>
+CLKPKEILNGKFSYTDLHYGQTVTYSCNRGFRLEGPSALTCLETGDWDVDAPSC
+>Q4LDE5_PF00084_2556 <unknown description>
+CDSPQPIENGFVEGADYSYGAIIIYSCFPGFQVAGHAMQTCEESGWSSSIPTC
+>Q4LDE5_PF00084_2672 <unknown description>
+SSNFLYGTMVSYTCNPGYELLGNPVLICQEDGTWNGSAPSC
+>Q4LDE5_PF00084_2717 <unknown description>
+CDLPTAPENGFLRFTETSMGSAVQYSCKPGHILAGSDLRLCLENRKWSGASPRC
+>Q4LDE5_PF00084_2775 <unknown description>
+CKKPNPVMNGSIKGSNYTYLSTLYYECDPGYVLNGTERRTCQDDKNWDEDEPIC
+>Q4LDE5_PF00084_2833 <unknown description>
+CSSPPVSANGQVRGDEYTFQKEIEYTCNEGFLLEGARSRVCLANGSWSGATPDC
+>Q4LDE5_PF00084_2891 <unknown description>
+CATPPQLANGVTEGLDYGFMKEVTFHCHEGYILHGAPKLTCQSDGNWDAEIPLC
+>Q4LDE5_PF00084_2949 <unknown description>
+CGPPEDLAHGFPNGFSFIHGGHIQYQCFPGYKLHGNSSRRCLSNGSWSGSSPSC
+>Q4LDE5_PF00084_3007 <unknown description>
+CSTPVIEYGTVNGTDFDCGKAARIQCFKGFKLLGLSEITCEADGQWSSGFPHC
+>Q4LDE5_PF00084_3064 <unknown description>
+CGSLPMIPNAFISETSSWKENVITYSCRSGYVIQGSSDLICTEKGVWSQPYPVC
+>Q4LDE5_PF00084_3122 <unknown description>
+CGSPPSVANAVATGEAHTYESEVKLRCLEGYTMDTDTDTFTCQKDGRWFPE
+>Q4LDE5_PF00084_3181 <unknown description>
+CPLPENITHILVHGDDFSVNRQVSVSCAEGYTFEGVNISVCQLDGTWEPPFS
+>Q4LDE5_PF00084_3241 <unknown description>
+CGKPESPEHGFVVGSKYTFESTIIYQCEPGYELEGNRERVCQENRQWSGGVAIC
+>Q4LDE5_PF00084_3299 <unknown description>
+CETPLEFLNGKADIENRTTGPNVVYSCNRGYSLEGPSEAHCTENGTWSHPVPLC
+>Q4LDE5_PF00084_3357 <unknown description>
+CPVPFVIPENALLSEKEFYVDQNVSIKCREGFLLQGHGIITCNPDETWTQTSAKC
+>Q4LDE5_PF00084_3416 <unknown description>
+CGPPAHVENAIARGVHYQYGDMITYSCYSGYMLEGFLRSVCLENGTWTSPPIC
+>Q4LDE5_PF00008_3506 <unknown description>
+LPCLNGGRCVAPYQCDCPPGWTGSR
+>O95425_PF00626_1462 <unknown description>
+RASALNSGDCFLLLSPHCCFLWVGEFANVIEKAKASELATLIQ
+>O95425_PF02209_2179 <unknown description>
+YLTDEDFEFALDMTRDEYNALPAWKQVNLKKAKGLF
+>Q8NHG7_PF15811_1 <unknown description>
+MGLCFPCPGESAPPTPDLEEKRAKLAEAAERRQKEAASRGILDVQSVQEKRKKKEKIEKQ
+IATSGPPPEGGLR
+>Q8N434_PF07690_59 <unknown description>
+VVEAMEIMLIAVVSPVIRCEWQLENWQVALVTTMVFFGYMVFSILFGLLADRYGRWKILL
+ISFLWGAYFSLLTSFAPSYIWFVFLRTMVGCGVSGHSQGLIIKTEFLPTKYRGYMLPLSQ
+VFWLAGSLLIIGLASVIIPTIGWRWLIRVASIPGIILIVAFKFIPESARFNVSTGNTRAA
+LATLERVAKMNRSVMPEGKLVEPVLEKRGRFADLLDAKYLRTTLQIWVIWLGISFAYYGV
+>Q8N434_PF00083_348 <unknown description>
+IISTIGEIALNPLNILGINFLGRRLSLSITMGCTALFFLLLNICTSSAGLIGFLFMLRAL
+VAANFNTVYIYTAEVYPTTMRALGMGTSGSLCRIGAMVAPFISQVLMSASILGALCLFSS
+VCVVCAISAFTLPIETKGRALQQI
+>Q8N4V2_PF00083_118 <unknown description>
+PSWQVALLTSVVFVGMMSSSTLWGNISDQYGRKTGLKISVLWTLYYGILSAFAPVYSWIL
+VLRGLVGFGIGGVPQSVTLYAEFLPMKARAKCILLIEVFWAIGTVFEVVLAVFVMPSLGW
+RWLLILSAVPLLLFAVLCFWLPESARYDVLSGNQEKAIATLKRIATENGAPMPLGKLIIS
+RQEDRGKMRDLFTPHFRWTTLLLWFIWFSNAFSYY
+>Q8N4V2_PF07690_384 <unknown description>
+FPGVLVTLWIIDRLGRKKTMALCFVIFSFCSLLLFICVGRNVLTLLLFIARAFISGGFQA
+AYVYTPEVYPTATRALGLGTCSGMARVGALITPFIAQVMLESSVYLTLAVYSGCCLLAAL
+ASCFLPIETKGRGLQESSHR
+>Q2M3V2_PF12796_339 <unknown description>
+AKRDFMSGFTALHWAAKSGDGEMALQLVEVARRSGAPVDVNARSHGGYTPLHLAALHGHE
+DAAVLLVVRLGAQVHVRD
+>A6NEL2_PF12796_626 <unknown description>
+KDFLTGYTALHWIAKHGDLRALQDLVSGAKKAGIVLDVNVRSSCGYTPLHLAAIHGHQGV
+IKLLVQRLASRVNVRD
+>Q53LP3_PF12796_275 <unknown description>
+ASDGKWDSLEGLLTCEPGLLVKRDFITGFTCLHWAAKHGRQELLAMLVNFANKHQLPVNI
+DARTSGGYTALHLAAMHGHVEVVKLLVGAYDADVDIRD
+>A6NJG2_PF12796_119 <unknown description>
+LAAAEGRYEVLRELLEAEPELLLRGDPITGYSVLHWLAKHGRHEELILVHDFALRRGLRL
+DVSAPGSGGLTPLHLAALQGHDMVIKVLVGALGADATRRD
+>Q9BRV3_PF03083_10 <unknown description>
+LIYGACVVFTLGMFSAGLSDLRHMRMTRSVDNVQFLPFLTTEVNNLGWLSYGALKGDGIL
+IVVNTVGAALQTLYILAYLHYCPRKR
+>Q9BRV3_PF03083_127 <unknown description>
+QQLGLFCSVFTISMYLSPLADLAKVIQTKSTQCLSYPLTIATLLTSASWCLYGFRLRDPY
+IMVSNFPGIVTSFIRFWLFWKYPQEQ
+>Q9UH65_PF00169_211 <unknown description>
+VLKQGYMMKKGHRRKNWTERWFVLKPNIISYYVSEDLKDKKGDILLDENCCVESLPDKDG
+KKCLFLVKCFDKTFEISASDKKKKQEWIQAIHSTI
+>Q5T5J6_PF13638_390 <unknown description>
+IVIDTNILMNHLKFVRILKTTEVPGFDKLVLIIPWVVMQELDRMKEGKLLKRAQHKAIPA
+VHFINDSLKNQDRKLWGQSIQLASQKHYGLSDENNDDRVLKCCLQHQELFPCSFVILCTD
+DRNLRNKGLISGVKSLS
+>P49588_PF01411_9 <unknown description>
+EIRQRFIDFFKRNEHTYVHSSATIPLDDPTLLFANAGMNQFKPIFLNTIDPSHPMAKLSR
+AANTQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGSWSFGDYFKELACKMALELLTQEFGI
+PIERLYVTYFGGDEAAGLEADLECKQIWQNLGLDDTKILPGNMKDNFWEMGDTGPCGPCS
+EIHYDRIGGRDAAHLVNQDDPNVLEIWNLVFIQYNREADGILKPLPKKSIDTGMGLERLV
+SVLQNKMSNYDTDLFVPYFEAIQKGTGARPYTGKVGAEDADGIDMAYRVLADHARTITVA
+LADGGRPDNTGRGYVLRRILRRAVRYAHEKLNASRGFFATLVDVVVQSLGDAFPELKKDP
+DMVKDIINEEEVQFLKTLSRGRRILDRKIQSLGDSKTIPGDTAWLLYDTYGFPVDLTGLI
+AEEKGLVVDMDGFEEERKLAQLKSQGKGAGGEDLIMLDIYAIEELRARGLEVTDDSPKYN
+YHLDSSGSYVFENTVATVMALRREKMFVEEVSTGQECGVVLDKTCFYAEQGGQIYDEGYL
+VKVDDSSEDKTEFTVKNAQVRGGYVLHIGTIYGDLKVGDQVWLFIDEP
+>P49588_PF07973_694 <unknown description>
+VRVVSIGVPVSELLDDPSGPAGSLTSVEFCGGTHLRNSSHAGAFVIVTEEAIAKGIRRIV
+>P49588_PF02272_813 <unknown description>
+DELRETLKSLKKVMDDLDRASKADVQKRVLEKTKQFIDSNPNQPLVILEMESGASAKALN
+EALKLFKMHSPQTSAMLFTVDNEAGKITCLCQVPQNAANRGLKASEWVQQVSGLMDGKGG
+GKDVSAQATGKNVGCLQEALQLATS
+>Q5JTZ9_PF01411_42 <unknown description>
+VRAAFLNFFRDRHGHRLVPSASVRPRGDPSLLFVNAGMNQFKPIFLGTVDPRSEMAGFRR
+VANSQKCVRAGGHHNDLEDVGRDLSHHTFFEMLGNWAFGGEYFKEEACNMAWELLTQVYG
+IPEERLWISYFDGDPKAGLDPDLETRDIWLSLGVPASRVLSFGPQENFWEMGDTGPCGPC
+TEIHYDLAGGVGAPQLVELWNLVFMQHNREADGSLQPLPQRHVDTGMGLERLVAVLQGKH
+STYDTDLFSPLLNAIQQGCRAPPYLGRVGVADEGRTDTAYRVVADHIRTLSVCISDGIFP
+GMSGPPLVLRRILRRAVRFSMEILKAPPGFLGSLVPVVVETLGDAYPELQRNSAQIANLV
+SEDEAAFLASLERGRRIIDRTLRTLGPSDMFPAEVAWSLSLCGDLGLPLDMVELMLEEKG
+VQLDSAGLERLAQEEAQHRARQAEPVQKQGLWLDVHALGELQRQGVPPTDDSPKYNYSLR
+PSGSYEFGTCEAQVLQLYTEDGTAVASVGKGQRCGLLLDRTNFYAEQGGQASDRGYLVRA
+GQEDVLFPVARAQVCGGFILHEAVAPECLRLGDQVQLHVDEA
+>Q5JTZ9_PF07973_721 <unknown description>
+VRVVSVGVPVAHALDPASQAALQTSVELCCGTHLLRTGAVGDLVIIGDRQLSKGTTRL
+>Q96A49_PF03909_159 <unknown description>
+QFNFDFDQMYPVALVMLQEDELLSKMRFALVPKLVKEEVFWRNYFYRVSLIKQSA
+>Q9NX95_PF15290_211 <unknown description>
+NQLSPVNIHPSYAPSSPSSSNSGSYKGSDCSPIMRRSGRYMSCGENHGVRPPNPEQYLTP
+LQQKEVTVRHLKTKLKESERRLHERESEIVELKSQLARMREDWIEEECHRVEAQLALKEA
+RKEIKQLKQVIETMRSSLADKDKGIQKYFVDINIQNKKLESLLQSMEMAHSGSLRDELCL
+DFPCDSPEKSLTLNPPLDTMADGLSLEEQVTGEGADRELLVGDSIANSTDLFDEIVTATT
+TESGDLELVHSTPGANVLELLPIVMGQEEGSVVVERAVQTDVVPYSPAISELI
+>A8MT33_PF15233_42 <unknown description>
+GSLEPQIEDLISRINDLQQAKKKSSEELRETHSLWEALHRELDSLNGEKVHLEEVLGKKQ
+EALRILQMHCQEKESEAQR
+>A8MT33_PF15233_119 <unknown description>
+QRLDVRGQLEDLMGQHKDLWEFHMLEQRLAREIRALERSKEQLLSE
+>Q5T4T6_PF18581_25 <unknown description>
+FWLQSLITDAFHDKGFQKIKEYFQQKESHFPQKYNRLLLYRLDRSINKELDKNEFQSVSL
+LLKCIQRFLVDGLKEDEPLLIRQGLIPKLVSWFERTTGILTSEGLASDTSLICVIEDFFD
+TALIISRSSSEGKIQMLDSFLLSLGFLVTEKTVNHLLQQEGLKTFNCILHAVPREE
+>Q5T4T6_PF18584_292 <unknown description>
+VYSFPCIAAFADEHEMRKPADEKLEKFWIDFNLGSQSVTFYIDNAENTLWDSVTLPKEAV
+MNFSITETEKIKIFIIYLKKPMIISYKEVMKIEIHFDLQFNISQVSIQALGE
+>P49589_PF01406_127 <unknown description>
+PQDGKKVTWYCCGPTVYDASHMGHARSYISFDILRRVLKDYFKFDVFYCMNITDIDDKII
+KRARQNHLFEQYREKRPEAAQLLEDVQAALKPFSVKLNETTDPDKKQMLERIQHAVQLAT
+EPLEKAVQSRLTGEEVNSCVEVLLEEAKDLLSDWLDSTLGCDVTDNSIFSKLPKFWEGDF
+HRDMEALNVLPPDVLTRVSEYVPEIVNFVQKIVDNGYGYVSNGSVYFDTAKFASSEKHSY
+GKLVPEAVGDQKALQEGEGDLSISADRLSEKRSPNDFALWKASKPGEPSWPCPWGKGRPG
+WHIECSAMAGTLLGASMDIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLHTGHLTIA
+GCKMSKSLKNFITIKDALKKHSARQLRLAFLMHSWKDTLDYSSNTMESA
+>Q8N0S2_PF15233_47 <unknown description>
+GSLEPRVEVLINRINEVQQAKKKANKDLGEARTICEALQKELDSLHGEKVHLKEILSKKQ
+ETLRILRLHCQEKESEAHRKHTMLQECKERISALNLQIEEEKNKQRQLRLAFEEQLEDLM
+GQHKDLWDFHMPERLAKEICALDSSKEQLLKE
+>A1L190_PF15191_1 <unknown description>
+MDDADPEERNYDNMLKMLSDLNKDLEKLLEEMEKISVQATWMAYDMVVMRTNPTLAESMR
+RLEDAFVNCKEEMEKNWQELLHETK
+>Q9HA77_PF01406_69 <unknown description>
+HAEAASWYSCGPTVYDHAHLGHACSYVRFDIIRRILTKVFGCSIVMVMGITDVDDKIIKR
+ANEMNISPASLASLYEEDFKQDMAALKVLPPTVYLRVTENIPQIISFIEGIIARGNAYST
+AKGNVYFDLKSRGDKYGKLVGVVPGPVGEPADSDKRHASDFALWKAAKPQEVFWASPWGP
+GRPGWHIECSAIASMVFGSQLDIHSGGIDLAFPHHENEIAQCEVFHQCEQWGNYFLHSGH
+LHAKGKEEKMSKSLKNYITIKDFLKTFSPDVFRFFCLRSSYRSAIDYSDSAMLQAQ
+>Q0VAF6_PF15138_22 <unknown description>
+ACPASADLKHSDGTRTCAKLYDKSDPYYENCCGGAELSLESGADLPYLPSNWANTASSLV
+VAPRCELTVWSRQGKAGKTHKFSAGTYPRLEEYRRGILGDWSNAISALYCRC
+>Q15431_PF05483_28 <unknown description>
+LGGDSTFFKSFNKCTEDDFEFPFAKTNLSKNGENIDSDPALQKVNFLPVLEQVGNSDCHY
+QEGLKDSDLENSEGLSRVYSKLYKEAEKIKKWKVSTEAELRQKESKLQENRKIIEAQRKA
+IQELQFGNEKVSLKLEEGIQENKDLIKENNATRHLCNLLKETCARSAEKTKKYEYEREET
+RQVYMDLNNNIEKMITAFEELRVQAENSRLEMHFKLKEDYEKIQHLEQEYKKEINDKEKQ
+VSLLLIQITEKENKMKDLTFLLEESRDKVNQLEEKTKLQSENLKQSIEKQHHLTKELEDI
+KVSLQRSVSTQKALEEDLQIATKTICQLTEEKETQMEESNKARAAHSFVVTEFETTVCSL
+EELLRTEQQRLEKNEDQLKILTMELQKKSSELEEMTKLTNNKEVELEELKKVLGEKETLL
+YENKQFEKIAEELKGTEQELIGLLQAREKEVHDLEIQLTAITTSEQYYSKEVKDLKTELE
+NEKLKNTELTSHCNKLSLENKELTQETSDMTLELKNQQEDINNNKKQEERMLKQIENLQE
+TETQLRNELEYVREELKQKRDEVKCKLDKSEENCNNLRKQVENKNKYIEELQQENKALKK
+KGTAESKQLNVYEIKVNKLELELESAKQKFGEITDTYQKEIEDKKISEENLLEEVEKAKV
+IADEAVKLQKEIDKRCQHKIAEMVALMEKHKHQYDKIIEERDSELGLYKSKEQEQSSLRA
+SLEIELSNLKAELLSVKKQLEIEREEKEKLKREAKENTATLKEKK
+>Q9BX26_PF18581_8 <unknown description>
+QQLEKCIDDALRKNDFKPLKTLLQIDICEDVKIKCSKQFFHKVDNLICRELNKEDIHNVS
+AILVSVGRCGKNISVLGQAGLLTMIKQGLIQKMVAWFEKSKDIIQSQGNSKDEAVLNMIE
+DLVDLLLVIHDVSDEGKKQVVESFVPRICSLVIDSRVNICIQQEIIKKMNAMLDKMPQDA
+>Q9BX26_PF18584_278 <unknown description>
+VFTFPCLSAFLDKYELQIPSDEKLEEFWIDFNLGSQTLSFYIAGDNDDHQWEAVTVPEEK
+VQIYSIEVRESKKLLTIILKNTVKISKREGKELLLYFDASLEITNVTQKIFG
+>Q8IZU3_PF04803_83 <unknown description>
+KALLAKRKRLEMYTKASLKTSNQKIEHVWKTQQDQRQKLNQEYSQQFLTLFQQWDLDMQK
+AEEQEEKILNMFRQQQKILQQSRIVQSQRLKTIKQLYEQFIKSMEELEKNHDNLLTGAQN
+EFKKEMAMLQKK
+>Q9UQF0_PF00429_82 <unknown description>
+ANTHYWTGKMINPSCPGGLGVTVCWTYFTQTGMSDGGGVQDQAREKHVKEVISQLTRVHG
+TSSPYKGLDLSKLHETLRTHTRLVSLFNTTLTGLHEVSAQNPTNCWICLPLNFRPYVSIP
+VPEQWNNFSTEINTTSVLVGPLVSNLEITHTSNLTCVKFSNTTYTTNSQCIRWVTPPTQI
+VCLPSGIFFVCGTSAYRCLNGSSESMCFLSFLVPPMTIYTEQDLYSYVISKPRNKRVPIL
+PFVIGAGVLGALGTGIGGITTSTQFYYKLSQELNGDMERVADSLVTLQDQLNSLAAVVLQ
+NRRALDLLTAERGGTCLFLGEECCYYVNQSGIVTEKVKEIRDRIQRRAEELRNTGPWGLL
+SQWMPWILPFLGPLAAIILLLLFGPCIFNLLVNFV
+>P60508_PF00429_292 <unknown description>
+LKTQGAFYICGQSIHQCLPSNWTGTCTIGYVTPDIFIAPGNLSLPIPIYGNSPLPRVRRA
+IHFIPLLAGLGILAGTGTGIAGITKASLTYSQLSKEIANNIDTMAKALTTMQEQIDSLAA
+VVLQNRRGLDMLTAAQGGICLALDEKCCFWVNQSGKVQDNIRQLLNQASSLRERATQGWL
+NWEGTWKWFSWVLPLTGPLVSLLLLLLFGPCLLNLITQFV
+>P14868_PF01336_60 <unknown description>
+VWVRARVHTSRAKGKQCFLVLRQQQFNVQALVAVGDHASKQMVKFAANINKESIVDVEGV
+VRKVNQKIGSCTQQDVELHVQKIYVI
+>P14868_PF00152_176 <unknown description>
+QDTRLDNRVIDLRTSTSQAVFRLQSGICHLFRETLINKGFVEIQTPKIISAASEGGANVF
+TVSYFKNNAYLAQSPQLYKQMCICADFEKVFSIGPVFRAEDSNTHRHLTEFVGLDIEMAF
+NYHYHEVMEEIADTMVQIFKGLQERFQTEIQTVNKQFPCEPFKFLEPTLRLEYCEALAML
+REAGVEMGDEDDLSTPNEKLLGHLVKEKYDTDFYILDKYPLAVRPFYTMPDPRNPKQSNS
+YDMFMRGEEILSGAQRIHDPQLLTERALHHGIDLEKIKAYIDSFRFGAPPHAGGGIGLER
+VTMLFLGLHNVRQTSMFPRD
+>Q6ZW31_PF00620_414 <unknown description>
+PLIIQKCVGQIERRGLRVVGLYRLCGSAAVKKELRDAFERDSAAVCLSEDLYPDINVITG
+ILKDYLRELPTPLITQPLYKVVLEAMARDPPNRVPPTTEGTRGLLSCLPDVERATLTLLL
+DHLRLVSSFHAYNRMTPQNLAVCFGPVLLPARQ
+>Q5VT97_PF00620_832 <unknown description>
+PLLIQKCIMEIEKRGCQVVGLYRLCGSAAVKKELREAFERDSKAVGLCENQYPDINVITG
+VLKDYLRELPSPLITKQLYEAVLDAMAKSPLKMSSNGCENDPGDSKYTVDLLDCLPEIEK
+ATLKMLLDHLKLVASYHEVNKMTCQNLAVCFGPVLLSQRQE
+>Q6PI48_PF01336_66 <unknown description>
+VTLCGWIQYRRQNTFLVLRDFDGLVQVIIPQDESAASVKKILCEAPVESVVQVSGTVISR
+PAGQENPKMPTGEIEIKVKTAELL
+>Q6PI48_PF00152_167 <unknown description>
+EALRLQYRYLDLRSFQMQYNLRLRSQMVMKMREYLCNLHGFVDIETPTLFKRTPGGAKEF
+LVPSREPGKFYSLPQSPQQFKQLLMVGGLDRYFQVARCYRDEGSRPDRQPEFTQIDIEMS
+FVDQTGIQSLIEGLLQYSWPNDKDPVVVPFPTMTFAEVLATYGTDKPDTRFGMKIIDISD
+VFRNTEIGFLQDALSKPHGTVKAICIPEGAKYLKRKDIESIRNFAADHFNQEILPVFLNA
+NRNWNSPVANFIMESQRLELIRLMETQEEDVVLLTAGEHNKACSLLGKLRLECADLLETR
+GVVLRDPTLFSFLWVVDFPLFLPKEENPRELESAHHPFTAPHPSDIHLLYTEPKKARSQH
+YDLVLNGNEIGGGSIRIHNAELQRYILATLLKEDVKMLSHLLQALDYGAPPHGGIALGLD
+RLICLVTGSPSIRDVIAFPK
+>Q6PI48_PF02938_357 <unknown description>
+QDALSKPHGTVKAICIPEGAKYLKRKDIESIRNFAADHFNQEILPVFLNANRNWNSPVAN
+FIMESQRLELIRLMETQEEDVVLLTAGEHNKACSLL
+>Q5JPH6_PF00749_37 <unknown description>
+VRVRFAPSPTGFLHLGGLRTALYNYIFAKKYQGSFILRLEDTDQTRVVPGAAENIEDMLE
+WAGIPPDESPRRGGPAGPYQQSQRLELYAQATEALLKTGAAYPCFCSPQRLELLKKEALR
+NHQTPRYDNRCRNMSQEQVAQKLAKDPKPAIRFRLEQVVPAFQDLVYGWNRHEVASVEGD
+PVIMKSDGFPTYHLACVVDDHHMGISHVLRGSEWLVSTAKHLLLYQALGWQPPHFAHLPL
+LLNRDGSKLSKRQGDVFLEHFAADGFLPDSLLDIITNCGSGFAENQMGRTLPELITQFNL
+TQVTCHSALLDLEKL
+>Q5JPH6_PF19269_393 <unknown description>
+VLNPVYVERILLLRQGHICRLQDLVSPVYSYLWTRPAVGRAQLDAISEKVDVIAKRVLGL
+LERSSMSLTQDMLNGELKKLSEGLEGTKYSNVMKLLRMALSGQQQGPPVAEMMLALGPKE
+VRERIQKV
+>P07814_PF00043_103 <unknown description>
+NHCLSLRTYLVGNSLSLADLCVWATLKGNAAWQEQLKQKKAPVHVKRWF
+>P07814_PF00749_197 <unknown description>
+KVTVRFPPEASGYLHIGHAKAALLNQHYQVNFKGKLIMRFDDTNPEKEKEDFEKVILEDV
+AMLHIKPDQFTYTSDHFETIMKYAEKLIQEGKAYVDDTPAEQMKAEREQRIDSKHRKNPI
+EKNLQMWEEMKKGSQFGQSCCLRAKIDMSSNNGCMRDPTLYRCKIQPHPRTGNKYNVYPT
+YDFACPIVDSIEGVTHALRTTEYHDRDEQFYWIIEALGIRKPYIWEYSRLNLNNTVLSKR
+KLTWFVNEGLVDGWDDPRFPTVRGVLRRGMTVEGLKQFIAAQGSSRSVVNMEWDKIWAFN
+KKVID
+>P07814_PF03950_504 <unknown description>
+APRYVALLKKEVIPVNVPEAQEEMKEVAKHPKNPEVGLKPVWYSPKVFIEGADAETFSEG
+EMVTFINWGNLNITKIHKNADGKIISLDAKLNLENKDYKKTTKVTWLAETTHALPIPVIC
+VTYEHLITKPVLGKDEDFKQYVNKNSKHEELMLGDPCLKDLKKGDIIQLQRRGFFICD
+>P07814_PF00458_753 <unknown description>
+LYNRVAVQGDVVRELKAKKAPKEDVDAAVKQLLSLKAEYKEKTGQEYKPGNPP
+>P07814_PF00458_826 <unknown description>
+LYDEVAAQGEVVRKLKAEKSPKAKINEAVECLLSLKAQYKEKTGKEYIPGQPP
+>P07814_PF00458_904 <unknown description>
+LFDKVASQGEVVRKLKTEKAPKDQVDIAVQELLQLKAQYKSLIGVEYKPVSAT
+>P07814_PF00587_1114 <unknown description>
+EPIAIRPTSETVMYPAYAKWVQSHRDLPIKLNQWCNVVRWEFKHPQPFLRTREFLWQEGH
+SAFATMEEAAEEVLQILDLYAQVYEELLAIPVVKGRKTEKEKFAGGDYTTTIEAFISASG
+RAIQGGTSHHLGQNFSKMFEIVFEDPKIPGEKQFAYQNSWGLTTRTIGVMT
+>P07814_PF03129_1303 <unknown description>
+QVVIIPCGITNALSEEDKEALIAKCNDYRRRLLSVNIRVRADLRDNYSPGWKFNHWELKG
+VPIRLEVGPRDMKSCQFVAVRRDTGEKLTVAENEAETKLQA
+>P07814_PF09180_1430 <unknown description>
+MEDFQKILDSGKIVQIPFCGEIDCEDWIKKTTARDQDLEPGAPSMGAKSLCIPFKPLCEL
+QPGAKCVCGKNPAKYYTLFGRSY
+>Q9HCS7_PF13181_257 <unknown description>
+KLWCSLADYYIRSGHFEKARDVYEEAIR
+>Q9HCS7_PF13181_397 <unknown description>
+LWVAFAKFYEDNGQLDDARVILEKATKVNF
+>O95926_PF08231_91 <unknown description>
+RGEDYEKVKLLEISAEDAERWERKKKRKNPDLGFSDYAAAQLRQYHRLTKQIKPDMETYE
+RLREKHGEEFFPTSNSLLHGTHVPSTEEIDRMVIDLEKQIEKRDKYSRRRPYNDDADIDY
+INERNAKFNKKAERFYGKYTAEIKQNLE
+>Q9Y285_PF18552_3 <unknown description>
+DGQVAELLLRRLEASDGGLDSAELAAELGMEHQAVVGAVKSLQALGEVIEAELRSTKHW
+>Q9Y285_PF18553_75 <unknown description>
+SHEARVFRSIPPEGLAQSELMRLPSGKVGFSKAMSNKWIRVDKSAADGPRVFRVVDS
+>Q9Y285_PF18554_134 <unknown description>
+DEVQRRLQLVRGGQAEKLGEKERSELRKRKLL
+>Q9Y285_PF01409_210 <unknown description>
+YNFLAHGVLPDSGHLHPLLKVRSQFRQIFLEMGFTEMPTDNFIESSFWNFDALFQPQQHP
+ARDQHDTFFLRDPAEALQLPMDYVQRVKRTHSQGGYGSQGYKYNWKLDEARKNLLRTHTT
+SASARALYRLAQKKPFTPVKYFSIDRVFRNETLDATHLAEFHQIEGVVADHGLTLGHLMG
+VLREFFTKLGITQLRFKPAYNPYTEPSMEVFSYHQGLKKWVEVGNSGVFRPEMLLPMGLP
+ENVSVIAWGLSLERPTMIKYGINNIRELVGHKVN
+>Q9NSD9_PF18262_1 <unknown description>
+MPTVSVKRDLLFQALGRTYTDEEFDELCFEFGLELDEITSEKEIISKEQGNVKAAGASDV
+VLYKIDVPANRYDLLCLEGLVRGLQVFKER
+>Q9NSD9_PF03483_118 <unknown description>
+RPFAVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAIGTHDLDTLSGPFTYTAKR
+PSDIKFKPLNKTKEYTACELMNIYKTDNHLKHYLHIIENKPLYPVIYDSNGVVLSMPPII
+NGDHSRITVNTRNIFIECTGTDFTKAKIVLDIIVTMFSEYCE
+>Q9NSD9_PF03484_309 <unknown description>
+VRADLINKKVGIRETPENLAKLLTRMYLKSEVIGDGNQIEIEIPPTRADIIHACDIVEDA
+AIAYGY
+>Q9NSD9_PF17759_377 <unknown description>
+IQMTLPKTYTIANQFPLNKLTELLRHDMAAAGFTEALTFALCSQEDIADKLGVDISATKA
+VHISNPKTAEFQVARTTLLPGLLKTIAANRKMPLPLKLFEISDIVIKDSNTDVGAKNYRH
+LCAVYYNKNPGFEIIHGLLDRIMQLLDVPPGEDKGGYVIKASEGPAFFPGRCAEIFARGQ
+SVGKLGVLHPDVITKFELTMPCSSLEIN
+>O95363_PF01409_76 <unknown description>
+RNLHNQQHHPLWLIKERVKEHFYKQYVGRFGTPLFSVYDNLSPVVTTWQNFDSLLIPADH
+PSRKKGDNYYLNRTHMLRAHTSAHQWDLLHAGLDAFLVVGDVYRRDQIDSQHYPIFHQLE
+AVR
+>O95363_PF01409_242 <unknown description>
+DLKQTLTRLMAHLFGDELEIRWVDCYFPFTHPSFEMEINFHGEWLEVLGCGVMEQQLVNS
+AGAQDRIGWAFGLGLERLAMILYDIPDIRLFWCEDERFLKQF
+>O95363_PF03147_358 <unknown description>
+SKYPAVINDISFWLPSENYAENDFYDLVRTIGGDLVEKVDLIDKFVHPKTHKTSHCYRIT
+YRHMERTLSQREVRHIHQALQEAAVQLLGVEGR
+>Q96PV0_PF00168_263 <unknown description>
+VLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRSASGDTVFWGEHFEFNNLPAVRA
+LRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTEQWYPV
+>Q96PV0_PF00616_464 <unknown description>
+FLSDMAMSEVDRFMEREHLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEEN
+CEVDPIKCTAS
+>Q96PV0_PF00616_538 <unknown description>
+EHQANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRF
+LCPAIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLAN
+>Q96PV0_PF12004_718 <unknown description>
+LNDISTALRNPNIQRQPSRQSERPRPQPVVLRGPSAEMQGYMMRDLNSSIDLQSFMARGL
+NSSMDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSV
+NKSVSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGS
+SITAAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPGGHGGGGGHGPPSSH
+HHHHHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDF
+TRRQLSLQDNLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGGGGQPPPLQRGKSQQLT
+VSAAQKPRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQH
+SQTPSTLNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKE
+YEEEIHSLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQAEKDS
+QIKSIIGRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQERQLPPLGPTNPRVTLAPPWN
+>P49590_PF13393_62 <unknown description>
+GTRDLSPQHMVVREKILDLVISCFKRHGAKGMDTPAFELKETLTEKYGEDSGLMYDLKDQ
+GGELLSLRYDLTVPFARYLAMNKVKKMKRYHVGKVWRRESPTIVQGRYREFCQCDFDIAG
+QFDPMIPDAECLKIMCEILSGLQLGDFLIKVNDRRIVDGMFAVCGVPESKFRAICSSIDK
+LDKMAWKDVRHEMVVKKGLAPEVADRIGDYVQCHGGVSLVEQMFQDPRLSQNKQALEGLG
+DLKLLFEYLTLFGIADKISFDLSLARGLDYYTGVIYEAVLLQTPTQAGEEPLNVGSVAAG
+GRYDGLVGMFDPKGHKVPCVGLSIGVERI
+>P49590_PF03129_411 <unknown description>
+QVFVATPQKNFLQERLKLIAELWDSGIKAEMLYKNNPKLLTQLHYCESTGIPLVVIIGEQ
+ELKEGVIKIRSVASREEVAIKRENFVAEIQK
+>P41252_PF00133_17 <unknown description>
+KILEFWTEFNCFQECLKQSKHKPKFTFYDGPPFATGLPHYGHILAGTIKDIVTRYAHQSG
+FHVDRRFGWDCHGLPVEYEIDKTLGIRGPEDVAKMGITEYNNQCRAIVMRYSAEWKSTVS
+RLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMPFSTACNTPLSNFESHQ
+NYKDVQDPSVFVTFPLEEDETVSLVAWTTTPWTLPSNLAVCVNPEMQYVKIKDVARGRLL
+ILMEARLSALYKLESDYEILERFPGAYLKGKKYRPLFDYFLKCKENGAFTVLVDNYVKEE
+EGTGVVHQAPYFGAEDYRVCMDFNIIRKDSLPVCPVDASGCFTTEVTDFAGQYVKDADKS
+IIRTLKEQGRLLVATTFTHSYPFCWRSDTPLIYKAVPSWFVRVENMVDQLLRNNDLCYWV
+PELVREKRFGNWLKDARDWTISRNRYWGTPIPLWVSDDFEEVVCIGSVAELEELSGAKIS
+DLHRESVDHLTIPSRCGKGSLHRISEVFDCWFESGSMPYAQVHYPFENKREFEDAFPADF
+IAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLVLASDGQKMSKRKKNYPDPVSIIQ
+KYGADALRLYLINSPVVRAENL
+>P41252_PF08264_693 <unknown description>
+DRWILSFMQSLIGFFETEMAAYRLYTVVPRLVKFVDILTNWYVRMNRRRLKGENGMEDCV
+MALETLFSVLLSLCRLMAPYTPFLTELMYQNLKVLIDPVSVQDKDTLSIHYLMLPRVREE
+LIDKKTESAVSQMQSVIELGRVIRDRKTIPIKYPLK
+>P41252_PF19302_868 <unknown description>
+KYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMVLGKRLKGAFKAVMTSIKQLSSEELEQF
+QKTGTIVVEGHELHDEDIRLMYTFDQATGGTAQFEAHSDAQALVLLDVTPDQSMVDEGMA
+REVINRIQKLRKKCNLVPTDEITVYYKAKSEGTYLNSVIESHTEFIFTT
+>Q9NSE4_PF00133_99 <unknown description>
+WQRERKVKTEFCLHDGPPYANGDPHVGHALNKILKDIANRFHMMNGSKIHFVPGWDCHGL
+PIEIKVLSELGREAQNLSAMEIRKKARSFAKAAIEKQKSAFIRWGIMADWNNCYYTFDGK
+YEAKQLRTFYQMYDKGLVYRSYKPVFWSPSSRTALAEAELEYNPEHVSRSIYVKFPLLKP
+SPKLASLIDGSSPVSILVWTTQPWTIPANEAVCYMPESKYAVVKCSKSGDLYVLAADKVA
+SVASTLETTFETISTLSGVDLENGTCSHPLIPDKASPLLPANHVTMAKGTGLVHTAPAHG
+MEDYGVASQHNLPMDCLVDEDGVFTDVAGPELQNKAVLEEGTDVVIKMLQTAKNLLKEEK
+LVHSYPYDWRTKKPVVIRASKQWFINITDIKTAAKELLKKVKFIPGSALNGMVEMMDRRP
+YWCISRQRVWGVPIPVFHHKTKDEYLINSQTTEHIVKLVEQHGSDIWWTLPPEQLLPKEV
+LSEVGGPDALEYVPGQDILDIWFDSGTSWSYVLPGPDQRADLYLEGKDQLGGWFQSSLLT
+SVAARKRAPYKTVIVHGFTLGEKGEKMSKSLGNVIHPDVVVNGGQDQSKEPPYGADVLRW
+WVADSNVFTEVA
+>Q9NSE4_PF08264_756 <unknown description>
+DQYMLHLLQDLANKITELYKQYDFGKVVRLLRTFYTRELSNFYFSIIKDRLYCEKENDPK
+RRSCQTALVEILDVIVRSFAPILPHLAEEVFQHIPYIKEPKSVFRTGWISTSSIWKKPGL
+EEAV
+>Q9NSE4_PF06827_985 <unknown description>
+EKCPRCWKYTAESSDTLCPRCAEV
+>P57105_PF00595_14 <unknown description>
+INLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKENGAAALDGRLQEGDKILSVNGQDL
+KNLLHQDAVDLFRNAGYAVSLRVQ
+>Q15046_PF01336_127 <unknown description>
+KVAGRIHAKRASGGKLIFYDLRGEGVKLQVMANSRNYKSEEEFIHINNKLRRGDIIGVQG
+NPGKTKKGELSIIPYEITLL
+>Q15046_PF00152_222 <unknown description>
+DKETRYRQRYLDLILNDFVRQKFIIRSKIITYIRSFLDELGFLEIETPMMNIIPGGAVAK
+PFITYHNELDMNLYMRIAPELYHKMLVVGGIDRVYEIGRQFRNEGIDLTHNPEFTTCEFY
+MAYADYHDLMEITEKMVSGMVKHITGSYKVTYHPDGPEGQAYDVDFTPPFRRINMVEELE
+KALGMKLPETNLFETEETRKILDDICVAKAVECPPPRTTARLLDKLVGEFLEVTCINPTF
+ICDHPQIMSPLAKWHRSKEGLTERFELFVMKKEICNAYTELNDPMRQRQLFEEQAKAKAA
+GDDEAMFIDENFCTALEYGLPPTAGWGMGIDRVAMFLTDSNNIKEVLLFPAM
+>Q9P2J5_PF00133_20 <unknown description>
+IQQKWDTERVFEVNASNLEKQTSKGKYFVTFPYPYMNGRLHLGHTFSLSKCEFAVGYQRL
+KGKCCLFPFGLHCTGMPIKACADK
+>Q9P2J5_PF00133_187 <unknown description>
+LAIQDLKRMGLKVDWRRSFITTDVNPYYDSFVRWQFLTLRERNKIKFGKRYTIYSPKDGQ
+PCMDHDRQTGEGVGPQEYTLLKLKVLEPYPSKLSGLKGKNIFLVAATLRPETMFGQTNCW
+VRPDMKYIGFETVNGDIFICTQKAARNMSYQGFTKDNGVVPVVKELMGEEILGASLSAPL
+TSYKVIYVLPMLTIKEDKGTGVVTSVPSDSPDDIAALRDLKKKQALRAKYGIRDDMVLPF
+EPVPVIEIPGFGNLSAVTICDELKIQSQNDREKLAEAKEKIYLKGFYEGIMLVDGFKGQK
+VQDVKKTIQKKMIDAGDALIYMEPEKQVMSRSSDECVVALCDQWYLDYGEENWKKQTSQC
+LKNLETFCEETRRNFEATLGWLQEHACSRTYGLGTHLPWDEQWLIESLSDSTIYMAFYTV
+AHLLQGGNLHGQAESPLGIRPQQMTKEVWDYVFFKEAPFPKTQIAKEKLDQLKQEFEFWY
+PVDLRVSGKDLVPNHLSYYLYNHVAMWPEQSDKWPTAVRANGHLLLNSEKMSKSTGNFLT
+LTQAIDKFSADGMRLALADAGDTVEDANF
+>Q9P2J5_PF08264_794 <unknown description>
+DRVFASELNAGIIKTDQNYEKMMFKEALKTGFFEFQAAKDKYRELAVEGMHRELVFRFIE
+VQTLLLAPFCPHLCEHIWTLLGKPDSIMNASWPVAGPVNEVLIHSSQYLMEVTH
+>Q15031_PF00133_61 <unknown description>
+KWWHQRIKEQASKISEADKSKPKFYVLSMFPYPSGKLHMGHVRVYTISDTIARFQKMRGM
+QVINPMGWDAFGLPAENAAVERNLHPQSWTQSNIKHMRKQLDRLGLCFSWDREITTCLPD
+YYKWTQYLFIKLYEAGLAYQKEALVNWDPVDQTVLANEQVDEHGCSWRSGAKVEQKYLRQ
+WFIKTTAYAKAMQDALADLPEWYGIKGMQAHWIGDCVGCHLDFTLKVHGQATGEKLTAYT
+ATPEAIYGTSHVAISPSH
+>Q15031_PF00133_444 <unknown description>
+LKDWLISRQRYWGTPIPIVHCPVCGPTPVPLEDLPVTLPNIASFTGKGGPPLAMASEWVN
+CSCPRCKGAAKRETDTMDTFVDSAWYYFRYTDPHNPHSPFNTAVADYWMPVDLYIGGKEH
+AVMHLFYARFFSHFCHDQKMVKHREPFHKLLAQGLIK
+>Q15031_PF00133_638 <unknown description>
+EKMSKSKHNGVDPEEVVEQYGIDTIRLYILFAAPPEKDILW
+>Q15031_PF08264_726 <unknown description>
+RKLWEYKNSVISQVTTHFTEDFSLNSAISQLMGLSNALSQASQSVILHSPEFEDALCALM
+VMAAPLAPHVTSEIWAGLALVPRKLCAHYTWDASVLLQAWPAVDPEFLQQPEVVQMAVLI
+NNKACGK
+>P56192_PF18485_1 <unknown description>
+MRLFVSDGVPGCLPVLAAAGRARGRAEVLISTVGPEDCVVPFLTRPKVPVLQLDSGNYLF
+STSAICRYFFLLSG
+>P56192_PF00043_112 <unknown description>
+DVLGSVRRALTHIDHSLSRQNCPFLAGETESLADIVLWGALYPLLQDPAYLPEELSALHS
+WFQTLSTQ
+>P56192_PF09334_266 <unknown description>
+VLITSALPYVNNVPHLGNIIGCVLSADVFARYSRLRQWNTLYLCGTDEYGTATETKALEE
+GLTPQEICDKYHIIHADIYRWFNISFDIFGRTTTPQQTKITQDIFQQLLKRGFVLQDTVE
+QLRCEHCARFLADRFVEGVCPFCGYEEARGDQCDKCGKLINAVELKKPQCKVCRSCPVVQ
+SSQHLFLDLPKLEKRLEEWLGRTLPGSDWTPNAQFITRSWLRDGLKPRCITRDLKWGTPV
+PLEGFEDKVFYVWFDATIGYLSITANYTDQWERWWKNPEQVDLYQFMAKDNVPFHSLVFP
+CSALGAEDNYTLVSHLIATEYLNYEDGKFSKSRGVGVFGDMAQDTGIPADIWRFYLLYIR
+PEGQDSAFSWTDLLLKNNSELLNNLGNFINRA
+>P56192_PF19303_675 <unknown description>
+TPDDQRLLAHVTLELQHYHQLLEKVRIRDALRSILTISRHGNQYIQVNEPWKRIKGSEAD
+RQRAGTVTGLAVNIAALLSVMLQPYMPTVSATIQAQLQLPPPACSILLTNFLCTLPAGHQ
+IGTVSPLFQKLENDQIESLRQRFGGGQ
+>P56192_PF00458_845 <unknown description>
+LMDEVTKQGNIVRELKAQKADKNEVAAEVAKLLDLKKQLAVAEGKPPEAPK
+>Q96GW9_PF09334_46 <unknown description>
+YFTTPIFYVNAAPHIGHLYSALLADALCRHRRLRGPSTAATRFSTGTDEHGLKIQQAAAT
+AGLAPTELCDRVSEQFQQLFQEAGISCTDFIRTTEARHRVAVQHFWGVLKSRGLLYKGVY
+EGWYCASDECFLPEAKVTQQPGPSGDSFPVSLESGHPVSWTKEENYIFRLSQFRKPLQRW
+LRGNPQAITPEPFHHVVLQWLDEELPDLSVSRRSSHLHWGIPVPGDDSQTIYVWLDALVN
+YLTVIGYPNAEFKSWWPATSHIIGKDILKFHAIYWPAFLLGAGMSPPQRICVHSHWTVCG
+QKMSKSLGNVVDPRTCLNRYTVDGFRYFLLRQGVPNWDCDYYDEKVVKLLNSELADALGG
+LLNRC
+>Q96GW9_PF19303_444 <unknown description>
+AEDYALVSAVATLPKQVADHYDNFRIYKALEAVSSCVRQTNGFVQRHAPWKLNWESPVDA
+PWLGTVLHVALECLRVFGTLLQPVTPSLADKLLSRLGVS
+>Q92797_PF11935_123 <unknown description>
+VNVVKKAILTMTQLYKVALQWMVKSRVISELQEACWDMVSAMAGDIILLLDSDNDGIRTH
+AIKFVEGLIVTLSPRMADSEIPRRQEHDISLDRIPRDHPYIQYNVLWEEGKAALEQLLKF
+MVHPAISSINLTTALGSLANIARQRPMFMSEVIQAYETLHANLPPTLAKSQVSSVRKNLK
+LHLLSVLKHPASLEFQAQITTLLVDLGTPQAEIARNMPSSKDTR
+>Q92797_PF12295_887 <unknown description>
+DVRFLIPVLNGLEKKEVIQALPKLIKLNPIVVKEVFNRLLGTQHGEGNSALSPLNPGELL
+IALHNIDSVKCDMKSIIKATNLCFAERNVYTSEVLAVVMQQLMEQSPLPMLLMRTVIQSL
+TMYPRLGGFVMNILSRLIMKQVWKYPKVWEGFIKCCQRTKPQSFQVILQLPPQQLGAVFD
+KC
+>A6NDD5_PF04505_157 <unknown description>
+PPRDHLGLTLFSMLCCFWPLGIAAFYFSQGTSKAISKGDFRLASTTSRRALFLATLAIAV
+GAGLYVA
+>P17600_PF10581_1 <unknown description>
+MNYLRRRLSDSNFMANLPNGYMTDLQRPQPP
+>P17600_PF02078_114 <unknown description>
+RVLLVIDEPHTDWAKYFKGKKIHGEIDIKVEQAEFSDLNLVAHANGGFSVDMEVLRNGVK
+VVRSLKPDFVLIRQHAFSMARNGDYRSLVIGLQYAGIPS
+>P17600_PF02750_214 <unknown description>
+NSLHSVYNFCDKPWVFAQMVRLHKKLGTEEFPLIDQTFYPNHKEMLSSTTYPVVVKMGHA
+HSGMGKVKVDNQHDFQDIASVVALTKTYATAEPFIDAKYDVRVQKIGQNYKAYMRTSVSG
+NWKTNTGSAMLEQIAMSDRYKLWVDTCSEIFGGLDICAVEALHGKDGRDHIIEVVGSSMP
+LIGDHQDEDKQLIVELVVNKMAQ
+>Q92777_PF10581_2 <unknown description>
+MNFLRRRLSDSSFIANLPNGYMTDLQRPEPQQ
+>Q92777_PF02078_111 <unknown description>
+RKAKVLLVVDEPHADWAKCFRGKKVLGDYDIKVEQAEFSELNLVAHADGTYAVDMQVLRN
+GTKVVRSFRPDFVLIRQHAFGMAENEDFRHLIIGMQYAGLPS
+>Q92777_PF02750_214 <unknown description>
+NSLESIYNFCDKPWVFAQLVAIYKTLGGEKFPLIEQTYYPNHKEMLTLPTFPVVVKIGHA
+HSGMGKVKVENHYDFQDIASVVALTQTYATAEPFIDSKYDIRVQKIGNNYKAYMRTSISG
+NWKTNTGSAMLEQIAMSDRYKLWVDTCSEMFGGLDICAVKAVHGKDGKDYIFEVMDCSMP
+LIGEHQVEDRQLITELVISKMNQ
+>O14994_PF10581_1 <unknown description>
+MNFLRRRLSDSSFMANLPNGYMTDLQRPD
+>O14994_PF02078_90 <unknown description>
+RPRILLVIDDAHTDWSKYFHGKKVNGEIEIRVEQAEFSELNLAAYVTGGCMVDMQVVRNG
+TKVVSRSFKPDFILVRQHAYSMALGEDYRSLVIGLQYGGLPA
+>O14994_PF02750_193 <unknown description>
+NSLYSVYNFCSKPWVFSQLIKIFHSLGPEKFPLVEQTFFPNHKPMVTAPHFPVVVKLGHA
+HAGMGKIKVENQLDFQDITSVVAMAKTYATTEAFIDSKYDIRIQKIGSNYKAYMRTSISG
+NWKANTGSAMLEQVAMTERYRLWVDSCSEMFGGLDICAVKAVHSKDGRDYIIEVMDSSMP
+LIGEHVEEDRQLMADLVVSKMSQ
+>Q9H7C4_PF00038_175 <unknown description>
+LEGRFQQCVQAVAQLEEERDQLIHELVLLREPALQEVQQVHQDILAAYKLHAQAELERDG
+LREEIRLVKQKLFKVTKECVAYQYQLECRQQDVAQFADFREVLTTRATQLSEELAQLRDA
+YQKQKEQLRQQLEAPPSQRDGHFLQESRRLSAQFENLMAESRQDLEEEYEPQFLRLLERK
+EAGTKALQRTQAEIQEMKEALRPLQAEARQLRLQNRNLEDQIALVRQKRDEEVQQYREQL
+EEMEERQRQLRNGVQLQQQKNKEMEQLRLSLAEELSTYKAML
+>O43776_PF01336_128 <unknown description>
+VKVFGWVHRLRRQGKNLMFLVLRDGTGYLQCVLADELCQCYNGVLLSTESSVAVYGMLNL
+TPKGKQAPGGHELSCDFWELI
+>O43776_PF00152_226 <unknown description>
+VDVQLNNRHMMIRGENMSKILKARSMVTRCFRDHFFDRGYYEVTPPTLVQTQVEGGATLF
+KLDYFGEEAFLTQSSQLYLETCLPALGDVFCIAQSYRAEQSRTRRHLAEYTHVEAECPFL
+TFDDLLNRLEDLVCDVVDRILKSPAGSIVHELNPNFQPPKRPFKRMNYSDAIVWLKEHDV
+KKEDGTFYEFGEDIPEAPERLMTDTINEPILLCRFPVEIKSFYMQRCPEDSRLTESVDVL
+MPNVGEIVGGSMRIFDSEEILAGYKREGIDPTPYYWYTDQRKYGTCPHGGYGLGLERFLT
+WILNRYHIRDVCLYPR
+>Q8NF91_PF00307_28 <unknown description>
+VQKRTFTKWINSHLAKRKPPMVVDDLFEDMKDGVKLLALLEVLSGQKLPCEQGRRMKRIH
+AVANIGTALKFLEGRKIKLVNINSTDIADGRPSIVLGLMWTIILYFQ
+>Q8NF91_PF00307_180 <unknown description>
+AKKALLKWVQYTAGKQTGIEVKDFGKSWRSGVAFHSVIHAIRPELVDLETVKGRSNRENL
+EDAFTIAETELGIPRLLDPEDVDVDKPDEKSIMTYVAQFLKHYP
+>Q8NF91_PF00435_3175 <unknown description>
+MKDFEVSAEPIQDWLSKTEKMVHESSNRLYDLPAKRREQQKLQSVLEEIHCYEPQLNRLK
+EKAQQLWEGQAASKSFRHRVSQLSSQYLALSNLTKEKVSRLD
+>Q8NF91_PF00435_3817 <unknown description>
+LAKEFSDKCKALTQWIAEYQEILHVPEEPKMELYEKKAQLSKYKSLQQTVLSHEPSVKSV
+REKGEALLELVQDVTLKDKIDQLQSDYQDLCSIGKEH
+>Q8NF91_PF00435_5739 <unknown description>
+QYEQYEQEMKHLQQLIEGAHREIEDKPVATSNIQELQAQISRHEELAQKIKGYQEQIASL
+NSKCKMLTMKAKHATMLLTVTEVEGLAEGTEDL
+>Q8NF91_PF00435_7024 <unknown description>
+WSEYENNVQCLKTWFETQEKRLKQQHRIGDQASVQNALKDCQDLEDLIKAKEKEVEKIEQ
+NGLALIQNKKEDVSSIVMSTLRELGQTWANLDHMV
+>Q8NF91_PF00435_7140 <unknown description>
+DKINSYLMEARYSLSRFRLLTGSLEAVQVQVDNLQNLQDDLEKQERSLQKFGSITNQLLK
+ECHPPVTETLTNTLKEVNMRWNNLLEEIAEQ
+>Q8NF91_PF00435_7353 <unknown description>
+DYETFAKSLEALEAWIVEAEEILQGQDPSHSSDLSTIQERMEELKGQMLKFSSMAPDLDR
+LNELGYRLPLNDKEIKRMQNLNRHWSLISSQTTERFSKLQ
+>Q8NF91_PF00435_7783 <unknown description>
+AVFSEKNKELCEWLTQMESKVSQNGDILIEEMIEKLKKDYQEEIAIAQENKIQLQQMGER
+LAKASHESKASEIEYKLGKVNDRWQHLLDLIAARVKKLKE
+>Q8NF91_PF00435_7887 <unknown description>
+VQQLDKNMSSLRTWLAHIESELAKPIVYDSCNSEEIQRKLNEQQELQRDIEKHSTGVASV
+LNLCEVLLHDCDACATDAECDSIQQATRNLDRRWRNICAMSMERRLKIEE
+>Q8NF91_PF00435_8110 <unknown description>
+REEFETARDSILVWLTEMDLQLTNIEHFSECDVQAKIKQLKAFQQEISLNHNKIEQIIAQ
+GEQLIEKSEPLDAAIIEEELDELRRYCQEVFGRVERYHKKL
+>Q8NF91_PF00435_8440 <unknown description>
+QKWQQFNSDLNSIWAWLGDTEEELEQLQRLELSTDIQTIELQIKKLKELQKAVDHRKAII
+LSINLCSPEFTQADSKESRDLQDRLSQMNGRWDRVCSLLEEWRGLLQD
+>Q8NF91_PF10541_8740 <unknown description>
+FLFRVLRAALPLQLLLLLLIGLACLVPMSEEDYSCALSNNFARSFHPMLRYTNGPPP
+>Q8WXH0_PF00307_32 <unknown description>
+TQKKAFTCWINSQLARHTSPSVISDLFTDIKKGHVLLDLLEVLSGQQLPRDKGSNTFQCR
+INIEHALTFLRNRSIKLINIHVTDIIDGNPSIILGLIWTIILHFH
+>Q8WXH0_PF00307_182 <unknown description>
+SARKALLLWAQEQCATYESVNVTDFKSSWRNGMAFLAIIHALRPDLIDMKSVKHRSNKDN
+LREAFRIAEQELKIPRLLEPEDVDVVDPDEKSIMTYVAQFLQYS
+>Q8WXH0_PF00435_6024 <unknown description>
+IQQLDKNMSNLRTWLARIESELSKPVVYDVCDDQEIQKRLAEQQDLQRDIEQHSAGVESV
+FNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEE
+>Q8WXH0_PF00435_6137 <unknown description>
+LWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTSAKEELKRFEAFQRQIHERLTQLELI
+NKQYRRLARENRTDTASRLKQMVHEGNQRWDNLQRRVTA
+>Q8WXH0_PF00435_6574 <unknown description>
+QNLQQLNSDISAITTWLKKTEAELEMLKMAKPPSDIQEIELRVKRLQEILKAFDTYKALV
+VSVNVSSKEFLQTESPESTELQSRLRQLSLLWEAAQGAVDSWRGGL
+>Q8WXH0_PF10541_6850 <unknown description>
+FLSRVVRAALPLQLLLLLLLLLACLLPSSEEDYSCTQANNFARSFYPMLRYTNGPPPT
+>Q6ZMZ3_PF00435_652 <unknown description>
+TFSHQLLELRQWIVVTTQKLEAHRGEAGPGDAESQEAEFERLVAEFPEKEAQLSLVEAQG
+WLVMEKSSPEGAAVVQEELRELAESWRALRLL
+>Q6ZMZ3_PF10541_920 <unknown description>
+FRRACCVALPLQLLLLLFLLLLFLLPIREEDRSCTLANNFARSFTLMLRYNGPPPT
+>Q8N205_PF10541_352 <unknown description>
+QPLTFLLILFLLFLLLVGAMFLLPASGGPCCSHARIPRTPYLVLSYVNGLPPV
+>O15061_PF00038_11 <unknown description>
+EKAELQELNARLYDYVCRVRELERENLLLEEELRGRRGREGLWAEGQARCAEEARSLRQQ
+LDELSWATALAEGERDALRRELRELQRLDAEERAARGRLDAELGAQQRELQEALGARAAL
+EALLGRLQAERRGLDAAHERDVRELRARAASLTMHFRARATGPAAPPPRLREVHDSYALL
+VAESWRETVQLYEDEVRELEEALRRGQESRLQAEEETRLCAQEAEALRREALGLEQLRAR
+LEDALLRMREEYGIQAEERQRVIDCLEDEKATLTLAMADWLRDYQDLLQVKTGLSLEVAT
+YRALLEGES
+>Q9H7V2_PF04505_177 <unknown description>
+PPRDHLGLSVFSMLCCFWPLGIAAFYLSHETNKAVAKGDLHQASTSSRRALFLAVLSITI
+GTGVYV
+>O43426_PF02383_60 <unknown description>
+YGLLGVLRLNLGDTMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRIDSSDEDRISEV
+RKVLNSGNFYFAWSASGISLDLSLNAHRSMQEQTTDNRFFWNQSLHLHLKHYGVNCDDWL
+LRLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVVYL
+DDSVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKNLYGKQIIV
+NLLGSKEGEHMLSKAFQSHLKASEHAADIQMVNFDYHQMVK
+>O43426_PF03372_538 <unknown description>
+GTWNVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPTDIFAIGFEEMVELNA
+GNIVSASTTNQKLWAVELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDT
+VKTGMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNEDFIEIARKLSFPMGR
+MLFSHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKNAGQVFRGFLEGK
+VTFAPTYKYDLFSDDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKI
+LYTWTPGTLLHYGRAELKTSDH
+>O43426_PF08952_867 <unknown description>
+DIDIFEVEAEERQNIYKEVIAVQGPPDGTVLVSIKSSLPENNFFDDALIDELLQQFASFG
+EVILIRFVEDKMWVTFLEGSSALNVLSLNGKELLNRTITIALKSPDWIKNLEEEMSLEKI
+SIALPSSTSSTLLGEDAEVA
+>O15056_PF02383_61 <unknown description>
+YGCLGELRLKSGGTSLSFLVLVTGCTSVGRIPDAEIYKITATDFYPLQEEAKEEERLIAL
+KKILSSGVFYFSWPNDGSRFDLTVRTQKQGDDSSEWGNSFFWNQLLHVPLRQHQVSCCDW
+LLKIICGVVTIRTVYASHKQAKACLVSRVSCERTGTRFHTRGVNDDGHVSNFVETEQMIY
+MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFDRHMVLLKEQYGQQVV
+VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQF
+>O15056_PF03372_535 <unknown description>
+GTWNVNGGKQFRSNVLRTAELTDWLLDSPQLSGATDSQDDSSPADIFAVGFEEMVELSAG
+NIVNASTTNKKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTV
+KTGMGGKAGNKGAVGIRFQFHSTSFCFICSHLTAGQSQVKERNEDYKEITQKLCFPMGRN
+VFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQDWKKLLEFDQLQLQKSSGKIFKDFHEGAI
+NFGPTYKYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPF
+>O15056_PF08952_863 <unknown description>
+EVEVQEVDVGARERVFQEVSSFQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGSY
+GTIVLVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLKGLREEIIRKR
+DSMAPVSPTANSCLLEENFDFTSLDY
+>Q96I59_PF01336_45 <unknown description>
+KIQGWIRSVRSQKEVLFLHVNDGSSLESLQVVADSGLDSRELNFGSSVEVQGQLIKSPSK
+RQNVELKAEKIKVI
+>Q96I59_PF00152_137 <unknown description>
+EYLRQYPHFRCRTNVLGSILRIRSEATAAIHSFFKDSGFVHIHTPIITSNDSEGAGELFQ
+LEPSGKLKVPEENFFNVPAFLTVSGQLHLEVMSGAFTQVFTFGPTFRAENSQSRRHLAEF
+YMIEAEISFVDSLQDLMQVIEELFKATTMMVLSKCPEDVELCHKFIAPGQKDRLEHMLKN
+NFLIISYTEAVEILKQASQNFTFTPEWGADLRTEHEKYLVKHCGNIPVFVINYPLTLKPF
+YMRDNEDGPQHTVAAVDLLVPGVGELFGGGLREERYHFLEERLARSGLTEVYQWYLDLRR
+FGSVPHGGFGMGFERYLQCILGVDNIKDVIPFPR
+>Q9UMS6_PF00595_11 <unknown description>
+MTGGAPWGFRLQGGKEQKQPLQVAKIRNQSKASGSGLCEGDEVVSINGNPCADLTYPEVI
+KLMESITDSLQMLIK
+>Q8TBG9_PF01284_16 <unknown description>
+LKEPLAFLRALELLFAIFAFATCGGYSGGLRLSVDCVNKTESNLSIDIAFAYPFRLHQVT
+FEVPTCEGKERQKLALIGDSSSSAEFFVTVAVFAFLYSLAATVVYIFFQNKYRENNRGPL
+IDFIVTVVFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQPSNKCMAIHSPVMSS
+LNTSVVFGFLNFILWAGNIWF
+>Q9H987_PF00595_10 <unknown description>
+TLSGGAPWGFRLHGGAEQRKPLQVSKIRRRSQAGRAGLRERDQLLAINGVSCTNLSHASA
+MSLIDASGNQLVLTVQ
+>P08247_PF01284_22 <unknown description>
+VKEPLGFVKVLQWVFAIFAFATCGSYSGELQLSVDCANKTESDLSIEVEFEYPFRLHQVY
+FDAPTCRGGTTKVFLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGPML
+DFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKEMPVCRQTGNTCKELRDPVTSGL
+NTSVVFGFLNLVLWVGNLWF
+>Q16563_PF01284_11 <unknown description>
+LKEPLGFIKVLEWIASIFAFATCGGFKGQTEIQVNCPPAVTENKTVTATFGYPFRLNEAS
+FQPPPGVNICDVNWKDYVLIGDYSSSAQFYVTFAVFVFLYCIAALLLYVGYTSLYLDSRK
+LPMIDFVVTLVATFLWLVSTSAWAKALTDIKIATGHNIIDELPPCKKKAVLCYFGSVTSM
+GSLNVSVIFGFLNMILWGGNAWF
+>Q5VXT5_PF01284_31 <unknown description>
+LEEPLGFIKVLQWLFAIFAFGSCGSYSGETGAMVRCNNEAKDVSSIIVAFGYPFRLHRIQ
+YEMPLCDEESSSKTMHLMGDFSAPAEFFVTLGIFSFFYTMAALVIYLRFHNLYTENKRFP
+LVDFCVTVSFTFFWLVAAAAWGKGLTDVKGATRPSSLTAAMSVCHGEEAVCSAGATPSMG
+LANISVLFGFINFFLWAGNCWF
+>Q7L3T8_PF00587_143 <unknown description>
+RLRDRHGKEYCLGPTHEEAITALIASQKKLSYKQLPFLLYQVTRKFRDEPRPRFGLLRGR
+EFYMKDMYTFDSSPEAAQQTYSLVCDAYCSLFNKLGLPFVKVQADVGTIGGTVSHEFQLP
+VDIGEDRLAICPRCSFSANMETLDLSQMNCPACQGPLTKTKGIEVGHTFYLGTKYSSIFN
+AQFTNVCGKPTLAEMGCYGLGVTRILAAAIEV
+>Q7L3T8_PF03129_371 <unknown description>
+QACLIPPKKGSKEQAASELIGQLYDHITEAVPQLHGEVLLDDRTHLTIGNRLKDANKFGY
+PFVIIAGKRALED
+>P47897_PF04558_7 <unknown description>
+LSLFTSLGLSEQKARETLKNSALSAQLREAATQAQQTLGSTIDKATGILLYGLASRLRDT
+RRLSFLVSYIASKKIHTEPQLSAALEYVRSHPLDPIDTVDFERECGVGVIVTPEQIEEAV
+EAAINRHRPQLLVERYHFNMGLLMGEARAVLKWADG
+>P47897_PF04557_165 <unknown description>
+IKNEVDMQVLHLLGPKLEADLEKKFKVAKARLEETDRRTAKDVVENGETADQTLSLMEQL
+RGEALKFHKPGENYKTPGYVVTPHTMNLLK
+>P47897_PF00749_263 <unknown description>
+QVRTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFLRFDDTNPEKEEAKFFTAICDMV
+AWLGYTPYKVTYASDYFDQLYAWAVELIRRGLAYVCHQRGEELKGHNTLPSPWRDRPMEE
+SLLLFEAMRKGKFSEGEATLRMKLVMEDGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTH
+CLCDSIEHITHSLCTKEFQARRSSYFWLCNALDVYCPVQWEYGRLNLHYAVVSKRKILQL
+VATGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVGVTVAQTTMEPHLLEACVRDVLN
+>P47897_PF03950_565 <unknown description>
+APRAMAVLESLRVIITNFPAAKSLDIQVPNFPADETKGFHQVPFAPIVFIERTDFKEEPE
+PGFKRLAWGQPVGLRHTGYVIELQHVVKGPSGCVESLEVTCRRADAGEKPKAFIHWVSQP
+LMCEVRLYERLFQHKNPEDPTEVPGGFLSDLNLASLHVVDAALVDCSVALAKPFDKFQFE
+RLGYFSVD
+>P54136_PF03485_79 <unknown description>
+RLQEVFGHAIKAAYPDLENPPLLVTPSQQAKFGDYQCNSAMGISQMLKTKEQKVNPREIA
+ENITKHLPDNECIEKVEIAGPGFINVHL
+>P54136_PF00750_174 <unknown description>
+QLTSLLVNGVQLPALGENKKVIVDFSSPNIAKEMHVGHLRSTIIGESISRLFEFAGYDVL
+RLNHVGDWGTQFGMLIAHLQDKFPDYLTVSPPIGDLQVFYKESKKRFDTEEEFKKRAYQC
+VVLLQGKNPDITKAWKLICDVSRQELNKIYDALDVSLIERGESFYQDRMNDIVKEFEDRG
+FVQVDDGRKIVFVPGCSIPLTIVKSDGGYTYDTSDLAAIKQRLFEEKADMIIYVVDNGQS
+VHFQTIFAAAQMIGWYDPKVTRVFHAGFGVVLGEDKKKFKTRSGETVRLMDLLGEGLKRS
+MDKLKEKERDKVLTAEELNAAQTSVAYGCIKYADLSHNRLNDYIFSF
+>P54136_PF05746_534 <unknown description>
+LLYAFTRIRSIARLANIDEEMLQKAARETKILLDHEKEWKLGRCILRFPEILQKILDDLF
+LHTLCDYIYELATAFTEFYDSCYCVEKDRQTGKILKVNMWRMLLCEAVAAVMAKGFDILG
+IKPVQRM
+>Q5T160_PF00750_123 <unknown description>
+PQKKIVVEFSSPNVAKKFHVGHLRSTIIGNFIANLKEALGHQVIRINYLGDWGMQFGLLG
+TGFQLFGYEEKLQSNPLQHLFEVYVQVNKEAADDKSVAKAAQEFFQRLELGDVQALSLWQ
+KFRDLSIEEYIRVYKRLGVYFDEYSGESFYREKSQEVLKLLESKGLLLKTIKGTAVVDLS
+GNGDPSSICTVMRSDGTSLYATRDLAAAIDRMDKYNFDTMIYVTDKGQKKHFQQVFQMLK
+IMGYDWAERCQHVPFGVVQGMKTRRGDVTFLEDVLNEIQLRMLQNMASIKTTKELKNPQE
+TAERVGLAALIIQDFKGLLLSDYKFSW
+>Q5T160_PF05746_463 <unknown description>
+LQYTHARLHSLEETFGCGYLNDFNTACLQEPQSVSILQHLLRFDEVLYKSSQDFQPRHIV
+SYLLTLSHLAAVAHKTLQIKDSPPEVAGARLHLFKAVRSVLANGMKLLGITPVCRM
+>Q8N2H4_PF09801_5 <unknown description>
+FRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDGLVRSSPSLDQMFDAEILGFSTPPGR
+LSMMSFILNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWFYSSRFPSALTWWLVQA
+VCIALMAVIGEYLCMRTELKEIPL
+>P49591_PF02403_2 <unknown description>
+VLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLCS
+KTIGEKMKKKEPVGDDESVPENVLSFDDLTADALANLKVSQ
+>P49591_PF00587_259 <unknown description>
+DDNSYDEKYLIATSEQPIAALHRDEWLRPEDLPIKYAGLSTCFRQEVGSHGRDTRGIFRV
+HQFEKIEQFVYSSPHDNKSWEMFEEMITTAEEFYQSLGIPYHIVNIVSGSLNHAASKKLD
+LEAWFPGSGAFRELVSCSNCTDYQARRLRIRYGQTKKMMDKVEFVHMLNATMCATTRTIC
+AILENY
+>Q9NP81_PF00587_292 <unknown description>
+KDLNLAGTAEVGLAGYFMDHTVAFRDLPVRMVCSSTCYRAETNTGQEPRGLYRVHHFTKV
+EMFGVTGPGLEQSSQLLEEFLSLQMEILTELGLHFRVLDMPTQELGLPAYRKFDIEAWMP
+GRGRFGEVTSASNCTDFQSRRLHIMFQTEAGELQFAHTVNATACAVPRLLIALLESN
+>Q6XYQ8_PF00168_248 <unknown description>
+LVVKIIKALDLPAKDFTGTSDPYVKMYLLPDRKKKFQTRVHRKTLNPLFDETFQFPVAYD
+QLSNRKLHFSVYDFDRFSRHDMIGEVILD
+>Q6XYQ8_PF00168_378 <unknown description>
+GRMTLTVIKCRNLKAMDITGSSDPYVKVSLMCEGRRLKKRKTTTKKNTLNPVYNEAIIFD
+IPPENVDQVSLSIAVMDYDRVGHNEVIGVCRTGLDAEGLGRDHWN
+>Q9BT88_PF00168_174 <unknown description>
+LVVTIQEAHGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIP
+YSQLQDLVLHFLVLSFDRFSRDDVIGEVMVPLAGVDPST
+>Q9BT88_PF00168_307 <unknown description>
+RMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPIFNESFIYD
+IPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTASGAEHWR
+>Q8IV01_PF00168_168 <unknown description>
+TLNVAVMQGKDLLEREEASFESCFMRVSLLPDEQIVGISRIQRNAYSIFFDEKFSIPLDP
+TALEEKSLRFSVFGIDEDERNVSTGVVELKLSVLDLPLQ
+>Q8IV01_PF00168_299 <unknown description>
+RLTVVVVKAKNLIWTNDKTTADPFVKVYLLQDGRKMSKKKTAVKRDDPNPVFNEAMIFSV
+PAIVLQDLSLRVTVAESSSDGRGDNVGHVIIGPSASGMGTTHWN
+>Q7L8C5_PF00168_183 <unknown description>
+VTSNHDGGCDCYVQGSVANRTGSVEAQTALKKRQLHTTWEEGLVLPLAEEELPTATLTLT
+LRTCDRFSRHSVAGELRLGLDGTSV
+>Q7L8C5_PF00168_303 <unknown description>
+RLLVVLIKAKNLHSNQSKELLGKDVSVKVTLKHQARKLKKKQTKRAKHKINPVWNEMIMF
+ELPDDLLQASSVELEVLGQDDSGQSCALGHCSLGLHTSGSERSHWEE
+>Q8NB59_PF00168_276 <unknown description>
+KLLVTVTAVTDIPTYNRTGGNSWQVHLVLLPIKKQRAKTSIQRGPCPVFTETFKFNHVES
+EMIGNYAVRFRLYGVHRMKKEKIVGEKIFYLTKLNLQ
+>Q8NB59_PF00168_432 <unknown description>
+LSAEVIKGSHFKNLAANRPPNGLFCCLKHLIGGQVYIIRDTYVKLTLLNSMGQEMSKCKT
+SIRRGQPNPVYKETFVFQVALFQLSDVTLILSVYNKRSMKRKEMIGWISLGLNSSGEEEL
+NHWTE
+>Q9BQS2_PF00168_163 <unknown description>
+RLLVGLIKAQHLQAPSETCSPLVKLYLLPDERRFLQSKTKRKTSNPQFDEHFIFQVSSKT
+ITQRVLKFSVYHVDRQRKHQLLGQVLFPLKN
+>Q9BQS2_PF00168_294 <unknown description>
+RLTVVVLRAKGLRLQEDRGIVSVFVKVSLMNHNKFVKCKKTSAVLGSINPVYNETFSFKA
+DATELDTASLSLTVVQNMEGDKSQQLGRVVVGPYMYTRGRELEHW
+>Q17RD7_PF00168_366 <unknown description>
+KLTVTIVRAQGLPDKDRSGVNSWQVHVVLLPGKKHRGRTNIQRGPNPVFREKVTFAKLEP
+RDVAACAVRFRLYAARKMTRERMMGEKLFYLSHLH
+>Q17RD7_PF00168_520 <unknown description>
+GRLSVEMIKGSHFRNLAVNRAPDTYGKLFLLNSVGQEMSRCKTSIRRGQPNPVYKETFVF
+QVALFQLSDVTLMISVYNRRTMKRKEMIGWIALGQNSSGEEEQDHW
+>Q9BSW7_PF00168_200 <unknown description>
+HLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQKNSKQTGVKRKTQKPVFEER
+YTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVSVPLCEVDLVKGGHWW
+>Q9BSW7_PF00168_336 <unknown description>
+GRLNVDVIRAKQLLQTDVSQGSDPFVKIQLVHGLKLVKTKKTSFLRGTIDPFYNESFSFK
+VPQEELENASLVFTVFGHNMKSSNDFIGRIVIGQYS
+>P21579_PF00168_158 <unknown description>
+QLLVGIIQAAELPALDMGGTSDPYVKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPY
+SELGGKTLVMAVYDFDRFSKHDIIGEFKVPMNTVDFGHVTEEWRDL
+>P21579_PF00168_288 <unknown description>
+GKLTVVILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFSFE
+VPFEQIQKVQVVVTVLDYDKIGKNDAIGKVFVGYNSTGAELRHWS
+>Q8N9I0_PF00168_155 <unknown description>
+QLTVGVLQAAELPALDMGGTSDPYVKVFLLPDKKKKYETKVHRKTLNPAFNETFTFKVPY
+QELGGKTLVMAIYDFDRFSKHDIIGEVKVPMNTVDLGQPIEEWRDL
+>Q8N9I0_PF00168_285 <unknown description>
+GKLTVCILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTVKKKTLNPYFNESFSFE
+IPFEQIQKVQVVVTVLDYDKLGKNEAIGKIFVGSNATGTELRHWS
+>Q9BQG1_PF00168_315 <unknown description>
+QLVVRILQALDLPAKDSNGFSDPYVKIYLLPDRKKKFQTKVHRKTLNPVFNETFQFSVPL
+AELAQRKLHFSVYDFDRFSRHDLIGQVVLD
+>Q9BQG1_PF00168_446 <unknown description>
+GRLTVTIIKASNLKAMDLTGFSDPYVKASLISEGRRLKKRKTSIKKNTLNPTYNEALVFD
+VAPESVENVGLSIAVVDYDCIGHNEVIGVCRVGPDAADPHGREHWA
+>Q9H2B2_PF00168_170 <unknown description>
+FVVNIKEARGLPAMDEQSMTSDPYIKMTILPEKKHKVKTRVLRKTLDPAFDETFTFYGIP
+YTQIQELALHFTILSFDRFSRDDIIGEVLIPLSGIELSE
+>Q9H2B2_PF00168_303 <unknown description>
+TLTVVVLKARHLPKSDVSGLSDPYVKVNLYHAKKRISKKKTHVKKCTPNAVFNELFVFDI
+PCEGLEDISVEFLVLDSERGSRNEVIGQLVLGAAAEGTGGEHWKE
+>O00445_PF00168_123 <unknown description>
+GQLLVGILQAMGLAALDLGGSSDPYVRVYLLPDKRRRYETKVHRQTLNPHFGETFAFKVP
+YVELGGRVLVMAVYDFDRFSRNDAIGEVRVPMSSVDLGRPVQAWREL
+>O00445_PF00168_254 <unknown description>
+GKLTVIVLEAKNLKKMDVGGLSDPYVKVHLLQGGKKVRKKKTTIKKNTLNPYYNEAFSFE
+VPCDQVQKVQVELTVLDYDKLGKNEAIGRVAVGAAAGGAGLRHW
+>Q5T7P8_PF00168_245 <unknown description>
+TLIVRILKAFDLPAKDFCGSSDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFHFPVPY
+EELADRKLHLSVFDFDRFSRHDMIGEVILD
+>Q5T7P8_PF00168_376 <unknown description>
+GRLTLTVIKCRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTIKKNTLNPVYNEAIIFD
+IPPENMDQVSLLISVMDYDRVGHNEIIGVCRVGITAEGLGRDHW
+>O43581_PF00168_434 <unknown description>
+TLTVKIMKAQELPAKDFSGTSDPFVKIYLLPDKKHKLETKVKRKNLNPHWNETFLFEGFP
+YEKVVQRILYLQVLDYDRFSRNDPIGEVSIPLNKVDLTQMQTFWKDL
+>O43581_PF00168_566 <unknown description>
+IIVNIIKARNLKAMDIGGTSDPYVKVWLMYKDKRVEKKKTVTMKRNLNPIFNESFAFDIP
+TEKLRETTIIITVMDKDKLSRNDVIGKIYLSWKSGPGEVKHW
+>Q86SS6_PF00168_236 <unknown description>
+QLIVKIHKAVNLPAKDFSGTSDPYVKIYLLPDRKTKHQTKVHRKTLNPVFDEVFLFPVPY
+NDLEARKLHFSVYDFDRFSRHDLIGQVVVD
+>Q86SS6_PF00168_367 <unknown description>
+GRLTITIIKARNLKAMDITGASDPYVKVSLMCDGRRLKKRKTSTKRNTLNPVYNEAIVFD
+VPPENIDQIHLSIAVMDYDRVGHNEIIGVCQVGNEAERLGRDH
+>A2RTX5_PF02824_167 <unknown description>
+ADGQTVQGEVWKTTPYQVAAEISQELAESTVIAKVNGELWDLDRPLEGDSSLELLT
+>A2RTX5_PF07973_329 <unknown description>
+TVYRCGPLIDLCKGPHVRHTGKIKTIKIFKNSSTYWEGNPEMETLQRIY
+>A2RTX5_PF00587_476 <unknown description>
+FTFEIEKDTFALKPMNCPGHCLMFAHRPRSWREMPIRFADFGVLHRNELSGTLSGLTRVR
+RFQQDDAHIFCTVEQIEEEIKGCLQFLQSVYSTFGFSFQLNLSTRPENFLGEIEMWNEAE
+KQLQNSLMDFGEPWKMNPGDGAFYGPKIDIKIKDAIGRYHQCATIQLDFQLPIRFNLTYV
+SKDGDDKKRPVIIHRAILGSVERMIAILSENY
+>A2RTX5_PF03129_699 <unknown description>
+QVMVIPVGPTCEKYALQVSSEFFEEGFMADVDLDHSCTLNKKIRNAQLAQYNFILVVGEK
+EKIDNAVNVRTRDNKIHGEILVTSAIDKLKN
+>P26639_PF02824_83 <unknown description>
+IKVTLPDGKQVDAESWKTTPYQIACGISQGLADNTVIAKVNNVVWDLDRPLEEDCTLELL
+K
+>P26639_PF07973_250 <unknown description>
+TVYRCGPLIDLCRGPHVRHTGKIKALKIHKNSSTYWEGKADMETLQRIY
+>P26639_PF00587_402 <unknown description>
+EKELFALKPMNCPGHCLMFDHRPRSWRELPLRLADFGVLHRNELSGALTGLTRVRRFQQD
+DAHIFCAMEQIEDEIKGCLDFLRTVYSVFGFSFKLNLSTRPEKFLGDIEVWDQAEKQLEN
+SLNEFGEKWELNSGDGAFYGPKIDIQIKDAIGRYHQCATIQLDFQLPIRFNLTYVSHDGD
+DKKRPVIVHRAILGSVERMIAILTENY
+>P26639_PF03129_620 <unknown description>
+QVMVVPVGPTCDEYAQKVRQQFHDAKFMADIDLDPGCTLNKKIRNAQLAQYNFILVVGEK
+EKISGTVNIRTRDNKVHGERTISETIERLQQ
+>Q8IYJ3_PF00168_282 <unknown description>
+ELRVHVIQCQGLAAARRRRSDPYVKSYLLPDKQSKRKTAVKKRNLNPVFNETLRYSVPQA
+ELQGRVLSLSVWHRESLGRNIFLGEVEVPLDTWDWGSE
+>Q8IYJ3_PF00168_422 <unknown description>
+GELHFWVKEARDLLPLRAGSLDTYVQCFVLPDDSQASRQRTRVVRRSLSPVFNHTMVYDG
+FGPADLRQACAELSLWDHGALANRQLGGTRLSLGTGSSYG
+>Q9HCH5_PF00168_1949 <unknown description>
+ELHVFVAQCKDLAAADVKKQRSDPYVKAYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYK
+IEKQILKTQKLNLSIWHRDTFKRNSFLGEVELDLETWDWDNKQNKQLRWYPL
+>Q9HCH5_PF00168_2098 <unknown description>
+EVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTNPIFNHTMVYDGF
+RPEDLMEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWM
+>Q4VX76_PF02318_9 <unknown description>
+ALKELEREAILQVLYRDQAVQNTEEERTRKLKTHLQHLRWKGAKNTDWEHKEKCCARCQQ
+VLGFLLHRGAVCRGCSHRVCAQCRVFLRGTHAWKCTVCFEDRNVKIKTGEWFYEE
+>Q4VX76_PF00168_322 <unknown description>
+SLEICIKACKNLAYGEEKKKKCNPYVKTYLLPDRSSQGKRKTGVQRNTVDPTFQETLKYQ
+VAPAQLVTRQLQVSVWHLGTLARRVFLGEVIIPLATWDFEDSTTQSFRWHPL
+>Q4VX76_PF00168_480 <unknown description>
+GQLCLVVLGAKNLPVRPDGTLNSFVKGCLTLPDQQKLRLKSPVLRKQACPQWKHSFVFSG
+VTPAQLRQSSLELTVWDQALFGMNDRLLGGTRLG
+>Q96C24_PF02318_9 <unknown description>
+FLSEEEKDLILSVLQRDEEVRKADEKRIRRLKNELLEIKRKGAKRGSQHYSDRTCARCQE
+SLGRLSPKTNTCRGCNHLVCRDCRIQESNGTWRCKVCAKEIELKKATGDWFYDQ
+>Q96C24_PF00168_372 <unknown description>
+SLVVHVKECHQLAYADEAKKRSNPYVKTYLLPDKSRQGKRKTSIKRDTINPLYDETLRYE
+IPESLLAQRTLQFSVWHHGRFGRNTFLGEAEIQMDSWKLDKKLDHCLP
+>Q96C24_PF00168_526 <unknown description>
+GELQVWIKEAKNLTAAKAGGTSDSFVKGYLLPMRNKASKRKTPVMKKTLNPHYNHTFVYN
+GVRLEDLQHMCLELTVWDREPLASNDFLGGVRLGVGTGISNGEVVDWM
+>Q8TDW5_PF02318_13 <unknown description>
+LLDHEKEMILGVLKRDEYLKKVEDKRIRKLKNELLEAKRRSGKTQQEASRVCVHCHRNLG
+LIFDRGDPCQACSLRVCRECRVAGPNGSWKCTVCDKIAQLRIITGEWFFEE
+>Q8TDW5_PF00168_423 <unknown description>
+LYIFVKNCRNLAIGDEKKQRTDAYVKSYLLPDKSRNNKRKTKIRTGTNPEFNETLKYTIS
+HTQLETRTLQLSVWHYDRFGRNSFLGEVEIPFDSWNFENPTDEWFV
+>Q8TDW5_PF00168_587 <unknown description>
+GILEVFIKEAKNLTAVKSGGTSDSFVKGYLLPDDSKATKHKTLVIKKSVNPQWNHTFMFS
+GIHPQDIKNVCLELTIWDKEAFSSNIFLGGVRLNSGSGVSHGKNVDWM
+>Q9BW92_PF02824_61 <unknown description>
+IKISLPGGQKIDAVAWNTTPYQLARQISSTLADTAVAAQVNGEPYDLERPLETDSDLRFL
+>Q9BW92_PF07973_229 <unknown description>
+TVYGCGTLVDLCQGPHLRHTGQIGGLKLLSNSSSLWRSSGAPETLQRV
+>Q9BW92_PF00587_400 <unknown description>
+DTLALKPMNCPAHCLMFAHRPRSWRELPLRLADFGALHRAEASGGLGGLTRLRCFQQDDA
+HIFCTTDQLEAEIQSCLDFLRSVYAVLGFSFRLALSTRPSGFLGDPCLWDQAEQVLKQAL
+KEFGEPWDLNSGDGAFYGPKIDVHLHDALGRPHQCGTIQLDFQLPLRFDLQYKGQAGALE
+RPVLIHRAVLGSVERLLGVLAE
+>Q9BW92_PF03129_615 <unknown description>
+QVVVIPVGSEQEEYAKEAQQSLRAAGLVSDLDADSGLTLSRRIRRAQLAHYNFQFVVGQK
+EQSKRTVNIRTRDNRRLGEWDLPEAVQRLVE
+>P37840_PF01387_1 <unknown description>
+MDVFMKGLSKAKEGVVAAAEKTKQGVAEAAGKTKEGVLYVGSKTKEGVVHGVATVAEKTK
+EQVTNVGGAVVTGVTAVAQKTVEGAGSIAAATGFVKKDQLGKNEEGAPQEGILEDMPVDP
+DNEAYEMPSE
+>Q16143_PF01387_1 <unknown description>
+MDVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTREGVVQGVASVAEKTK
+EQASHLGGAVFSGAGNIAAATGLVKREEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYE
+DPPQE
+>O76070_PF01387_1 <unknown description>
+MDVFKKGFSIAKEGVVGAVEKTKQGVTEAAEKTKEGVMYVGAKTKENVVQSVTSVAEKTK
+EQANAVSEAVVSSVNTVATKTVEEAENIAVTSGVVRKEDLRPSAPQQEGEASKEKEEVAE
+E
+>P26640_PF00043_123 <unknown description>
+SSAQDPQAVLGALGRALSPLEEWLRLHTYLAGEAPTLADLAAVTALLLPFRYVLDPPARR
+IWNNVTRWFVTCVRQP
+>P26640_PF00133_309 <unknown description>
+WYPWWEQQGFFKPEYGRPNVSAANPRGVFMMCIPPPNVTGSLHLGHALTNAIQDSLTRWH
+RMRGETTLWNPGCDHAGIATQVVVEKKLWREQGLSRHQLGREAFLQEVWKWKEEKGDRIY
+HQLKKLGSSLDWDRACFTMDPKLSAAVTEAFVRLHEEGIIYRSTRLVNWSCTLNSAISDI
+EVDKKELTGRTLLSVPGYKEKVEFGVLVSFAYKVQGSDSDEEVVVATTRIETMLGDVAVA
+VHPKDTRYQHLKGKNVIHPFLSRSLPIVFDEFVDMDFGTGAVKITPAHDQNDYEVGQRHG
+LEAISIMDSRGALINVPPPFLGLPRFEARKAVLVALKERGLFRGIEDNPMVVPLCNRSKD
+VVEPLLRPQWYVRCGEMAQAASAAVTRGDLRILPEAHQRTWHAWMDNIREWCISRQLWWG
+HRIPAYFVTVSDPAVPPGEDPDGRYWVSGRNEAEAREKAAKEFGVSPDKISLQQDEDVLD
+TWFSSGLFPLSILGWPNQSEDLSVFYPGTLLETGHDILFFWVARMVMLGLKLTGRLPFRE
+VYLHAIVRDAHGRKMSKSLGNVIDPLDVIYGISLQGLHNQLLNSNLDPSEVEKAKEGQKA
+DFPAGIPECGTDALRFGLCAYMSQGRDINLD
+>P26640_PF08264_984 <unknown description>
+DRWIRSRLTEAVRLSNQGFQAYDFPAVTTAQYSFWLYELCDVYLECLKPVLNGVDQVAAE
+CARQTLYTCLDVGLRLLSPFMPFVTEELFQRLPRRMPQAPPSLCVTPYPEPSECSWKDPE
+AEAALELALSITRAVRSLRADYNLTRIRP
+>Q5ST30_PF00133_113 <unknown description>
+WYPWWVREGFFKPEYQARLPQATGETFSMCIPPPNVTGSLHIGHALTVAIQDALVRWHRM
+RGDQVLWVPGSDHAGIATQAVVEKQLWKERGVRRHELSREAFLREVWQWKEAKGGEICEQ
+LRALGASLDWDRECFTMDVGSSVAVTEAFVRLYKAGLLYRNHQLVNWSCALRSAISDIEV
+ENRPLPGHTQLRLPGCPTPVSFGLLFSVAFPVDGEPDAEVVVGTTRPETLPGDVAVAVHP
+DDSRYTHLHGRQLRHPLMGQPLPLITDYAVQPHVGTGAVKVTPAHSPADAEMGARHGLSP
+LNVIAEDGTMTSLCGDWLQGLHRFVAREKIMSVLSEWGLFRGLQNHPMVLPICSRSGDVI
+EYLLKNQWFVRCQEMGARAAKAVESGALELSPSFHQKNWQHWFSHIGDWCVSRQLWWGHQ
+IPAYLVVEDHAQGEEDCWVVGRSEAEAREVAAELTGRPGAELTLERDPDVLDTWFSSALF
+PFSALGWPQETPDLARFYPLSLLETGSDLLLFWVGRMVMLGTQLTGQLPFSKVLLHPMVR
+DRQGRKMSKSLGNVLDPRDIISGVEMQVLQEKLRSGNLDPAELAIVAAAQKKDFPHGIPE
+CGTDALRFTLCSHGVQAGD
+>Q5ST30_PF08264_779 <unknown description>
+DAWILSRLALAAQECERGFLTRELSLVTHALHHFWLHNLCDVYLEAVKPVLWHSPRPLGP
+PQVLFSCADLGLRLLAPLMPFLAEELWQRLPPRPGCPPAPSISVAPYPSACSLEHWRQPE
+LERRFSRVQEVVQVLRALRATYQLTKARP
+>Q86TM6_PF13639_290 <unknown description>
+VCIICREEMVTGAKRLPCNHIFHTSCLRSWFQRQQTCPTCR
+>P23381_PF00458_12 <unknown description>
+LFNSIATQGELVRSLKAGNASKDEIDSAVKMLVSLKMSYKAAAGEDYKADCPP
+>P23381_PF00579_152 <unknown description>
+NKKPFYLYTGRGPSSEAMHVGHLIPFIFTKWLQDVFNVPLVIQMTDDEKYLWKDLTLDQA
+YSYAVENAKDIIACGFDINKTFIFSDLDYMGMSSGFYKNVVKIQKHVTFNQVKGIFGFTD
+SDCIGKISFPAIQAAPSFSNSFPQIFRDRTDIQCLIPCAIDQDPYFRMTRDVAPRIGYPK
+PALLHSTFFPALQGAQTKMSASDPNSSIFLTDTAKQIKTKVNKHAFSGGRDTIEEHRQFG
+GNCDVDVSFMYLTFFLEDDDKLEQIRKDYTSGAMLTGELKKALIEVLQ
+>Q9UGM6_PF00579_33 <unknown description>
+SKKRVFSGIQPTGILHLGNYLGAIESWVRLQDEYDSVLYSIVDLHSITVPQDPAVLRQSI
+LDMTAVLLACGINPEKSILFQQSQVSEHTQLSWILSCMVRLPRLQHLHQWKAKTTKQKHD
+GTVGLLTYPVLQAADILLYKSTHVPVGEDQVQHMELVQDLAQGFNKKYGEFFPVPESILT
+SMKKVKSLRDPSAKMSKSDPDKLATVRITDSPEEIVQKFRKAVTDFTSEVTYDPAGRAGV
+SNIVAVHAAVTGLSVEEVVRRSAGMNTARYKLAVADAVIEKF
+>P54577_PF00579_32 <unknown description>
+KERELKIYWGTATTGKPHVAYFVPMSKIADFLKAGCEVTILFADLHAYLDNMKAPWELLE
+LRVSYYENVIKAMLESIGVPLEKLKFIKGTDYQLSKEYTLDVYRLSSVVTQHDSKKAGAE
+VVKQVEHPLLSGLLYPGLQALDEEYLKVDAQFGGIDQRKIFTFAEKYLPALGYSKRVHLM
+NPMVPGLTGSKMSSSEEESKIDLLDRKEDVKKKLKKAFCEPGNVENNGVLSFIKHVLFPL
+KSEFVILRDEKWGGNKTYTAYVDLEKDFAAEVVHPGDLKNSVEVALNKLL
+>P54577_PF01588_370 <unknown description>
+IRVGKIITVEKHPDADSLYVEKIDVGEAEPRTVVSGLVQFVPKEELQDRLVVVLCNLKPQ
+KMRGVESQGMLLCASIEGINRQVEPLDPPAGSAPGE
+>Q9Y2Z4_PF00579_75 <unknown description>
+TIYCGFDPTADSLHVGHLLALLGLFHLQRAGHNVIALVGGATARLGDPSGRTKEREALET
+ERVRANARALRLGLEALAANHQQLFTDGRSWGSFTVLDNSAWYQKQHLVDFLAAVGGHFR
+MGTLLSRQSVQLRLKSPEGMSLAEFFYQVLQAYDFYYLFQRYGCRVQLGGSDQLGNIMSG
+YEFINKLTGEDVFGITVPLITSTTGAKLGKSAGNAVWLNRDKTSPFELYQFFVRQPDDSV
+ERYLKLFTFLPLPEIDHIMQLHVKEPERRGPQKRLAAEVTKLVHGREGLDSAKRCTQALY
+>Q7Z422_PF12752_56 <unknown description>
+PPPQIRILKRPTSNGVVSSPNSTSRPTLPVKSLAQREAEYAEARKRILGSA
+>Q7Z422_PF12901_119 <unknown description>
+RPTRISQPEDSRQPNNVIRQPLGPDGSQGFKQR
+>Q96A25_PF07092_27 <unknown description>
+GSKAVNYSSTGSSKSFCSCVPCEGTADASFVTCPTCQGSGKIPQELEKQLVALIPYGDQR
+LKPKHTKLFVFLAVLICLVTSSFIVFFLFPRSVIVQPAGLNSSTVAFDEADIYLNITNIL
+NISNGNYYPIMVTQLTLEVLHLSLVVGQVSNNLLLHIGPLASEQMFYAVATKIRDENTYK
+ICTWLEIKVHHVLLHIQGTLTCSYLSHSEQLVFQSYEYVDCRGNAS
+>Q9NUM4_PF07092_32 <unknown description>
+NSEVHNEDGRNGDVSQFPYVEFTGRDSVTCPTCQGTGRIPRGQENQLVALIPYSDQRLRP
+RRTKLYVMASVFVCLLLSGLAVFFLFPRSIDVKYIGVKSAYVSYDVQKRTIYLNITNTLN
+ITNNNYYSVEVENITAQVQFSKTVIGKARLNNITIIGPLDMKQIDYTVPTVIAEEMSYMY
+DFCTLISIKVHNIVLMMQVTVTTTYFGHSEQISQERYQYVDCGRNTT
+>Q9BVX2_PF07092_19 <unknown description>
+EDDRDGLLAEREQEEAIAQFPYVEFTGRDSITCLTCQGTGYIPTEQVNELVALIPHSDQR
+LRPQRTKQYVLLSILLCLLASGLVVFFLFPHSVLVDDDGIKVVKVTFNKQDSLVILTIMA
+TLKIRNSNFYTVAVTSLSSQIQYMNTVVSTYVTTNVSLIPPRSEQLVNFTGKAEMGGPFS
+YVYFFCTVPEILVHNIVIFMRTSVKISYIGLMTQSSLETHHYVDCGGNST
+>Q9Y5J6_PF02953_6 <unknown description>
+QQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRLMA
+AYV
+>Q9NUJ3_PF05794_78 <unknown description>
+LAHEIVVNGDFQIKPVELPENSLKKRVKEIVHKAFWDCLSVQLSEDPPAYDHAIKLVGEI
+KETLLSFLLPGHTRLRNQITEVLDLDLIKQEAENGALDISKLAEFIIGMMGTLCAPARDE
+EVKKLKDIKEIVPLFREIFSVLDLMKVDMANFAISSIRPHLMQQSVEYERKKFQEILERQ
+PNSLDFVTQWLEEASEDLMTQKYKHALPVGGMAAGSGDMPRLSPVAVQNYAYLKLLKWDH
+LQRPFPETVLMDQSRFHELQLQLEQLTILGAVLLVTFSMAAPGISSQADFAEKLKMIVKI
+LLTDMHLPSFHLKDVLTTIGEKVCLEVSSCLSLCGSSPFTTDKETVLKGQIQAVASPDDP
+IRRIMESRILTFLETYLASGHQKPLPTVPGGLSPVQRELEEVAIKFARLVNYNKMVFCPY
+YDAIL
+>Q8N4U5_PF05794_77 <unknown description>
+LAHEIAVNENFQLKQEALPEKSLAGRVKHIVHQAFWDVLDSELNADPPEFEHAIKLFEEI
+REILLSFLTPGGNRLRNQICEVLDTDLIRQQAEHSAVDIQGLANYVISTMGKLCAPVRDN
+DIRELKATGNIVEVLRQIFHVLDLMQMDMANFTIMSLRPHLQRQLVEYERTKFQEILEET
+PSALDQTTEWIKESVNEELFSLSESALTPGAENTSKPSLSPTLVLNNSYLKLLQWDYQKK
+ELPETLMTDGARLQELTEKLNQLKIIACLSLITNNMVGAITGGLPELASRLTRISAVLLE
+GMNKETFNLKEVLNSIGIQTCVEVNKTLMERGLPTLNAEIQANLIGQFSSIEEEDNPIWS
+LIDKRIKLYMRRLLCLPSPQKCMPPMPGGLAVIQQELEALGSQYANIVNLNKQVYGPFYA
+NIL
+>A0PK00_PF07851_10 <unknown description>
+REWHELEGEFQELQETHRIYKQKLEELAALQTLCSSSISKQKKHLKDLKLTLQRCKRHAS
+REEAELVQQMAANIKERQDVFFDMEAYLPKKNGLYLNLVLGNVNVTLLSNQAKFAYKDEY
+EKFKLYLTIILLLGAVACRFVLHYRVTDEVFNFLLVWYYCTLTIRESILISNGSRIKGWW
+VSHHYVSTFLSGVMLTWPNGPIYQKFRNQFLAFSIFQSCVQFLQYYYQRGCLYRLRALGE
+RNHLDLTVEGFQSWMWRGLTFLLPFLFCGHFWQLYNAVTLFELSSHEECREWQVFVLAFT
+FLILFLGNFLTTLKVVHAKLQK
+>Q9BXQ6_PF14997_312 <unknown description>
+AFAYLAWLIYSIAFTPKVVLILGTSILDLIELRAPFGTTGFRLTMALSVPLLYSLVRAIS
+EAGAPPGSAGPLLLQPQRHRAAGCFLGTCLDLLDSFTLVELMLEGRVPLPAHLRYLLIAV
+YFLTLASPVLWLYELNAAAAAAASWGQASGPGSCSRLLRLLGGCLVDVPLLALRCLLVVS
+YQQPLSIFMLKNLFFLGCRGLEALE
+>Q9H061_PF07114_14 <unknown description>
+IVDISRKINQLPEAERNLLENGSVYVGLNAALCGLIANSLFRRILNVTKARIAAGLPMAG
+IPFLTTDLTYRCFVSFPLNTGDLDCETCTITRSGLTGLVIGGLYPVFLAIPVNGGLAARY
+QSALLPHKGNILSYWIRTSKPVFRKMLFPILLQTMFSAYLGSEQYKLLIKALQLSE
+>Q8IUX1_PF07114_52 <unknown description>
+MVIEIIEKNFDYLRKEMTQNIYQMATFGTTAGFSGIFSNFLFRRCFKVKHDALKTYASLA
+TLPFLSTVVTDKLFVIDALYSDNISKENCVFRSSLIGIVCGVFYPSSLAFTKNGRLATKY
+HTVPLPPKGRVLIHWMTLCQTQMKLMAIPLVFQIMFGILNGLYHYAVFEETLEKTIH
+>A2VDJ0_PF12371_91 <unknown description>
+LHFQPSVLDFGIQFLGHPVAKILHAYNPSRDSEVVVNSVFAAAGHFHVPPVPCRVIPAMG
+KTSFRIIFLPTEEGSIESSLFINT
+>A2VDJ0_PF19532_185 <unknown description>
+SGIGTRRISTEGSAKQLPNAYFLLPKVQSIQLSQMQAETTNTSLLQVQLECSLHNKVCQQ
+LKGCYLESDDVLRLQMSIMVTMENFSKEFEENTQHLLDHLSIVYVATDESETSDDSAVNM
+YILHSGNSLIWIQDIRHFSQRDALSLQFEPVLLPTSTTNFTKIASFTCKAATSCDSGIIE
+DVKKTTHTPTLKACLFSSVAQGYFRMDSSATQFHIETHENTSGLWSIWYRNHFDRSVVLN
+DVFLSKETKHMLKILNFTGPLFLPPGCWNIFSLKLAVKDIAINLFTNVFLTTNIGAIFAI
+PLQIYSAPTKEGSLGFEVIAHCGMHYFMGKSKAGNPNWNGSLSLDQSTWNVDSELANKLY
+ERWKKYKNGDVCKRNVLGTTRFAHLKKSKESESFVFFLPRLIAEPGLMLNFSATALRSRM
+IKYFVVQNPSSWPVSLQLLPLSLYPKPEALVHLLHRWFGTDMQMINFTTGEFQLTEACPY
+LGTHSEESRFGILHLHLQPLEMKRVGVVFTPADYGKVTSLILIRNNLTVIDMIGVEGFGA
+RELLKVGGRLPGAGGSLRFKVPESTLMDCRRQLKDSKQILSITKNFKVENIGPLPITVSS
+LKINGYNCQGYGFEVLDCHQFSLDPNTSRDISIVFTPDFTSSWVIRDLSLVTAADLEFRF
+TLNVTLPHHLLPLCADVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEFMKT
+RQRQNASSSSQQNNGPMDVISPHSYKSNCKNFLDTYGPSDKGRGKNCLPVNTPQSRIQNA
+AKRSPATYGHSQKKHKCSVYYSKHKTSTAAASSTSTTTEEKQTSPLGSSLPAAKEDICTD
+AMRENWISLRYASGINVNLQKNLTLPKNLLNKEENTLKNTIVFSNPSSECSMKEGIQTCM
+FPKETDIKTSENTAEFKERELCPLKTSKKLPENHLPRNSPQYHQPDLPEISRKNNGNNQQ
+VPVKNEVDHCENLKKVDTKPSSEKKIHKTSREDMFSEKQDIPFVEQEDPYRKKKLQEKRE
+GNLQNLNWSKSRTCRKNKKRGVAPVSRPPEQSDLKLVCSDFERSELSSDINVRSWCIQES
+TREVCKADAEIASSLPAAQREAEGYYQKPEKKCVDKFCSDSSSDCGSSSGSVRASRGSWG
+SWSSTSSSDGDKKPMVDAQHFLPAGDSVSQNDFPSEAPISLNLSHNICNPMTVNSLPQYA
+EPSCPSLPAGPTGVEEDKGLYSPGDLWPTPPVCVTSSLNCTLENGVPCVIQESAPVHNSF
+IDWSATCEGQFSSAYCPLELNDYNAFPEENMNYANGFPCPADVQTDFIDHNSQSTWNTPP
+NMPAAWGHASFISSPPYLTSTRSLSPMSGLFGSIWAPQSDVYENCCPINPTTEHSTHMEN
+QAVVCKEYYPGFNPFRAYMNLDIWTTTANRNANFPLSRDSSYCGNV
+>Q24JP5_PF15705_50 <unknown description>
+LELLDAPEHFRVQQVGHYPPANSSLSSRSETFLLLQPWPRAQPLLRASYPPFATQQVVPP
+RVTEPHQRPVPWDVRAVSVEAAVTPAEPYARVLFHLKGQDWPPGSGSLPCARLHATHP
+>Q24JP5_PF16070_407 <unknown description>
+ELVNTAPLTGVPQHVPVRLVTVDGGGALVEVTEHVGCESANTQVLQVSEACDAVFVAGKE
+SRGARGVRVDFWWRRLRASLRLTVWAPLLPLRIELTDTTLEQVRGWRVPGPAEGPAEPAA
+EASDEAERRARGCHLQYQRAGVRFLAPFAAHPLDGGRRLTHLLGPDWLLDVSHLVAPHAR
+VLDSRVASLEGGRVVVGREPGVTSIEVRSPLSDSILGEQALAVTDDKVSVLELRVQPVMG
+ISLTLSRGTAHPGEVTATCWAQSALPAPKQEVALSLWLSFSDHTVAPAELYDRRDLGLSV
+SAEEPGAILPAEEQGAQLGVVVSGAGAEGLPLHVALHPPEPCR
+>Q24JP5_PF15706_821 <unknown description>
+EETEAREEEEEEEEEMVPAPQHVTELELGMYALLGVFCVAIFIFLVNGVVFVLRYQRKEP
+PDSATDPTSPQPHNWVWLGTDQ
+>Q8N3T6_PF15705_51 <unknown description>
+VSYHILRAETSFFLKEANQDLLRNSSLQARVESFFTYKTRQPPVLNASYGPFSVEKVVPL
+DLMLTSNFLGPTNKFSFDWKLKAHILRDKVYLSRPKVQVLFHIMGRDWDDHGAGEKLPCL
+RVFAFRETR
+>Q8N3T6_PF16070_438 <unknown description>
+EILNTAVLTGKTVAMPIKVVSVEENSAVMDISESVECKSTDEDVIKVSERCDYIFVNGKE
+IKGKMDAVVNFTYQYLSAPLCVTVWVPRLPLQIEVSDTELSQIKGWRVPIVTNKRPTRES
+EDEDEEERRGRGCALQYQHATVRVLTQFVSEGAGPWGQPNYLLSPNWQFDITHLVADFMK
+LEEPHVATLQDSRVLVGREVGMTTIQVLSPLSDSILAEKTITVLDDKVSVTDLAIQLVAG
+LSVALYPNAENSKAVTAVVTAEEVLRTPKQEAVFSTWLQFSDGSVTPLDIYDTKDFSLAA
+TSQDEAVVSVPQPRSPRWPVVVAEGEGQGPLIRVDMTIAEACQK
+>Q8N3T6_PF15706_894 <unknown description>
+NFPAHVDLPKAGSGLEENDLVQTPRGLSDLEIGMYALLGVFCLAILVFLINCATFALKYR
+HKQVPLEGQASMTHSHDWVWLGNEA
+>Q14C87_PF15705_49 <unknown description>
+VTYHINNADVSFFLKEANQDIMRNSSLQSRVESFLIYKSRRLPVLNASYGPFSIEQVVPQ
+DLMLPSNPFGFTNKFSLNWKLKAHILRDKVYLSRPKVQVLFHIMGRDWDDRSAGEKLPCL
+RVFAFRETR
+>Q14C87_PF16070_436 <unknown description>
+EILNTAILTGKTVAVPVKVVSVEDDGTVTELLESVECRSSDEDVIKVSDRCDYVFVNGKE
+MKGKVNVVVNFTYQHLSSPLEMTVWVPRLPLQIEVSDTELNQIKGWRVPIVSSRRPAGDS
+EEEEDDERRGRGCTLQYQHAMVRVLTQFVAEAAGPGGHLAHLLGSDWQVDITELINDFMQ
+VEEPRIAKLQGGQILMGQELGMTTIQILSPLSDTILAEKTITVLDEKVTITDLGVQLVTG
+LSLSLQLSPGSNRAIFATAVAQELLQRPKQEAAISCWVQFSDGSVTPLDIYDGKDFSLMA
+TSLDEKVVSIHQDPKFKWPIIAAETEGQGTLVKVEMVISESCQK
+>Q14C87_PF15706_887 <unknown description>
+SFPAQVDLPRSNGEMDGNDLMQASKGLSDLEIGMYALLGVFCLAILVFLINCVTFALKYR
+HKQVPFEEQEGMSHSHDWVGLSNRT
+>Q6IEE7_PF15705_45 <unknown description>
+VSYRLSHTRLAFFLREARPPSPAVANSSLQRSEPFVVFQTKELPVLNVSLGPFSTSQVVA
+RELLQPSSTLDIPERLTVNWKVRAFIVRSHVPASQPVVQVLFYVAGRDWDDFGVTERLPC
+VRLHAFRDAR
+>Q6IEE7_PF16070_449 <unknown description>
+EIINTAILTGRTVAIPVKVIAIEVNGLVLDISALVECESDNEDIIKVSSSCDYVFVSGKE
+SRGSMNARVTFRYDVLNAPLEMTVWVPKLPLHIELSDARLSQVKGWRVPILPDRRSVRES
+EDEDEEEEERRQSASRGCTLQYQHATLQVFTQFHTTSSEGTDQVVTMLGPDWLVEVTDLV
+SDFMRVGDPRVAHMVDSSTLAGLEPGTTPFKVVSPLTEAVLGETLLTVTEEKVSITQLQA
+QVVASLALSLRPSPGSSHTILATTAAQQTLSFLKQEALLSLWLSYSDGTTAPLSLYSPRD
+YGLLVSSLDEHVATVTQDRAFPLVVAEAEGSGELLRAELTIAESCQK
+>Q6IEE7_PF15706_876 <unknown description>
+GFLQVPRGLTDLEIGMYALLGVFCLAILVFLINCIVFVLRYRHKRIPPEGQTSMDHSHHW
+VFLGNG
+>Q86TG1_PF10277_4 <unknown description>
+WILLPVSLSAFSITGIWTVYAMAVMNHHVCPVENWSYNESCPPDPAEQGGPKTCCTLDDV
+PLISKCGSYPPESCLFSLIGNMGAFMVALICLLRYGQLLEQSRHSWVNTTALITGCTNAA
+GLLVVGNFQVDHARSLHYVGAGVAFPAGLLFVCLHCALSYQGATAPLDLAVAYLRSVLAV
+IAFITLVLSGVFFVHESSQLQHGAALCEWVCVIDILIFYGTFSYEFG
+>A6NC51_PF10277_4 <unknown description>
+YLSLMPVFLAVWAISGVWIVFAIAVTNRTVDLSKGFPYISICGSFPPQSCIFSQVLNMGA
+ALAAWICIVRYHQLRDWGVRRWPNQLILWTGLLCALGTSVVGNFQEKNQRPTHLAGAFLA
+FILGNVYFWLQLLLWRLKRLPQPGAAWIGPLRLGLCSVCTILIVAMIVLHACSLRSVSAA
+CEWVVAMLLFALFGLLAVDFS
+>B9EJG8_PF10277_9 <unknown description>
+WMFLPLVFTLFTSAGLWIVYFIAVEDDKILPLNSAERKPGVKHAPYISIAGDDPPASCVF
+SQVMNMAAFLALVVAVLRFIQLKPKVLNPWLNISGLVALCLASFGMTLLGNFQLTNDEEI
+HNVGTSLTFGFGTLTCWIQAALTLKVNIKNEGRRVGIPRVILSASITLCVVLYFILMAQS
+IHMYAARVQWGLVMCFLSYFGTFAVEFRH
+>Q8N4L1_PF14857_26 <unknown description>
+QRPLKQSLGSSLCRESHWKCLLLTLLIHACGAVVAWCRLATVPRLVLGPEAALARGAGGP
+PPTYPASPCSDGYLYIPLAFVSLLYLLYLAECWHCHVRSCQAPRTDAHTVLALIRRLQQA
+PPCVWWKATSYHYVRRTRQITRYRNGDAYTTTQVYHERADSRTARGEFDYSAHGVRDVSK
+ELVGLAEHAATRLRFTKCFSFGSAEAEASYLTQRARFFSANEGLDDYLEAREGMHLKDVD
+FRESLMVFADPRSPPWYARAWVFWLVSAATLSWPLRVVAAYGTAHVHYQVEKLFGASSPP
+PGAVPSGPPLSRVATVDFTELEWHICSNRQLVPSYSEAVVMGAGSGAYLRGCQRCRRSVS
+SNSLPPARPSGPRLPFSRSRLSLGAGGRATPGVFRSLS
+>Q8IW70_PF14857_46 <unknown description>
+QRPIQPSFTKSLCRESHWKCLLLSLLMYGCLGAVAWCHVTTVTRLTFSSAYQGNSLMYHD
+SPCSNGYVYIPLAFLLMLYAVYLVECWHCQARHELQHRVDVSSVRERVGRMQQATPCIWW
+KAISYHYVRRTRQVTRYRNGDAYTTTQVYHERVNTHVAEAEFDYARCGVRDVSKTLVGLE
+GAPATRLRFTKCFSFASVEAENAYLCQRARFFAENEGLDDYMEAREGMHLKNVDFREFMV
+AFPDPARPPWYACSSAFWAAALLTLSWPLRVLAEYRTAYAHYHVEKLFGLEGPGSASSAG
+GGLSPSDELLPPLTHRLPRVNTVDSTELEWHIRSNQQLVPSYSEAVLMDLAGLGTRCGGA
+GGGYAPSCRYGGVGGPGAAGVAPYRRSCEHCQRAVSSSSIFSRSALSICASPRAGPGPGG
+GAGCGGSRFSLGRLYGSRRSCLWRSRS
+>Q9NX61_PF10268_2 <unknown description>
+AVLGVQLVVTLLTATLMHRLAPHCSFARWLLCNGSLFRYKHPSEEELRALAGKPRPRGRK
+ERWANGLSEEKPLSVPRDAPFQLETCPLTTVDALVLRFFLEYQWFVDFAVYSGGVYLFTE
+AYYYMLGPAKETNIAVFWCLLTVTFSIKMFLTVTRLYFSAEEGGERSVCLTFAFLFLLLA
+MLVQVVREETLELGLEPGLASMTQNLEPLLKKQGWDWALPVAKLAIRVGLAVVGSVLGAF
+LTFPGLRLAQTHRDALTMSEDRPMLQFLLHTSFLSPLFILWLWTKPIARDFLHQPPFGET
+RFSLLSDSAFDSGRLWLLVVLCLLRLAVTRPHLQAYLCLAKARVEQLRREAGRIEAREIQ
+QRVVRVYCYVTVVSLQYLTPLILTLNCTLLLKTLGGYSWGLGPAPLLSPDPSSASAAPIG
+SGEDEVQQTAARIAGALGGLLTPLFLRGVLAYLIWWTAACQLLASLFGLYFHQHL
+>Q8NDZ6_PF10268_3 <unknown description>
+VIGIQLVVTMVMASVMQKIIPHYSLARWLLCNGSLRWYQHPTEEELRILAGKQQKGKTKK
+DRKYNGHIESKPLTIPKDIDLHLETKSVTEVDTLALHYFPEYQWLVDFTVAATVVYLVTE
+VYYNFMKPTQEMNISLVWCLLVLSFAIKVLFSLTTHYFKVEDGGERSVCVTFGFFFFVKA
+MAVLIVTENYLEFGLETGFTNFSDSAMQFLEKQGLESQSPVSKLTFKFFLAIFCSFIGAF
+LTFPGLRLAQMHLDALNLATEKITQTLLHINFLAPLFMVLLWVKPITKDYIMNPPLGKES
+IPLMTEATFDTLRLWLIILLCALRLAMMRSHLQAYLNLAQKCVDQMKKEAGRISTVELQK
+MVARVFYYLCVIALQYVAPLVMLLHTTLLLKTLGNHSWGIYPESISTLPVDNSLLSNSVY
+SELPSAEGKMKVTVTQITVALSSLKNIFTPLLFRGLLSFLTWWIAACLFSTSLFGLFYHQ
+YL
+>Q8WVE7_PF10190_40 <unknown description>
+LCSFPEMWYGVFLWALVSSLFFHVPAGLLALFTLRHHKYGRFMSVSILLMGIVGPITAGI
+LTSAAIAGVYRAAGKEMIPFEALTLGTGQTFCVLVVSFLRILATL
+>Q5T4T1_PF10190_28 <unknown description>
+LRNLTEMWYWIFLWALFSSLFVHGAAGVLMFVMLQRHRQGRVISVIAVSIGFLASVTGAM
+ITSAAVAGIYRVAGKNMAPLEALVWGVGQTVLTLIISFSRILATL
+>Q96HP8_PF04103_57 <unknown description>
+SWVMQIVLGILSAVLGGFFYIRDYTLLVTSGAAIWTGAVAVLAGAAAFIYEKRGGTYWAL
+LRTLLTLAAFSTAIAALKLWNEDFRYGYSYYNSACRISSSSDWNTPAPTQSPEEVRRLHL
+CTSFMDMLKALFRTLQAMLLGVWILLLLASLTPLWLYC
+>Q3YBM2_PF04103_66 <unknown description>
+ALGVTQILLGVVSCVLGVCLSLGPWTVLSASGCAFWAGSVVIAAGAGAIVHEKHPGKLAG
+YISSLLTLAGFATAMAAVVLCVNSFIWQTEPFLYIDTVCDRSDPVFPTTGYRWMRRSQEN
+QWQKEECRAYMQMLRKLFTAIRALFLAVCVLKVIVSLVSLGVG
+>Q8NBL3_PF13903_17 <unknown description>
+CSLGLLVTAIFTDHWYETDPRRHKESCERSRAGADPPDQKNRLMPLSHLPLRDSPPLGRR
+LLPGGPGRADPESWRSLLGLGGLDAECGRPLFATYSGLWRKCYFLGIDRDIDTLILKGIA
+QRCTAIKYHFSQPIRLRNIPFNLTKTIQQDEWHLLHLRRITAGFLGMAVAVLLCGCIVAT
+VSFFWEESLTQHVAGLLFLMTGIFCTISLCTYAASISYDLNRLPKLIYSLPADVEHGYSW
+SIFCAWCSLGFIVAAGGL
+>H3BS89_PF13903_17 <unknown description>
+CALGMLAVAICSDHWYETDARKHRDRCKAFNTRRVDPGFIYNNNNNLPLRASRSRLDRWE
+GKLLRARNRRQLFAMSPADECSRQYNSTNMGLWRKCHRQGFDPEIAALIRKGEIERCTYI
+KYHYSSATIPRNLTFNITKTIRQDEWHALHLRRMTAGFMGMAVAIILFGWIIGVLGCCWD
+RGLMQYVAGLLFLMGGTFCIISLCTCVAGINFELSRYPRYLYGLPDDISHGYGWSMFCAW
+GGLGLTLISGF
+>Q6ZMB5_PF03619_57 <unknown description>
+GVSGIFVWTALVLTCHQIYLHLRSYTVPQEQRYIIRLLLIVPIYAFDSWLSLLLLGDHQY
+YVYFDSVRDCYEAFVIYSFLSLCFQYLGGEGAIMAEIRGKPIKSSCLYGTCCLRGMTYSI
+GFLRFCKQATLQFCLVKPVMAVTTIILQAFGKYHDGDFNVRSGYLYVTLIYNASVSLALY
+ALFLFYFTTRELLRPFQPVLKFLTIKAVIFLSFWQGLLLAILERCGVIPEVETSGGNKLG
+AGTLAAGYQNFIICVEMLFASVALRYAFPCQVY
+>Q9Y519_PF03619_47 <unknown description>
+QAISGFFVWTALLITCHQIYMHLRCYSCPNEQRYIVRILFIVPIYAFDSWLSLLFFTNDQ
+YYVYFGTVRDCYEALVIYNFLSLCYEYLGGESSIMSEIRGKPIESSCMYGTCCLWGKTYS
+IGFLRFCKQATLQFCVVKPLMAVSTVVLQAFGKYRDGDFDVTSGYLYVTIIYNISVSLAL
+YALFLFYFATRELLSPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKIHSARVSVG
+EGTVAAGYQDFIICVEMFFAALALRHAFTYKVY
+>Q9NVA4_PF03619_48 <unknown description>
+AWFIAGIFLLLTIPISLWVILQHLVHYTQPELQKPIIRILWMVPIYSLDSWIALKYPGIA
+IYVDTCRECYEAYVIYNFMGFLTNYLTNRYPNLVLILEAKDQQKHFPPLCCCPPWAMGEV
+LLFRCKLGVLQYTVVRPFTTIVALICELLGIYDEGNFSFSNAWTYLVIINNMSQLFAMYC
+LLLFYKVLKEELSPIQPVGKFLCVKLVVFVSFWQAVVIALLVKVGVISEKHTWEWQTVEA
+VATGLQDFIICIEMFLAAIAHHYTFSYKPY
+>Q8NFB2_PF10269_30 <unknown description>
+LRLDGIIQWSYWAVFAPIWLWKLMVIVGASVGTGVWARNPQYRAEGETCVEFKAMLIAVG
+IHLLLLMFEVLVCDRIERGSHFWLLVFMPLFFVSPVSVAACVWGFRHDRSLELEILCSVN
+ILQFIFIALRLDKIIHWPWLVVCVPLWILMSFLCLVVLYYIVWSVLFLRSMDVIAEQRRT
+HITMALSWMTIVVPLLTFEILLVHKLDGHNAFSCIPIFVPLWL
+>Q9H7F4_PF10269_30 <unknown description>
+LRLDGIIQWSYWAVFAPIWLWKLLVVAGASVGAGVWARNPRYRTEGEACVEFKAMLIAVG
+IHLLLLMFEVLVCDRVERGTHFWLLVFMPLFFVSPVSVAACVWGFRHDRSLELEILCSVN
+ILQFIFIALKLDRIIHWPWLVVFVPLWILMSFLCLVVLYYIVWSLLFLRSLDVVAEQRRT
+HVTMAISWITIVVPLLTFEVLLVHRLDGHNTFSYVSIFVPLWL
+>P0C7N4_PF15194_241 <unknown description>
+RCDGQLRGVQYSTESLMEEMARADRETRLFGGPRALAIRRCVLGALQVLLTLPLLFLGLS
+LLWTVLLDPGAVSAWLWSLTSETTLRRLRYTLSPLLELRANGLLPT
+>Q86VY9_PF10177_16 <unknown description>
+RQDSARSQQHVNLSPSPATQEKKPIRRRPRADVVVVRGKIRLYSPSGFFLILGVLISIIG
+IAMAVLGYWPQKEHFIDAETTLSTNETQVIRNEGGVVVRFFEQHLHSDKMKMLGPFTMGI
+GIFIFICANAILHENRDKETKIIHMR
+>Q69YZ2_PF10177_30 <unknown description>
+RRRPRSPPEPLRVRARLRLRSPSGAFAALGALVVLVGMGIAVAGYWPHRAGAPGSRAANA
+SSPQMSELRREGRGGGRAHGPHERLRLLGPVIMGVGLFVFICANTLLYENRDLETRRL
+>A6NKL6_PF10177_14 <unknown description>
+KQDPLRPPSQIPKRKRKAKKRRKNDVVVVKGKLKLCSISGLIALCGILVLLVGIAMAVVG
+YWPKATGTNREGGKQLPPAGSSHRVPTTANSSSSGSKNRSRSHPRAPGGVNSSSAGAPRS
+TPPARAASPSSSSTSVGFFFRIFSGYLHSDKLKVFGPLIMGIGIFLFICANAVLHENRDK
+KTKIINLR
+>A0A494BZU4_PF15049_4 <unknown description>
+RMFSLMVGIFSVLNTTQFFIFDLNQKTHICYEAKFSIYVDSKSELVTWTLFHRANISTGL
+SLTTIIIGCFLFYCIHKNIYMGLLIYAMWIITYELINFSIVLLLNGIIKDHFKTLSYLHW
+IFQISHMLLHFFCLPFIVKHAYNLYKESQTVGRKRRHRLCS
+>Q8NBD8_PF06541_14 <unknown description>
+YLYAIHGYFCEVMFTAAWEFVVNLNWKFPGVTSVWALFIYGTSILIVERMYLRLRGRCPL
+LLRCLIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEYAVPWFCGALIMEQ
+FIIR
+>Q15714_PF01166_988 <unknown description>
+MDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQ
+>O75157_PF01166_670 <unknown description>
+MDLVKSHLMYAVREEVEVLKEQIKELVERNSLLERENALLKSLSSNDQLSQLPTQQ
+>Q99576_PF01166_124 <unknown description>
+MDLVKNHLMYAVREEVEILKEQIRELVEKNSQLERENTLLKTLASPEQLEKFQSC
+>Q9Y3Q8_PF01166_326 <unknown description>
+MDLVKSHLMFAVREEVEVLKEQIRELAERNAALEQENGLLRALASPEQLAQLPS
+>A6NJY4_PF15125_13 <unknown description>
+GRCALFLILALLLDAVGLVLLLLGILAPLSSWDFFIYTGALILALSLLLWIIWYSLNIEV
+SPEK
+>P48775_PF03301_27 <unknown description>
+KSQTGVNRASKGGLIYGNYLHLEKVLNAQELQSETKGNKIHDEHLFIITHQAYELWFKQI
+LWELDSVREIFQNGHVRDERNMLKVVSRMHRVSVILKLLVQQFSILETMTALDFNDFREY
+LSPASGFQSLQFRLLENKIGVLQNMRVPYNRRHYRDNFKGEENELLLKSEQEKTLLELVE
+AWLERTPGLEPHGFNFWGKLEKNITRGLEEEFIRIQAKEESEEKEEQVAEFQKQKEVLLS
+LFDEKRHEHLLSKGERRLSYRALQGALMIYFYREEPRFQVPFQLLTSLMDIDSLMTKWRY
+NHVCMVHRMLGSKAGTGGSSGYHYLRSTVSDRYKVFVDLFNLSTYL
+>Q5JRV8_PF14967_8 <unknown description>
+QRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSVLILTVGLAATTRTQNVTVGGYYPGVI
+LGFGSFLGIIGSNLIENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIDLKPLYANR
+CHYVPKTSQKEAEEVNCPHLSREFCTPRIRGNTCFCCDLYNCGNRVEITGGYYEYIDVSS
+CQDIIHLYHLLWSATILNIVGLFLGIITAAVLGGFKDMNPTLPALNCSVENTHPTVSYYA
+HPQVASYNTYYHSPPHLPPYSAYDFQHSGVFPSSPPSGLSDEPQSASPSPSYMWSSSAPP
+RYSPPYYPPFEKPPP
+>Q8WV15_PF14967_6 <unknown description>
+PGPLGLLDPAEGLSRRKKTSLWFVGSLLLVSVLIVTVGLAATTRTENVTVGGYYPGIILG
+FGSFLGIIGINLVENRRQMLVAAIVFISFGVVAAFCCAIVDGVFAAQHIEPRPLTTGRCQ
+FYSSGVGYLYDVYQTEVTCHSLDGKCQLKVRSNTCYCCDLYACGSAEPSPAYYEFIGVSG
+CQDVLHLYRLLWASAVLNVLGLFLGIITAAVLGAFKDMVPLSQLAYGPAVPPQTLYNPAQ
+QILAYAGFRLTPEPVPTCSSYPLPLQPCSRFPVAPSSALASSEDLQPPSPSSSGSGLPGQ
+APPCYAPTYFPPGEKPPP
+>P52657_PF02268_3 <unknown description>
+YQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINAAL
+>P52657_PF02751_59 <unknown description>
+RGSLNTYRFCDNVWTFVLNDVEFREVTELIKVDKVKIVACDG
+>P29083_PF02002_16 <unknown description>
+RLAKYVIRGFYGIEHALALDILIRNSCVKEEDMLELLKFDRKQLRSVLNNLKGDKFIKCR
+MRVETAADGKTTRHNYYFINYRTLVNVVKYKLDHMRRRIETDERDSTN
+>P29083_PF08271_127 <unknown description>
+FKCPVCSSTFTDLEANQLFDPMTGTFRCTFCHTEVEEDESAMPKKDART
+>P29083_PF11521_354 <unknown description>
+SESETSESDDDSPPRPAAVAVHKREEDEEEDDEFEEVADDPIVMVAGRPFSYSEVSQRPE
+LVAQMTPEEKEAYIAMGQRMFEDLFE
+>P29084_PF02186_74 <unknown description>
+KFGVLAKIVNYMKTRHQRGDTHPLTLDEILDETQHLDIGLKQKQWLMTEALVNNPKIEVI
+DGKYAFKPKYNV
+>P29084_PF18121_146 <unknown description>
+RDKKALLRLLDQHDQRGLGGILLEDIEEALPNSQKAVKALGDQILFVNRPDKKKILFFN
+>P35269_PF05793_3 <unknown description>
+ALGPSSQNVTEYVVRVPKNTTKKYNIMAFNAADKVNFATWNQARLERDLSNKKIYQEEEM
+PESGAGSEFNRKLREEARRKKYGIVLKEFRPEDQPWLLRVNGKSGRKFKGIKKGGVTENT
+SYYIFTQCPDGAFEAFPVHNWYNFTPLARHRTLTAEEAEEEWERRNKVLNHFSIMQQRRL
+KDQDQDEDEEEKEKRGRRKASELRIHDLEDDLEMSSDASDASGEEGGRVPKAKKKAPLAK
+GGRKKKKKKGSDDEAFEDSDDGDFEGQEVDYMSDGSSSSQEEPESKAKAPQQEEGPKGVD
+EQSDSSEESEEEKPPEEDKEEEEEKKAPTPQEKKRRKDSSEESDSSEESDIDSEASSALF
+MAKKKTPPKRERKPSGGSSRGNSRPGTPSAEGGSTSSTLRAAASKLEQGKRVSEMPAAKR
+LRLDTGPQSLSGKSTPQPPSGKTTPNSGDVQVTEDAVRRYLTRKPMTTKDLLKKFQTKKT
+GLSSEQTVNVLAQILKRLNPERKMINDKMHFSLKE
+>P13984_PF17683_16 <unknown description>
+TGVWLVKVPKYLSQQWAKASGRGEVGKLRIAKTQGRTEVSFTLNEDLANIHDIGGKPASV
+SAPREHPFVLQSVGGQTLTVFTESSSDKLSLE
+>P13984_PF02270_176 <unknown description>
+ARADKQHVLDMLFSAFEKHQYYNLKDLVDITKQPVVYLKEILKEIGVQNVKGIHKNTWEL
+KPEYR
+>Q6P1K8_PF04056_64 <unknown description>
+VVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTELSGN
+PRKHITSLKEAVDMTCHGEPSLYNSLSMAMQTLKHMPGHTSREVLIIFSSLTTCDPSNIY
+DLIKTLKAAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDESHYKELLTHHLSPPPASS
+SSECSLIRMGFP
+>Q6P1K8_PF07975_345 <unknown description>
+CYGCQGELKDQHVYVCAVCQNVFCVDCDVFVHDSLHCCPGC
+>Q9NYW0_PF05296_1 <unknown description>
+MLRVVEGIFIFVVVSESVFGVLGNGFIGLVNCIDCAKNKLSTIGFILTGLAISRIFLIWI
+IITDGFIQIFSPNIYASGNLIEYISYFWVIGNQSSMWFATSLSIFYFLKIANFSNYIFLW
+LKSRTNMVLPFMIVFLLISSLLNFAYIAKILNDYKTKNDTVWDLNMYKSEYFIKQILLNL
+GVIFFFTLSLITCIFLIISLWRHNRQMQSNVTGLRDSNTEAHVKAMKVLISFIILFILYF
+IGMAIEISCFTVRENKLLLMFGMTTTAIYPWGHSFILILGNSKLKQASLRVLQQLK
+>Q9NYV9_PF05296_1 <unknown description>
+MESALPSIFTLVIIAEFIIGNLSNGFIVLINCIDWVSKRELSSVDKLLIILAISRIGLIW
+EILVSWFLALHYLAIFVSGTGLRIMIFSWIVSNHFNLWLATIFSIFYLLKIASFSSPAFL
+YLKWRVNKVILMILLGTLVFLFLNLIQINMHIKDWLDRYERNTTWNFSMSDFETFSVSVK
+FTMTMFSLTPFTVAFISFLLLIFSLQKHLQKMQLNYKGHRDPRTKVHTNALKIVISFLLF
+YASFFLCVLISWISELYQNTVIYMLCETIGVFSPSSHSFLLILGNAKLRQAFLLVAAK
+>Q9NYV8_PF05296_1 <unknown description>
+MGGVIKSIFTFVLIVEFIIGNLGNSFIALVNCIDWVKGRKISSVDRILTALAISRISLVW
+LIFGSWCVSVFFPALFATEKMFRMLTNIWTVINHFSVWLATGLGTFYFLKIANFSNSIFL
+YLKWRVKKVVLVLLLVTSVFLFLNIALINIHINASINGYRRNKTCSSDSSNFTRFSSLIV
+LTSTVFIFIPFTLSLAMFLLLIFSMWKHRKKMQHTVKISGDASTKAHRGVKSVITFFLLY
+AIFSLSFFISVWTSERLEENLIILSQVMGMAYPSCHSCVLILGNKKLRQASLSVLLWL
+>Q9NYV7_PF05296_2 <unknown description>
+IPIQLTVFFMIIYVLESLTIIVQSSLIVAVLGREWLQVRRLMPVDMILISLGISRFCLQW
+ASMLNNFCSYFNLNYVLCNLTITWEFFNILTFWLNSLLTVFYCIKVSSFTHHIFLWLRWR
+ILRLFPWILLGSLMITCVTIIPSAIGNYIQIQLLTMEHLPRNSTVTDKLENFHQYQFQAH
+TVALVIPFILFLASTIFLMASLTKQIQHHSTGHCNPSMKARFTALRSLAVLFIVFTSYFL
+TILITIIGTLFDKRCWLWVWEAFVYAFILMHSTSLMLSSPTLKRI
+>P59542_PF05296_1 <unknown description>
+MMCFLLIISSILVVFAFVLGNVANGFIALVNVIDWVNTRKISSAEQILTALVVSRIGLLW
+VMLFLWYATVFNSALYGLEVRIVASNAWAVTNHFSMWLAASLSIFCLLKIANFSNLISLH
+LKKRIKSVVLVILLGPLVFLICNLAVITMDERVWTKEYEGNVTWKIKLRNAIHLSSLTVT
+TLANLIPFTLSLICFLLLICSLCKHLKKMRLHSKGSQDPSTKVHIKALQTVTSFLMLFAI
+YFLCIITSTWNLRTQQSKLVLLLCQTVAIMYPSFHSFILIMGSRKLKQTFLSVLWQM
+>P59543_PF05296_1 <unknown description>
+MMSFLHIVFSILVVVAFILGNFANGFIALINFIAWVKRQKISSADQIIAALAVSRVGLLW
+VILLHWYSTVLNPTSSNLKVIIFISNAWAVTNHFSIWLATSLSIFYLLKIVNFSRLIFHH
+LKRKAKSVVLVIVLGSLFFLVCHLVMKHTYINVWTEECEGNVTWKIKLRNAMHLSNLTVA
+MLANLIPFTLTLISFLLLIYSLCKHLKKMQLHGKGSQDPSTKIHIKALQTVTSFLILLAI
+YFLCLIISFWNFKMRPKEIVLMLCQAFGIIYPSFHSFILIWGNKTLKQTFLSVLWQV
+>P59541_PF05296_1 <unknown description>
+MITFLPIIFSILIVVIFVIGNFANGFIALVNSIEWVKRQKISFVDQILTALAVSRVGLLW
+VLLLHWYATQLNPAFYSVEVRITAYNVWAVTNHFSSWLATSLSMFYLLRIANFSNLIFLR
+IKRRVKSVVLVILLGPLLFLVCHLFVINMDETVWTKEYEGNVTWKIKLRSAMYHSNMTLT
+MLANFVPLTLTLISFLLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVTSFLLLCAI
+YFLSMIISVCNFGRLEKQPVFMFCQAIIFSYPSTHPFILILGNKKLKQIFLSVLRHV
+>P59538_PF05296_1 <unknown description>
+MTTFIPIIFSSVVVVLFVIGNFANGFIALVNSIERVKRQKISFADQILTALAVSRVGLLW
+VLLLNWYSTVFNPAFYSVEVRTTAYNVWAVTGHFSNWLATSLSIFYLLKIANFSNLIFLH
+LKRRVKSVILVMLLGPLLFLACQLFVINMKEIVRTKEYEGNLTWKIKLRSAVYLSDATVT
+TLGNLVPFTLTLLCFLLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVIFFLLLCAV
+YFLSIMISVWSFGSLENKPVFMFCKAIRFSYPSIHPFILIWGNKKLKQTFLSVLRQV
+>P59533_PF05296_14 <unknown description>
+VRSTFLFISVLEFAVGFLTNAFVFLVNFWDVVKRQALSNSDCVLLCLSISRLFLHGLLFL
+SAIQLTHFQKLSEPLNHSYQAIIMLWMIANQANLWLAACLSLLYCSKLIRFSHTFLICLA
+SWVSRKISQMLLGIILCSCICTVLCVWCFFSRPHFTVTTVLFMNNNTRLNWQIKDLNLFY
+SFLFCYLWSVPPFLLFLVSSGMLTVSLGRHMRTMKVYTRNSRDPSLEAHIKALKSLVSFF
+CFFVISSCAAFISVPLLILWRDKIGVMVCVGIMAACPSGHAAILISGNAKLRRAVMTILL
+W
+>P59534_PF05296_35 <unknown description>
+TLILAVLLAEYLIGIIANGFIMAIHAAEWVQNKAVSTSGRILVFLSVSRIALQSLMMLEI
+TISSTSLSFYSEDAVYYAFKISFIFLNFCSLWFAAWLSFFYFVKIANFSYPLFLKLRWRI
+TGLIPWLLWLSVFISFSHSMFCINICTVYCNNSFPIHSSNSTKKTYLSEINVVGLAFFFN
+LGIVTPLIMFILTATLLILSLKRHTLHMGSNATGSNDPSMEAHMGAIKAISYFLILYIFN
+AVALFIYLSNMFDINSLWNNLCQIIMAAYPASHSILLIQDNPGLRRAWKRL
+>P59535_PF05296_17 <unknown description>
+KVTFTLVVSGIECITGILGSGFITAIYGAEWARGKTLPTGDRIMLMLSFSRLLLQIWMML
+ENIFSLLFRIVYNQNSVYILFKVITVFLNHSNLWFAAWLKVFYCLRIANFNHPLFFLMKR
+KIIVLMPWLLRLSVLVSLSFSFPLSRDVFNVYVNSSIPIPSSNSTEKKYFSETNMVNLVF
+FYNMGIFVPLIMFILAATLLILSLKRHTLHMGSNATGSRDPSMKAHIGAIKATSYFLILY
+IFNAIALFLSTSNIFDTYSSWNILCKIIMAAYPAGHSVQLILGNPGLRRAWKRF
+>P59536_PF05296_1 <unknown description>
+MQAALTAFFVLLFSLLSLLGIAANGFIVLVLGREWLRYGRLLPLDMILISLGASRFCLQL
+VGTVHNFYYSAQKVEYSGGLGRQFFHLHWHFLNSATFWFCSWLSVLFCVKIANITHSTFL
+WLKWRFPGWVPWLLLGSVLISFIITLLFFWVNYPVYQEFLIRKFSGNMTYKWNTRIETYY
+FPSLKLVIWSIPFSVFLVSIMLLINSLRRHTQRMQHNGHSLQDPSTQAHTRALKSLISFL
+ILYALSFLSLIIDAAKFISMQNDFYWPWQIAVYLCISVHPFILIFSNLKLRSVFSQLLLL
+>Q7RTR8_PF05296_1 <unknown description>
+MATELDKIFLILAIAEFIISMLGNVFIGLVNCSEGIKNQKVFSADFILTCLAISTIGQLL
+VILFDSFLVGLASHLYTTYRLGKTVIMLWHMTNHLTTWLATCLSIFYFFKIAHFPHSLFL
+WLRWRMNGMIVMLLILSLFLLIFDSLVLEIFIDISLNIIDKSNLTLYLDESKTLYDKLSI
+LKTLLSLTSFIPFSLFLTSLLFLFLSLVRHTRNLKLSSLGSRDSSTEAHRRAMKMVMSFL
+FLFIVHFFSLQVANWIFFMLWNNKCIKFVMLALNAFPSCHSFILILGNSKLQQTAVRLLW
+HL
+>P59537_PF05296_1 <unknown description>
+MITFLPIIFSSLVVVTFVIGNFANGFIALVNSIEWFKRQKISFADQILTALAVSRVGLLW
+VLLLNWYSTVLNPAFNSVEVRTTAYNIWAVINHFSNWLATTLSIFYLLKIANFSNFIFLH
+LKRRVKSVILVMLLGPLLFLACHLFVINMNEIVRTKEFEGNMTWKIKLKSAMYFSNMTVT
+MVANLVPFTLTLLSFMLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVISFLLLCAI
+YFLSIMISVWSFGSLENKPVFMFCKAIRFSYPSIHPFILIWGNKKLKQTFLSVFWQM
+>P59540_PF05296_1 <unknown description>
+MITFLPIIFSILIVVTFVIGNFANGFIALVNSIEWFKRQKISFADQILTALAVSRVGLLW
+VLVLNWYATELNPAFNSIEVRITAYNVWAVINHFSNWLATSLSIFYLLKIANFSNLIFLH
+LKRRVKSVVLVILLGPLLFLVCHLFVINMNQIIWTKEYEGNMTWKIKLRSAMYLSNTTVT
+ILANLVPFTLTLISFLLLICSLCKHLKKMQLHGKGSQDPSMKVHIKALQTVTSFLLLCAI
+YFLSIIMSVWSFESLENKPVFMFCEAIAFSYPSTHPFILIWGNKKLKQTFLSVLWHV
+>P59544_PF05296_1 <unknown description>
+MITFLYIFFSILIMVLFVLGNFANGFIALVNFIDWVKRKKISSADQILTALAVSRIGLLW
+ALLLNWYLTVLNPAFYSVELRITSYNAWVVTNHFSMWLAANLSIFYLLKIANFSNLLFLH
+LKRRVRSVILVILLGTLIFLVCHLLVANMDESMWAEEYEGNMTGKMKLRNTVHLSYLTVT
+TLWSFIPFTLSLISFLMLICSLCKHLKKMQLHGEGSQDLSTKVHIKALQTLISFLLLCAI
+FFLFLIVSVWSPRRLRNDPVVMVSKAVGNIYLAFDSFILIWRTKKLKHTFLLILCQI
+>P59551_PF05296_17 <unknown description>
+AIILVTILLLLRLVAIAGNGFITAALGVEWVLRRMLLPCDKLLVSLGASRFCLQSVVMGK
+TIYVFLHPMAFPYNPVLQFLAFQWDFLNAATLWSSTWLSVFYCVKIATFTHPVFFWLKHK
+LSGWLPWMLFSSVGLSSFTTILFFIGNHRMYQNYLRNHLQPWNVTGDSIRSYCEKFYLFP
+LKMITWTMPTAVFFICMILLITSLGRHRKKALLTTSGFREPSVQAHIKALLALLSFAMLF
+ISYFLSLVFSAAGIFPPLDFKFWVWESVIYLCAAVHPIILLFSNCRLRAVL
+>Q96EM0_PF05544_22 <unknown description>
+VVDMHTGGEPLRIVLAGCPEVSGPTLLAKRRYMRQHLDHVRRRLMFEPRGHRDMYGAVLV
+PSELPDAHLGVLFLHNEGYSSMCGHAVLALGRFALDFGLVPAPPAGTREARVNIHCPCGL
+VTAFVACEDGRSHGPVRFHSVPAFVLATDLMVDVPGHGKVMVDIAYGGAFYAFVTAEKLG
+LDICSAKTRDLVDAASAVTEAVKAQFKINHPDSEDLAFLYGTILTDGKDAYTKEPTTNIC
+VFADEQVDRSPTGSGVTARIALQYHKGLLELNQMRAFKSSATGSVFTGKAVREAKCGDFK
+AVIVEVSGQAHYTGTASFIIEDDDPLRDGF
+>Q96CE8_PF05805_1 <unknown description>
+MGSRKCGGCLSCLLIPLALWSIIVNILLYFPNGQTSYASSNKLTNYVWYFEGICFSGIMM
+LIVTTVLLVLENNNNYKCCQSENCSKKYVTLLSIIFSSLGIAFSGYCLVISALGLVQGPY
+CRTLDGWEYAFEGTAGRFLTDSSIWIQCLEPAHVVEWNIILFSILITLSGLQVIICLIRV
+VMQLSKILCGSY
+>Q96DZ7_PF05805_11 <unknown description>
+SRTCSRILGLSLGTAALFAAGANVALLLPNWDVTYLLRGLLGRHAMLGTGLWGGGLMVLT
+AAILISLMGWRYGCFSKSGLCRSVLTALLSGGLALLGALICFVTSGVALKDGPFCMFDVS
+SFNQTQAWKYGYPFKDLHSRNYLYDRSLWNSVCLEPSAAVVWHVSLFSALLCISLLQLLL
+VVVHVINSLLGLFCSLCE
+>P30408_PF05805_1 <unknown description>
+MCYGKCARCIGHSLVGLALLCIAANILLYFPNGETKYASENHLSRFVWFFSGIVGGGLLM
+LLPAFVFIGLEQDDCCGCCGHENCGKRCAMLSSVLAALIGIAGSGYCVIVAALGLAEGPL
+CLDSLGQWNYTFASTEGQYLLDTSTWSECTEPKHIVEWNVSLFSILLALGGIEFILCLIQ
+VINGVLGGICGFCC
+>Q53R12_PF05805_2 <unknown description>
+TCCEGWTSCNGFSLLVLLLLGVVLNAIPLIVSLVEEDQFSQNPISCFEWWFPGIIGAGLM
+AIPATTMSLTARKRACCNNRTGMFLSSLFSVITVIGALYCMLISIQALLKGPLMCNSPSN
+SNANCEFSLKNISDIHPESFNLQWFFNDSCAPPTGFNKPTSNDTMASGWRASSFHFDSEE
+NKHRLIHFSVFLGLLLVGILEVLFGLSQIVIGFLGCLCGVS
+>P48230_PF05805_1 <unknown description>
+MCTGGCARCLGGTLIPLAFFGFLANILLFFPGGKVIDDNDHLSQEIWFFGGILGSGVLMI
+FPALVFLGLKNNDCCGCCGNEGCGKRFAMFTSTIFAVVGFLGAGYSFIISAISINKGPKC
+LMANSTWGYPFHDGDYLNDEALWNKCREPLNVVPWNLTLFSILLVVGGIQMVLCAIQVVN
+GLLGTLCGDCQ
+>O14894_PF05805_1 <unknown description>
+MCTGKCARCVGLSLITLCLVCIVANALLLVPNGETSWTNTNHLSLQVWLMGGFIGGGLMV
+LCPGIAAVRAGGKGCCGAGCCGNRCRMLRSVFSSAFGVLGAIYCLSVSGAGLRNGPRCLM
+NGEWGYHFEDTAGAYLLNRTLWDRCEAPPRVVPWNVTLFSLLVAASCLEIVLCGIQLVNA
+TIGVFCGDCR
+>Q9Y2B4_PF15331_28 <unknown description>
+QPVSKVIERNRLRTVLKNLSLLKLLKSSNRRIQELHKLAKRCWHSLLSVPKILRISSGEN
+SACNKTKQNNEEFQEIGCSEKELKSKKLESTGDPKKKEYKEWKSQVQSGMRNKEKTSLAA
+MPRKEKHIEPEVPRTSRDDSLNPGVQGRQPLTEGPRVIFIKPYRNRTPMGHMKQLDVADQ
+WIWFEGLPTRIHLPAPRVMCRSSTLRWVKRRCTRFCSAS
+>Q96A56_PF14839_25 <unknown description>
+EKEDDEWILVDFIDTCTGFSAEEEEEEEDISEESPTEHPSVFSCLPASLECLADTSDSCF
+LQFESCPMEESWFITPPPCFTAGGLTTIKVETSPMENLLIEHPSMSVYAVHNSCPGLSEA
+TRGTDELHSPSSPRVEAQNEMGQHIHCYVAALAAHTTFLEQPKSFRPSQWIKEHSERQPL
+NRNSLRRQNLTRDCHPRQVKHNGWVVHQPCPR
+>Q8IXH6_PF14839_64 <unknown description>
+PSLMDESWFVTPPACFTAEGPGLGPARLQSSPLEDLLIEHPSMSVYVTGSTIVLEPGSPS
+PLPDAALPDGDLSEGELTPARREPRAARHAAPLPARAALLEKAGQVRRLQRARQRAERHA
+LSAKAVQRQNRARESRPRRSKNQSSFIYQPCQR
+>Q9NYW7_PF05296_1 <unknown description>
+MLESHLIIYFLLAVIQFLLGIFTNGIIVVVNGIDLIKHRKMAPLDLLLSCLAVSRIFLQL
+FIFYVNVIVIFFIEFIMCSANCAILLFINELELWLATWLGVFYCAKVASVRHPLFIWLKM
+RISKLVPWMILGSLLYVSMICVFHSKYAGFMVPYFLRKFFSQNATIQKEDTLAIQIFSFV
+AEFSVPLLIFLFAVLLLIFSLGRHTRQMRNTVAGSRVPGRGAPISALLSILSFLILYFSH
+CMIKVFLSSLKFHIRRFIFLFFILVIGIYPSGHSLILILGNPKLKQNAKKFLLHSK
+>Q9NYW6_PF05296_1 <unknown description>
+MMGLTEGVFLILSGTQFTLGILVNCFIELVNGSSWFKTKRMSLSDFIITTLALLRIILLC
+IILTDSFLIEFSPNTHDSGIIMQIIDVSWTFTNHLSIWLATCLGVLYCLKIASFSHPTFL
+WLKWRVSRVMVWMLLGALLLSCGSTASLINEFKLYSVFRGIEATRNVTEHFRKKRSEYYL
+IHVLGTLWYLPPLIVSLASYSLLIFSLGRHTRQMLQNGTSSRDPTTEAHKRAIRIILSFF
+FLFLLYFLAFLIASFGNFLPKTKMAKMIGEVMTMFYPAGHSFILILGNSKLKQTFVVMLR
+C
+>Q9NYW5_PF05296_1 <unknown description>
+MLRLFYFSAIIASVILNFVGIIMNLFITVVNCKTWVKSHRISSSDRILFSLGITRFLMLG
+LFLVNTIYFVSSNTERSVYLSAFFVLCFMFLDSSSVWFVTLLNILYCVKITNFQHSVFLL
+LKRNISPKIPRLLLACVLISAFTTCLYITLSQASPFPELVTTRNNTSFNISEGILSLVVS
+LVLSSSLQFIINVTSASLLIHSLRRHIQKMQKNATGFWNPQTEAHVGAMKLMVYFLILYI
+PYSVATLVQYLPFYAGMDMGTKSICLIFATLYSPGHSVLIIITHPKLKTTAKKILCFKK
+>Q9NYW4_PF05296_1 <unknown description>
+MLSAGLGLLMLVAVVEFLIGLIGNGSLVVWSFREWIRKFNWSSYNLIILGLAGCRFLLQW
+LIILDLSLFPLFQSSRWLRYLSIFWVLVSQASLWFATFLSVFYCKKITTFDRPAYLWLKQ
+RAYNLSLWCLLGYFIINLLLTVQIGLTFYHPPQGNSSIRYPFESWQYLYAFQLNSGSYLP
+LVVFLVSSGMLIVSLYTHHKKMKVHSAGRRDVRAKAHITALKSLGCFLLLHLVYIMASPF
+SITSKTYPPDLTSVFIWETLMAAYPSLHSLILIMGIPRVKQTCQKILWKT
+>Q9NYW3_PF05296_1 <unknown description>
+MADKVQTTLLFLAVGEFSVGILGNAFIGLVNCMDWVKKRKIASIDLILTSLAISRICLLC
+VILLDCFILVLYPDVYATGKEMRIIDFFWTLTNHLSIWFATCLSIYYFFKIGNFFHPLFL
+WMKWRIDRVISWILLGCVVLSVFISLPATENLNADFRFCVKAKRKTNLTWSCRVNKTQHA
+STKLFLNLATLLPFCVCLMSFFLLILSLRRHIRRMQLSATGCRDPSTEAHVRALKAVISF
+LLLFIAYYLSFLIATSSYFMPETELAVIFGESIALIYPSSHSFILILGNNKLRHASLKVI
+WKV
+>Q9NYW2_PF05296_1 <unknown description>
+MFSPADNIFIILITGEFILGILGNGYIALVNWIDWIKKKKISTVDYILTNLVIARICLIS
+VMVVNGIVIVLNPDVYTKNKQQIVIFTFWTFANYLNMWITTCLNVFYFLKIASSSHPLFL
+WLKWKIDMVVHWILLGCFAISLLVSLIAAIVLSCDYRFHAIAKHKRNITEMFHVSKIPYF
+EPLTLFNLFAIVPFIVSLISFFLLVRSLWRHTKQIKLYATGSRDPSTEVHVRAIKTMTSF
+IFFFFLYYISSILMTFSYLMTKYKLAVEFGEIAAILYPLGHSLILIVLNNKLRQTFVRML
+TCRK
+>Q9NYW1_PF05296_1 <unknown description>
+MPSAIEAIYIILIAGELTIGIWGNGFIVLVNCIDWLKRRDISLIDIILISLAISRICLLC
+VISLDGFFMLLFPGTYGNSVLVSIVNVVWTFANNSSLWFTSCLSIFYLLKIANISHPFFF
+WLKLKINKVMLAILLGSFLISLIISVPKNDDMWYHLFKVSHEENITWKFKVSKIPGTFKQ
+LTLNLGVMVPFILCLISFFLLLFSLVRHTKQIRLHATGFRDPSTEAHMRAIKAVIIFLLL
+LIVYYPVFLVMTSSALIPQGKLVLMIGDIVTVIFPSSHSFILIMGNSKLREAFLKMLRFV
+K
+>P21731_PF00001_42 <unknown description>
+NLLALSVLAGARQGGSHTRSSFLTFLCGLVLTDFLGLLVTGTIVVSQHAALFEWHAVDPG
+CRLCRFMGVVMIFFGLSPLLLGAAMASERYLGITRPFSRPAVASQRRAWATVGLVWAAAL
+ALGLLPLLGVGRYTVQYPGSWCFLTLGAESGDVAFGLLFSMLGGLSVGLSFLLNTVSVAT
+LCHVYHGQEAAQQRPRDSEVEMMAQLLGIMVVASVCWLPLLVFIAQTVLRNPPAMSPAGQ
+LSRTTEKELLIYLRVATWNQILDPWVY
+>Q96RJ0_PF00001_40 <unknown description>
+GNLIVIVSISHFKQLHTPTNWLIHSMATVDFLLGCLVMPYSMVRSAEHCWYFGEVFCKIH
+TSTDIMLSSASIFHLSFISIDRYYAVCDPLRYKAKMNILVICVMIFISWSVPAVFAFGMI
+FLELNFKGAEEIYYKHVHCRGGCSVFFSKISGVLTFMTSFYIPGSIMLCVYYRIYLIAKE
+QARLISDANQKLQIGLEMKNGISQSKERKAVKTLGIVMGVFLICWCPFFICTVMDPFLHY
+IIPPTLNDVLIWFGYLNSTFNPMVY
+>Q9P1P5_PF00001_60 <unknown description>
+GNLAMIISISYFKQLHTPTNFLILSMAITDFLLGFTIMPYSMIRSVENCWYFGLTFCKIY
+YSFDLMLSITSIFHLCSVAIDRFYAICYPLLYSTKITIPVIKRLLLLCWSVPGAFAFGVV
+FSEAYADGIEGYDILVACSSSCPVMFNKLWGTTLFMAGFFTPGSMMVGIYGKIFAVSRKH
+AHAINNLRENQNNQVKKDKKAAKTLGIVIGVFLLCWFPCFFTILLDPFLNFSTPVVLFDA
+LTWFGYFNSTCNPLIY
+>O14804_PF00001_51 <unknown description>
+GNVFVAFAVSYFKALHTPTNFLLLSLALADMFLGLLVLPLSTIRSVESCWFFGDFLCRLH
+TYLDTLFCLTSIFHLCFISIDRHCAICDPLLYPSKFTVRVALRYILAGWGVPAAYTSLFL
+YTDVVETRLSQWLEEMPCVGSCQLLLNKFWGWLNFPLFFVPCLIMISLYVKIFVVATRQA
+QQITTLSKSLAGAAKHERKAAKTLGIAVGIYLLCWLPFTIDTMVDSLLHFITPPLVFDIF
+IWFAYFNSACNPIIY
+>Q96RI8_PF00001_49 <unknown description>
+GNLLVMISILHFKQLHSPTNFLVASLACADFLVGVTVMPFSMVRTVESCWYFGRSFCTFH
+TCCDVAFCYSSLFHLCFISIDRYIAVTDPLVYPTKFTVSVSGICISVSWILPLMYSGAVF
+YTGVYDDGLEELSDALNCIGGCQTVVNQNWVLTDFLSFFIPTFIMIILYGNIFLVARRQA
+KKIENTGSKTESSSESYKARVARRERKAAKTLGVTVVAFMISWLPYSIDSLIDAFMGFIT
+PACIYEICCWCAYYNSAMNPLIY
+>Q969N4_PF00001_48 <unknown description>
+GNLLVMTSVLHFKQLHSPTNFLIASLACADFLVGVTVMLFSMVRTVESCWYFGAKFCTLH
+SCCDVAFCYSSVLHLCFICIDRYIVVTDPLVYATKFTVSVSGICISVSWILPLTYSGAVF
+YTGVNDDGLEELVSALNCVGGCQIIVSQGWVLIDFLLFFIPTLVMIILYSKIFLIAKQQA
+IKIETTSSKVESSSESYKIRVAKRERKAAKTLGVTVLAFVISWLPYTVDILIDAFMGFLT
+PAYIYEICCWSAYYNSAMNPLIY
+>Q96RI9_PF00001_49 <unknown description>
+GNLLVMIAILHFKQLHTPTNFLIASLACADFLVGVTVMPFSTVRSVESCWYFGDSYCKFH
+TCFDTSFCFASLFHLCCISVDRYIAVTDPLTYPTKFTVSVSGICIVLSWFFSVTYSFSIF
+YTGANEEGIEELVVALTCVGGCQAPLNQNWVLLCFLLFFIPNVAMVFIYSKIFLVAKHQA
+RKIESTASQAQSSSESYKERVAKRERKAAKTLGIAMAAFLVSWLPYLVDAVIDAYMNFIT
+PPYVYEILVWCVYYNSAMNPLIY
+>Q15750_PF00481_70 <unknown description>
+GYDGNRVTNFVAQRLSAELLLGQLNAEHAEADVRRVLLQAFDVVERSFLESIDDALAEKA
+SLQSQLPEGVPQHQLPPQYQKILERLKTLEREISGGAMAVVAVLLNNKLYVANVGTNRAL
+LCKSTVDGLQVTQLNVDHTTENEDELFRLSQLGLDAGKIKQVGIICGQESTRRIGDYKVK
+YGYTDIDLLSAAKSKPIIAEPEIHGAQPLDGVTGFLVLMSEGLYKALEAAHGPGQANQEI
+AAMIDTEFAKQTSLDAVAQAVVDR
+>Q9NYJ8_PF02845_11 <unknown description>
+QVLHDLRQKFPEVPEVVVSRCMLQNNNNLDACCAVLSQES
+>Q8N5C8_PF02845_11 <unknown description>
+QVLHDLRQRFPEIPEGVVSQCMLQNNNNLEACCRALSQES
+>Q8N9U0_PF00168_242 <unknown description>
+ITVLQCRDLSWPSSYGDTPTVSIKGILTLPKPVHFKSSAKEGSNAIEFMETFVFAIKLQN
+LQTVRLVFKIQTQTPRKKTIGECSMSLRTLSTQE
+>Q8N9U0_PF00168_367 <unknown description>
+RIQLQILEARYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASNGRVKWGETMIFP
+LIQSEKEIVFLIKLYSRSSVRRKHFVGQIWISEDSNNIEAVNQW
+>Q9BXJ8_PF07851_14 <unknown description>
+RDWEDLQQDFQNIQETHRLYRLKLEELTKLQNNCTSSITRQKKRLQELALALKKCKPSLP
+AEAEGAAQELENQMKERQGLFFDMEAYLPKKNGLYLSLVLGNVNVTLLSKQAKFAYKDEY
+EKFKLYLTIILILISFTCRFLLNSRVTDAAFNFLLVWYYCTLTIRESILINNGSRIKGWW
+VFHHYVSTFLSGVMLTWPDGLMYQKFRNQFLSFSMYQSFVQFLQYYYQSGCLYRLRALGE
+RHTMDLTVEGFQSWMWRGLTFLLPFLFFGHFWQLFNALTLFNLAQDPQCKEWQVLMCGFP
+FLLLFLGNFFTTLRVVHHKFHS
+>O75410_PF05010_599 <unknown description>
+SESDKTAVLTLIREEIITKEIEANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQR
+TSMTSQKSFQQLTMEKEQALADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQD
+YLARVKQEEQRYQALKIHAEEKLDKANEEIAQVRTKAKAESAALHAGLRKEQMKVESLER
+ALQQKNQEIEELTKICDELI
+>O95359_PF05010_2742 <unknown description>
+FQQPDLDSALQIARAEIITKEREVSEWKDKYEESRREVMEMRKIVAEYEKTIAQMIEDEQ
+REKSVSHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKRCAQ
+EYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAHQASLRKEQLRVDALE
+RTLEQKNKEIEELTKICDELI
+>Q9Y6A5_PF05010_639 <unknown description>
+YSQKDLDAVVKATQEENRELRSRCEELHGKNLELGKIMDRFEEVVYQAMEEVQKQKELSK
+AEIQKVLKEKDQLTTDLNSMEKSFSDLFKRFEKQKEVIEGYRKNEESLKKCVEDYLARIT
+QEGQRYQALKAHAEEKLQLANEEIAQVRSKAQAEALALQASLRKEQMRIQSLEKTVEQKT
+KENEELTRICDDLI
+>P09758_PF00086_97 <unknown description>
+LVDNDGLYDPDCDPEGRFKARQCNQTSVCWCVNSVGVRRTDK
+>Q9BSH4_PF01709_61 <unknown description>
+NKWSKVRHIKGPKDVERSRIFSKLCLNIRLAVKEGGPNPEHNSNLANILEVCRSKHMPKS
+TIETALKMEKSKDTYLLYEGRGPGGSSLLIEALSNSSHKCQADIRHILNKNGGVMAVGAR
+HSFDKKGVIVVEVEDREKKAVNLERALEMAIEAGAEDVKETEDEEERNVFKFICDASSLH
+QVRKKLDSLGLCSVSCALEFIPNSKVQLAEPDLEQAAHLIQALSNHEDVIHVYDNI
+>Q86TJ2_PF00249_68 <unknown description>
+GGWTSREEQLLLDAIEQFGFGNWEDMAAHVGASRTPQEVMEHYV
+>Q96BN2_PF12767_7 <unknown description>
+ELEAAKKNLSEALGDNVKQYWANLKLWFKQKISKEEFDLEAHRLLTQDNVHSHNDFLLAI
+LTRCQILVSTPDGAGSLPWPGGSAAKPGKPKGKKKLSSVRQKFD
+>Q96BN2_PF12767_138 <unknown description>
+LCSHTMMLPTRGQLEGRMIVTAYEHGLDNVTEEAVSAVVYAVENHLKDILTSVVS
+>O75528_PF10198_310 <unknown description>
+HTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLR
+LAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQA
+>Q13148_PF18694_4 <unknown description>
+YIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGILHA
+PDAGWGNLVYVVN
+>Q13148_PF00076_106 <unknown description>
+LIVLGLPWKTTEQDLKEYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQ
+>Q13148_PF00076_193 <unknown description>
+VFVGRCTEDMTEDELREFFSQYGDVMDVFIPKPFRAFAFVTFADDQIAQ
+>Q12962_PF03540_128 <unknown description>
+PTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQHCKMK
+>Q15544_PF04719_108 <unknown description>
+ILVSSFSEEQLNRYEMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVE
+EALDVCEKWGEMPPLQPKHMREAVRR
+>Q16514_PF03847_59 <unknown description>
+TKKKLQDLVREVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQL
+HLERQWNM
+>Q15543_PF02269_31 <unknown description>
+LFSKELRCMMYGFGDDQNPYTESVDILEDLVIEFITEMTHKAMSIGRQGRVQVEDIVFLI
+RKDPRKFARVKDLLTMNEELKRARKAFDE
+>Q15573_PF14929_63 <unknown description>
+EALLKHQWQQAAEYMYSYFQTLEDSDSYKRQAAPEIIWKLGSEILFYHPKSNMESFNTFA
+NRMKNIGVMNYLKISLQHALYLLHHGMLKDAKRNLSEAETWRHGENTSSREILINLIQAY
+KGLLQYYTWSEKKMELSKLDKDDYAYNAVAQDVFNHSWKTSANISALIKIPGVWDPFVKS
+YVEMLEFYGDRDGAQEVLTNYAYDEKFPSNPNAHIYLYNFLKRQKAPRSKLISVLKILYQ
+IVPSHKLMLEFHTLLRKSEKEEHRKLGLEVLFGVLDFAGCTKNITAWKYLAKYLKNILMG
+NHLAWVQEEWNSRKNWWPGFHFSYFWAKSDWKEDTALACEKAFVAGLLLGKGCRYFRYIL
+>Q53T94_PF11781_8 <unknown description>
+EFKERCTQCAAVSWGLTDEGKYYCTSCHNVT
+>Q9H5J8_PF15333_27 <unknown description>
+SDSSLFKTQCIPYSPKGEKRNPIRKFVRTPESVHASDSSSDSSFEPIPLTIKAIFERFKN
+RKKRYKKKKKRRYQPTGRPRGRPEGRRNPIYSLIDKKKQFRSRGSGFPFLESENEKNAPW
+RKILTFEQAVARGFFNYIEKLKYEHHLKESLKQMNVGEDLENEDFDSRRYKFLDDDGSIS
+PIEESTAEDEDATHLEDNECDIKLAGDSFIVSSEFPV
+>Q8IZX4_PF09247_24 <unknown description>
+DSEEDSSGGGPFTLAGILFGNISGAGQLEGESVLDDECKKHLAGLGALGLGSLITELTAN
+EE
+>Q8IZX4_PF12157_584 <unknown description>
+WNLSNDEYYFPKQQGLRGTFGGNIIQHSIPAMELWQPFFPTHMGPIKIRQFHRPPLKKYS
+FGALSQPGPHSVQPLLKHIKKKAKMREQERQASGGGELFFMRTPQDLTGKDGDLILAEYS
+EENGPLMMQVGMATKIKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQALE
+NNLFRAPVYLHKMPETDFLIIRTRQGYYIRELVDIFVVGQQCPLFEVPGPNSRRANMHIR
+DFLQVFIYRLFWKSKDRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVL
+KSDFRLPTEEEIRAKVSPEQCCAYYSMIAAKQRLKDAGYGEKSFFAPEEENEEDFQMKID
+DEVHAAPWNTTRAFIAAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQAV
+KKTVTGTDADLRRLSLKNAKQLLRKFGVPEEEIKKLSRWEVID
+>Q8IZX4_PF15288_1280 <unknown description>
+KLKCGACGAIGHMRTNKFCPLYYQTNVPPSKPVAMTEEQEEE
+>Q8IZX4_PF00439_1409 <unknown description>
+ESIINDMRDLPNTHPFHTPVNAKVVKDYYKIITRPMDLQTLRENVRKCLYPSREEFREHL
+ELIVKNSATYNGPKHSLTQI
+>Q8IZX4_PF00439_1532 <unknown description>
+NIVTQKMMAVPDSWPFHHPVNKKFVPDYYKMIVNPVDLETIRKNISKHKYQSRESFLDDV
+NLILANSVKYNGPESQYTKTAQE
+>P21675_PF09247_5 <unknown description>
+DSDEDSAGGGPFSLAGFLFGNINGAGQLEGESVLDDECKKHLAGLGALGLGSLITELTAN
+EE
+>P21675_PF12157_566 <unknown description>
+WNLSNDEYYYPKQQGLRGTFGGNIIQHSIPAVELRQPFFPTHMGPIKLRQFHRPPLKKYS
+FGALSQPGPHSVQPLLKHIKKKAKMREQERQASGGGEMFFMRTPQDLTGKDGDLILAEYS
+EENGPLMMQVGMATKIKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQAFE
+NNLFRAPIYLHKMPETDFLIIRTRQGYYIRELVDIFVVGQQCPLFEVPGPNSKRANTHIR
+DFLQVFIYRLFWKSKDRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVL
+KSDFRLPTEEEIRAMVSPEQCCAYYSMIAAEQRLKDAGYGEKSFFAPEEENEEDFQMKID
+DEVRTAPWNTTRAFIAAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQPV
+KKTVTGTDADLRRLSLKNAKQLLRKFGVPEEEIKKLSRWEVID
+>P21675_PF15288_1262 <unknown description>
+KLKCGACGAIGHMRTNKFCPLYYQTNAPPSNPVAMTEEQEEE
+>P21675_PF00439_1391 <unknown description>
+ESIINDMRDLPNTYPFHTPVNAKVVKDYYKIITRPMDLQTLRENVRKRLYPSREEFREHL
+ELIVKNSATYNGPKHSLTQI
+>P21675_PF00439_1514 <unknown description>
+NIVTQKMMAVPDSWPFHHPVNKKFVPDYYKVIVNPMDLETIRKNISKHKYQSRESFLDDV
+NLILANSVKYNGPESQYTKTAQE
+>Q5VWG9_PF07524_4 <unknown description>
+SYSRSLLRVSVAQICQALGWDSVQLSACHLLTDVLQRYLQQLGRGCHRYSELYGRTDPIL
+DDVGEAFQLMGVS
+>Q5VWG9_PF00628_867 <unknown description>
+ICPGCNKPDDGSPMIGCDDCDDWYHWPCVGIMTAPPEEMQWFCPKCAN
+>Q92750_PF07531_258 <unknown description>
+NVKKCKNFLAMLIKLACSGSQSPEMGQNVKKLVEQLLDAKIEAEEFTRKLYVELKSSPQP
+HLVPFLKKSVVALRQLLPNSQSFIQQCVQQT
+>Q92750_PF05236_616 <unknown description>
+INDVTSMAGVNLNEENACILATNSELVGTLIQSCKDEPFLFIGALQKRILDIGKKHDITE
+LNSDAVNLISQATQERLRGLLEKLTAIAQHRMTTYKASENYILCSDTRSQLKFLEKLDQL
+EKQRKDLEEREMLLKAAKSRSNKEDPEQLRLKQKAKELQQLELAQIQHRDANLTALAAIG
+PRKKRPLESGIEGLKDNLLASGTSSLTATKQLHRPRITRICLRDLIFCMEQEREMKYSRA
+LY
+>O00268_PF07531_592 <unknown description>
+NVKKCKNFLSTLIKLASSGKQSTETAANVKELVQNLLDGKIEAEDFTSRLYRELNSSPQP
+YLVPFLKRSLPALRQLTPDSAAFIQQSQQQP
+>O00268_PF05236_834 <unknown description>
+INDVASMAGVNLSEESARILATNSELVGTLTRSCKDETFLLQAPLQRRILEIGKKHGITE
+LHPDVVSYVSHATQQRLQNLVEKISETAQQKNFSYKDDDRYEQASDVRAQLKFFEQLDQI
+EKQRKDEQEREILMRAAKSRSRQEDPEQLRLKQKAKEMQQQELAQMRQRDANLTALAAIG
+PRKKRKVDCPGPGSGAEGSGPGSVVPGSSGVGTPRQFTRQRITRVNLRDLIFCLENERET
+SHSLLLYK
+>O75529_PF04494_65 <unknown description>
+AEPQQYEVQFGRLRNFLTDSDSQHSHEVMPLLYPLFVYLHLNLVQNSPKSTVESFYSRFH
+GMFLQNASQKDVIEQLQTTQTIQDILSNFKLRAFLDNKYVVRLQEDSYNYLIRYLQSDNN
+TALCKVLTLHI
+>O75529_PF00400_333 <unknown description>
+TEMKILRGHCGPVYSTRFLADSSGLLSCSEDMSIRYWD
+>O75529_PF00400_380 <unknown description>
+YQGHAYPVWDLDISPYSLYFASGSHDRTARLWS
+>O75529_PF00400_418 <unknown description>
+PLRIYAGHLADVDCVKFHPNSNYLATGSTDKTVRLWS
+>O75529_PF00400_459 <unknown description>
+NSVRLFTGHRGPVLSLAFSPNGKYLASAGEDQRLKLWD
+>O75529_PF00400_503 <unknown description>
+YKELRGHTDNITSLTFSPDSGLIASASMDNSVRVWD
+>Q15542_PF04494_209 <unknown description>
+GDPTMYEEYYSGLKHFIECSLDCHRAELSQLFYPLFVHMYLELVYNQHENEAKSFFEKFH
+GDQECYYQDDLRVLSSLTKKEHMKGNETMLDFRTSKFVLRISRDSYQLLKRHLQEKQNNQ
+IWNIVQEHL
+>Q15542_PF00400_471 <unknown description>
+QGLTAVDVTDDSSLIAGGFADSTVRVWS
+>Q15542_PF00400_535 <unknown description>
+ELKILYGHSGPVYGASFSPDRNYLLSSSEDGTVRLWS
+>Q15542_PF00400_576 <unknown description>
+TCLVGYKGHNYPVWDTQFSPYGYYFVSGGHDRVARLW
+>Q15542_PF00400_618 <unknown description>
+QPLRIFAGHLADVNCTRFHPNSNYVATGSADRTVRLWD
+>Q15542_PF00400_659 <unknown description>
+GNCVRIFTGHKGPIHSLTFSPNGRFLATGATDGRVLLWD
+>Q15542_PF00400_704 <unknown description>
+VGELKGHTDTVCSLRFSRDGEILASGSMDNTVRLWD
+>Q9Y6J9_PF02969_10 <unknown description>
+VEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQFMKHTKRRKLTVEDFN
+RALR
+>Q9Y6J9_PF07571_242 <unknown description>
+VLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQHILLSLQKILADPV
+RPLCCHYGAVVGLHALGWKAVERVLYPHL
+>P49848_PF02969_12 <unknown description>
+TVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHMGKRQKLTTSDI
+DYALK
+>P49848_PF07571_308 <unknown description>
+VMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFTKSWVDEK
+TPWTTRYGSIAGLAELGHDVIKTLILPRLQ
+>Q5H9L4_PF04658_12 <unknown description>
+VENQFILRLPLEHACTVRNLARSQSVKMKDKLKIDLLPDGRHAVVEVEDVPLAAKLVDLP
+CVIESLRTLDKKTFYKTADISQMLVCTADGDIHLSPEEPAASTDPNIVRKKERGREEKCV
+WKHGITPPLKNVRKKRFRKTQKKVPDVKEMEKSSFTEYIESPDVENEVKRLLRSDAEA
+>Q15545_PF04658_12 <unknown description>
+LESQFILRLPPEYASTVRRAVQSGHVNLKDRLTIELHPDGRHGIVRVDRVPLASKLVDLP
+CVMESLKTIDKKTFYKTADICQMLVSTVDGDLYPPVEEPVASTDPKASKKKDKDKEKKFI
+WNHGITLPLKNVRKRRFRKTAKKKYIESPDVEKEVKRLLSTDAEA
+>Q7Z7C8_PF07524_32 <unknown description>
+ARRRTLQVVVSSLLTEAGFESAEKASVETLTEMLQSYISEIGRSAKSYCEHTARTQPTLS
+DIVVTLVEMGFNVDT
+>Q7Z7C8_PF10406_145 <unknown description>
+HIPSHFPEFPDPHTYIKTPTYREPVSDYQVLREKAASQRRDVERALTRF
+>Q9HBM6_PF02291_10 <unknown description>
+KNAPRDALVMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKPNVDAD
+DVRLAIQCRADQSFTSPPPRDFLLDIARQKNQTPLPLIKPYAGPRLPPDRYCLTAPNYRL
+K
+>Q16594_PF02291_10 <unknown description>
+KSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKATVDAD
+DVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRYCLTAPNYRL
+K
+>Q7Z5A9_PF12020_42 <unknown description>
+GTCEVIAAHRCCNKNRIEERSQTVKCSCLPGKVAGTTRNRPSCVDASIVIGKWWCEMEPC
+LEGEECKTLPDNSGWMCATGNKIKTTRI
+>Q8N3H0_PF12020_41 <unknown description>
+TGTCEVVALHRCCNKNKIEERSQTVKCSCFPGQVAGTTRAAPSCVDASIVEQKWWCHMQP
+CLEGEECKVLPDRKGWSCSSGNKVKTTRV
+>Q7Z5A8_PF12020_43 <unknown description>
+QGTCEVIAAHRCCNRNRIEERSQTVKCSCFSGQVAGTTRAKPSCVDASIVLQRWWCQMEP
+CLPGEECKVLPDLSGWSCSSGHKVKTTKV
+>Q96LR4_PF12020_50 <unknown description>
+QGTCEVVAVHRCCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEASIVIQKWWCHMNP
+CLEGEDCKVLPDYSGWSCSSGNKVKTTKV
+>Q7Z5A7_PF12020_42 <unknown description>
+AGTCEIVTLDRDSSQPRRTIARQTARCACRKGQIAGTTRARPACVDARIIKTKQWCDMLP
+CLEGEGCDLLINRSGWTCTQPGGRIKTTTV
+>Q8N103_PF00620_101 <unknown description>
+PRPIQDILTILCLKGPSTEGIFRRAANEKARKELKEELNSGDAVDLERLPVHLLAVVFKD
+FLRSIPRKLLSSDLFEEWMGALEMQDEEDRIEALKQVADKLPRPNLLLLKHLVYVLHLIS
+KNSEVNRMDSSNLAICIGPNMLTLEND
+>P37802_PF00307_26 <unknown description>
+LEQILIQWITTQCRKDVGRPQPGRENFQNWLKDGTVLCELINALYPEGQAPVKKIQASTM
+AFKQMEQISQFLQAAERYGINTTDIFQTVDLWEGKNMACVQRTLMNLG
+>P37802_PF00402_174 <unknown description>
+IGLQMGTNRGASQAGMTGYGMPRQI
+>Q9UI15_PF00307_26 <unknown description>
+LENKLVDWIILQCAEDIEHPPPGRAHFQKWLMDGTVLCKLINSLYPPGQEPIPKISESKM
+AFKQMEQISQFLKAAETYGVRTTDIFQTVDLWEGKDMAAVQRTLMALGSV
+>Q9UI15_PF00402_174 <unknown description>
+IGLQMGSNKGASQAGMTGYGMPRQI
+>Q01995_PF00307_25 <unknown description>
+ELEERLVEWIIVQCGPDVGRPDRGRLGFQVWLKNGVILSKLVNSLYPDGSKPVKVPENPP
+SMVFKQMEQVAQFLKAAEDYGVIKTDMFQTVDLFEGKDMAAVQRTLMALGS
+>Q01995_PF00402_175 <unknown description>
+IGLQMGSNRGASQAGMTGYGRPRQI
+>P17542_PF00010_188 <unknown description>
+RRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFL
+>Q16559_PF00010_5 <unknown description>
+IFTNTRERWRQQNVNSAFAKLRKLIPTHPPDKKLSKNETLRLAMRYINFL
+>P37837_PF00923_24 <unknown description>
+VVADTGDFHAIDEYKPQDATTNPSLILAAAQMPAYQELVEEAIAYGRKLGGSQEDQIKNA
+IDKLFVLFGAEILKKIPGRVSTEVDARLSFDKDAMVARARRLIELYKEAGISKDRILIKL
+SSTWEGIQAGKELEEQHGIHCNMTLLFSFAQAVACAEAGVTLISPFVGRILDWHVANTDK
+KSYEPLEDPGVKSVTKIYNYYKKFSYKTIVMGASFRNTGEIKALAGCDFLTISPKLLGEL
+LQDNAKLVPVLSAKAAQASDLEKIHLDEKSFRWLHNEDQMAVEKLSDGIRKFAADAVKLE
+RM
+>Q96BW9_PF09139_13 <unknown description>
+FRKILSHFPEELSLAFVYGSGVYRQAGPSSDQKNAMLDFVFTVDDPVAWHSKNLKKNWSH
+YSFLKVLGPKIITSIQNNYGAGVYYNSLIMCNGRLIKYGVISTNVLIEDLLNWNNLYIAG
+RLQKPVKIISVNEDVTLRSALDRNLKSAVTAAFLMLPESFSEEDLFIEIAGLSYSGDFRM
+VVGEDKTKVLNIVKPNIAHFRELYGSILQENPQVVYKSQQGWLEIDKSPEGQFTQLMTLP
+KTLQQQINHIMDPPGKNRDVEETLFQVAHDPDCGDVVRLGLSAIVRPSSIRQSTKGIFTA
+GLKKSVIYSSLKLHK
+>Q9C0D5_PF12796_939 <unknown description>
+LCVQSHLGHEEVVTLLLEFGACLDGTSENGMTALCYAAAAGHMKLVCLLTKKGVRVDHLD
+KKGQCALVHSALRGHGDILQYLLT
+>Q9C0D5_PF12796_1047 <unknown description>
+AAASMGHSSVVQCLLGMEKEHEVEVNGTDTLWGETALTAAAGRGKLEVCELLLGHGAAVS
+RTNRRGVPPLFCAARQGHWQIVRLLLERGCDVNLSD
+>Q9C0D5_PF00023_1145 <unknown description>
+GRTPLMVAACEGHLSTVEFLLSKGAALSSLD
+>Q9C0D5_PF12796_1177 <unknown description>
+EGLSALSWACLKGHRAVVQYLVEEGAAIDQTDKNGRTPLDLAAFYGDAETVLYLVEKGAV
+IE
+>Q92844_PF12845_133 <unknown description>
+HERGNIEKTFWDLKEEFHKICMLAKAQKDHLSKLNIPDTATETQCSVPIQCTDK
+>Q7L7X3_PF00069_28 <unknown description>
+FTDLREIGHGSFGAVYFARDVRTNEVVAIKKMSYSGKQSTEKWQDIIKEVKFLQRIKHPN
+SIEYKGCYLREHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAITHGALQGLAYLHSHTM
+IHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVD
+VWSLGITCIELAERKPPLFNMNAMSALYHIAQNESPTLQSNEWSDYFRNFVDSCLQKIPQ
+DRPTSEELLKHIF
+>Q9UL54_PF00069_29 <unknown description>
+SDLREIGHGSFGAVYFARDVRNSEVVAIKKMSYSGKQSNEKWQDIIKEVRFLQKLRHPNT
+IQYRGCYLREHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAVTHGALQGLAYLHSHNMI
+HRDVKAGNILLSEPGLVKLGDFGSASIMAPANSFVGTPYWMAPEVILAMDEGQYDGKVDV
+WSLGITCIELAERKPPLFNMNAMSALYHIAQNESPVLQSGHWSEYFRNFVDSCLQKIPQD
+RPTSEVLLKHRFV
+>Q9H2K8_PF00069_27 <unknown description>
+LHEIGHGSFGAVYFATNAHTSEVVAIKKMSYSGKQTHEKWQDILKEVKFLRQLKHPNTIE
+YKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAITHGALHGLAYLHSHALIHR
+DIKAGNILLTEPGQVKLADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVDIWS
+LGITCIELAERKPPLFNMNAMSALYHIAQNDSPTLQSNEWTDSFRRFVDYCLQKIPQERP
+TSAELLRHDFV
+>Q03518_PF00664_189 <unknown description>
+LFLVLVVLSSLGEMAIPFFTGRLTDWILQDGSADTFTRNLTLMSILTIASAVLEFVGDGI
+YNNTMGHVHSHLQGEVFGAVLRQETEFFQQNQTGNIMSRVTEDTSTLSDSLSENLSLFLW
+YLVRGLCLLGIMLWGSVSLTMVTLITLPLLFLLPKKVGKWYQLLEVQVRESLAKSSQVAI
+EALSAMPTVRSFANEEGEAQKFREKLQEIKTLNQKEAVAYAVNSWTTSISGMLLKVGILY
+IGGQLVTSGAVSSGNLVTFVLYQMQFTQAV
+>Q03518_PF00005_521 <unknown description>
+LQGLTFTLRPGEVTALVGPNGSGKSTVAALLQNLYQPTGGQLLLDGKPLPQYEHRYLHRQ
+VAAVGQEPQVFGRSLQENIAYGLTQKPTMEEITAAAVKSGAHSFISGLPQGYDTEVDEAG
+SQLSGGQRQAVALARALIRKPCVLILDDATS
+>Q9P031_PF08524_58 <unknown description>
+RRKLKIQQSYKKLLRKEKKAQTSLESQFTDRYPDNLKHLYLAEEERHRKQARKVDHPLSE
+QVHQPLLEEQCSIDEPLFEDQCSFDQPQPEEQCIKTVNSFTIPKKNKKKTSNQKAQEEYE
+QIQAKRAAKKQEFERRKQEREEAQRQYKKKKMEVFKILNKKTKKGQPNLNVQMEYLLQKI
+QE
+>Q03519_PF00664_153 <unknown description>
+VAAFFFLVLAVLGETLIPHYSGRVIDILGGDFDPHAFASAIFFMCLFSFGSSLSAGCRGG
+CFTYTMSRINLRIREQLFSSLLRQDLGFFQETKTGELNSRLSSDTTLMSNWLPLNANVLL
+RSLVKVVGLYGFMLSISPRLTLLSLLHMPFTIAAEKVYNTRHQEVLREIQDAVARAGQVV
+REAVGGLQTVRSFGAEEHEVCRYKEALEQCRQLYWRRDLERALYLLVRRVLHLGVQMLML
+SCGLQQMQDGELTQGSLLSFMIYQ
+>Q03519_PF00005_486 <unknown description>
+LKGLTFTLRPGEVTALVGPNGSGKSTVAALLQNLYQPTGGQVLLDEKPISQYEHCYLHSQ
+VVSVGQEPVLFSGSVRNNIAYGLQSCEDDKVMAAAQAAHADDFIQEMEHGIYTDVGEKGS
+QLAAGQKQRLAIARALVRDPRVLILDEATS
+>Q6NXT6_PF05346_155 <unknown description>
+LQPAQVCDILKGVILVICYFMMHYVDYSMMYHLIRGQSVIKLYIIYNMLEVADRLFSSFG
+QDILDALYWTATEPKERKRAHIGVIPHFFMAVLYVFLHAILIMVQATTLNVAFNSHNKSL
+LTIMMSNNFVEIKGSVFKKFEKNNLFQMSNSDIKERFTNYVLLLIVCLRNMEQFSWNPDH
+LWVLFPDVCMVIASEIAVDIVKHAFITKFNDITADVYSEYRASLAFDLVSSRQKNAYTDY
+SDSVARRMGFIPLPLAVLLIRVVTSSIKVQGILSYACVILFYFGLISLKVLNSIVLLGKS
+CQY
+>Q9H2D6_PF00169_1781 <unknown description>
+KKGWMSILDEPGEPPSPSLTTTSTSQWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLR
+SCTDVTEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTV
+>Q13395_PF00588_1464 <unknown description>
+LIVVASLIDKPTNLGGLCRTCEVFGASVLVVGSLQCISDKQFQHLSVSAEQWLPLVEVKP
+PQLIDYLQQKKTEGYTIIGVEQTAKSLDLTQYCFPEKSLLLLGNEREGIPANLIQQLDVC
+VEIPQQGIIRSLNVHVSGALLI
+>Q8IXB3_PF04505_101 <unknown description>
+PRDYLILAVVACFCPVWPLNLIPLIISIMSRSSMQQGNVDGARRLGRLARLLSITLIIMG
+IVIIMV
+>B6A8C7_PF13895_29 <unknown description>
+PSLSAWPSSVVPANSNVTLRCWTPARGVSFVLRKGGIILESPKPLDSTEGAAEFHLNNLK
+VRNAGEYTCEYYR
+>Q9HAI6_PF15133_1 <unknown description>
+MLSEGYLSGLEYWNDIHWSCASYNEQVAGEKEEETNSVATLSYSSVDETQVRSLYVSCKS
+SGKFISSVHSRESQHSRSQRVTVLQTNPNPVFESPNLAAVEICRDASRETYLVPSSCKSI
+CKNYNDLQIAGGQVMAINSVTTDFPSESSFEYGPLLKSSEIPLPMEDSISTQPSDFPQKP
+IQRYSSYWRITSIKEKSSLQMQNPISNAVLNEYLEQKVVELYKQYIMDTVFHDSSPTQIL
+ASELIMTSVDQISLQVSREKNLETSKARDIVFSRLLQLMSTEITEISTPSLHISQY
+>Q5VWN6_PF12480_94 <unknown description>
+EVELSNRQGENIDKLTECIKNKQLAIIKCLEDRGFFILLTSSALLSEPDFGGKQMGLHGL
+HLFRSPLSTGVKD
+>Q5VWN6_PF12509_553 <unknown description>
+EARNLHCSSELPQNDVLLSKENSLRGTSDHEYHRGVKTQKGELLPNPSSDRKSNSGSDLT
+VSQDEESLVPCSQAPAKAQSALTEEMLESSDASQSSSVSVEHSYALLLTEHSKKHLQERE
+ILSPLFPRNGTKSPEAATPVGKVMPFRHQ
+>Q5VWN6_PF12509_857 <unknown description>
+EISRAHAAEVSFRDPNCLLPFIKTPLTQGLELCVQNEQKKTFARECDPDTQEDQNFICSY
+NNEVTGEEAKQESLETSNLVLSGIGSTQTNGPSVPSEEEIVQPLDSTRVASYSGTVTQAT
+FTRTYDGPGSQPVICQSSVYGTLENKV
+>Q9UK61_PF12509_166 <unknown description>
+ELKFDGRLDKELSESYAFLMVDRYQVQTICEKGLHVGQSKITILGSPSMGVYLSRYADLL
+QANPLDTGAMGDVVIFKIMKGKIKSIYDPMGVKSLESMLNKSALDPTPKHECHVSKNANR
+ITSLLAYRAYELTQYYFYEYGFDELRRRPRHVCP
+>Q9H6P5_PF01112_44 <unknown description>
+VLVHAGAGYHSESKAKEYKHVCKRACQKAIEKLQAGALATDAVTAALVELEDSPFTNAGM
+GSNLNLLGEIECDASIMDGKSLNFGAVGALSGIKNPVSVANRLLCEGQKGKLSAGRIPPC
+FLVGEGAYRWAVDHGIPSCPPNIMTTRFSLAAFKRNKRKLELAERVDTDFMQLKKRRQSS
+EKENDSGTLDTVGAVVVDHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAHNP
+YSTAVSTSGCGEHLVRTILARECSHALQAEDAHQALLETMQ
+>Q6P1N9_PF01026_7 <unknown description>
+IDIGINLTDPMFRGIYRGVQKHQDDLQDVIGRAVEIGVKKFMITGGNLQDSKDALHLAQT
+NGMFFSTVGCHPTRCGEFEKNNPDLYLKELLNLAENNKGKVVAIGECGLDFDRLQFCPKD
+TQLKYFEKQFELSEQTKLPMFLHCRNSHAEFLDIMKRNRDRCVGGVVHSFDGTKEAAAAL
+IDLDLYIGFNGCSLKTEANLEVLKSIPSEKLMIETDAPWCGVKSTHAGSKYIRTAFPTKK
+KWESGHCLKDRNEPCHIIQILEIMSAVRDEDPLELANTLYNNTIKVF
+>Q93075_PF01026_496 <unknown description>
+IDTHCHLDMLYSKLSFQGTFTKFRKIYSSSFPKEFQGCISDFCDPRTLTDCLWEELLKED
+LVWGAFGCHPHFARYYSESQERNLLQALRHPKAVAFGEMGLDYSYKCTTPVPEQHKVFER
+QLQLAVSLKKPLVIHCREADEDLLEIMKKFVPPDYKIHRHCFTGSYPVIEPLLKYFPNMS
+VGFTAVLTYSSAWEAREALRQIPLERIIVETDAPYFLPRQVPKSLCQYAHPGLALHTVRE
+IARVKDQPLSLTLAALRENTSRLYS
+>Q17R31_PF01026_9 <unknown description>
+VDCHCHLSAPDFDRDLDDVLEKAKKANVVALVAVAEHSGEFEKIMQLSERYNGFVLPCLG
+VHPVQGLPPEDQRSVTLKDLDVALPIIENYKDRLLAIGEVGLDFSPRFAGTGEQKEEQRQ
+VLIRQIQLAKRLNLPVNVHSRSAGRPTINLLQEQGAEKVLLHAFDGRPSVAMEGVRAGYF
+FSIPPSIIRSGQKQKLVKQLPLTSICLETDSPALGPEKQVRNEPWNISISAEYIAQVKGI
+SVEEVIEVTTQNALKLF
+>Q86VP1_PF17751_17 <unknown description>
+VIFQNVAKSYLPNAHLECHYTLTPYIHPHPKDWVGIFKVGWSTARDYYTFLWSPMPEHYV
+EGSTVNCVLAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF
+>Q86VP1_PF07888_125 <unknown description>
+PVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTMKEKEELLKLIAVLEKETAQLREQVGR
+MERELNHEKERCDQLQAEQKGLTEVTQSLKMENEEFKKRFSDATSKAHQLEEDIVSVTHK
+AIEKETELDSLKDKLKKAQHEREQLECQLKTEKDEKELYKVHLKNTEIENTKLMSEVQTL
+KNLDGNKESVITHFKEEIGRLQLCLAEKENLQRTFLLTTSSKEDTCFLKEQLRKAEEQVQ
+ATRQEVVFLAKELSDAVNVRDRTMADLHTARLENEKVKKQLADAVAELK
+>Q86VP1_PF18112_728 <unknown description>
+KKCPLCELMFPPNYDQSKFEEHVESHW
+>Q86VP1_PF18112_755 <unknown description>
+KVCPMCSEQFPPDYDQQVFERHVQTHF
+>Q16635_PF01553_41 <unknown description>
+HLTVHNREVLYELIEKRGPATPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAA
+DICFTKELHSHFFSLGKCVPVCRGAEFFQAENEGKGVLDTGRHMPGAGKRREKGDGVYQK
+GMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWGIGRLIAECHLNPIILPLWH
+>Q9BXI6_PF00566_115 <unknown description>
+SLRGRAWQYLSGGKVKLQQNPGKFDELDMSPGDPKWLDVIERDLHRQFPFHEMFVSRGGH
+GQQDLFRVLKAYTLYRPEEGYCQAQAPIAAVLLMHMPAEQAFWCLVQICEKYLPGYYSEK
+LEAIQLDGEILFSLLQKVSPVAHKHLSRQKIDPLLYMTEWFMCAFSRTLPWSSVLRVWDM
+FFCEGVKIIFRVGLVLLKH
+>Q4KMP7_PF00566_364 <unknown description>
+SLRAKAWQYLSNSKELLEQNPGKFEELERAPGDPKWLDVIEKDLHRQFPFHEMFAARGGH
+GQQDLYRILKAYTIYRPDEGYCQAQAPVAAVLLMHMPAEQAFWCLVQICDKYLPGYYSAG
+LEAIQLDGEIFFALLRRASPLAHRHLRRQRIDPVLYMTEWFMCIFARTLPWASVLRVWDM
+FFCEGVKIIFRVALVLLR
+>Q8IV04_PF00566_96 <unknown description>
+ALRARCWPLLCGAHVCQKNSPGTYQELAEAPGDPQWMETIGRDLHRQFPLHEMFVSPQGH
+GQQGLLQVLKAYTLYRPEQGYCQAQGPVAAVLLMHLPPEEAFWCLVQICEVYLPGYYGPH
+MEAVRLDAEVFMALLRRLLPHVHKHLQQVGVGPLLYLPEWFLCLFARSLPFPTVLRVWDA
+FLSEGARVLFRVGLTLV
+>P0CG34_PF01290_3 <unknown description>
+DKPDLSEVEKFDRSKLKKTNTEEKNTLPSKETIQQEKE
+>P0CG35_PF01290_3 <unknown description>
+DKPDLSEVEKFDRSKLKKTNTEEKNTLPSKETIQQEKE
+>Q9C0C2_PF15327_1556 <unknown description>
+DFSFIEDTEILDSAMYRSRANLGRKRGHRAPVIRPGGTLGLSEAADSDAHLFQDSTEPRA
+SRVPSSDEEVVEEPQSRRTRMSLGTKGLKVNLFPGLSPSALKAKLRPRNRSAEEGELAES
+KSSQKESAVQRSKSCKVPGLGKPLTLPPKPEKSSGSEGSSPNWLQALKLKK
+>Q8WUA7_PF00566_227 <unknown description>
+VRPMTWKLLSGYLPANVDRRPATLQRKQKEYFAFIEHYYDSRNDEVHQDTYRQIHIDIPR
+MSPEALILQPKVTEIFERILFIWAIRHPASGYVQGINDLVTPFFVVFICEYIEAEEVDTV
+DVSGVPAEVLCNIEADTYWCMSKLLDGIQDNYTFAQPGIQMKVKMLEELVSRIDEQVHRH
+LDQHEVRYLQFAFRWMNNLLMREVPLRCTIRLWDTYQSEPDGFSHFHLYVCAAFLVRWRK
+EIL
+>Q9NU19_PF00566_214 <unknown description>
+EVRPITWRLLSGYLPANTERRKLTLQRKREEYFGFIEQYYDSRNEEHHQDTYRQIHIDIP
+RTNPLIPLFQQPLVQEIFERILFIWAIRHPASGYVQGINDLVTPFFVVFLSEYVEEDVEN
+FDVTNLSQDMLRSIEADSFWCMSKLLDGIQDNYTFAQPGIQKKVKALEELVSRIDEQVHN
+HFRRYEVEYLQFAFRWMNNLLMRELPLRCTIRLWDTYQSEPEGFSHFHLYVCAAFLIKWR
+KEIL
+>Q71U36_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRI
+RKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>Q71U36_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGD
+VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>P68363_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRI
+RKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>P68363_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGD
+VVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>Q9BQE3_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRI
+RKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>Q9BQE3_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLTVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGD
+VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AVAEAWARLD
+>P0DPH7_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLDRI
+RKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>P0DPH7_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCMLYRGD
+VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>P0DPH8_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLDRI
+RKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>P0DPH8_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCMLYRGD
+VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>Q6PEY2_PF00091_3 <unknown description>
+ECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHV
+PRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAASNYARGHYTIGKEIVDLVLDRI
+RKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYSKKSKLEFAIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>Q6PEY2_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCMLYRGD
+VVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLV
+>P68366_PF00091_3 <unknown description>
+ECISVHVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHV
+PRAVFVDLEPTVIDEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDPVLDRI
+RKLSDQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>P68366_PF03953_263 <unknown description>
+PRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGD
+VVPKDVNAAIAAIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>Q9H853_PF00091_21 <unknown description>
+TYRQIFHPEQLITGKEDAANNYAWGHYTIGKEFIDLLLDRIRKLADQCTGLQGFLVFHSL
+GRGTGSDVTSFLMEWLSVNYGKKSKLGFSIYPAPQVSTAMVQPYNSILTTHTTLEHSDCA
+FMVDNKAIYDIC
+>Q9NY65_PF00091_3 <unknown description>
+ECISVHVGQAGVQIGNACWELFCLEHGIQADGTFDAQASKINDDDSFTTFFSETGNGKHV
+PRAVMIDLEPTVVDEVRAGTYRQLFHPEQLITGKEDAANNYARGHYTVGKESIDLVLDRI
+RKLTDACSGLQGFLIFHSFGGGTGSGFTSLLMERLSLDYGKKSKLEFAIYPAPQVSTAVV
+EPYNSILTTHTTLEHSDCAFMVDNEAIYDIC
+>Q9NY65_PF03953_263 <unknown description>
+PRIHFPLVTYAPIISAEKAYHEQLSVAEITSSCFEPNSQMVKCDPRHGKYMACCMLYRGD
+VVPKDVNVAIAAIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTT
+AIAEAWARLD
+>A6NHL2_PF00091_3 <unknown description>
+ECLSIHIGQAGIQIGDACWELYCLEHGIQPNGVVLDTQQDQLENAKMEHTNASFDTFFCE
+TRAGKHVPRALFVDLEPTVIDGIRTGQHRSLFHPEQLLSGKEDAANNYARGRYSVGSEVI
+DLVLERTRKLAEQCGGLQGFLIFRSFGGGTGSGFTSLLMERLTGEYSRKTKLEFSVYPAP
+RISTAVVEPYNSVLTTHSTTEHTDCTFMVDNEAVYDIC
+>A6NHL2_PF03953_270 <unknown description>
+PRIHFPMTAFAPIVSADKAYHEQFSVSDITTACFESSNQLVKCDPRLGKYMACCLLYRGD
+VVPKEVNAAIAATKSRHSVQFVDWCPTGFKVGINNRPPTVMPGGDLAKVHRSICMLSNTT
+AIVEAWARLD
+>Q9H4B7_PF00091_3 <unknown description>
+EIVHIQIGQCGNQIGAKFWEMIGEEHGIDLAGSDRGASALQLERISVYYNEAYGRKYVPR
+AVLVDLEPGTMDSIRSSKLGALFQPDSFVHGNSGAGNNWAKGHYTEGAELIENVLEVVRH
+ESESCDCLQGFQIVHSLGGGTGSGMGTLLMNKIREEYPDRIMNSFSVMPSPKVSDTVVEP
+YNAVLSIHQLIENADACFCIDNEALYDIC
+>Q9H4B7_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTAQGSQQYRALSVAELTQQMFDARNTMAACDLRRGRYLTVACIFRGK
+MSTKEVDQQLLSVQTRNSSCFVEWIPNNVKVAVCDIPPRGLSMAATFIGNNTAIQEIFNR
+VS
+>Q13885_PF00091_3 <unknown description>
+EIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEAAGNKYVPR
+AILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRK
+ESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVVEP
+YNATLSVHQLVENTDETYSIDNEALYDIC
+>Q13885_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMMAACDPRHGRYLTVAAIFRGR
+MSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKR
+IS
+>Q9BVA1_PF00091_3 <unknown description>
+EIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEATGNKYVPR
+AILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRK
+ESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVVEP
+YNATLSVHQLVENTDETYCIDNEALYDIC
+>Q9BVA1_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMMAACDPRHGRYLTVAAIFRGR
+MSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKR
+IS
+>Q13509_PF00091_3 <unknown description>
+EIVHIQAGQCGNQIGAKFWEVISDEHGIDPSGNYVGDSDLQLERISVYYNEASSHKYVPR
+AILVDLEPGTMDSVRSGAFGHLFRPDNFIFGQSGAGNNWAKGHYTEGAELVDSVLDVVRK
+ECENCDCLQGFQLTHSLGGGTGSGMGTLLISKVREEYPDRIMNTFSVVPSPKVSDTVVEP
+YNATLSIHQLVENTDETYCIDNEALYDIC
+>Q13509_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVATVFRGR
+MSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRGLKMSSTFIGNSTAIQELFKR
+IS
+>P04350_PF00091_3 <unknown description>
+EIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGNYVPR
+AVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDAVLDVVRK
+EAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVVPSPKVSDTVVEP
+YNATLSVHQLVENTDETYCIDNEALYDIC
+>P04350_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVAAVFRGR
+MSMKEVDEQMLSVQSKNSSYFVEWIPNNVKTAVCDIPPRGLKMAATFIGNSTAIQELFKR
+IS
+>P68371_PF00091_3 <unknown description>
+EIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGKYVPR
+AVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRK
+EAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEP
+YNATLSVHQLVENTDETYCIDNEALYDIC
+>P68371_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVAAVFRGR
+MSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKR
+IS
+>P07437_PF00091_3 <unknown description>
+EIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLDRISVYYNEATGGKYVPR
+AILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRK
+EAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEP
+YNATLSVHQLVENTDETYCIDNEALYDIC
+>P07437_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQVFDAKNMMAACDPRHGRYLTVAAVFRGR
+MSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMAVTFIGNSTAIQELFKR
+IS
+>Q9BUF5_PF00091_3 <unknown description>
+EIVHIQAGQCGNQIGTKFWEVISDEHGIDPAGGYVGDSALQLERINVYYNESSSQKYVPR
+AALVDLEPGTMDSVRSGPFGQLFRPDNFIFGQTGAGNNWAKGHYTEGAELVDAVLDVVRK
+ECEHCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVMPSPKVSDTVVEP
+YNATLSVHQLVENTDETYCIDNEALYDIC
+>Q9BUF5_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDARNMMAACDPRHGRYLTVATVFRGP
+MSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRGLKMASTFIGNSTAIQELFKR
+IS
+>A6NNZ2_PF00091_3 <unknown description>
+EIVLTQTGQCGNQIGAKFWEVISDEHAIDSAGTYHGDSHLQLERINVHHHEASGGRYVPR
+AVLVDLEPGTMDSVHSGPFGQVFRPDNFISGQCGAGNNWAKGRYTEGAELTESVMDVVRK
+EAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIINTFSILPSPKVSDTVVEP
+YNATLSVHQLIENADETFCIDNEALYDIC
+>A6NNZ2_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVAELTQQMFDAKNMMAACDPRHGCYLTVAAIFRGR
+MPMREVDEQMFNIQDKNSSYFADWFPDNVKTAVCDIPPRGLKMSATFIGNNAAIQELFTC
+V
+>Q3ZCM7_PF00091_3 <unknown description>
+EIVLTQIGQCGNQIGAKFWEVISDEHAIDSAGTYHGDSHLQLERINVYYNEASGGRYVPR
+AVLVDLEPGTMDSVRSGPFGQVFRPDNFIFGQCGAGNNWAKGHYTEGAELMESVMDVVRK
+EAESCDCLQGFQLTHSLGGGTGSGMGTLLLSKIREEYPDRIINTFSILPSPKVSDTVVEP
+YNATLSVHQLIENADETFCIDNEALYDIC
+>Q3ZCM7_PF03953_261 <unknown description>
+PRLHFFMPGFAPLTSRGSQQYRALTVAELTQQMFDAKNMMAACDPRHGRYLTAAAIFRGR
+MPMREVDEQMFNIQDKNSSYFADWLPNNVKTAVCDIPPRGLKMSATFIGNNTAIQELFKR
+VS
+>O60347_PF00566_488 <unknown description>
+SVRGKVWSLAVGNELNITPELYEIFLSRAKERWKSFSETSSENDTEGVSVADREASLELI
+KLDISRTFPSLYIFQKGGPYHDVLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLEEADA
+FIAFANLLNKPCQLAFFRVDHSMMLKYFATFEVFFEENLSKLFLHFKSYSLTPDIYLIDW
+IFTLYSKSLPLDLACRVWDVFCRDGEEFLFRTGLGILRLYEDIL
+>Q9NVG8_PF00566_209 <unknown description>
+EVVERILFIYAKLNPGIAYVQGMNEIVGPLYYTFATDPNSEWKEHAEADTFFCFTNLMAE
+IRDNFIKSLDDSQCGITYKMEKVYSTLKDKDVELYLKLQEQNIKPQFFAFRWLTLLLSQE
+FLLPDVIRIWDSLFADDNRFDFLLLVCCAMLMLIREQLL
+>Q9P2M4_PF00566_405 <unknown description>
+SVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLE
+LIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLDTA
+DAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTPDIYLI
+DWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFED
+>Q8TC07_PF12068_11 <unknown description>
+IIYEQEGVYIHSSCGKTNDQDGLISGILRVLEKDAEVIVDWRPLDDALDSSSILYARKDS
+SSVVEWTQAPKERGHRGSEHLNSYEAEWDMVNTVSFKRKPHTNGDAPSHRNGKSKWSFLF
+SLTDLKSIKQNKEGMGWSYLVFCLKDDVVLPALHFHQGDSKLLIESLEKYVVLCESPQDK
+RTLLVNCQNKSLSQSFEN
+>Q8TC07_PF00566_333 <unknown description>
+ALRKQAWKFLLGYFPWDSTKEERTQLQKQKTDEYFRMKLQWKSISQEQEKRNSRLRDYRS
+LIEKDVNRTDRTNKFYEGQDNPGLILLHDILMTYCMYDFDLGYVQGMSDLLSPLLYVMEN
+EVDAFWCFASYMDQMHQNFEEQMQGMKTQLIQLSTLLRLLDSGFCSYLESQDSGYLYFCF
+RWLLIRFKREFSFLDILRLWEVMWTELPCTNFHLLLCCAILESEKQQIM
+>Q8TBP0_PF00566_430 <unknown description>
+IRGEVWPFLLRYYSHESTSEEREALRLQKRKEYSEIQQKRLSMTPEEHRAFWRNVQFTVD
+KDVVRTDRNNQFFRGEDNPNVESMRRILLNYAVYNPAVGYSQGMSDLVAPILAEVLDESD
+TFWCFVGLMQNTIFVSSPRDEDMEKQLLYLRELLRLTHVRFYQHLVSLGEDGLQMLFCHR
+WLLLCFKREFPEAEALRIWEACWAHYQTDYFHLFICVAIVAIY
+>Q9HA65_PF12068_7 <unknown description>
+RVVFEKGGVYLHTSAKKYQDRDSLIAGVIRVVEKDNDVLLHWAPVEEAGDSTQILFSKKD
+SSGGDSCASEEEPTFDPGYEPDWAVISTVRPQLCHSEPTRGAEPSCPQGSWAFSVSLGEL
+KSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLASSPQDSRLYLV
+FPHDSSALSNSFHHLQLFD
+>Q9HA65_PF00566_314 <unknown description>
+SLRREAWKFLLGYLSWEGTAEEHKAHIRKKTDEYFRMKLQWKSVSPEQERRNSLLHGYRS
+LIERDVSRTDRTNKFYEGPENPGLGLLNDILLTYCMYHFDLGYVQGMSDLLSPILYVIQN
+EVDAFWCFCGFMELVQGNFEESQETMKRQLGRLLLLLRVLDPLLCDFLDSQDSGSLCFCF
+RWLLIWFKREFPFPDVLRLWEVLWTGLPGPNLHLLVACAILDMERDTLM
+>Q8N5T2_PF00566_367 <unknown description>
+HGFSMYVAPLCFLYHEPSKLYQIFREMYVRFFFRLHSISSHPSGIVSLCLLFETLLQTYL
+PQLFYHLREIGAQPLRISFKWMVRAFSGYLATDQLLLLWDRILGYNSLEILAVLAAAVF
+>Q96BZ9_PF00566_63 <unknown description>
+DEIRRKVWPKLLNVNANDPPPISGKNLRQMSKDYQQVLLDVRRSLRRFPPGMPEEQREGL
+QEELIDIILLILERNPQLHYYQGYHDIVVTFLLVVGERLATSLVEKLSTHHLRDFMDPTM
+DNTKHILNYLMPIIDQVNPELHDFMQSAEVGTIFALSWLITWFGHVLSDFRHVVRLYDFF
+LACHPLMPIYFAAVIVLYREQE
+>Q8IYX1_PF00566_62 <unknown description>
+VRTEAWKFLTGYFSWQSSQDERLTVDSMRRKNYKALCQMYEKIQPLLENLHRNFTETRNN
+IARDIQKIYDKDPLGNVLIDKKRLEKILLLSYVCNTQAEYQQGFHEMMMLFQLMVEHDHE
+TFWLFQFFLQKTEHSCVINIGVAKNLDMLSTLITFLDPVFAEHLKGKGAGAVQSLFPWFC
+FCFQRAFKSFDDVWRLWEVLLTGKPCRNFQVLVAYSMLQMVREQV
+>Q9NUY8_PF00566_48 <unknown description>
+DLRAKVWKIALNVAGKGDSLASWDGILDLPEQNTIHKDCLQFIDQLSVPEEKAAELLLDI
+ESVITFYCKSRNIKYSTSLSWIHLLKPLVHLQLPRSDLYNCFYAIMNKYIPRDCSQKGRP
+FHLFRLLIQYHEPELCSYLDTKKITPDSYALNWLGSLFACYCSTEVTQAIWDGYLQQADP
+FFIYFLMLIILVNAKEVI
+>Q9NUY8_PF00581_332 <unknown description>
+QGEGVRFFVVDCRPAEQYNAGHLSTAFHLDSDLMLQNPSEFAQSVKSLLEAQKQSIESGS
+IAGGEHLCFMGSGREEEDMYMNMVLAHFLQKNKEYVSIASGGFMALQ
+>Q9NUY8_PF19430_451 <unknown description>
+NGYGHWIASTSGSRSSINSVDGESPNGSSDRGMKSLVNKMTVALKTKSVNVREKVISFIE
+NTSTPVDRMSFNLPWPDRSCTERHVSSSDRVGKPYRGVKPVFSIGDEEEYDTDEIDSSSM
+SDDDRKEVVNIQTWINKPDVKHHFPCKEVKESGHMFPSHLLVTATHMYCLREIVSRKGLA
+YIQSRQALNSVVKITSKKKHPELITFKYGNSSASGIEILAIERYLI
+>Q9ULP9_PF00566_49 <unknown description>
+ALRGKVYQRLIRDIPCRTVTPDASVYSDIVGKIVGKHSSSCLPLPEFVDNTQVPSYCLNA
+RGEGAVRKILLCLANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILACNDPGRRL
+IDQSFLAFESSCMTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLCYFARV
+FDVFLVEGYKVLYRVALAILKFFHK
+>Q9ULP9_PF07534_368 <unknown description>
+LLFSSLQHGYSLARFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERNKFGGKLGFFGT
+GECFVFRLQPEVQRYEW
+>Q9ULP9_PF07534_493 <unknown description>
+KTESMFMAGGSDCLIVGGGGGQALYIDGDLNRGRTSHCDTFNNQPLCSENFLIAAVEAWG
+FQ
+>Q3MII6_PF00566_232 <unknown description>
+SLRKVVWRYLLNVYPDGLTGRERMDYMKRKSREYEQLKSEWAQRANPEDLEFIRSTVLKD
+VLRTDRAHPYYAGPEDGPHLRALHDLLTTYAVTHPQVSYCQGMSDLASPILAVMDHEGHA
+FVCFCGIMKRLAANFHPDGRAMATKFAHLKLLLRHADPDFYQYLQEAGADDLFFCYRWLL
+LELKREFAFDDALRMLEVTW
+>Q86UD7_PF00566_106 <unknown description>
+VRGRAWSLLLDIDRIKSQNPGKYKVMKEKGKRSSRIIHCIQLDVSHTLQKHMMFIQRFGV
+KQQELCDILVAYSAYNPEVGYHRDLSRITAILLLCLPEEDAFWALTQLLAVFYSPNTAWL
+ERLLSHQEQVLHKSFPKIMRHLGKEGLCIEGSMLTRLLRCFLDGKSFGLTLRLWDVFILE
+GARVLTAMVHASFKIHRKHLM
+>Q2M2D7_PF00566_106 <unknown description>
+VRGRALSLLLDIDKIKSQNPGKYKVMKEKGKRSSRIIHCIQLDVSHTLQKHMMFIQRFGV
+KQQELCDILVAYSAYNP
+>Q9Y2I9_PF00566_90 <unknown description>
+EWRRKVWLTLADHYLHSIAIDWDKTMRFTFNERSNPDDDSMGIQIVKDLHRTGCSSYCGQ
+EAEQDRVVLKRVLLAYARWNKTVGYCQGFNILAALILEVMEGNEGDALKIMIYLIDKVLP
+ESYFVNNLRALSVDMAVFRDLLRMKLPELSQHLDTLQRTANKESGGGYEPPLTNVFTMQW
+FLTLFATCLPNQTVLKIWDSVFFEGSEIILRVSLAIWAKLGE
+>Q9Y2I9_PF15733_474 <unknown description>
+INALKRQYSRIKKKQQQQVHQVYIRADKGPVTSILPSQVNSSPVINHLLLGKKMKMTNRA
+AKNAVIHIPGHTGGKISPVPYEDLKTKLNSPWRTHIRVHKKNMPRTKSHPGCGDTVGLID
+EQNEASKT
+>Q96DN5_PF00566_466 <unknown description>
+YPIKSRKLLRVLQRTLSALAHWSVIFSDTPYLPLLAFPFVKLFQNNQLICFEVIATLIIN
+WCQHWFEYFPNPPINILSMIENVLAFHDKELLQHFIDHDITSQLYAWPLLETVFSEVLTR
+EEWLKLFDNIFSNHPSFLLMTVVAY
+>Q8IZP1_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>A6NDS4_PF00566_105 <unknown description>
+NIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQSKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>Q6IPX1_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>A0A087WVF3_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>A0A087X179_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKKSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>Q6DHY5_PF00566_105 <unknown description>
+NIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>P0C7X1_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>A0A087WXS9_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKMKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>A0A087X1G2_PF00566_105 <unknown description>
+NIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKKSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>B9A6J9_PF00566_105 <unknown description>
+NIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYG
+TKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGF
+HSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLR
+LWDVYLVEGEQALMPITRIAFKVQQKRL
+>Q0IIM8_PF02893_146 <unknown description>
+KFEKCFGLPEKEKLVTYYSCSYWKGRVPCQGWLYLSTNFLSFYSFLLGSEIKLIISWDEV
+SKLEKTSNVILTESIHVCSQGENHYFSMFLHINQTYLLMEQLANYA
+>Q0IIM8_PF02893_286 <unknown description>
+QFNAFFRLPKGESLKEVHECFLWVPFSHFNTHGKMCISENYICFASQDGNQCSVIIPLRE
+VLAIDKTNDSSKSVIISIKGKTAFRFHEVKDF
+>Q0IIM8_PF00566_491 <unknown description>
+TLRGELWMLFSGAVNDMATNPDYYTEVVEQSLGTCNLATEEIERDLRRSLPEHPAFQSDT
+GISALRRVLTAYAYRNPKIGYCQAMNILTSVLLLYAKEEEAFWLLVAVCERMLPDYFNRR
+IIGALVDQAVFEELIRDHLPQLTEHMTDMTFFSSVSLSWFLTLFISVLPIESAVNVVDCF
+FYDGIKAILQLGLAILDYNLDKLL
+>Q66K14_PF02893_143 <unknown description>
+KMRKQFGMPEGEKLVNYYSCSYWKGRVPRQGWLYLTVNHLCFYSFLLGKEVSLVVQWVDI
+TRLEKNATLLFPESIRVDTRDQELFFSMFLNIGETFKLMEQLANLA
+>Q66K14_PF02893_291 <unknown description>
+RATFRLPRDERLDGHTSCTLWTPFNKLHIPGQMFISNNYICFASKEEDACHLIIPLREVT
+IVEKADSSSVLPSPLSISTKSKMTFLFANLKDRDFLVQRISDFLQK
+>Q66K14_PF00566_512 <unknown description>
+SLRGELWLLFSGAWNEMVTHPGYYAELVEKSTGKYSLATEEIERDLHRSMPEHPAFQNEL
+GIAALRRVLTAYAFRNPTIGYCQAMNIVTSVLLLYGSEEEAFWLLVALCERMLPDYYNTR
+VVGALVDQGIFEELTRDFLPQLSEKMQDLGVISSISLSWFLTLFLSVMPFESAVVIVDCF
+FYEGIKVILQVALAVLDANMEQLL
+>O75347_PF02970_4 <unknown description>
+PRVRQIKIKTGVVKRLVKEKVMYEKEAKQQEEKIEKMRAEDGENYDIKKQAEILQESRMM
+IPDCQRRLEAAYLDLQRILENEKDLEEAEEYKEARLV
+>Q99426_PF14560_10 <unknown description>
+TVTVFISSSLNTFRSEKRYSRSLTIAEFKCKLELLVGSPASCMELELYGVDDKFYSKLDQ
+EDALLGSYPVDDGCRIHVIDHSG
+>Q99426_PF01302_161 <unknown description>
+VGSRCEVRAAGQSPRRGTVMYVGLTDFKPGYWIGVRYDEPLGKNDGSVNGKRYFECQAKY
+GAFVKPA
+>Q9NVR7_PF07986_330 <unknown description>
+AHVKIHRCNESFIYLLSPLRSVTIEKCRNSIFVLGPVGTTLHLHSCDNVKVIAVCHRLSI
+SSTTGCIFHVLTPTRPLILSGNQTVTFAPFHTHYPMLEDHMARTGLATVPNYWDN
+>Q15814_PF16752_27 <unknown description>
+ERLQRREQERQLEVERRKQKRQNQEVEKENSHFFVATFVRERAAVEELLERAESVERLEE
+AASRLQGLQKLINDSVFFLAAYDLRQGQEALARLQAALAERRRGLQPKK
+>Q15814_PF07986_204 <unknown description>
+RDVLLTELSNCTVRLYGNPNTLRLTKAHSCKLLCGPVSTSVFLEDCSDCVLAVACQQLRI
+HSTKDTRIFLQVTSRAIVEDCSGIQFAPYTWSYPEIDKDFESSGLDRSKNNWNDVDDF
+>O60343_PF00640_118 <unknown description>
+IFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPSQVPDVISSIRQL
+SKAAMKE
+>O60343_PF00640_345 <unknown description>
+HVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRE
+SPEPGLSQYICYVFQCASESLVDEVMLTLKQAFSTA
+>O60343_PF11830_801 <unknown description>
+ELLPLSPLSPTMEEEPLVVFLSGEDDPEKIEERKKSKELRSLWRKAIHQQILLLRMEKEN
+QKLE
+>O60343_PF00566_924 <unknown description>
+RGEIWQFLALQYRLRHRLPNKQQPPDISYKELLKQLTAQQHAILVDLGRTFPTHPYFSVQ
+LGPGQLSLFNLLKAYSLLDKEVGYCQGISFVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQ
+YRPDMMSLQIQMYQLSRLLHDYHRDLYNHLEENEISPSLYAAPWFLTLFASQFSLGFVAR
+VFDIIFLQGTEVIFKVALSLLSSQETLI
+>Q92609_PF00566_86 <unknown description>
+FRSICWKLFLCVLPQDKSQWISRIEELRAWYSNIKEIHITNPRKVVGQQDLMINNPLSQD
+EGSLWNKFFQDKELRSMIEQDVKRTFPEMQFFQQENVRKILTDVLFCYARENEQLLYKQG
+MHELLAPIVFVLHCDHQAFLHASESAQPSEEMKTVLNPEYLEHDAYAVFSQLMETAEPWF
+STFEHDGQKGKETLMTPIPFARPQDLGPTIAIVTKVNQIQDHLLKKHDIELYMHLNRLEI
+APQIYGLRWVRLLFGREFPLQDLLVVWDALFADGLSLGLVDYIFVAMLLYIRDAL
+>Q9P0N9_PF00566_145 <unknown description>
+VEDSVDCYWITRRFVNQLNTKYRDSLPQLPKAFEQYLNLEDGRLLTHLRMCSAAPKLPYD
+LWFKRCFAGCLPESSLQRVWDKVVSGSCKILVFVAVEILLTFKI
+>Q6ZT07_PF02893_147 <unknown description>
+KFHRLFGMPEEEKLVNYYSCSYWKGKVPRQGWMYLSINHLCFYSFLMGREAKLVIRWVDI
+TQLEKNATLLLPDVIKVSTRSSEHFFSVFLNINETFKLMEQLAN
+>Q6ZT07_PF02893_296 <unknown description>
+RALFRLPKDEKLDGHTDCTLWTPFNKMHILGQMFVSTNYICFTSKEENLCSLIIPLREVT
+IVEKADSSSVLPSPLSISTRNRMTFLFANLKDRDFLVQRISDFLQQT
+>Q6ZT07_PF00566_519 <unknown description>
+SMRGELWLLLSGAINEKATHPGYYEDLVEKSMGKYNLATEEIERDLHRSLPEHPAFQNEM
+GIAALRRVLTAYAFRNPNIGYCQAMNIVTSVLLLYAKEEEAFWLLVALCERMLPDYYNTR
+VVGALVDQGVFEELARDYVPQLYDCMQDLGVISTISLSWFLTLFLSVMPFESAVVVVDCF
+FYEGIKVIFQLALAVLDANVDKL
+>Q9BTW9_PF12612_901 <unknown description>
+RIMCCVAQQASEKIDRFRAHAASVFLTLLHFDSPPIPHVPHRGELEKLFPRSDVASVNWS
+APSQAFPRITQLLGLPTYRYHVLLGLVVSLGGLTESTIRHSTQSLFEYMKGIQSDPQALG
+SFSGTLLQIFEDNLLNERVSVPLLKTLDHVLTHGCFDIFTTEEDHPFAVKLLALCKKEIK
+NSKDIQK
+>Q5QJ74_PF14580_191 <unknown description>
+EIRKLGVMFPSLDTLVLANNHLNAIEEPDDSLARLFPNLRSISLHKSGLQSWEDIDKLNS
+FPKLEEVRLLGIPLLQPYTTEERRKLVIARLPSVSKLNGSVVTDGEREDSERFF
+>Q5QJ74_PF14560_351 <unknown description>
+NDQVEEMSIRLDQTVAELKKQLKTLVQLPTSNMLLYYFDHEAPFGPEEMKYSSRALHSFG
+IRDGDKIYVES
+>Q15813_PF01302_10 <unknown description>
+IGRRVEVNGEHATVRFAGVVPPVAGPWLGVEWDNPERGKHDGSHEGTVYFKCRHPTGGSF
+IRPNKV
+>Q15813_PF14560_456 <unknown description>
+LDQKVLEKQLPGSMTIQKVKGLLSRLLKVPVSDLLLSYESPKKPGREIELENDLKSLQFY
+SVENGDCLLV
+>Q8TEA7_PF00069_42 <unknown description>
+FQILKTITHPRLCQYVDISRGKHERLVVVAEHCERSLEDLLRERKPVSCSTVLCIAFEVL
+QGLQYMNKHGIVHRALSPHNILLDRKGHIKLAKFGLYHMTAHGDDVDFPIGYPSYLAPEV
+IAQGIFKTTDHMPSKKPLPSGPKSDVWSLGIILFELCVGRKLFQSLDISERLKFLLTLDC
+VDDTLIVLAEEHGCLDIIKELPETVIDLLNKCLTFHPSKRPTPDQLMKDKVF
+>Q8TEA7_PF00566_471 <unknown description>
+MRGLTWAALLGVEGAIHAKYDAIDKDTPIPTDRQIEVDIPRCHQYDELLSSPEGHAKFRR
+VLKAWVVSHPDLVYWQGLDSLCAPFLYLNFNNEALAYACMSAFIPKYLYNFFLKDNSHVI
+QEYLTVFSQMIAFHDPELSNHLNEIGFIPDLYAIPWFLTMFTHVFPLHKIFHLWDTLLLG
+NSSFPFCIGVAILQQLRDRLL
+>Q8TEA7_PF00581_790 <unknown description>
+SKPKLLVVDIRNSEDFIRGHISGSINIPFSAAFTAEGELTQGPYTAMLQNFKGKVIVIVG
+HVAKHTAEFAAHLVKMKYPRICILDGGINKIK
+>Q9BYX2_PF00169_48 <unknown description>
+LCGYLSKFGGKGPIRGWKSRWFFYDERKCQLYYSRTAQDANPLDSIDLSSAVFDCKADAE
+EGIFEIKTPSRVITLKAATKQAMLYWLQQLQM
+>Q9BYX2_PF00566_629 <unknown description>
+EHRPRVWRWLVHLRVQHLHTPGCYQELLSRGQAREHPAARQIELDLNRTFPNNKHFTCPT
+SSFPDKLRRVLLAFSWQNPTIGYCQGLNRLAAIALLVLEEEESAFWCLVAIVETIMPADY
+YCNTLTASQVDQRVLQDLLSEKLPRLMAHLGQHHVDLSLVTFNWFLVVFADSLISNILLR
+VWDAFLYEGTKVVFRYALAIFKYNEKEIL
+>Q9UPU7_PF00169_38 <unknown description>
+CGYLQKLSGKGPLRGYRSRWFVFDARRCYLYYFKSPQDALPLGHLDIADACFSYQGPDEA
+AEPGTEPPAHFQVHSAGAVTVLKAPNRQLMTYWLQELQQ
+>Q9UPU7_PF00566_665 <unknown description>
+HEHRSKVWKWCVDRHTRKFKDNTEPGHFQTLLQKALEKQNPASKQIELDLLRTLPNNKHY
+SCPTSEGIQKLRNVLLAFSWRNPDIGYCQGLNRLVAVALLYLEQEDAFWCLVTIVEVFMP
+RDYYTKTLLGSQVDQRVFRDLMSEKLPRLHGHFEQYKVDYTLITFNWFLVVFVDSVVSDI
+LFKIWDSFLYEGPKVIFRFALALFKYKEEEIL
+>Q9UJT1_PF00091_2 <unknown description>
+SIVTVQLGQCGNQIGFEVFDALLSDSHSSQGLCSMRENEAYQASCKERFFSEEENGVPIA
+RAVLVDMEPKVINQMLSKAAQSGQWKYGQHACFCQKQGSGNNWAYGYSVHGPRHEESIMN
+IIRKEVEKCDSFSGFFIIMSMAGGTGSGLGAFVTQNLEDQYSNSLKMNQIIWPYGTGEVI
+VQNYNSILTLSHLYRSSDALLLHENDAIHKIC
+>Q9UJT0_PF00091_5 <unknown description>
+VVVQVGQCGNQIGCCFWDLALREHAAVNQKGIYDEAISSFFRNVDTRVVGDGGSISKGKI
+CSLKARAVLIDMEEGVVNEILQGPLRDVFDTKQLITDISGSGNNWAVGHKVFGSLYQDQI
+LEKFRKSAEHCDCLQCFFIIHSMGGGTGSGLGTFLLKVLEDEFPEVYRFVTSIYPSGEDD
+VITSPYNSILAMKELNEHADCVLPIDNQSLFDII
+>Q9UJT0_PF03953_294 <unknown description>
+PQLHYLVSSLTPLYTLTDVNIPPRRLDQMFSDAFSKDHQLLRADPKHSLYLACALMVRGN
+VQISDLRRNIERLKPSLQFVSWNQEGWKTSLCSVPPVGHSHSLLALANNTCVKPTFMELK
+>P23258_PF00091_4 <unknown description>
+EIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPR
+AVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIID
+READGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSDVVV
+QPYNSLLTLKRLTQNADCVVVLDNTALNRIA
+>P23258_PF03953_264 <unknown description>
+PRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNI
+IQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTS
+ISSLFERTC
+>Q9NRH3_PF00091_4 <unknown description>
+EIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPR
+AVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIID
+READGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPYQDEMSDVVV
+QPYNSLLTLKRLTQNADCVVVLDNTALNRIA
+>Q9NRH3_PF03953_264 <unknown description>
+PRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNI
+IQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTS
+ISSLFESS
+>Q9UHD2_PF00069_13 <unknown description>
+DILGQGATANVFRGRHKKTGDLFAIKVFNNISFLRPVDVQMREFEVLKKLNHKNIVKLFA
+IEEETTTRHKVLIMEFCPCGSLYTVLEEPSNAYGLPESEFLIVLRDVVGGMNHLRENGIV
+HRDIKPGNIMRVIGEDGQSVYKLTDFGAARELEDDEQFVSLYGTEEYLHPDMYERAVLRK
+DHQKKYGATVDLWSIGVTFYHAATGSLPFRPFEGPR
+>Q9UHD2_PF18396_308 <unknown description>
+RMVIHVFSLQQMTAHKIYIHSYNTATIFHELVYKQTKIISSNQELIYEGRRLVLEPGRLA
+QHFPKTTEENPIFVVSREPLNTIGLIYE
+>Q9UHD2_PF18394_400 <unknown description>
+PKVHPRYDLDGDASMAKAITGVVCYACRIASTLLLYQELMRKGIRWLIELIKDDYNETVH
+KKTEVVITLDFCIRNIEKTVKVYEKLMKINLEAAELGEISDIHTKLLRLSSSQGTIETSL
+QDIDSRLSPGGSLADAWAHQEGTHPKDRNVEKLQVLLNCMTEIYYQFKKDKAERRLAYNE
+EQIHKFDKQKLYYHATKAMTHFTDECVKKYEAFLNKSEEWIRKMLHLRKQLLSLTNQCFD
+IEEEVSKYQEYTNELQ
+>A7MCY6_PF12845_288 <unknown description>
+GDDQVNLALAYTELTEELGRLRELSSLQGRILRTLLQEQARSGGQRHSPLSQRH
+>Q9BZK7_PF08513_6 <unknown description>
+DEVNFLVYRYLQESGFSHSAFTFGIES
+>Q9BZK7_PF00400_164 <unknown description>
+VLRGHESEVFICAWNPVSDLLASGSGDSTARIWN
+>Q9BZK7_PF00400_226 <unknown description>
+KDVTSLDWNSEGTLLATGSYDGFARIW
+>Q9BZK7_PF00400_259 <unknown description>
+ASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWD
+>Q9BZK7_PF00400_340 <unknown description>
+RPIKTFQGHTNEVNAIKWDPTGNLLASCSDDMTLKIWS
+>Q9BZK7_PF00400_382 <unknown description>
+NCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASFDSTVRLWD
+>Q9BZK7_PF00400_434 <unknown description>
+CIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWN
+>O60907_PF08513_57 <unknown description>
+DEVNFLVYRYLQESGFSHSAFTFGIES
+>O60907_PF00400_226 <unknown description>
+TVLRGHESEVFICAWNPVSDLLASGSGDSTARIWN
+>O60907_PF00400_277 <unknown description>
+CIREGGHDVPSNKDVTSLDWNTNGTLLATGSYDGFARIW
+>O60907_PF00400_322 <unknown description>
+ASTLGQHKGPIFALKWNRKGNYILSAGVDKTTIIWD
+>O60907_PF00400_403 <unknown description>
+RPVKTFQGHTNEVNAIKWDPSGMLLASCSDDMTLKIWS
+>O60907_PF00400_445 <unknown description>
+VCIHDLQAHNKEIYTIKWSPTGPATSNPNSNIMLASASFDSTVRLWD
+>O60907_PF00400_495 <unknown description>
+GVCTHTLTKHQEPVYSVAFSPDGKYLASGSFDKCVHIWN
+>Q9BQ87_PF08513_6 <unknown description>
+DEVNFLVYRYLQESGFSHSAFTFGIES
+>Q9BQ87_PF00400_173 <unknown description>
+TVLRGHESEVFICAWNPVSDLLASGSGDSTARIWN
+>Q9BQ87_PF00400_224 <unknown description>
+CIREGGHDVPSNKDVTSLDWNSDGTLLAMGSYDGFARIW
+>Q9BQ87_PF00400_269 <unknown description>
+ASTLGQHKGPIFALKWNKKGNYVLSAGVDKTTIIWD
+>Q9BQ87_PF00400_351 <unknown description>
+PVKTFQGHTNEVNAIKWDPSGMLLASCSDDMTLKIWS
+>Q9BQ87_PF00400_393 <unknown description>
+CVHDLQAHSKEIYTIKWSPTGPATSNPNSSIMLASASFDSTVRLWD
+>Q9BQ87_PF00400_443 <unknown description>
+VCTHTLMKHQEPVYSVAFSPDGKYLASGSFDKYVHIWN
+>Q9Y4P3_PF00400_86 <unknown description>
+LKSHSGNISCMDFSSNGKYLATCADDRTIRIWS
+>Q9Y4P3_PF00400_274 <unknown description>
+ELKGHSAAVHSFAFSNDSRRMASVSKDGTWKLWD
+>Q12788_PF00400_108 <unknown description>
+HTAPVATMAFDPTSTLLATGGCDGAVRVWD
+>Q12788_PF00400_186 <unknown description>
+SCLAVLTAHYSAVTSLAFSADGHTMLSSGRDKICIIWD
+>Q12788_PF00400_370 <unknown description>
+QILHGHTDIVLALDVFRKGWLFASCAKDQSVRIW
+>Q12788_PF00400_413 <unknown description>
+CVAQGSGHTHSVGTVCCSRLKESFLVTGSQDCTVKLW
+>Q12788_PF00400_472 <unknown description>
+QTTQRCHDKDINSVAIAPNDKLLATGSQDRTAKLW
+>Q12788_PF00400_512 <unknown description>
+QLLGVFSGHRRGLWCVQFSPMDQVLATASADGTIKLW
+>Q12788_PF00400_554 <unknown description>
+SCLKTFEGHDASVLKVAFVSRGTQLLSSGSDGLVKLW
+>Q12788_PF00400_596 <unknown description>
+ECVRTLDAHEDKVWGLHCSRLDDHALTGASDSRVILWK
+>Q12788_PF08625_654 <unknown description>
+QQELDNLLHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPEACEKLEATMLRLRRDQKEA
+LLRFCVTWNTNSRHCHEAQAVLGVLLRREAPEELLAYEGVRAALEALLPYTERHFQRLSR
+TLQAAAFLDFLWHNM
+>P62380_PF00352_11 <unknown description>
+ILITNVVCVFRTRCHLNLRKIALEGANVIYKRDVGKVLMKLRKPRITATIWSSGKIICTG
+ATSEEEAKFGARRLARSLQKL
+>P62380_PF00352_99 <unknown description>
+DFKVVNVLAVCNMPFEIRLPEFTKNNRPHASYEPELHPAVCYRIKSLRATLQIFSTGSIT
+VTGPNVKAVATAVEQIYPFV
+>Q6SJ96_PF00352_168 <unknown description>
+PQLQNIVSTVNLACKLDLKKIALHAKNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCT
+GAKSEEQSRLAARKYARVVQKL
+>Q6SJ96_PF00352_257 <unknown description>
+DFKIQNMVGSCDVRFPIRLEGLVLTHQQFSSYEPELFPGLIYRMVKPRIVLLIFVSGKVV
+LTGAKERSEIYEAFENIYPILK
+>P20226_PF00352_163 <unknown description>
+PQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCT
+GAKSEEQSRLAARKYARVVQKL
+>P20226_PF00352_252 <unknown description>
+DFKIQNMVGSCDVKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVV
+LTGAKVRAEIYEAFENIYPILK
+>Q16650_PF00907_206 <unknown description>
+VYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFNISGLDPTAHYNIFVDVILADPNHWR
+FQGGKWVPCGKADTNVQGNRVYMHPDSPNTGAHWMRQEISFGKLKLTNNKGASNNNGQMV
+VLQSLHKYQPRLHVVEVNEDGTEDTSQPGRVQTFTFPETQFIAVTAYQNTDITQLKIDHN
+PFAKGFRD
+>Q16650_PF16176_418 <unknown description>
+QIVPGARYAMAGSFLQDQFVSNYAKARFHPGAGAGPGPGTDRSVPHTNGLLSPQQAEDPG
+APSPQRWFVTPANNRLDFAASAYDTATDFAGNAATLLSYAAAGVKALPLQAAGCTGRPLG
+YYADPSGWGARSPPQYCGTKSGSVLPCWPNSAAAAARMAGANPYLGEEAEGLAAERSPLP
+PGAAEDAKPKDLSDSSWIETPSSIKSIDSSDSGIYEQAKRRRISPADTPVSESSSPLKSE
+VLAQRDCEKNCAKDISGYYGFYS
+>Q3YBR2_PF05964_188 <unknown description>
+GGLTVYSLGEIITDRPGFHDESAIYPVGYCSTRIYASMKCPDQKCLYTCQI
+>Q3YBR2_PF05965_244 <unknown description>
+QPQFEIVPEDDPQNAIVSSSADACHAELLRTISTTMGKLMPNLLPAGADFFGFSHPAIHN
+LIQSCPGARKCINYQWVKFD
+>O75333_PF00907_67 <unknown description>
+VQLEMKPLWEEFNQLGTEMIVTKAGRRMFPPFQVKILGMDSLADYALLMDFIPLDDKRYR
+YAFHSSAWLVAGKADPATPGRVHFHPDSPAKGAQWMRQIVSFDKLKLTNNLLDDNGHIIL
+NSMHRYQPRFHVVFVDPRKDSERYAQENFKSFIFTETQFTAVTAYQNHRITQLKIASNPF
+AKGFRE
+>Q96SF7_PF00907_115 <unknown description>
+VELQCADLWKRFHDIGTEMIITKAGRRMFPAMRVKITGLDPHQQYYIAMDIVPVDNKRYR
+YVYHSSKWMVAGNADSPVPPRVYIHPDSLASGDTWMRQVVSFDKLKLTNNELDDQGHIIL
+HSMHKYQPRVHVIRKDFSSDLSPTKPVPVGDGVKTFNFPETVFTTVTAYQNQQITRLKID
+RNPFAKGFRD
+>O95935_PF00907_141 <unknown description>
+VDLQGAELWKRFHEIGTEMIITKAGRRMFPAMRVKISGLDPHQQYYIAMDIVPVDNKRYR
+YVYHSSKWMVAGNADSPVPPRVYIHPDSPASGETWMRQVISFDKLKLTNNELDDQGHIIL
+HSMHKYQPRVHVIRKDCGDDLSPIKPVPSGEGVKAFSFPETVFTTVTAYQNQQITRLKID
+RNPFAKGFRD
+>O60806_PF00907_45 <unknown description>
+LEDAPLWQRFKEVTNEMIVTKNGRRMFPVLKISVTGLDPNAMYSLLLDFVPTDSHRWKYV
+NGEWVPAGKPEVSSHSCVYIHPDSPNFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHK
+YEPQVHIVRVGSAHRMVTNCSFPETQFIAVTAYQNEEITALKIKYNPFAKAFL
+>Q9UMR3_PF00907_102 <unknown description>
+CSLETKELWDKFHELGTEMIITKSGRRMFPTIRVSFSGVDPEAKYIVLMDIVPVDNKRYR
+YAYHRSSWLVAGKADPPLPARLYVHPDSPFTGEQLLKQMVSFEKVKLTNNELDQHGHIIL
+NSMHKYQPRVHIIKKKDHTASLLNLKSEEFRTFIFPETVFTAVTAYQNQLITKLKIDSNP
+FAKGFRD
+>Q9UL17_PF00907_139 <unknown description>
+VALNNHLLWSKFNQHQTEMIITKQGRRMFPFLSFTVAGLEPTSHYRMFVDVVLVDQHHWR
+YQSGKWVQCGKAEGSMPGNRLYVHPDSPNTGAHWMRQEVSFGKLKLTNNKGASNNVTQMI
+VLQSLHKYQPRLHIVEVNDGEPEAACNASNTHIFTFQETQFIAVTAYQNAEITQLKIDNN
+PFAKGFRE
+>Q9Y458_PF00907_95 <unknown description>
+ELQGSELWKRFHDIGTEMIITKAGRRMFPSVRVKVKGLDPGKQYHVAIDVVPVDSKRYRY
+VYHSSQWMVAGNTDHLCIIPRFYVHPDSPCSGETWMRQIISFDRMKLTNNEMDDKGHIIL
+QSMHKYKPRVHVIEQGSSVDLSQIQSLPTEGVKTFSFKETEFTTVTAYQNQQITKLKIER
+NPFAKGFRD
+>Q13207_PF00907_107 <unknown description>
+VTLEAKELWDQFHKLGTEMVITKSGRRMFPPFKVRVSGLDKKAKYILLMDIVAADDCRYK
+FHNSRWMVAGKADPEMPKRMYIHPDSPATGEQWMAKPVAFHKLKLTNNISDKHGFTILNS
+MHKYQPRFHIVRANDILKLPYSTFRTYVFPETDFIAVTAYQNDKITQLKIDNNPFAKGFR
+D
+>Q13207_PF12598_305 <unknown description>
+RLYEEHCKPERDGAESDASSCDPPPAREPPTSPGAAPSPLRLHRARAEEKSCAADSDPEP
+ERLSEERAGAPLGRSPAP
+>O15119_PF00907_105 <unknown description>
+VHLEAKELWDQFHKRGTEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYK
+FHNSRWMVAGKADPEMPKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTILNS
+MHKYQPRFHIVRANDILKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFAKGFR
+D
+>O15119_PF12598_303 <unknown description>
+RVFDERHKKENGTSDESSSEQAAFNCFAQASSPAASTVGTSNLKDLCPSEGESDAEAESK
+EEHGPEACDAAKISTTTSEEPCR
+>P57082_PF00907_69 <unknown description>
+VGLHEKELWKKFHEAGTEMIITKAGRRMFPSYKVKVTGMNPKTKYILLIDIVPADDHRYK
+FCDNKWMVAGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNS
+MHKYQPRLHIVKADENNAFGSKNTAFCTHVFPETSFISVTSYQNHKITQLKIENNPFAKG
+FR
+>Q99593_PF00907_57 <unknown description>
+FLHERELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKF
+ADNKWSVTGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSM
+HKYQPRLHIVKADENNGFGSKNTAFCTHVFPETAFIAVTSYQNHKITQLKIENNPFAKGF
+R
+>O95947_PF00907_94 <unknown description>
+SLENRELWKEFSSVGTEMIITKAGRRMFPACRVSVTGLDPEARYLFLLDVIPVDGARYRW
+QGRRWEPSGKAEPRLPDRVYIHPDSPATGAHWMRQPVSFHRVKLTNSTLDPHGHLILHSM
+HKYQPRIHLVRAAQLCSQHWGGMASFRFPETTFISVTAYQNPQITQLKIAANPFAKGFRE
+>Q9BUR4_PF00400_281 <unknown description>
+HSLCFSPDGSQLFCGFNRTVRVF
+>Q9BUR4_PF00400_358 <unknown description>
+SPLALLGGHQGGITHLCFHPDGNRFFSGARKDAELLCWD
+>Q9Y4C2_PF17291_524 <unknown description>
+PSESPITVEVNCTNPGTRYCWMSTGLYIPGRQIIEVSLPEAAASADLKIQIGCHTDDLTR
+ASKLFRGPLVINRCCLDKPTKSITCLWGGLLYIIVPQNSKLGSVPVTVK
+>Q9Y4C2_PF13402_633 <unknown description>
+GAVHAPYYKLGETTLEEWKRRIQENPGPWGELATDNIILTVPTANLRTLENPEPLLRLWD
+EVMQAVARLGAEPFPLRLPQRIVADVQISVGWMHAGYPIMCHLESVQELINEKLIRTKGL
+WGPVHELGRNQQRQEWEFPPHTTEATCNLWCVYVHETVLGIPRSRANIALWPPVREKRVR
+IYLSKGPNVKNWNAWTALETYLQLQEAFGWEPFIRLFTEYRNQTNLPTENVDKMNLWVKM
+FSHQVQKNLAPFFEAW
+>A6NFQ2_PF17291_524 <unknown description>
+PSKHPITVEINGINPGNNDCWVSTGLYLLEGQNAEVSLSEAAASAGLRVQIGCHTDDLTK
+ARKLSRAPVVTHQCWMDRTERSVSCLWGGLLYVIVPKGSQLGPVPVTIR
+>A6NFQ2_PF13402_633 <unknown description>
+GAVPAPYYKLGKTSLEEWKRQMQENLAPWGELATDNIILTVPTTNLQALKDPEPVLRLWD
+EMMQAVARLAAEPFPFRRPERIVADVQISAGWMHSGYPIMCHLESVKEIINEMDMRSRGV
+WGPIHELGHNQQRHGWEFPPHTTEATCNLWSVYVHETVLGIPRAQAHEALSPPERERRIK
+AHLGKGAPLCDWNVWTALETYLQLQEAFGWEPFTQLFAEYQTLSHLPKDNTGRMNLWVKK
+FSEKVKKNLVPFFEAWG
+>Q8N3R3_PF14687_24 <unknown description>
+SRALSGAEAVNALRPFYFAVHPDFFGQHPVEREINENSLKRLSVYLENLQKPGFKSLKPT
+QLTFYVRETDQSSSDGQEPFSTSGFRAVKFTLHTRDLLSTVLYILNSCSL
+>Q8N3R3_PF14688_188 <unknown description>
+TTLTSWLDNNGKSAVKKLKNSLPLRKELDRLKDELSHQLQLSDIRWQRSWGIAHRCSQLH
+SLSRLAQQNLETLKKAKGCTIIFTDRSGMSAVGHVMLGTMDVHHHWTKLFERLPSYFDLQ
+RRLMILEDQISYLLGGIQVVYIEELQPVLTLEEYYSLLDVFYNRLLKSRILFHPRSLRGL
+QMILNSDRYAPSLHELGHFNIPTLCDPANLQWFILTKAQQARENMKRKEELKVIENELIQ
+ASTKKFSLEKLYKEPSISSIQMVDCCKRLLEQSLPYLHGMHLCISHFYSVMQDGDLCIPW
+NWK
+>Q15170_PF04538_1 <unknown description>
+MDKPRKENEEEPQSAPKTDEERPPVEHSPEKQSPEEQSSEEQSSEEEFFPEELLPELLPE
+MLLSEERPPQEGLSRKDLFEGRPPMEQPPCGVGKHKLEEGSFKERLARSRPQFRGDIHGR
+NLSNEEMIQAADELEEMKRVRNKLMIMHWKAKRSRPYPI
+>Q9H3H9_PF04538_88 <unknown description>
+SKTEGKPERGGRAEGEGEPDSEREPESEGEPESETRAAGKRPAEDDIPRKAKRKTNKGLA
+QYLKQYKEAIHDMNFSNEDMIREFDNMARVEDKRRKSKQKLGAFLWMQRNLQDPFYPR
+>Q969E4_PF04538_1 <unknown description>
+MEKPYNKNEGNLENEGKPEDEVEPDDEGKSDEEEKPDVEGKTECEGKREDEGEPGDEGQL
+EDEGSQEKQGRSEGEGKPQGEGKPASQAKPESQPRAAEKRPAEDYVPRKAKRKTDRGTDD
+SPKDSQEDLQERHLSSEEMMRECGDVSRAQEELRKKQKMGGFHWMQRDVQDPFAPR
+>Q96EI5_PF04538_82 <unknown description>
+SEMEGGSEREGKPEIEGKPESEGEPGSETRAAGKRPAEDDVPRKAKRKTNKGLAHYLKEY
+KEAIHDMNFSNEDMIREFDNMAKVQDEKRKSKQKLGAFLWMQRNLQDPFYPR
+>Q5H9L2_PF04538_67 <unknown description>
+EDEGNQEKQGKSEGEDKPQSEGKPASQAKPESQPRAAEKRPAEDYVPRKAKRKTDRGTDD
+SPKDSQEDLQERHLSSEEMMRECGDVSRAQEELRKKQKMGGFHWMQRDVQDPFAPR
+>Q9BRU2_PF04538_1 <unknown description>
+MQKPCKENEGKPKCSVPKREEKRPYGEFERQQTEGNFRQRLLQSLEEFKEDIDYRHFKDE
+EMTREGDEMERCLEEIRGLRKKFRALHSNHRHSRDRPYPI
+>Q8IYN2_PF04538_1 <unknown description>
+MQKSCEENEGKPQNMPKAEEDRPLEDVPQEAEGNPQPSEEGVSQEAEGNPRGGPNQPGQG
+FKEDTPVRHLDPEEMIRGVDELERLREEIRRVRNKFVMMHWKQRHSRSRPYPV
+>Q9UHQ7_PF04538_2 <unknown description>
+KSCQKMEGKPENESEPKHEEEPKPEEKPEEEEKLEEEAKAKGTFRERLIQSLQEFKEDIH
+NRHLSNEDMFREVDEIDEIRRVRNKLIVMRWKVNRNHPYP
+>Q8IUC6_PF17798_1 <unknown description>
+MACTGPSLPSAFDILGAAGQDKLLYLKHKLKTPRPGCQGQDLLHAMVLLKLGQETEARIS
+LEALKADAVARLVARQWAGVDSTEDPEEPPDVSWAVARLYHLLAEEKLCPASLRDVAYQE
+AVRTLSSRDDHRLGELQDEARNRCGWDI
+>Q8IUC6_PF12721_642 <unknown description>
+PPPSPQPAAFPQSLPFPQSPAFPTASPAPPQSPGLQPLIIHHAQMVQLGLNNHMW
+>Q86XR7_PF13676_82 <unknown description>
+VILHAEDDTDEALRVQNLLQDDFGIKPGIIFAEMPCGRQHLQNLDDAVNGSAWTILLLTE
+NFLRDTWCNFQFYTSLMNSVNRQHKYNSVIPMRPLNNPLPRERTPFA
+>P23193_PF08711_27 <unknown description>
+LLKELKNIPMTLELLQSTRIGMSVNAIRKQSTDEEVTSLAKSLIKSWKKL
+>P23193_PF07500_136 <unknown description>
+TSDSVRLKCREMLAAALRTGDDYIAIGADEEELGSQIEEAIYQEIRNTDMKYKNRVRSRI
+SNLKDAKNPNLRKNVLCGNIPPDLFARMTAEEMASDELKEMRKNLTKEAIREH
+>P23193_PF01096_261 <unknown description>
+FTCGKCKKKNCTYTQVQTRSADEPMTTFVVCNECGNRWK
+>Q15560_PF08711_30 <unknown description>
+LLRELKAMPITLHLLQSTRVGMSVNALRKQSSDEEVIALAKSLIKSWKKL
+>Q15560_PF07500_134 <unknown description>
+TCDAVRNKCREMLTAALQTDHDHVAIGADCERLSAQIEECIFRDVGNTDMKYKNRVRSRI
+SNLKDAKNPDLRRNVLCGAITPQQIAVMTSEEMASDELKEIRKAMTKEAIREH
+>Q15560_PF01096_259 <unknown description>
+FTCGKCRKKNCTYTQVQTRSSDEPMTTFVVCNECGNRWK
+>O75764_PF08711_29 <unknown description>
+LLKKLHSCQMSIQLLQTTRIGVAVNGVRKHCSDKEVVSLAKVLIKNWKRL
+>O75764_PF07500_183 <unknown description>
+TGDSVRDKCVEMLSAALKADDDYKDYGVNCDKMASEIEDHIYQELKSTDMKYRNRVRSRI
+SNLKDPRNPGLRRNVLSGAISAGLIAKMTAEEMASDELRELRNAMTQEAIREH
+>O75764_PF01096_308 <unknown description>
+FQCSKCKKKNCTYNQVQTRSADEPMTTFVLCNECGNRWK
+>Q12870_PF00010_73 <unknown description>
+QRQAANARERDRTQSVNTAFTALRTLIPTEPVDRKLSKIETVRLASSYIAHL
+>Q9Y242_PF00498_37 <unknown description>
+ADLCDVALRPQQEPGLISGIHAELHAEPRGDDWRVSLEDHSSQGTLVNNVRLPRGHRLEL
+SDGDLLTFG
+>Q9UGU0_PF13771_1855 <unknown description>
+HEGCILWANGIYLVCGRLYGLQEALEIAREMKCSHCQEAGATLGCYNKGCSFRYHYPCAI
+DADCLLHEENFSVRCPKHK
+>O43680_PF00010_80 <unknown description>
+QRNAANARERARMRVLSKAFSRLKTTLPWVPPDTKLSKLDTLRLASSYIAHLR
+>Q7RTU1_PF00010_82 <unknown description>
+AARERSRVRTLRQAFLALQAALPAVPPDTKLSKLDVLVLAASYIAHL
+>Q7RTU0_PF00010_53 <unknown description>
+ANAARERSRVQTLRHAFLELQRTLPSVPPDTKLSKLDVLLLATTYIAHL
+>Q9BQ70_PF04910_248 <unknown description>
+FAFEHSEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQEDQEMARD
+LVERALYSMECAFHPLFSLTSGACRLDYRRPENRSFYLALYKQMSFLEKRGCPRTALEYC
+KLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAFSVPLAYF
+LLSQQTDLPECEQSSARQKASLLIQQALTMFPGVLLPLLESCSVRPDASVSSHRFFGPNA
+EISQPPALSQLVNLYLGRSHFLWKEPATMSWLEENVHEVLQAVDAGDPAVEACENRRKVL
+YQRAPRNIHRHVILSEIKEAVAALPPDVTTQSVMGFDPLPP
+>P36402_PF08347_10 <unknown description>
+GAGGGDDLGAPDELLAFQDEGEEQDDKSRDSAAGPERDLAELKSSLVNESEGAAGGAGIP
+GVPGAGAGARGEAEALGREHAAQRLFPDKLPEPLEDGLKAPECTSGMYKETVYSAFNLLM
+HYPPPSGAGQHPQPQPPLHKANQPPHGVPQLSLYEHFNSPHPTPAPADISQKQVHRPLQT
+PDLSGFYSLTSGSMGQLPHTVSW
+>P36402_PF00505_269 <unknown description>
+IKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQL
+HMQLYPGW
+>Q9UL49_PF00010_401 <unknown description>
+RRERHNRMERDRRRRIRICCDELNLLVPFCNAETDKATTLQWTTAFLKYIQ
+>Q5QJ38_PF01023_4 <unknown description>
+LLRNVLCVIETFHKYASEDSNGATLTGRELKQLIQGEFGDFFQP
+>Q9BT92_PF13868_144 <unknown description>
+KKNNPKLREMELDLHQKHVVNSWEMQKEEKKQQEATAEQENKRYENEYERARREALERMK
+AEEERRQLEDKLQAEALLQQMEELKLKEVEATKLKKEQENLLKQRWELERLEEERKQMEA
+FRQKAELGRFLRHQYNAQLSRRTQQIQEELEADRRILQALLEKEDESQRLHLARREQVMA
+DVAWMKQAIEEQLQLERAREAELQMLLREEAKEMWEKREAEWARERSARDRLMSEVLTGR
+QQQIQEKIEQNRRAQEESLKHREQLIRNLEEVRELARREKEESEKLKSARKQELEAQVAE
+RRLQAWEADQQEEEEEEEARRVEQLSDALLQQEAETMAEQ
+>Q9NR00_PF15063_12 <unknown description>
+MSTSLRVSPSIHGYHFDTASRKKAVGNIFENTDQESLERLFRNSGDKKAEERAKIIFAID
+QDVEEKTRALMALK
+>P56279_PF01840_1 <unknown description>
+MAECPTLGEAVTDHPDRLWAWEKFVYLDEKQHAWLPLTIEIKDRLQLRVLLRREDVVLGR
+PMTPTQIGPSLLPIMWQLYPDGRYRSSDSSFWRLVYHIKIDGVEDMLLELL
+>O95988_PF01840_1 <unknown description>
+MASEASVRLGVPPGRLWIQRPGIYEDEEGRTWVTVVVRFNPSRREWARASQGSRYEPSIT
+VHLWQMAVHTRELLSSGQMPFSQLPAVWQLYPGRKYRAADSSFWEIADHGQIDSMEQLVL
+TYQ
+>P20061_PF01122_8 <unknown description>
+PLVGLLLFSFIPSQLCEICEVSEENYIRLKPLLNTMIQSNYNRGTSAVNVVLSLKLVGIQ
+IQTLMQKMIQQIKYNVKSRLSDVSSGELALIILALGVCRNAEENLIYDYHLIDKLENKFQ
+AEIENMEAHNGTPLTNYYQLSLDVLALCLFNGNYSTAEVVNHFTPENKNYYFGSQFSVDT
+GAMAVLALTCVKKSLINGQIKADEGSLKNISIYTKSLVEKILSEKKENGLIGNTFSTGEA
+MQALFVSSDYYNENDWNCQQTLNTVLTEISQGAFSNPNAAAQVLPALMGKTFLDINKDSS
+CVSASGNFNISADEPITVTPPD
+>P20061_PF14478_355 <unknown description>
+GSVFLSVMEKAQKMNDTIFGFTMEERSWGPYITCIQGLCANNNDRTYWELLSGGEPLSQG
+AGSYVVRNGENLEVRWS
+>P20062_PF01122_4 <unknown description>
+LGAFLFLLGVLGALTEMCEIPEMDSHLVEKLGQHLLPWMDRLSLEHLNPSIYVGLRLSSL
+QAGTKEDLYLHSLKLGYQQCLLGSAFSEDDGDCQGKPSMGQLALYLLALRANCEFVRGHK
+GDRLVSQLKWFLEDEKRAIGHDHKGHPHTSYYQYGLGILALCLHQKRVHDSVVDKLLYAV
+EPFHQGHHSVDTAAMAGLAFTCLKRSNFNPGRRQRITMAIRTVREEILKAQTPEGHFGNV
+YSTPLALQFLMTSPMRGAELGTACLKARVALLASLQDGAFQNALMISQLLPVLNHKTYID
+LIFPDCLAPRVMLEPAAETIPQT
+>P20062_PF14478_352 <unknown description>
+GSTVEDVLKKAHELGGFTYETQASLSGPYLTSVMGKAAGEREFWQLLRDPNTPLLQGIAD
+YRPKDGETIELRL
+>Q13428_PF03546_227 <unknown description>
+SVSTKESPARKAAPAPGKVGDVTPQVKGGALPPAKRAKKPEEESESSEEGSESEEEAPAG
+TRSQVKASEKILQVRAASAPAKGTPGKGATPAPPGKAGAV
+>Q13428_PF03546_316 <unknown description>
+TPAPPGKAGAVASQTKAGKPEEDSESSSEESSDSEEETPAAKALLQAKASGKTSQVGAAS
+APAKESPRKGAAPAPPGKTGPAVAKAQAGKREEDSQSSSEESDSEEEAPAQ
+>Q13428_PF03546_423 <unknown description>
+APAQAKPSGKAPQVRAASAPAKESPRKGAAPAPPRKTGPAAAQVQVGKQEEDSRSSSEES
+DSDREALAAMNAAQVKPLGKSPQVKPASTMGMGPLGKGAGPVPPGKVGPATPSAQVGKWE
+EDSESSSEESSDSSDGEVPTAVAPAQEKSLGNILQAKPTSSPAKGPPQKAGPVAVQVKAE
+KPMDNSESSEESSDSADSEEAPAAMTAAQAKPALKIPQTKACPKKTNTTASAKVAPVRVG
+TQAPRKAGTATSPAGSSPAVAGGTQRPAEDSSSSEESDSEEEKTGLAVTVGQAKSVGKGL
+QVKAASVPVKGSLGQGTAPVLPGKTGPTVTQVKAEKQEDSESSEEESDSEEAAASPAQVK
+TSVKKTQAKANPAAARAPSAKGTISAPGKVVTAAAQAKQRSPSKVKPPVRNPQNSTVLAR
+GPASVPSVGKAVATAAQAQTGPEEDSGSSEEESDSEEEAE
+>Q13428_PF03546_864 <unknown description>
+PEEDSGSSEEESDSEEEAETLAQVKPSGKTHQIRAALAPAKESPRKGAAPTPPGKTGPSA
+AQAGKQDDSGSSSEESDSDGEAPAAVTSA
+>Q8WWU5_PF05794_62 <unknown description>
+IGMNCDYYMEEKVLPPSSLEGKVKETVHNAFWDHLKEQLSATPPDFSCALELLKEIKEIL
+LSLLLPRQNRLRIEIEEALDMDLLKQEAEHGALKVLYLSKYVLNMMALLCAPVRDEAVQK
+LENITDPVWLLRGIFQVLGRMKMDMVNYTIQSLQPHLQEHSIQYERAKFQELLNKQPSLL
+NHTTKWLTQAAGDLTMSPPTCPDTSDSSSVAGPSPNEAANNPEPLSPTMVLCQGFLNLLL
+WDLENEEFPETLLMDRTRLQELKSQLHQLTVMASVLLVASSFSGSVLFGSPQFVDKLKRI
+TKSLLEDFHSRPEEAILTVSEQVSQEIHQSLKNMGLVALSSDNTASLMGQLQNIAKKENC
+VCSVIDQRIHLFLKCCLVLGVQRSLLDLPGGLTLIEAELAELGQKFVNLTHHNQQVFGPY
+YTEILK
+>P53999_PF02229_64 <unknown description>
+FQIGKMRYVSVRDFKGKVLIDIREYWMDPEGEMKPGRKGISLNPEQWSQLKE
+>P17987_PF00118_28 <unknown description>
+IANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKLLEVEHPAAKVLCELADLQDKEVG
+DGTTSVVIIAAELLKNADELVKQKIHPTSVISGYRLACKEAVRYINENLIVNTDELGRDC
+LINAAKTSMSSKIIGINGDFFANMVVDAVLAIKYTDIRGQPRYPVNSVNILKAHGRSQME
+SMLISGYALNCVVGSQGMPKRIVNAKIACLDFSLQKTKMKLGVQVVITDPEKLDQIRQRE
+SDITKERIQKILATGANVILTTGGIDDMCLKYFVEAGAMAVRRVLKRDLKRIAKASGATI
+LSTLANLEGEETFEAAMLGQAEEVVQERICDDELILIKNTKARTSASIILRGANDFMCDE
+MERSLHDALCVVKRVLESKSVVPGGGAVEAALSIYLENYATSMGSREQLAIAEFARSLLV
+IPNTLAVNAAQDSTDLVAKLRAFHNEAQVNPERKNLKWIGLDLSNGKPRDNKQAGVFEPT
+IVKVKSLKFATEAAITILRIDDLIKL
+>P78371_PF00118_36 <unknown description>
+GDLVKSTLGPKGMDKILLSSGRDASLMVTNDGATILKNIGVDNPAAKVLVDMSRVQDDEV
+GDGTTSVTVLAAELLREAESLIAKKIHPQTIIAGWREATKAAREALLSSAVDHGSDEVKF
+RQDLMNIAGTTLSSKLLTHHKDHFTKLAVEAVLRLKGSGNLEAIHIIKKLGGSLADSYLD
+EGFLLDKKIGVNQPKRIENAKILIANTGMDTDKIKIFGSRVRVDSTAKVAEIEHAEKEKM
+KEKVERILKHGINCFINRQLIYNYPEQLFGAAGVMAIEHADFAGVERLALVTGGEIASTF
+DHPELVKLGSCKLIEEVMIGEDKLIHFSGVALGEACTIVLRGATQQILDEAERSLHDALC
+VLAQTVKDSRTVYGGGCSEMLMAHAVTQLANRTPGKEAVAMESYAKALRMLPTIIADNAG
+YDSADLVAQLRAAHSEGNTTAGLDMREGTIGDMAILGITESFQVKRQVLLSAAEAAEVIL
+RVDNIIKAA
+>P50991_PF00118_44 <unknown description>
+VADAIRTSLGPKGMDKMIQDGKGDVTITNDGATILKQMQVLHPAARMLVELSKAQDIEAG
+DGTTSVVIIAGSLLDSCTKLLQKGIHPTIISESFQKALEKGIEILTDMSRPVELSDRETL
+LNSATTSLNSKVVSQYSSLLSPMSVNAVMKVIDPATATSVDLRDIKIVKKLGGTIDDCEL
+VEGLVLTQKVSNSGITRVEKAKIGLIQFCLSAPKTDMDNQIVVSDYAQMDRVLREERAYI
+LNLVKQIKKTGCNVLLIQKSILRDALSDLALHFLNKMKIMVIKDIEREDIEFICKTIGTK
+PVAHIDQFTADMLGSAELAEEVNLNGSGKLLKITGCASPGKTVTIVVRGSNKLVIEEAER
+SIHDALCVIRCLVKKRALIAGGGAPEIELALRLTEYSRTLSGMESYCVRAFADAMEVIPS
+TLAENAGLNPISTVTELRNRHAQGEKTAGINVRKGGISNILEELVVQPLLVSVSALTLAT
+ETVRSILKIDDVVNT
+>P48643_PF00118_44 <unknown description>
+VANTMRTSLGPNGLDKMMVDKDGDVTVTNDGATILSMMDVDHQIAKLMVELSKSQDDEIG
+DGTTGVVVLAGALLEEAEQLLDRGIHPIRIADGYEQAARVAIEHLDKISDSVLVDIKDTE
+PLIQTAKTTLGSKVVNSCHRQMAEIAVNAVLTVADMERRDVDFELIKVEGKVGGRLEDTK
+LIKGVIVDKDFSHPQMPKKVEDAKIAILTCPFEPPKPKTKHKLDVTSVEDYKALQKYEKE
+KFEEMIQQIKETGANLAICQWGFDDEANHLLLQNNLPAVRWVGGPEIELIAIATGGRIVP
+RFSELTAEKLGFAGLVQEISFGTTKDKMLVIEQCKNSRAVTIFIRGGNKMIIEEAKRSLH
+DALCVIRNLIRDNRVVYGGGAAEISCALAVSQEADKCPTLEQYAMRAFADALEVIPMALS
+ENSGMNPIQTMTEVRARQVKEMNPALGIDCLHKGTNDMKQQHVIETLIGKKQQISLATQM
+VRMILKIDDI
+>P49368_PF00118_33 <unknown description>
+IADIIRTCLGPKSMMKMLLDPMGGIVMTNDGNAILREIQVQHPAAKSMIEISRTQDEEVG
+DGTTSVIILAGEMLSVAEHFLEQQMHPTVVISAYRKALDDMISTLKKISIPVDISDSDMM
+LNIINSSITTKAISRWSSLACNIALDAVKMVQFEENGRKEIDIKKYARVEKIPGGIIEDS
+CVLRGVMINKDVTHPRMRRYIKNPRIVLLDSSLEYKKGESQTDIEITREEDFTRILQMEE
+EYIQQLCEDIIQLKPDVVITEKGISDLAQHYLMRANITAIRRVRKTDNNRIARACGARIV
+SRPEELREDDVGTGAGLLEIKKIGDEYFTFITDCKDPKACTILLRGASKEILSEVERNLQ
+DAMQVCRNVLLDPQLVPGGGASEMAVAHALTEKSKAMTGVEQWPYRAVAQALEVIPRTLI
+QNCGASTIRLLTSLRAKHTQENCETWGVNGETGTLVDMKELGIWEPLAVKLQTYKTAVET
+AVLLLRIDDIVS
+>Q99832_PF00118_32 <unknown description>
+IAEAVRTTLGPRGMDKLIVDGRGKATISNDGATILKLLDVVHPAAKTLVDIAKSQDAEVG
+DGTTSVTLLAAEFLKQVKPYVEEGLHPQIIIRAFRTATQLAVNKIKEIAVTVKKADKVEQ
+RKLLEKCAMTALSSKLISQQKAFFAKMVVDAVMMLDDLLQLKMIGIKKVQGGALEDSQLV
+AGVAFKKTFSYAGFEMQPKKYHNPKIALLNVELELKAEKDNAEIRVHTVEDYQAIVDAEW
+NILYDKLEKIHHSGAKVVLSKLPIGDVATQYFADRDMFCAGRVPEEDLKRTMMACGGSIQ
+TSVNALSADVLGRCQVFEETQIGGERYNFFTGCPKAKTCTFILRGGAEQFMEETERSLHD
+AIMIVRRAIKNDSVVAGGGAIEMELSKYLRDYSRTIPGKQQLLIGAYAKALEIIPRQLCD
+NAGFDATNILNKLRARHAQGGTWYGVDINNEDIADNFEAFVWEPAMVRINALTAASEAAC
+LIVSVDETIKN
+>Q96SF2_PF00118_43 <unknown description>
+LASVIRPCYGPHGRQKFLVTMKGETVCTGCATAILRALELEHPAAWLLREAGQTQAENSG
+DGTAFVVLLTEALLEQAEQLLKAGLPRPQLREAYATATAEVLATLPSLAIQSLGPLEDPS
+WALHSVMNTHTLSPMDHLTKLVAHACWAIKELDGSFKPERVGVCALPGGTLEDSCLLPGL
+AISGKLCGQMATVLSGARVALFACPFGPAHPNAPATARLSSPADLAQFSKGSDQLLEKQV
+GQLAAAGINVAVVLGEVDEETLTLADKYGIVVIQARSWMEIIYLSEVLDTPLLPRLLPPQ
+RPGKCQRVYRQELGDGLAVVFEWECTGTPALTVVLRGATTQGLRSAEQAVYHGIDAYFQL
+CQDPRLIPGAGATEMALAKMLSDKGSRLEGPSGPAFLAFAWALKYLPKTLAENAGLAVSD
+VMAEMSGVHQGGNLLMGVGTEGIINVAQEGVWDTLIVKAQGFRAVAEVVLQLVTVDEIVV
+AKK
+>P50990_PF00118_39 <unknown description>
+LAQTTRTAYGPNGMNKMVINHLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVG
+DGTNFVLVFAGALLELAEELLRIGLSVSEVIEGYEIACRKAHEILPNLVCCSAKNLRDID
+EVSSLLRTSIMSKQYGNEVFLAKLIAQACVSIFPDSGHFNVDNIRVCKILGSGISSSSVL
+HGMVFKKETEGDVTSVKDAKIAVYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDA
+QVKAIADTGANVVVTGGKVADMALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPRLTP
+PVLEEMGHCDSVYLSEVGDTQVVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNT
+FKVLTRDKRLVPGGGATEIELAKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGV
+KANEVISKLYAVHQEGNKNVGLDIEAEVPAVKDMLEAGILDTYLGKYWAIKLATNAAVTV
+LRVDQIIMAKP
+>Q7Z6L1_PF06398_70 <unknown description>
+EAYENQRWNPMGGFCEKLLLSDRWGWSDVSGLQHRPLDRVALPSPHWEWESDWYVDENFG
+GEPTEKGGWTYAIDFPATYTKDKKWNSCVRRRKWIR
+>Q7Z6L1_PF06462_210 <unknown description>
+SVWAVSLQGKVWYREDVSHSNPEGSSW
+>Q7Z6L1_PF06462_256 <unknown description>
+LWATLWEGQALVREGINRSNPKGSSWS
+>Q7Z6L1_PF19193_293 <unknown description>
+VMHISVGVSVVWAVTKDWKVWFRRGVNSHNPCGTSWIEMVGEMTMVNVGMNDQVWGIGCE
+DRAVYFRQGVTPSELSGKTWKAIIAARE
+>Q7Z6L1_PF06398_816 <unknown description>
+SDVKCVHIYENQRWNPVTGYTSRGLPTDRYMWSDASGLQECTKAGTKPPSLQWAWVSDWF
+VDFSVPGGTDQEGWQYASDFPASYHGSKTMKDFVRRRCWAR
+>Q7Z6L1_PF19193_926 <unknown description>
+WLEVPPIALRDVSIIPESPGAEGSGHSIALWAVSDKGDVLCRLGVSELNPAGSSWLHVGT
+DQPFASISIGACYQVWAVARDGSAFYRGSVYPSQPAGDCWYHIPSPPRQRLKQVSAGQTS
+VYALDENGNLWYRQGITPSYPQGSSWEHVSNNVCRVSVGPLDQVWV
+>O15040_PF06462_946 <unknown description>
+VVWALTEQRALLYREGVSSFCPEGEQWKC
+>O15040_PF06462_996 <unknown description>
+LWALDIHGNLWFRTGIISKKPQGDDDHWWQV
+>O15040_PF19193_1178 <unknown description>
+QDALWALDSLGQVFIRTLSKSCPTGMHWTRLDLSQLGAVKLTSLACGNQHIWACDSRGGV
+YFRVGTQPLNPSLMLPAWIMIEPPVQPAGVSLVSVHSSPNDQMLWVLDSRWNVHVRTGIT
+EEMPVGTAWEHVPGLQACQLALSTRTVW
+>Q92526_PF00118_30 <unknown description>
+LQDVLRTNLGPKGTMKMLVSGAGDIKLTKDGNVLLDEMQIQHPTASLIAKVATAQDDVTG
+DGTTSNVLIIGELLKQADLYISEGLHPRIIAEGFEAAKIKALEVLEEVKVTKEMKRKILL
+DVARTSLQTKVHAELADVLTEVVVDSVLAVRRPGYPIDLFMVEIMEMKHKLGTDTKLIQG
+LVLDHGARHPDMKKRVEDAFILICNVSLEYEKTEVNSGFFYKTAEEKEKLVKAERKFIED
+RVQKIIDLKDKVCAQSNKGFVVINQKGIDPFSLDSLAKHGIVALRRAKRRNMERLSLACG
+GMAVNSFEDLTVDCLGHAGLVYEYTLGEEKFTFIEECVNPCSVTLLVKGPNKHTLTQVKD
+AIRDGLRAIKNAIEDGCMVPGAGAIEVAMAEALVTYKNSIKGRARLGVQAFADALLIIPK
+VLAQNAGYDPQETLVKVQAEHVESKQLVGVDLNTGEPMVAADAGVWDNYCVKKQLLHSCT
+VIATNILLVDEIMRA
+>P40227_PF00118_30 <unknown description>
+LQDVLRTNLGPKGTMKMLVSGAGDIKLTKDGNVLLHEMQIQHPTASLIAKVATAQDDITG
+DGTTSNVLIIGELLKQADLYISEGLHPRIITEGFEAAKEKALQFLEEVKVSREMDRETLI
+DVARTSLRTKVHAELADVLTEAVVDSILAIKKQDEPIDLFMIEIMEMKHKSETDTSLIRG
+LVLDHGARHPDMKKRVEDAYILTCNVSLEYEKTEVNSGFFYKSAEEREKLVKAERKFIED
+RVKKIIELKRKVCGDSDKGFVVINQKGIDPFSLDALSKEGIVALRRAKRRNMERLTLACG
+GVALNSFDDLSPDCLGHAGLVYEYTLGEEKFTFIEKCNNPRSVTLLIKGPNKHTLTQIKD
+AVRDGLRAVKNAIDDGCVVPGAGAVEVAMAEALIKHKPSVKGRAQLGVQAFADALLIIPK
+VLAQNSGFDLQETLVKIQAEHSESGQLVGVDLNTGEPMVAAEVGVWDNYCVKKQLLHSCT
+VIATNILLVDEIMRA
+>Q5VWI1_PF01846_455 <unknown description>
+THFRDMLLERGVSAFSTWEKELHKIVFDPRYLLLNSEERKQIFEQF
+>Q5VWI1_PF01846_519 <unknown description>
+AKEEFKKLLEESKVSPRTTFKEFAEKYGRDQRFRLVQKRKDQEHFFNQF
+>P57738_PF15128_17 <unknown description>
+VLGALGSEFLREWEAQDMRVTLFKLLLLWLVLSLLGIQLAWGFYGNTVTGLYHRPGLGGQ
+NGSTPDGSTHFPSWEMAANEPLKTHRE
+>P13693_PF00838_1 <unknown description>
+MIIYRDLISHDEMFSDIYKIREIADGLCLEVEGKMVSRTEGNIDDSLIGGNASAEGPEGE
+GTESTVITGVDIVMNHHLQETSFTKEAYKKYIKDYMKSIKGKLEEQRPERVKPFMTGAAE
+QIKHILANFKNYQFFIGENMNPDGMVALLDYREDGVTPYMIFFKDGLE
+>P13385_PF09443_115 <unknown description>
+CGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGC
+>P51864_PF09443_115 <unknown description>
+CGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGC
+>Q13569_PF03167_131 <unknown description>
+NLDIVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFT
+NMVERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNL
+EFGLQPHKIPDTETLCYVMPSSSARCAQFP
+>Q9H147_PF18192_66 <unknown description>
+SMDLLRAVLQPSINEEIQTVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRSCLEQA
+KLLFSD
+>Q5QJE6_PF08698_638 <unknown description>
+QKTAGDGWFGMKAPEMTNELKNDLKALKMRASMDPKRFYKKNDRDGFPKYFQIGTIVDNP
+ADFYHSRIPKKQRKRTIVEELLADSEFRRYNRRK
+>Q5VZ19_PF00076_36 <unknown description>
+VYVGNLPLDISKEEILYLLKDFNPLDVHKIQNGCKCFAFVDLGSMQKVTLAIQELNGKLF
+HKRKL
+>Q5VZ19_PF00567_201 <unknown description>
+TSIVPKTPFFWAMHVTEALHQNMQALFSTLAQAEEQQPYLEGSTVMRGTRCLAEYHLGDY
+GHAWNRCWVLDRVDTWAVVMFIDFGQLATIPVQSLRSLDSDDFWTIPPLTQPFMLE
+>B5MCY1_PF00567_16 <unknown description>
+TISHIKCLPKDILVKFQGIKSNECEFDYHVLQREIQHTPKVKNNVEIDEFCLVEERVSGE
+WQRGRVMEKKNELYTVLLIDRGEELRVAGPQIASACGNLFELPPRV
+>B5MCY1_PF00567_239 <unknown description>
+VGSTESVKVSSALSPSKFYCQLIKWTPELENLTAHMTLHYDTVCQETSPTCDNFGLLCVA
+RRRNGQWHRGILQQLLPPNQVKIWFMDYGSSEAIPSIYVKKLKQDFILVPLFSFPCSL
+>B5MCY1_PF00567_486 <unknown description>
+AFIAYVLNPSNFWVRTNDHRNEFQEIMKNINKFYDLCENDEMILRKPEPGLFCCARYSKD
+RRFYRAVITEINGYKINVYFLDYGNTDSIPFFDVKILLPEFCELPALAMCCSLAH
+>B5MCY1_PF00567_758 <unknown description>
+LEVKCSCYYGPGDFSCQLQCKSEDLKLLMEQIQNYYSIHSDPYEIGQTACVAKYSGKWCR
+AAVLTQVSKEVDIVFVDYGYQKRVLIEDLCAINPRFLLLESQAFRCCLN
+>B5MCY1_PF00567_963 <unknown description>
+IGSEEEVYISHIYSPQKFYCQLGRNNKDLEMIETKITESVNLQNFPKYDSNKMRVCISKY
+VEDGLSYRALAIPTDSSSEFQVYFVDFGNKQLVGENMLRAISAQFPELLFTPMQAIKCFL
+S
+>B5MCY1_PF00567_1294 <unknown description>
+NAKVKGYVSNISNPANFHIQLAENESVIIRLADALNATARRLRERKSVKPLVGDLVVAEY
+SGDNAIYRAVIKKILPGNSFEVEFIDYGNSAIVNTSKIYELQREFLTVPQLGIHAFLSG
+>B5MCY1_PF00567_1529 <unknown description>
+EKAEMLNVSKSGRFYVKLSKNKKILSDLIVLITKEEKKSPFLSMESIEKGLECLAKSKNT
+LKWHRSKVEEKYVDDKVLVFLVDCGIYEIVPVCNTKLLSNEIRNIPRQAVPCK
+>B5MCY1_PF00567_1734 <unknown description>
+QYSGIATAVSDPSDFSIQLEDFFDIMKYLFMLLSDLPETLQTLPQEFIIPGSSCLFKYKS
+EDQWNRVEISEVSPQSLCLVLVDYGFSFYIRYSEIINLKVVPEELLNLPRLSYPCILYG
+>Q9BXT4_PF01753_170 <unknown description>
+CHRCGLFGSLRCSQCKQTYYCSTACQRRDWSAHSIVC
+>Q9BXT4_PF00567_261 <unknown description>
+KKTMEIKGTVTEFKHPGDFYVQLYSSEVLEYMNQLSASLKETYANVHEKDYIPVKGEVCI
+AKYTVDQTWNRAIIQNVDVQQKKAHVLYIDYGNEEIIPLNRIYHLNRNIDLFPPCAIKCF
+VA
+>Q9BXT4_PF00567_492 <unknown description>
+VGDEFCGVVAHIQTPEDFFCQQLQSGRKLAELQASLSKYCDQLPPRSDFYPAIGDICCAQ
+FSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLMRLCPIIPKLLELPMQAIKCVLAG
+>Q9BXT4_PF00567_712 <unknown description>
+VDQTVDVVVCVIYSPGEFYCHVLKEDALKKLNDLNKSLAEHCQQKLPNGFKAEIGQPCCA
+FFAGDGSWYRALVKEILPNGHVKVHFVDYGNIEEVTADELRMISSTFLNLPFQGIRCQLA
+>Q9BXT4_PF00567_937 <unknown description>
+PVDKTIQANVLEIISPNLFYALPKGMPENQEKLCMLTAELLEYCNAPKSRPPYRPRIGDA
+CCAKYTSDDFWYRAVVLGTSDTDVEVLYADYGNIETLPLCRVQPITSSHLALPFQIIRCS
+LEG
+>Q9H7E2_PF08585_29 <unknown description>
+DKVNVNDIILIALNTDLRTIGKKFLPSDINSGKVEKLEGPCVLQIQKIRNVAAPKDNEES
+QAAPRMLRLQMTDGHISCTAVEFSYMSKISLNTPPGTKVKLSGIVDIKNGFLLLNDSNTT
+VLGGEVEHLIEKWELQR
+>Q9H7E2_PF00627_288 <unknown description>
+DEKALKHITEMGFSKEASRQALMDNGNNLEAALNVL
+>Q9H7E2_PF00567_647 <unknown description>
+KMWKPGDECFALYWEDNKFYRAEVEALHSSGMTAVVKFIDYGNYEEVLLSNIKPIQTE
+>Q8NAT2_PF12872_10 <unknown description>
+CLRKEIRSLLISTKDGLSPQELEKEYLLMVGNHLPLRILGYRSTMELVLDMPDVVRVCPG
+AGG
+>Q8NAT2_PF12872_135 <unknown description>
+VKSELKDLLALSPVLLSDFEKAFAKRFGRSFQYMQYGFLSMFEVLNAASDVISVEQTRAG
+>Q8NAT2_PF12872_297 <unknown description>
+SELKHKIKFVVSKFPEGLFISKLLGEYEVIFKEQLSPKKLGFLNVTELVGALSDILHVEF
+RKGHQD
+>Q8NAT2_PF00567_472 <unknown description>
+LIGVFVEYIISPSQFYIRIYSRDSSELLEDMMIEMRRCYSNQLVSDRYVMPECFIQPGHL
+CCVRISEDKWWYRVIIHRVLEKQEVEVFYPDFGNIGIVQKSSLRFLKCCYTKLPAQAIPC
+SLA
+>O60522_PF00567_44 <unknown description>
+LRLSREIQEAAATRGQWALGSASASPGELCLVQVGLLWHRCRVVSRQAQESRVFLLDEGR
+TITAGAGSLAPGRREFFNLPSEVLGCVLAG
+>O60522_PF00567_250 <unknown description>
+VTEAVVITQVCHPHRIHCQLRSVSQEIHRLSESMAQVYRGSTGTGDENSTSATWEEREES
+PDKPGSPCASCGLDGHWYRALLLETFRPQRCAQVLHVDYGRKELVSCSSLRYLLPEYFRM
+PVVTYPCALYG
+>O60522_PF00567_487 <unknown description>
+AFYDAQVEFVKNPSEFWIRLRKHNVTFSKLMRRMCGFYSSASKLDGVVLKPEPDDLCCVK
+WKENGYYRAIVTKLDDKSVDVFLVDRGNSENVDWYDVRMLLPQFRQLPILAVKCTLA
+>O60522_PF00567_770 <unknown description>
+VGSTVEVRVSYVENPGYFWCQLTRNIQGLKTLMSDIQYYCKNTAAPHQRNTLACLAKRTV
+NRQWSRALISGIQSVEHVNVTFVDYGDREMVSVKNIYSISEEFLKVKAQAFRCSLYN
+>O60522_PF00567_985 <unknown description>
+GSEELVYITHIDDPWTFYCQLARNANILEQLSCSITQLSKVLLNLKTSPLNPGTLCLAKY
+TDGNWYRGIVIEKEPKKVFFVDFGNIYVVTSDDLLPIPSDAYDVLLLPMQAVRCSLS
+>O60522_PF00567_1305 <unknown description>
+FKTTVYVSHINDLSDFYVQLIEDEAEISHLSERLNSVKTRPEYYVGPPLQRGDMICAVFP
+EDNLWYRAVIKEQQPNDLLSVQFIDYGNVSVVHTNKIGRLDLVNAILPGLCIHCSLQG
+>O60522_PF00567_1516 <unknown description>
+PEKKMIRAYATVIDGPEYFWCQFADTEKLQCLEVEVQTAGEQVADRRNCIPCPYIGDPCI
+VRYREDGHYYRALITNICEDYLVSVRLVDFGNIEDCVDPKALWAIPSELLSVPMQAFPCC
+LSG
+>Q8NHU6_PF12872_7 <unknown description>
+VSKMLRAVLQSHKNGVALPRLQGEYRSLTGDWIPFKQLGFPTLEAYLRSVPAVVRIETSR
+SGE
+>Q8NHU6_PF12872_236 <unknown description>
+EVQNRIKEILNKHNNGIWISKLPHFYKELYKEDLN
+>Q8NHU6_PF00567_460 <unknown description>
+PTEASPSVLVVELSNTNEVVIRYVGKDYSAAQELMEDEMKEYYSKNPKITPVQAVNVGQL
+LAVNAEEDAWLRAQVISTEENKIKVCYVDYGFSENVEKSKAYKLNPKFCSLSFQATKCKL
+AG
+>Q8NHU6_PF00567_649 <unknown description>
+VDAMYTNVKVTNICSDGTLYCQVPCKGLNKLSDLLRKIEDYFHCKHMTSECFVSLPFCGK
+ICLFHCKGKWLRVEITNVHSSRALDVQFLDSGTVTSVKVSELREIPPRFLQEMIAIPPQA
+IKCCLA
+>Q8NHU6_PF00567_909 <unknown description>
+KPGEHMDVYVPVACHPGYFVIQPWQEIHKLEVLMEEMILYYSVSEERHIAVEKDQVYAAK
+VENKWHRVLLKGILTNGLVSVYELDYGKHELVNIRKVQPLVDMFRKLPFQAVTAQLAG
+>Q8NDG6_PF00270_137 <unknown description>
+YKEEVVSLIESNSVVIIHGATGSGKSTQLPQYILDHYVQRSAYCSIVVTQPRKIGASSIA
+RWISKERAWTLGGVVGYQVGLEKIATEDTRLIYMTTGVLLQKIVSAKSLMEFTHIIIDEV
+HERTEEMDFLLLVVRKLLRTNSRFVKVVLMSATISCKE
+>Q8NDG6_PF00271_383 <unknown description>
+LERSSVLVFLPGLGEINYMHELLTSLVHKRLQVYPLHSSVALEEQNNVFLSPVPGYRKII
+LSTNIAESSVTVPDVKYVIDFCLTRTLVCDEDTNYQSLRLSWASKTSCNQRKGRAGRV
+>Q8NDG6_PF04408_568 <unknown description>
+LLKEVGALAVSGQREDENPHDGELTFLGRVLAQLPVNQQLGKLIVLGHVFGCLDECLIIA
+AALSLKNFFAMPFRQH
+>Q8NDG6_PF00567_904 <unknown description>
+VTEVVEVGHFWGYRIDENNSEILKKLTAEINQLTLVPLPTHPHPDLVCLAPFADFDKQRY
+FRAQVLYVSGNSAEVFFVDYGNKSHVDLHLLMEIPCQFLELPFQALEFKI
+>Q9Y2W6_PF00013_55 <unknown description>
+IEMRVPQEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAA
+IH
+>Q9Y2W6_PF00013_127 <unknown description>
+EQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQKEVAAA
+KHLILE
+>Q9Y2W6_PF00567_304 <unknown description>
+ADEYLEVYVSASEHPNHFWIQIVGSRSLQLDKLVNEMTQHYENSVPEDLTVHVGDIVAAP
+LPTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCPLKDLRALRSDFLSLPFQAIECSLA
+>Q86YL5_PF15683_39 <unknown description>
+GASFRGWKEVTSLFNKDDEQHLLERCKSPKSKGTNLRLKEELKAEKKSGFWDNLVLKQNI
+QSKKPDEIEGWEPPKLALEDISADPEDTVGGHPSWSGWEDDAKGSTKYTSLASSANSSRW
+SLRAAGRLVSIRRQSKGHLTDSPEEA
+>P04053_PF00533_31 <unknown description>
+KFQDLVVFILEKKMGTTRRAFLMELARRKGFRVENELSDSVTHIVAENNSGSDVLEWLQA
+QKVQVSSQPELLDVSWLIECI
+>P04053_PF14716_165 <unknown description>
+NQIFTDAFDILAENCEFRENEDSCVTFMRAASVLKSLPFTIISMKDTEGIPCLGSKVKGI
+IEEIIE
+>P04053_PF10391_250 <unknown description>
+KLFTSVFGVGLKTSEKWFRMGFRTLSKVRSDKSLKFTRMQKAGFLYYEDL
+>P04053_PF14792_305 <unknown description>
+RAEAEAVSVLVKEAVWAFLPDAFVTMTGGFRRGKKMGHDVDFLITSPGSTEDEEQLLQKV
+MNLWEKKGLL
+>P04053_PF14791_445 <unknown description>
+ALLGWTGSRQFERDLRRYATHERKMILDNHALYDKTKRIFLKAESEEEIFAHLGLDYIEP
+WERN
+>Q9NYB0_PF16589_18 <unknown description>
+STLFVRDDGSSMSFYVRPSPAKRRLSTLILHGGGTVCRVQEPGAVLLAQPGEALAEASGD
+FISTQYILDCVERNERLELEAYR
+>Q9NYB0_PF08914_132 <unknown description>
+GRIAFTDADDVAILTYVKENARSPSSVTGNALWKAMEKSSLTQHSWQSLKDRYLKHLRGQ
+EHKY
+>Q9NYB0_PF11626_322 <unknown description>
+MEKFNLDLSTVTQAFLKNSGELEATSAFLASGQRADGYPIWSRQDDIDLQKDDEDTREAL
+VKKFGAQNVARRIEFR
+>P28347_PF01285_32 <unknown description>
+EGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVS
+SHIQVLA
+>P28347_PF17725_215 <unknown description>
+KLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLK
+ELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVTSQYESSENMTVTCSTKVCSFGKQVV
+EKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNR
+DTQETLLCMACVFEVSNSEHGAQHHIYRL
+>Q15562_PF01285_42 <unknown description>
+EGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVS
+SHIQVLA
+>Q15562_PF17725_231 <unknown description>
+RLQLVEFSAFVEPPDAVDSYQRHLFVHISQHCPSPGAPPLESVDVRQIYDKFPEKKGGLR
+ELYDRGPPHAFFLVKFWADLNWGPSGEEAGAGGSISSGGFYGVSSQYESLEHMTLTCSSK
+VCSFGKQVVEKVETERAQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENF
+TILQVVTNRDTQELLLCTAYVFEVSTSERGAQHHIYRL
+>Q99594_PF01285_32 <unknown description>
+EGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVS
+SHIQVLA
+>Q99594_PF17725_223 <unknown description>
+SRLRLLEYSAFMEVQRDPDTYSKHLFVHIGQTNPAFSDPPLEAVDVRQIYDKFPEKKGGL
+KELYEKGPPNAFFLVKFWADLNSTIQEGPGAFYGVSSQYSSADSMTISVSTKVCSFGKQV
+VEKVETEYARLENGRFVYRIHRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTS
+RDSQETLLVIAFVFEVSTSEHGAQHHVYKL
+>Q15561_PF01285_40 <unknown description>
+EGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVS
+SHIQVLA
+>Q15561_PF17725_222 <unknown description>
+SKLWMLEFSAFLEQQQDPDTYNKHLFVHIGQSSPSYSDPYLEAVDIRQIYDKFPEKKGGL
+KDLFERGPSNAFFLVKFWADLNTNIEDEGSSFYGVSSQYESPENMIITCSTKVCSFGKQV
+VEKVETEYARYENGHYSYRIHRSPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTN
+RDTQETLLCIAYVFEVSASEHGAQHHIYRL
+>Q96MN5_PF08711_62 <unknown description>
+ALQELKKKIPSREVLKSTRIGHTVNKMRKHSDSEVASLAREVYTEWK
+>Q8N8B7_PF08711_29 <unknown description>
+HLTELETIYVTKEHLQETDVVRAVYRVLKNCPSVALKKKAKCLLSKWKA
+>Q8N8B7_PF07500_171 <unknown description>
+TPMRTKCIELLYAALTSSSTDQPKADLWQNFAREIEEHVFTLYSKNIKKYKTCIRSKVAN
+LKNPRNSHLQQNLLSGTTSPREFAEMTVMEMANKELKQLRASYTESCIQEH
+>Q15185_PF04969_6 <unknown description>
+AKWYDRRDYVFIEFCVEDSKDVNVNFEKSKLTFSCLGGSDNFKHLNEIDLFHCIDPNDSK
+HKRTDRSILCCLRK
+>Q5HYJ1_PF02544_212 <unknown description>
+TPLKNLIMSCAFYWGFTSWIAYYINHPLYTPPSFGNRQITVSAINFLICEAGNHFINVML
+SHPNHTGNNACFPSPNYNPFTWMFFLVSCPNYTYEIGSWISFTVMTQTLPVGIFTLLMSI
+QMSLWAQKKHKIYLRKFNSYIHRKSAMIPFIL
+>Q9NZ01_PF02544_155 <unknown description>
+TMPLRNIFKNCTYYWGFAAWMAYYINHPLYTPPTYGAQQVKLALAIFVICQLGNFSIHMA
+LRDLRPAGSKTRKIPYPTKNPFTWLFLLVSCPNYTYEVGSWIGFAIMTQCLPVALFSLVG
+FTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPFLL
+>Q2MV58_PF07773_78 <unknown description>
+CVCDLSPAQCDINCCCDPDCSSVDFSVFSACSVPVVTGDSQFCSQKAVIYSLNFTANPPQ
+RVFELVDQINPSIFCIHITNYKPALSFINPEVPDENNFDTLMKTSDGFTLNAESYVSFTT
+KLDIPTAAKYEYGVPLQTSDSFLRFPSSLTSSLCTDNNPAAFLVNQAVKCTRKINLEQCE
+EIEALSMAFYSSPEILRVPDSRKKVPITVQSIVIQSLNKTLTRREDTDVLQPTLVNAGHF
+SLCVNVVLEVKYSLTYTDAGEVTKADLSFVLGTVSSVVVPLQQKFEIHFLQENTQPVPLS
+GNPGYVVGLP
+>Q96GX1_PF07773_171 <unknown description>
+CPCNLTAGACDVRCCCDQECSSNLTTLFRRSCFTGVFGGDVNPPFDQLCSAGTTTRGVPD
+WFPFLCVQSPLANTPFLGYFYHGAVSPKQDSSFEVYVDTDAKDFADFGYKQGDPIMTVKK
+AYFTIPQVSLAGQCMQNAPVAFLHNFDVKCVTNLELYQERDGIINAKIKNVALGGIVTPK
+VIYEEATDLDKFITNTETPLNNGSTPRIVNVEEHYIFKWNNNTISEINVKIFRAEINAHQ
+KGIMTQRFVVKFLSYNSGNEEELSGNPGYQLGKP
+>Q6NUS6_PF07773_90 <unknown description>
+CVCDLTPGACDINCCCDRDCYLLHPRTVFSFCLPGSVRSSSWVCVDNSVIFRSNSPFPSR
+VFMDSNGIRQFCVHVNNSNLNYFQKLQKVNATNFQALAAEFGGESFTSTFQTQSPPSFYR
+AGDPILTYFPKWSVISLLRQPAGVGAGGLCAESNPAGFLESKSTTCTRFFKNLASSCTLD
+SALNAASYYNFTVLKVPRSMTDPQNMEFQVPVILTSQANAPLLAGNTCQNVVSQVTYEIE
+TNGTFGIQKVSVSLGQTNLTVEPGASLQQHFILRFRAFQQSTAASLTSPRSGNPGYIVGK
+P
+>O75443_PF06119_164 <unknown description>
+NTFQAVLVSDGSYTFTLFNYYEINWTTGTASGGDPLTGLGGVMAQAGFNGGNLTNFFSLP
+GSRTPEIVNIQETTNVNVPGRWAFKVD
+>O75443_PF00094_322 <unknown description>
+CVVFGEPHYHTFDGFLFHFQGSCAYLLARQCLQTSSLPFFSVEAKNEHRRGSAVSWVKEL
+SVEVNGYKILIPKGSYGRVKVNDLVTSLPVTLDLGTVKIYQSGISTAVETDFGLLVTFDG
+QHYASISVPGSYINSTCGLCGNYNKNPLDDFLRPDG
+>O75443_PF08742_523 <unknown description>
+YCGFLNKTDGPLWECGTVVDPTAFVHSCVYDLCSVRDNGTLLCQAIQAYALVCQALGIPI
+GDWRTQTGC
+>O75443_PF01826_597 <unknown description>
+CPSFSHYSVCTSSCPDTCSDLTASRNCATPCTEGCECNQGFVLSTSQCVPLHKC
+>O75443_PF12714_652 <unknown description>
+CDFDGHYYTMGEFFWATANCTVQCLCEEGGDVYCFNKTCGSGEVCAVEDGYQGC
+>O75443_PF00094_713 <unknown description>
+CLLSQNQVLHTFDGASYAFPSEFSYTLLKTCPERPEYLEIDINKKKPDAGPAWLRGLRIL
+VADQEVKIGGIGASEVKLNGQEVELPFFHPSGKLEIYRNKNSTTVESKGVVTVQYSDIGL
+LYIRLSTTYFNCTGGLCGFYNANASDEFCLPNGK
+>O75443_PF08742_911 <unknown description>
+RCGIINDPSNSSFLECHGVVNVTAYYRTCLFRLCQSGGNESELCDSVARYASACKNADVE
+VGPWRTYDFC
+>O75443_PF01826_984 <unknown description>
+CPENSHFEECITCTETCETLTLGPICVDSCSEGCQCDEGYALLGSQCVTRSEC
+>O75443_PF00094_1100 <unknown description>
+CIVSGYGHYLTFDGFPFDFQTSCPLILCTTGSRPSSDSFPKFVVTAKNEDRDPSLALWVK
+QVDVTVFGYSIVIHRAYKHTVLVNSERLYLPLKLGQGKINIFSFGFHVVVETDFGLKVVY
+DWKTFLSITVPRSMQNSTYGLCGRYNGNPDDDLEMPMG
+>O75443_PF08742_1301 <unknown description>
+CSLIPNQNAAFSKCHSKVNPTFFYKNCLFDSCIDGGAVQTACSWLQNYASTCQTQGITVT
+GWRNYTSC
+>O75443_PF01826_1372 <unknown description>
+CPPNSHYESCVSVCQPRCAAIRLKSDCSHYCVEGCHCDAGYVLNGKSCILPHSC
+>O75443_PF12714_1427 <unknown description>
+CYSDGKYYEPKQLFWNSDCTRRCRCFRRNVIQCDPRQCKSDEECALRNGVRGC
+>O75443_PF00094_1487 <unknown description>
+CLAAGGGVFRTFDGAFLRFPANCAFVLSTICQKLPDISFQLIINFDKWSAPNLTIISPVY
+FYINEEQILINDRNTVKVNGTQVNVPFITGLATKIYSSEGFLVIDTSPDIQIYYNGFNVI
+KISISERLQNKVCGLCGNFNGDLTDDYVTLRG
+>O75443_PF08742_1691 <unknown description>
+CLKLTDMKGFFQPCYGLLDPLPFYESCYLDGCYSHKKFQLCGSLAAYGEACRSFGILSTE
+WIEKENC
+>O75443_PF00100_1806 <unknown description>
+CKAAQMEVSISKCKLFQLGFEREGVRINDRQCTGIEGEDFISFQINNTKGNCGNIVQSNG
+THIMYKNTLWIESANNTGNIITRDRTINVEFSCAYELDIKISLDSVVKPMLSVINLTVPT
+QEGSFITKMALYKNASYKHPYRQGEVVLTTRDVLYVGVFVVGADATHLILTLNKCYATPT
+RDSNDKLRYFIIEGGCQNLKDNTIGIEENAVSLTCRFHVTVFKFIGDYDEVHLHCAVSLC
+DSEKYSCKITC
+>Q96PL2_PF00100_32 <unknown description>
+CYPKTIITKIPECPYGWEVHQLALGGLCYNGVHEGGYYQFVIPDLSPKNKSYCGTQSEYK
+PPIYHFYSHIVSNDTTVIVKNQPVNYSFSCTYHSTYLVNQAAFDQRVATVHVKNGSMGTF
+ESQLSLNFYTNAKFSIKKEAPFVLEASEIGSDLFAGVEAKGLSIRFKVVLNSCWATPSAD
+FMYPLQWQLINKGCPTDETVLVHENGRDHRATFQFNAFRFQNIPKLSKVWLHCETFICDS
+EKLSCPVTC
+>P42680_PF00169_7 <unknown description>
+LEEILIKRSQQKKKTSPLNYKERLFVLTKSMLTYYEGRAEKKYRKGFIDVSKIKCVEIVK
+NDDGVIPCQNKYPFQVVHDANTLYIFAPSPQSRDLWVKKLKEEI
+>P42680_PF00779_119 <unknown description>
+KYHPKFWTDGSYQCCRQTEKLAPGCEKYN
+>P42680_PF00018_185 <unknown description>
+VAMYDFQAAEGHDLRLERGQEYLILEKNDVHWWRARDKYGNEGYIPS
+>P42680_PF00017_247 <unknown description>
+WYCRNMNRSKAEQLLRSEDKEGGFMVRDSSQPGLYTVSLYTKFGGEGSSGFRHYHIKETT
+TSPKKYYLAEKHAFGSIPEIIEYH
+>P42680_PF07714_370 <unknown description>
+LTFMRELGSGLFGVVRLGKWRAQYKVAIKAIREGAMCEEDFIEEAKVMMKLTHPKLVQLY
+GVCTQQKPIYIVTEFMERGCLLNFLRQRQGHFSRDVLLSMCQDVCEGMEYLERNSFIHRD
+LAARNCLVSEAGVVKVSDFGMARYVLDDQYTSSSGAKFPVKWCPPEVFNYSRFSSKSDVW
+SFGVLMWEVFTEGRMPFEKYTNYEVVTMVTRGHRLYQPKLASNYVYEVMLRCWQEKPEGR
+PSFEDLLRT
+>Q86SX3_PF14970_90 <unknown description>
+GYPRLALAQLPEDGSQGSRELLLALSWLLARGPVPEQMLAQARVPLGDEMTVCQCEALAS
+PGPPAPHMEAEGPVDVRHVQWLMGKLRFRWRQLVSSQQEQCALLSKIHLYTRGCHSDQSL
+SHLSVTEAEMLRDPEGGQQV
+>Q86SX3_PF14970_296 <unknown description>
+RALLRTLERENQRLEAVLAWRRSELVFWRWMDTVL
+>Q7L2K0_PF15764_148 <unknown description>
+PAKGHPERRLLSVGDGTRVGMGARTPRPGAGLRDQQMAPSAAPQAPEAFTLKEKGHLLRL
+PAAFRKAASQNSSLWAQLSSTQTSDSTDAAAAKTQFLQNMQTASGGPQPRLSAVEVEAEA
+GRLRKACSLLRLRMREELSAAPMDWMQEYRCLLTLEGLQAMVGQCLHRLQELRAAVAEQP
+PRPCPVGRPPGASPSCGGRAEPAWSPQLLVYSSTQELQTLAALKLRVAVLDQQIHLEKVL
+MAELLPLVSAAQPQGPPWLALCRAVHSLLCEGGARVLTILRDEP
+>Q5T9Z0_PF04819_99 <unknown description>
+LEKGTLVLIIYELLLLMVSHVKDSEGVELHVYSLLILVVFLLLLVLTAELWAPNMCHLQL
+METFLILMMGSWLMQAGFILYRPVSGYPWQDDDISDIMFVTTFFCWHVMINASFLLGIY
+>Q8IYR6_PF07648_99 <unknown description>
+CQFQCHTNYIPVCGSNGDTYQNECFLRRAACKHQKEITVIARGPC
+>Q8IYR6_PF07648_190 <unknown description>
+CNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHC
+>Q9UIK5_PF07648_91 <unknown description>
+CQFKCNNDYVPVCGSNGESYQNECYLRQAACKQQSEILVVSEGSC
+>Q9UIK5_PF07648_182 <unknown description>
+CNIDCSQTNFNPLCASDGKSYDNACQIKEASCQKQEKIEVMSLGRC
+>Q96QE5_PF12836_77 <unknown description>
+LNTASTKELEAFRLLRGRRSINIVEHRENFGPFQNLESLMNVPLFKYKS
+>Q10587_PF07716_232 <unknown description>
+KDEKYWTRRKKNNVAAKRSRDARRLKENQITIRAAFLEKENTALRTEVAELRKE
+>Q969V4_PF03148_16 <unknown description>
+WHIANKNQYHRADAQRSRSERLVAESQRLVDEIEKTTRKSQSDVNKKLEQRLEEVQFWKK
+ELDDKLEQLVNVTDDLLIYKIRLEKALETLKEPLHITETCLAYREKRIGIDLVHDTVEHE
+LIKEAEIIQGIMALLTRTLEEASEQIRMNRSAKYNLEKDLKDKFVALTIDDICFSLNNNS
+PNIRYSENAVRIEPNSVSLEDWLDFSSTNVEKADKQRNNSLMLKALVDRILSQTANDLRK
+QCDVVDTAFKNGLKDTKDARDKLADHLAKVMEEIASQEKNITALEKAILDQEGPAKVAHT
+RLETRTHRPNVELCRDVAQYRLMKEVQEITHNVARLKETLAQAQAELKGLHRRQLALQEE
+IQVKENTIYIDEVLCMQMRKSIP
+>Q9UIF3_PF03148_17 <unknown description>
+WHTNSYLLSTNAQLQRDASHQIRQEARVLRNETNNQTIWDEHDNRTRLVERIDTVNRWKE
+MLDKCLTDLDAEIDALTQMKESAEQNLQAKNLPLDVAIECLTLRESRRDIDVVKDPVEDE
+LHKEVEVIEATKKALQQKVSQAFEQLCLLQEVQQQLNSDHRGKMETLEIDRGCLSLNLRS
+PNISLKVDPTRVPDGSTTLQQWDDFSRFNKDRAEAEMKAATELREATALTIAETNNELEA
+QRVATEFAFRKRLREMEKVYSELKWQEKNTLEEIAELQEDIRHLEEDLRTKLLSLKLSHT
+RLEARTYRPNVELCRDQAQYGLTDEVHQLEATIAALKQKLAQAQDALDALCKHLARLQAD
+IACKANSMLLDTKCMDTRRKL
+>Q9BXF9_PF03148_99 <unknown description>
+WYRSNLTNYQESNTSRHNSEKLRVDTSRLIQDKYQQTRKTQADTTQNLGERVNDIGFWKS
+EIIHELDEMIGETNALTDVKKRLERALMETEAPLQVARECLFHREKRMGIDLVHDEVEAQ
+LLTEVDTILCCQERMKLHLDKAIAQLAANRASQHELEKDLSDKQTAYRIDDKCHHLRNTS
+DGVGYFRGVERVDATVSVPESWAKFTDDNILRSQSERAASAKLRDDIENLLVVTANEMWN
+QFNKVNLSFTNRIAETADAKNKIQTHLAKTLQEIFQTEMTIESIKKAIKDKTAFLKVAQT
+RLDERTRRPNIELCRDMAQLRLVNEVHEVDDTIQTLQQRLRDAEDTLQSLVHIKATLEYD
+LAVKANSLYIDQEKCMSMRKSYP
+>Q8WW24_PF03148_44 <unknown description>
+WFQNCYARYHQAFADRDQSERQRHESQQLATETQALAQRTQQDSTRTVGERLQDTHSWKS
+ELQREMEALAAETNLLLAQKQRLERALDATEVPFSITTDNLQCRERREHPNLVRDHVETE
+LLKEAELIRNIQELLKRTIMQAVSQIRLNREHKETCEMDWSDKMEAYNIDETCGRHHSQS
+TEVQAHPYSTTFQESASTPETRAKFTQDNLCRAQRERLASANLRVLVDCILRDTSEDLRL
+QCDAVNLAFGRRCEELEDARYKLHHHLHKTLREITDQEHNVAALKQAIKDKEAPLHVAQT
+RLYLRSHRPNMELCRDAAQFRLLSEVEELNMSLTALREKLLEAEQSLRNLEDIHMSLEKD
+IAAMTNSLFIDRQKCMAHRTRYP
+>Q96M29_PF03148_94 <unknown description>
+WDQSNQLQVRGAEASRLWASRLTDDSMRLLQDKDQLTHQMQEGTCRNLGQRLSDIGFWKS
+ELSYELDRLLTENQNLETVKRRLECAANEVNCPLQVALECLYHREKRIGIDLVHDNVEKN
+LIREVDLLKCCQEQMRKLAQRIDIQMRDNRDAQHVLERDLEDKSSAQCIDEKCFNLRNTS
+DCISFFHGMEKIDGTISVPETWAKFSNDNIKHSQNMRANSIQLREEAEHLFETLSDQMWR
+QFTDTNLAFNARISEVTDVKNKLQTQLAKTLQEIFQAENTIMLLERSIMAKEGPLKVAQT
+RLECRTRRPNMELCRDIPQLKLVNEVFTIDDTLQTLKLRLRETQDTLQLLVMTKCRLEHE
+LAIKANTLCIDKEKCMGMRKTFP
+>Q9Y4R8_PF10193_512 <unknown description>
+PAYVRDCVEALTTSEDIERWEAALRALEGLVYRSPTATREVSVELAKVLLHLEEKTCVVG
+FAGLRQRALVAVTVTDPAPVADYLTSQFYALNYSLRQRMDILDVLTLAA
+>O15273_PF09470_4 <unknown description>
+SELSCEVSEENCERREAFWAEWKDLTLSTRPEEGCSLHEEDTQRHETYHQQGQCQVLVQR
+SPWLMMRMGILGRGLQEYQLPYQRVLPLPIFTPAKMGATKEEREDTPIQLQELLALETAL
+GGQCVDRQEVAEITKQLPPVVPVSKPGALRRSLSRSMSQEAQRG
+>Q86WV5_PF15490_4 <unknown description>
+PKPGTYYLPWEVSAGQVPDGSTLRTFGRLCLYDMIQSRVTLMAQHGSDQHQVLVCTKLVE
+PFHAQVGSLYIVLGELQHQQDRGSVVKARVLTCVEGMNLPLLEQAIREQRLYKQER
+>Q9NT68_PF06484_10 <unknown description>
+SLTRGRCGKECRYTSSSLDSEDCRVPTQKSYSSSETLKAYDHDSRMHYGNRVTDLIHRES
+DEFPRQGTNFTLAELGICEPSPHRSGYCSDMGILHQGYSLSTGSDADSDTEGGMSPEHAI
+RLWGRGIKSRRSSGLSSRENSALTLTDSDNENKSDDENGRPIPPTSSPSLLPSAQLPSSH
+NPPPVSCQMPLLDSNTSHQIMDTNPDEEFSPNSYLLRACSGPQQASSSGPPNHHSQSTLR
+PPLPPPHNHTLSHHHSSANSLNRNSLTNRRSQIHAPAPAPNDLATTPESVQLQDSWVLNS
+NVPLETRHFLFKTSSGSTPLFSSSSPGYPLTSGTVYTPPPRLLPRNTFSRKAFKLKKPSK
+YCSWK
+>Q9NT68_PF15636_2690 <unknown description>
+EEKARVLDQARQRALGTAWAKEQQKARDGREGSRLWTEGEKQQLLSTGRVQGYEGYYVLP
+VEQYPELADSSSNIQFL
+>Q9P273_PF06484_11 <unknown description>
+SLTKSRREKERRYTNSSADNEECRVPTQKSYSSSETLKAFDHDSSRLLYGNRVKDLVHRE
+ADEFTRQGQNFTLRQLGVCEPATRRGLAFCAEMGLPHRGYSISAGSDADTENEAVMSPEH
+AMRLWGRGVKSGRSSCLSSRSNSALTLTDTEHENKSDSENEQ
+>Q9P273_PF06484_170 <unknown description>
+NEQPASNQGQSTLQPLPPSHKQHSAQHHPSITSLNRNSLTNRRNQSPAPPAALPAELQTT
+PESVQLQDSWVLGSNVPLESRHFLFKTGTGTTPLFSTATPGYTMASGSVYSPPTRPLPRN
+TLSRSAFKFKKSSKYCSWK
+>Q9P273_PF15636_2615 <unknown description>
+EEKARILEQARQRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLS
+VEQYPELADSANNIQFL
+>Q6N022_PF06484_12 <unknown description>
+LTRRRDAERRYTSSSADSEEGKAPQKSYSSSETLKAYDQDARLAYGSRVKDIVPQEAEEF
+CRTGANFTLRELGLEEVTPPHGTLYRTDIGLPHCGYSMGAGSDADMEADTVLSPEHPVRL
+WGRSTRSGRSSCLSSRANSNLTLTDTEHENTETDHPG
+>Q6N022_PF06484_167 <unknown description>
+PGGLQNHARLRTPPPPLSHAHTPNQHHAASINSLNRGNFTPRSNPSPAPTDHSLSGEPPA
+GGAQEPAHAQENWLLNSNIPLETRNLGKQPFLGTLQDNLIEMDILGASRHDGAYSDGHFL
+FKPGGTSPLFCTTSPGYPLTSSTVYSPPPRPLPRSTFARPAFNLKKPSKYCNWK
+>Q6N022_PF07974_632 <unknown description>
+DVACSNHGTCITGTCICNPGYKGESC
+>Q6N022_PF07974_729 <unknown description>
+CAADCGGHGVCVGGTCRCEDGWMGAAC
+>Q6N022_PF15636_2685 <unknown description>
+EEKARVLELARQRAVRQAWAREQQRLREGEEGLRAWTEGEKQQVLSTGRVQGYDGFFVIS
+VEQYPELSDSANNIHFMR
+>P24821_PF18720_190 <unknown description>
+CPGNCHLRGRCIDGQCICDDGFTGEDCS
+>P24821_PF07974_221 <unknown description>
+CPSDCNDQGKCVNGVCICFEGYAGADC
+>P24821_PF18720_252 <unknown description>
+CPVPCSEEHGTCVDGLCVCHDGFAGDDC
+>P24821_PF18720_287 <unknown description>
+NCYNRGRCVENECVCDEGFTGEDCS
+>P24821_PF18720_346 <unknown description>
+CPHACHTQGRCEEGQCVCDEGFAGVDCS
+>P24821_PF07974_377 <unknown description>
+CPADCHNRGRCVDGRCECDDGFTGADC
+>P24821_PF18720_408 <unknown description>
+CPNGCSGHGRCVNGQCVCDEGYTGEDCS
+>P24821_PF18720_439 <unknown description>
+CPNDCHSRGRCVEGKCVCEQGFKGYDCS
+>P24821_PF07974_470 <unknown description>
+CPNDCHQHGRCVNGMCVCDDGYTGEDC
+>P24821_PF18720_501 <unknown description>
+CPRDCSNRGLCVDGQCVCEDGFTGPDC
+>P24821_PF07974_532 <unknown description>
+CPNDCHGQGRCVNGQCVCHEGFMGKDC
+>P24821_PF18720_563 <unknown description>
+CPSDCHGQGRCVDGQCICHEGFTGLDC
+>P24821_PF18720_594 <unknown description>
+CPSDCNNLGQCVSGRCICNEGYSGEDCS
+>P24821_PF00041_624 <unknown description>
+SPPKDLVVTEVTEETVNLAWDNEMRVTEYLVVYTPTHEGGLEMQFRVPGDQTSTIIQELE
+PGVEYFIRVFAIL
+>P24821_PF00041_713 <unknown description>
+PAPEGLKFKSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTG
+LAPGQEYEISLHIVKNNTRGP
+>P24821_PF00041_804 <unknown description>
+DAPSQIEVKDVTDTTALITWFKPLAEIDGIELTYGIKDVPGDRTTIDLTEDENQYSIGNL
+KPDTEYEVSLISRRGD
+>P24821_PF00041_894 <unknown description>
+DAPRNLRRVSQTDNSITLEWRNGKAAIDSYRIKYAPISGGDHAEVDVPKSQQATTKTTLT
+GLRPGTEYGIGVSAVKEDKES
+>P24821_PF00041_987 <unknown description>
+TPKDLQVSETAETSLTLLWKTPLAKFDRYRLNYSLPTGQWVGVQLPRNTTSYVLRGLEPG
+QEYNVLLTAEKGRHKS
+>P24821_PF00041_1077 <unknown description>
+LENLTVTEVGWDGLRLNWTAADQAYEHFIIQVQEANKVEAARNLTVPGSLRAVDIPGLKA
+ATPYTVSIYGVIQG
+>P24821_PF00041_1169 <unknown description>
+GEVVVAEVGWDALKLNWTAPEGAYEYFFIQVQEADTVEAAQNLTVPGGLRSTDLPGLKAA
+THYTITIRGVTQD
+>P24821_PF00041_1259 <unknown description>
+MGNLTVTEVSWDALRLNWTTPDGTYDQFTIQVQEADQVEEAHNLTVPGSLRSMEIPGLRA
+GTPYTVTLH
+>P24821_PF00041_1350 <unknown description>
+LGDLAVSEVGWDGLRLNWTAADNAYEHFVIQVQEVNKVEAAQNLTLPGSLRAVDIPGLEA
+ATPYRVSIYGVIR
+>P24821_PF00041_1440 <unknown description>
+EIGNLNVSDITPESFNLSWMATDGIFETFTIEIIDSNRLLETVEYNISGAERTAHISGLP
+PSTDFIVY
+>P24821_PF00041_1533 <unknown description>
+ENLTISDINPYGFTVSWMASENAFDSFLVTVVDSGKLLDPQEFTLSGTQRKLELRGLITG
+IGYEVMVSGFTQG
+>P24821_PF00041_1622 <unknown description>
+EVDNLLVSDATPDGFRLSWTADEGVFDNFVLKIRDTKKQSEPLEITLLAPERTRDITGLR
+EATEYEIELYGISKG
+>P24821_PF00041_1712 <unknown description>
+SPKEVIFSDITENSATVSWRAPTAQVESFRITYVPITGGTPSMVTVDGTKTQTRLVKLIP
+GVEYLVSIIAMKGF
+>P24821_PF00041_1802 <unknown description>
+PSGLVTANITDSEALARWQPAIATVDSYVISYTGEKVPEITRTVSGNTVEYALTDLEPAT
+EYTLRIFAEKGP
+>P24821_PF00041_1888 <unknown description>
+DSPRDLTATEVQSETALLTWRPPRASVTGYLLVYESVDGTVKEVIVGPDTTSYSLADLSP
+STHYTAKIQALNG
+>P24821_PF00147_1980 <unknown description>
+FPKDCSQAMLNGDTTSGLYTIYLNGDKAEALEVFCDMTSDGGGWIVFLRRKNGRENFYQN
+WKAYAAGFGDRREEFWLGLDNLNKITAQGQYELRVDLRDHGETAFAVYDKFSVGDAKTRY
+KLKVEGYSGTAGDSMAYHNGRSFSTFDKDTDSAITNCALSYKGAFWYRNCHRVNLMGRYG
+DNNHSQGVNWFHWKGHEHSIQFAEMKLRPS
+>Q9UQP3_PF18720_171 <unknown description>
+CPGACSGHGRCVDGRCLCHEPYVGADC
+>Q9UQP3_PF00041_265 <unknown description>
+PQGLQLLKNTEDSLLVSWEPSSQVDHYLLSYYPLGKELSGKQIQVPKEQHSYEILGLLPG
+TKYIVTLRNVKNE
+>Q9UQP3_PF00041_359 <unknown description>
+VTDETENSLDVEWENPSTEVDYYKLRYGPMTGQEVAEVTVPKSSDPKSRYDITGLHPGTE
+YKITVVPMRGELEG
+>Q9UQP3_PF00041_444 <unknown description>
+DSPTNVVTDRVTEDTATVSWDPVQAVIDKYVVRYTSADGDTKEMAVHKDESSTVLTGLKP
+GEAYKVYVWAERGNQ
+>Q9UQP3_PF00041_533 <unknown description>
+SPANLVTDRVTENTATISWDPVQATIDKYVVRYTSADDQETREVLVGKEQSSTVLTGLRP
+GVEYTVHVWAQKGDR
+>Q9UQP3_PF00041_622 <unknown description>
+SPKNLVTDRVTENMATVSWDPVQAAIDKYVVRYTSAGGETREVPVGKEQSSTVLTGLRPG
+MEYMVHVWAQKGDQE
+>Q9UQP3_PF00041_709 <unknown description>
+DSPQNLVTDRVTENMATVSWDPVRATIDRYVVRYTSAKDGETREVPVGKEQSSTVLTGLR
+PGVEYTVHVWAQKGAQE
+>Q9UQP3_PF00041_799 <unknown description>
+SPQNLVTDWVTENTATVSWDPVQATIDRYVVHYTSANGETREVPVGKEQSSTVLTGLRPG
+MEYTVHVWAQKGNQE
+>Q9UQP3_PF00041_888 <unknown description>
+PKNLVTDWVTENMATVSWDPVQATIDKYMVRYTSADGETREVPVGKEHSSTVLTGLRPGM
+EYMVHVWAQKGAQE
+>Q9UQP3_PF00041_974 <unknown description>
+DPPRNLRPSAVTQSGGILTWTPPSAQIHGYILTYQFPDGTVKEMQLGREDQRFALQGLEQ
+GATYPVSLVAFKGG
+>Q9UQP3_PF00147_1067 <unknown description>
+PSDCSQVQQNSNAASGLYTIYLHGDASRPLQVYCDMETDGGGWIVFQRRNTGQLDFFKRW
+RSYVEGFGDPMKEFWLGLDKLHNLTTGTPARYEVRVDLQTANESAYAIYDFFQVASSKER
+YKLTVGKYRGTAGDALTYHNGWKFTTFDRDNDIALSNCALTHHGGWWYKNCHLANPNGRY
+GETKHSEGVNWEPWKGHEFSIPYVELKIRP
+>Q92752_PF18720_203 <unknown description>
+YCPLGCSSRGVCVDGQCICDSEYSGDDCS
+>Q92752_PF18720_235 <unknown description>
+CPTDCSSRGLCVDGECVCEEPYTGEDC
+>Q92752_PF07974_299 <unknown description>
+NACSGRGQCEEGLCVCEEGYQGPDC
+>Q92752_PF00041_328 <unknown description>
+PPEDLRVAGISDRSIELEWDGPMAVTEYVISYQPTALGGLQLQQRVPGDWSGVTITELEP
+GLTYNISVYAVIS
+>Q92752_PF00041_416 <unknown description>
+STPQGLQFKTITETTVEVQWEPFSFSFDGWEISFIPKNNEGGVIAQVPSDVTSFNQTGLK
+PGEEYIVNVVALKEQARSPP
+>Q92752_PF00041_507 <unknown description>
+PTQILVRDVSDTVAFVEWIPPRAKVDFILLKYGLVGGEGGRTTFRLQPPLSQYSVQALRP
+GSRYEVSVSAVRGTN
+>Q92752_PF00041_595 <unknown description>
+DAPKNLRVGSRTATSLDLEWDNSEAEVQEYKVVYSTLAGEQYHEVLVPRGIGPTTRATLT
+DLVPGTEYGVGISAVMN
+>Q92752_PF00041_687 <unknown description>
+DSPRDLMVTASSETSISLIWTKASGPIDHYRITFTPSSGIASEVTVPKDRTSYTLTDLEP
+GAEYIISVTAERGRQQS
+>Q92752_PF00041_776 <unknown description>
+PISHLHFSHVTSSSVNITWSDPSPPADRLILNYSPRDEEEEMMEVSLDATKRHAVLMGLQ
+PATEYIVNLVAVHGT
+>Q92752_PF00041_865 <unknown description>
+DPPKDITISNVTKDSVMVSWSPPVASFDYYRVSYRPTQVGRLDSSVVPNTVTEFTITRLN
+PATEYEISLNSVRGREES
+>Q92752_PF00041_955 <unknown description>
+NPVDLIATNITPTEALLQWKAPVGEVENYVIVLTHFAVAGETILVDGVSEEFRLVDLLPS
+THYTATMYATNGP
+>Q92752_PF00041_1042 <unknown description>
+DPPANLTASEVTRQSALISWQPPRAEIENYVLTYKSTDGSRKELIVDAEDTWIRLEGLLE
+NTDYTVLLQAAQDTTWS
+>Q92752_PF00147_1135 <unknown description>
+PQDCAQHLMNGDTLSGVYPIFLNGELSQKLQVYCDMTTDGGGWIVFQRRQNGQTDFFRKW
+ADYRVGFGNVEDEFWLGLDNIHRITSQGRYELRVDMRDGQEAAFASYDRFSVEDSRNLYK
+LRIGSYNGTAGDSLSYHQGRPFSTEDRDNDVAVTNCAMSYKGAWWYKNCHRTNLNGKYGE
+SRHSQGINWYHWKGHEFSIPFVEMKMRPY
+>Q63HR2_PF10409_297 <unknown description>
+MNSSPLFLHYVLIPMLPAFEPGTGFQPFLKIYQSMQLVYTSGVYHIAGPGPQQLCISLEP
+ALLLKGDVMVTCYHKGGRGTDRTLVFRVQFHTCTIHGPQLTFPKDQLDEAWTDERFPFQA
+SVEFVFS
+>Q63HR2_PF00017_1140 <unknown description>
+WYKPHLSRDQAIALLKDKDPGAFLIRDSHSFQGAYGLALKVATPPPSAQPWKGDPVEQLV
+RHFLIETGPKGVKIKGCPSEPYFGSLSALVSQH
+>Q63HR2_PF08416_1275 <unknown description>
+ACSVLYLTSVETESLTGPQAVARASSAALSCSPRPTPAVVHFKVSAQGITLTDNQRKLFF
+RRHYPVNSITFSSTDPQDRRWTNPDGTTSKIFGFVAKKPGSPWENVCHLFAELDPDQPAG
+AIVTFITKVLLGQR
+>Q68CZ2_PF10409_174 <unknown description>
+NASPLFLHFVILHGTPNFDTGGVCRPFLKLYQAMQPVYTSGIYNVGPENPSRICIVIEPA
+QLLKGDVMVKCYHKKYRSATRDVIFRLQFHTGAVQGYGLVFGKEDLDNASKDDRFPDYGK
+VELVFS
+>Q68CZ2_PF00017_1172 <unknown description>
+WYKADISREQAIAMLKDKEPGSFIVRDSHSFRGAYGLAMKVATPPPSVLQLNKKAGDLAN
+ELVRHFLIECTPKGVRLKGCSNEPYFGSLTALVCQ
+>Q68CZ2_PF08416_1310 <unknown description>
+ACNVWYLNSVEMESLTGHQAIQKALSITLVQEPPPVSTVVHFKVSAQGITLTDNQRKLFF
+RRHYPVNSVIFCALDPQDRKWIKDGPSSKVFGFVARKQGSATDNVCHLFAEHDPEQPASA
+IVNFVSKVMIGSPKK
+>Q8IZW8_PF00017_449 <unknown description>
+WFKPNITREQAIELLRKEEPGAFVIRDSSSYRGSFGLALKVQEVPASAQSRPGEDSNDLI
+RHFLIESSAKGVHLKGADEEPYFGSLSAFV
+>Q8IZW8_PF08416_582 <unknown description>
+CHTLYLSSVSVETLTGALAVQKAISTTFERDILPTPTVVHFKVTEQGITLTDVQRKVFFR
+RHYPLTTLRFCGMDPEQRKWQKYCKPSWIFGFVAKSQTEPQENVCHLFAEYDMVQPASQV
+IGLV
+>P22105_PF07974_187 <unknown description>
+CPDDCNDQGRCVRGRCVCFPGYTGPSC
+>P22105_PF18720_249 <unknown description>
+CPRGCSQRGRCEGGRCVCDPGYTGDDC
+>P22105_PF18720_280 <unknown description>
+CPRGCSQRGRCENGRCVCNPGYTGEDC
+>P22105_PF18720_311 <unknown description>
+CPRGCSQRGRCKDGRCVCDPGYTGEDC
+>P22105_PF18720_342 <unknown description>
+CPWDCGEGGRCVDGRCVCWPGYTGEDCS
+>P22105_PF18720_373 <unknown description>
+CPRDCRGRGRCEDGECICDTGYSGDDC
+>P22105_PF18720_435 <unknown description>
+CPRDCRGRGRCENGVCVCNAGYSGEDC
+>P22105_PF18720_497 <unknown description>
+CPGDCRGRGRCVDGRCVCNPGFTGEDC
+>P22105_PF18720_528 <unknown description>
+CPGDCRGHGLCEDGVCVCDAGYSGEDCS
+>P22105_PF18720_559 <unknown description>
+CPGGCRGRGQCLDGRCVCEDGYSGEDC
+>P22105_PF18720_590 <unknown description>
+CPNDCSQHGVCQDGVCICWEGYVSEDCS
+>P22105_PF07974_621 <unknown description>
+CPSNCHGRGRCEEGRCLCDPGYTGPTC
+>P22105_PF00041_756 <unknown description>
+RMHLLEETTVRTEWTPAPGPVDAYEIQFIPTTEGASPPFTARVPSSASAYDQRGLAPGQE
+YQVTVRALRGTSWG
+>P22105_PF00041_843 <unknown description>
+PQDLRVVAVTPTTLELGWLRPQAEVDRFVVSYVSAGNQRVRLEVPPEADGTLLTDLMPGV
+EYVVTVTAERGR
+>P22105_PF00041_1066 <unknown description>
+GELTVTDRTSDSLLLRWTVPEGEFDSFVIQYKDRDGQPQVVPVEGPQRSAVITSLDPGRK
+YKFVLYGFVGK
+>P22105_PF00041_1163 <unknown description>
+GNLWVTDPTPDSLHLSWTVPEGQFDTFMVQYRDRDGRPQVVPVEGPERSFVVSSLDPDHK
+YRFTLFGIANK
+>P22105_PF00041_1266 <unknown description>
+ELTVTGVTPDSLRLSWTVAQGPFDSFMVQYKDAQGQPQAVPVAGDENEVTVPGLDPDRKY
+KMNLYGLRGRQRVGPES
+>P22105_PF00041_1377 <unknown description>
+ELTVTGSSPDSLSLFWTVPQGSFDSFTVQYKDRDGRPRAVRVGGKESEVTVGGLEPGHKY
+KMHLYGLHEG
+>P22105_PF00041_1478 <unknown description>
+GELTVTDVTPNSVGLSWTVPEGQFDSFIVQYKDKDGQPQVVPVAADQREVTVYNLEPERK
+YKMNMYGLHDG
+>P22105_PF00041_1581 <unknown description>
+GELTVTDITPDSVGLSWTVPEGEFDSFVVQYKDRDGQPQVVPVAADQREVTIPDLEPSRK
+YKFLL
+>P22105_PF00041_1679 <unknown description>
+ELWVTDPTPDSLRLSWTVPEGQFDSFVVQFKDKDGPQVVPVEGHERSVTVTPLDAGRKYR
+FLLYGL
+>P22105_PF00041_1781 <unknown description>
+ELQVTTVTQNSVGLSWTVPEGQFDSFVVQYKDRDGQPQVVPVEGSLREVSVPGLDPAHRY
+KLLLYGLHH
+>P22105_PF00041_1885 <unknown description>
+GELTVEEATSHTLHLSWMVTEGEFDSFEIQYTDRDGQLQMVRIGGDRNDITLSGLESDHR
+YLVTLYGFSDG
+>P22105_PF00041_1991 <unknown description>
+GELTVTDATPDSLSLSWTVPEGQFDHFLVQYRNGDGQPKAVRVPGHEEGVTISGLEPDHK
+YKMNLYGFHGG
+>P22105_PF00041_2100 <unknown description>
+ELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQVVRVGGEESEVTVGGLEPGRKY
+KMHLYGLHEG
+>P22105_PF00041_2199 <unknown description>
+QMTVRDITSDSLSLSWTVPEGQFDHFLVQFKNGDGQPKAVRVPGHEDGVTISGLEPDHKY
+KMNLYGFHGGQ
+>P22105_PF00041_2307 <unknown description>
+EELTVTDATPDSLSLSWTVPEGQFDHFLVQYKNGDGQPKATRVPGHEDRVTISGLEPDNK
+YKMNLYGFHGGQ
+>P22105_PF00041_2416 <unknown description>
+ELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQVVRVGGEESEVTVGGLEPGRKY
+KMHLYGLHEG
+>P22105_PF00041_2527 <unknown description>
+ELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQAVRVGGQESKVTVRGLEPGRKY
+KMHLYGLHEG
+>P22105_PF00041_2632 <unknown description>
+GELTMTDATPDSLSLSWTVPEGQFDHFLVQYRNGDGQPKAVRVPGHEDGVTISGLEPDHK
+YKMNLYGFHGGQRVGP
+>P22105_PF00041_2741 <unknown description>
+ELTVTGSSPDSLSLSWTIPQGHFDSFTVQYKDRDGRPQVMRVRGEESEVTVGGLEPGRKY
+KMHLYGLHEG
+>P22105_PF00041_2848 <unknown description>
+GELTVTDATPDSLSLSWMVPEGQFDHFLVQYRNGDGQPKVVRVPGHEDGVTISGLEPDHK
+YKMNLYGFHGGQRVGP
+>P22105_PF00041_2957 <unknown description>
+ELTVTGSSPDSLSLSWTIPQGRFDSFTVQYKDRDGRPQVVRVRGEESEVTVGGLEPGCKY
+KMHLYGLHEG
+>P22105_PF00041_3064 <unknown description>
+GELTVTDATPDSLSLSWMVPEGQFDHFLVQYRNGDGQPKAVRVPGHEDGVTISGLEPDHK
+YKMNLYGFHGGQ
+>P22105_PF00041_3171 <unknown description>
+ELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGQPQVVRVRGEESEVTVGGLEPGRKY
+KMHLYGLHEG
+>P22105_PF00041_3266 <unknown description>
+GELAVAAVTSDSVGLSWTVAQGPFDSFLVQYRDAQGQPQAVPVSGDLRAVAVSGLDPARK
+YKFLLF
+>P22105_PF00041_3359 <unknown description>
+GELTVTDATPDSVGLSWTVPEGEFDSFVVQYKDKDGRLQVVPVAANQREVTVQGLEPSRK
+YRFLLYGLSGRKRL
+>P22105_PF00041_3453 <unknown description>
+GELTVAEETSSSLRLSWTVAQGPFDSFVVQYRDTDGQPRAVPVAADQRTVTVEDLEPGKK
+YKFLLYGL
+>P22105_PF00041_3562 <unknown description>
+LTVTDTTPDSMRLSWSVAQGPFDSFVVQYEDTNGQPQALLVDGDQSKILISGLEPSTPYR
+FLLYGLHEG
+>P22105_PF00041_3658 <unknown description>
+LSQLSVTDVTTSSLRLNWEAPPGAFDSFLLRFGVPSPSTLEPHPRPLLQRELMVPGTRHS
+AVLRDLRSGTLYSLTLYGLR
+>P22105_PF00041_3758 <unknown description>
+SPRDLQFSEIRETSAKVNWMPPPSRADSFKVSYQLADGGEPQSVQVDGQARTQKLQGLIP
+GARYEVTVVSVRGF
+>P22105_PF00041_3848 <unknown description>
+PTQLRALNLTEGFAVLHWKPPQNPVDTYDVQVTAPGAPPLQAETPGSAVDYPLHDLVLHT
+NYTATVRGLRGP
+>P22105_PF00041_3935 <unknown description>
+APRDLEAKEVTPRTALLTWTEPPVRPAGYLLSFHTPGGQNQEILLPGGITSHQLLGLFPS
+TSYNARLQAMWGQ
+>P22105_PF00147_4026 <unknown description>
+FPRDCGEEMQNGAGASRTSTIFLNGNRERPLNVFCDMETDGGGWLVFQRRMDGQTDFWRD
+WEDYAHGFGNISGEFWLGNEALHSLTQAGDYSMRVDLRAGDEAVFAQYDSFHVDSAAEYY
+RLHLEGYHGTAGDSMSYHSGSVFSARDRDPNSLLISCAVSYRGAWWYRNCHYANLNGLYG
+STVDHQGVSWYHWKGFEFSVPFTEMKLRPR
+>Q99973_PF05386_1 <unknown description>
+MEKLHGHVSAHPDILSLENRCLAMLPDLQ
+>Q99973_PF05386_31 <unknown description>
+LEKLHQHVSTHSDILSLKNQCLATLPDLK
+>Q99973_PF05386_61 <unknown description>
+MEKPHGYVSAHPDILSLENQCLATLSDLK
+>Q99973_PF05386_91 <unknown description>
+MEKPHGHVSAHPDILSLENRCLATLSSLK
+>Q99973_PF05731_226 <unknown description>
+TSGDSESHPEPTDHVLQEKKMALLSLLCSTLVSEVNMNNTSDPTLAAIFEICRELALLEP
+EFILKASLYARQQLNVRNVANNILAIAAFLPACRPHLRRYFCAIVQLPSDWIQVAELYQS
+LAEGDKNKLVPLPACLRTAMTDKFAQFDEYQLAKYNPRKHRAKRHPRRPPRSPGMEPPFS
+HRCFPRYIGFLREEQRKFEKAGDTVSEKKNPPRFTLKKLVQRLHIHKPAQHVQALLGYRY
+PSNLQLFSRSRLPGPWDSSRAGKRMKLSRPETWERELSLRGNKASVWEELIENGKLPFMA
+MLRNLCNLLRVGISSRHHELILQRLQHAKSVIHSRQFPFRFLNAHDAIDALEAQLRNQAL
+PFPSNITLMRRILTRNEKNRPRRRFLCHLSRQQLRMAMRIPVLYEQLKREKLRVHKARQW
+KYDGEMLNRYRQALETAVNLSVKHSLPLLPG
+>Q99973_PF19334_687 <unknown description>
+NADRLCPKSNPQGPPLNYALLLIGMMITRAEQVDVVLCGGDTLKTAVLKAEEGILKTAIK
+LQAQVQEFDENDGWSLNTFGKYLLSLAGQRVPVDRVILLGQSMDDGMINVAKQLYWQRVN
+SKCLFVGILLRRVQYLSTDLNPNDVTLSGCTDAILKFIAEHGASHLLEHVGQMDKIFKIP
+PPPGKTGVQSLRPLEEDTPSPLA
+>Q99973_PF13271_900 <unknown description>
+RLFISSTFRDMHGERDLLLRSVLPALQARAAPHRISLHGIDLRWGVTEEETRRNRQLEVC
+LGEVENAQLFVGILGSRYGYIPPSYNLPDHPHFHWAQQYPSGRSVTEME
+>Q99973_PF05729_1162 <unknown description>
+RLSLVTGQSGQGKTAFLASLVSALQAPDGAKVASLVFFHFSGARPDQGLALTLLRRLCTY
+LRGQLKEPGALPSTYRSLVWELQQRLLPKSAESLHPGQTQVLIIDGADRLVDQNGQLISD
+WIPKKLPRCVHLVLSVSSDAGLGETLEQSQGAHVLALGPLEASARARLVREELA
+>Q99973_PF00400_1797 <unknown description>
+HTYPKSLNCVAFHPEGQVIATGSWAGSISF
+>Q99973_PF00400_2053 <unknown description>
+CGTELRGHEGPVSCCSFSTDGGSLATGGRDRSLLCWD
+>Q99973_PF00400_2099 <unknown description>
+IHSFPACHRDWVTGCAWTKDNLLISCSSDGSVGLWD
+>Q99973_PF00400_2139 <unknown description>
+QRLGQFLGHQSAVSAVAAVEEHVVSVSRDGTLKVWD
+>Q99973_PF00400_2231 <unknown description>
+THTLLGHSGPVRAAAVSETSGLMLTASEDGSVRLW
+>P55072_PF02359_25 <unknown description>
+RLIVDEAINEDNSVVSLSQPKMDELQLFRGDTVLLKGKKRREAVCIVLSDDTCSDEKIRM
+NRVVRNNLRVRLGDVISIQPCP
+>P55072_PF02933_126 <unknown description>
+ITGNLFEVYLKPYFLEAYRPIRKGDIFLVRGGMRAVEFKVVETDPSPYCIVAPDTVIHCE
+GEPIK
+>P55072_PF00004_241 <unknown description>
+ILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAI
+IFIDELDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRAHVIVMAATNRPNSIDPALRRF
+GRFDREVDIG
+>P55072_PF17862_393 <unknown description>
+DVDLEQVANETHGHVGADLAALCSEAALQAIRKKMDLIDLEDE
+>P55072_PF00004_514 <unknown description>
+VLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQAAPCV
+LFFDELDSIAKARGGNIGDGGGAADRVINQILTEMDGMSTKKNVFIIGATNRPDIIDPAI
+LRPGRLDQLIYIPL
+>P55072_PF17862_669 <unknown description>
+DVDLEFLAKMTNGFSGADLTEICQRACKLAIRESIESEIRRE
+>P55072_PF09336_720 <unknown description>
+MEVEEDDPVPEIRRDHFEEAMRFARRSVSDNDIRKYEMFAQ
+>Q8NA31_PF00249_671 <unknown description>
+RKNFTEEEVNYLFNGVKKMGNHWNSILWSFPFQQGRKAVDLAHKYHKL
+>Q8NHR7_PF15101_2 <unknown description>
+FQGQRGWFCGSVSQDLRQFWVAEGGTISDPRAADFLFSCDASHPDTLRIYQSLDYIEDNA
+TVFHAYYLSAVANAKIKNSVALGHFILPPACLQKEIRRKIGSFIWEQDQHFLIEKHDEVT
+PNEIKTLRENSELATEHKKELSKSPEKHFIRTPVVEKQMYFPLQNYPVNNMVTGYISIDA
+MKKFLGELHDFIPGTSGYLAYHVQ
+>P54274_PF08558_77 <unknown description>
+WMLDFLCLSLCRAFRDGRSEDFRRTRNSAEAIIHGLSSLTACQLRTIYICQFLTRIAAGK
+TLDAQFENDERITPLESALMIWGSIEKEHDKLHEEIQNLIKIQAIAVCMENGNFKEAEEV
+FERIFGDPNSHMPFKSKLLMIISQKDTFHSFFQHFSYNHMMEKIKSYVNYVLSEKSSTFL
+MKAAAKVVESKRT
+>P54274_PF00249_380 <unknown description>
+RQAWLWEEDKNLRSGVRKYGEGNWSKILLHYKFNNRTSVMLKDRWRTM
+>Q15554_PF08558_95 <unknown description>
+NRWVLKFYFHEALRAFRGSRYGDFRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEE
+GENLDCSFDMEAELTPLESAINVLEMIKTEFTLTEAVVESSRKLVKEAAVIICIKNKEFE
+KASKILKKHMSKDPTTQKLRNDLLNIIREKNLAHPVIQNFSYETFQQKMLRFLESHLDDA
+EPYLLTMAKKALKSES
+>Q15554_PF16772_319 <unknown description>
+RNPPTTIGMMTLKAAFKTLSGAQDSEAAFAKLDQKDLVLP
+>Q15554_PF00249_489 <unknown description>
+KQKWTVEESEWVKAGVQKYGEGNWAAISKNYPFVNRTAVMIKDRWRTM
+>O14746_PF12009_460 <unknown description>
+QVYGFVRACLRRLVPPGLWGSRHNERRFLRNTKKFISLGKHAKLSLQELTWKMSVRDCAW
+LRRSPGVGCVPAAEHRLREEILAKFLHWLMSVYVVELLRSFFYVTETTFQKNRLFFYRKS
+VWSKLQSIGIRQ
+>O14746_PF00078_817 <unknown description>
+AVRIRGKSYVQCQGIPQGSILSTLLCSLCYGDMENKLFAGIRRDGLLLRLVDDFLLVTPH
+LTHAKTFLRTLVRGVPEYGCVVNLRKTVV
+>Q15569_PF07714_58 <unknown description>
+HCAEKIGAGFFSEVYKVRHRQSGQVMVLKMNKLPSNRGNTLREVQLMNRLRHPNILRFMG
+VCVHQGQLHALTEYMNGGTLEQLLSSPEPLSWPVRLHLALDIARGLRYLHSKGVFHRDLT
+SKNCLVRREDRGFTAVVGDFGLAEKIPVYREGARKEPLAVVGSPYWMAPEVLRGELYDEK
+ADVFAFGIVLCELIARVPADPDYLPRTEDFGLDVPAFRTLVGDDCPLPFLLLAIHCCNLE
+PSTRAPFTEITQHL
+>Q96S53_PF07714_62 <unknown description>
+EKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANMLKEVQLMNRLSHPNILRFMGVCV
+HQGQLHALTEYINSGNLEQLLDSNLHLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSKN
+CLIKRDENGYSAVVADFGLAEKIPDVSMGSEKLAVVGSPFWMAPEVLRDEPYNEKADVFS
+YGIILCEIIARIQADPDYLPRTENFGLDYDAFQHMVGDCPPDFLQLTFNCCNMDPKLRPS
+FVEIGKT
+>A2RU30_PF14722_129 <unknown description>
+DLGCSLASSSMTGGTNKTSSSISEILDKVQEDAEDVLFSLGFGQEDHKDTSRIPARFFTT
+PSQAKGIDFQLFLKSQVRRIEMEDPCLMLASRFKQVQTLAVTADAFFCLYSYVSKTPVQK
+FTPSHMFWNCNHPTDVPSIRILSREPEP
+>Q9Y6M0_PF00089_42 <unknown description>
+IVGGEDAELGRWPWQGSLRLWDSHVCGVSLLSHRWALTAAHCFETYSDLSDPSGWMVQFG
+QLTSMPSFWSLQAYYTRYFVSNIYLSPRYLGNSPYDIALVKLSAPVTYTKHIQPICLQAS
+TFEFENRTDCWVTGWGYIKEDEALPSPHTLQEVQVAIINNSMCNHLFLKYSFRKDIFGDM
+VCAGNAQGGKDACFGDSGGPLACNKNGLWYQIGVVSWGVGCGRPNRPGVYTNISHHFEWI
+>Q9UGI8_PF06297_109 <unknown description>
+VTYEWAPPVQNQALARQYMQMLPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELS
+PREVKEMEQFVKKYKSEALGVGDVK
+>Q9UGI8_PF00412_236 <unknown description>
+CYCCKLSMKEGDPAIYAERAGYDKLWHPACFVCSTCHELLVDMIYFWKNEKLYCGRHYCD
+S
+>Q9UGI8_PF00412_301 <unknown description>
+CAGCDELIFSNEYTQAENQNWHLKHFCCFDCDSILAGEIYVMVNDKPVCKPCYVKNH
+>Q8NFU7_PF02008_585 <unknown description>
+KKKRKRCGVCEPCQQKTNCGECTYCKNRKNSHQICKKRKC
+>Q8NFU7_PF12851_1580 <unknown description>
+SWSMYFNGCKFGRSPSPRRFRIDPSSPLHEKNLEDNLQSLATRLAPIYKQYAPVAYQNQV
+EYENVARECRLGSKEGRPFSGVTACLDFCAHPHRDIHNMNNGSTVVCTLTREDNRSLGVI
+PQDEQLHVLPLYKLSDTDEFGSKEGMEAKIKSGAIEVLAPRRKKRTCFTQPVPRSGKKRA
+AMMTEVLAHKIRAVEKKPIPRIKRKNNSTTTNNSKPSSLPTLGSNTETVQPEVKSETEPH
+FILKSSDNTKTYSLMPSAPHPVKEASPGFSWSPKTASATPAPLKNDATASCGFSERSSTP
+HCTMPSGRLSGANAAAADGPGISQLGEVAPLPTLSAPVMEPLINSEPSTGVTEPLTPHQP
+NHQPSFLTSPQDLASSPMEEDEQHSEADEPPSDEPLSDDPLSPAEEKLPHIDEYWSDSEH
+IFLDANIGGVAIAPAHGSVLIECARRELHATTPVEHPNRNHPTRLSLVFYQHK
+>Q6N021_PF12851_1290 <unknown description>
+SWSMYYNGCKFARSKIPRKFKLLGDDPKEEEKLESHLQNLSTLMAPTYKKLAPDAYNNQI
+EYEHRAPECRLGLKEGRPFSGVTACLDFCAHAHRDLHNMQNGSTLVCTLTREDNREFGGK
+PEDEQLHVLPLYKVSDVDEFGSVEAQEEKKRSGAIQVLSSFRRKVRMLAEPVKTCRQRKL
+EAKKAAAEKLSSLENSSNKNEKEKSAPSRTKQTENASQAKQLAELLRLSGPVMQQSQQPQ
+PLQKQPPQPQQQQRPQQQQPHHPQTESVNSYSASGSTNPYMRRPNPVSPYPNSSHTSDIY
+GSTSPMNFYSTSSQAAGSYLNSSNPMNPYPGLLNQNTQYPSYQCNGNLSVDNCSPYLGSY
+SPQSQPMDLYRYPSQDPLSKLSLPPIHTLYQPRFGNSQSFTSKYLGYGNQNMQGDGFSSC
+TIRPNVHHVGKLPPYPTHEMDGHFMGATSRLPPNLSNPNMDYKNGEHHSPSHIIHNYSAA
+PGMFNSSLHALHLQNKENDMLSHTANGLSKMLPALNHDRTACVQGGLHKLSDANGQEKQP
+LALVQGVASGAEDNDEVWSDSEQSFLDPDIGGVAVAPTHGSILIECAKRELHATTPLKNP
+NRNHPTRISLVFYQHK
+>O43151_PF02008_51 <unknown description>
+RKKRKRCGTCEPCRRLENCGACTSCTNRRTHQICKLRKC
+>O43151_PF12851_985 <unknown description>
+SWSMYFNGCKYARSKTPRKFRLAGDNPKEEEVLRKSFQDLATEVAPLYKRLAPQAYQNQV
+TNEEIAIDCRLGLKEGRPFAGVTACMDFCAHAHKDQHNLYNGCTVVCTLTKEDNRCVGKI
+PEDEQLHVLPLYKMANTDEFGSEENQNAKVGSGAIQVLTAFPREVRRLPEPAKSCRQRQL
+EARKAAAEKKKIQKEKLSTPEKIKQEALELAGITSDPGLSLKGGLSQQGLKPSLKVEPQN
+HFSSFKYSGNAVVESYSVLGNCRPSDPYSMNSVYSYHSYYAQPSLTSVNGFHSKYALPSF
+SYYGFPSSNPVFPSQFLGPGAWGHSGSSGSFEKKPDLHALHNSLSPAYGGAEFAELPSQA
+VPTDAHHPTPHHQQPAYPGPKEYLLPKAPLLHSVSRDPSPFAQSSNCYNRSIKQEPVDPL
+TQAEPVPRDAGKMGKTPLSEVSQNGGPSHLWGQYSGGPSMSPKRTNGVGGSWGVFSSGES
+PAIVPDKLSSFGASCLAPSHFTDGQWGLFPGEGQQAASHSGGRLRGKPWSPCKFGNSTSA
+LAGPSLTEKPWALGAGDFNSALKGSPGFQDKLWNPMKGEEGRIPAAGASQLDRAWQSFGL
+PLGSSEKLFGALKSEEKLWDPFSLEEGPAEEPPSKGAVKEEKGGGGAEEEEEELWSDSEH
+NFLDENIGGVAVAPAHGSILIECARRELHATTPLKKPNRCHPTRISLVFYQHK
+>Q96IP4_PF07984_66 <unknown description>
+LNWEQVQRLDGILSETIPIHGRGNFPTLELQPSLIVKVVRRRLAEKRIGVRDVRLNGSAA
+SHVLHQDSGLGYKDLDLIFCADLRGEGEFQTVKDVVLDCLLDFLPEGVNKEKITPLTLKE
+AYVQKMVKVCNDSDRWSLISLSNNSGKNVELKFVDSLRRQFEFSVDSFQIKLDSLLLFYE
+CSENPMTETFHPTIIGESVYGDFQEAFDHLCNKIIATRNPEEIRGGGLLKYCNLLVRGFR
+PASDEIKTLQRYMCSRFFIDFSDIGEQQRKLESYLQNHFVGLEDRKYEYLMTLHGVVNES
+TVCLMGHERRQTLNLITML
+>Q96A09_PF07984_52 <unknown description>
+LSWPQVKRLDALLSEPIPIHGRGNFPTLSVQPRQIVQVVRSTLEEQGLHVHSVRLHGSAA
+SHVLHPESGLGYKDLDLVFRVDLRSEASFQLTKAVVLACLLDFLPAGVSRAKITPLTLKE
+AYVQKLVKVCTDSDRWSLISLSNKSGKNVELKFVDSVRRQFEFSIDSFQIILDSLLLFGQ
+CSSTPMSEAFHPTVTGESLYGDFTEALEHLRHRVIATRSPEEIRGGGLLKYCHLLVRGFR
+PRPSTDVRALQRYMCSRFFIDFPDLVEQRRTLERYLEAHFGGADAARRYACLVTLHRVVN
+ESTVCLMNHERRQTLDLIAAL
+>Q5VWP2_PF07984_17 <unknown description>
+LNWDQVSRLHEVLTEVVPIHGRGNFPTLEITLKDIVQTVRSRLEEAGIKVHDVRLNGSAA
+GHVLVKDNGLGCKDLDLIFHVALPTEAEFQLVRDVVLCSLLNFLPEGVNKLKISPVTLKE
+AYVQKLVKVCTDTDRWSLISLSNKNGKNVELKFVDSIRRQFEFSVDSFQIILDSLLFFYD
+CSNNPISEHFHPTVIGESMYGDFEEAFDHLQNRLIATKNPEEIRGGGLLKYSNLLVRDFR
+PTDQEEIKTLERYMCSRFFIDFPDILEQQRKLETYLQNHFAEEERSKYDYLMILRRVVNE
+STVCLMGHERRQTLNLISL
+>Q8NEK8_PF07984_9 <unknown description>
+LTWDQVITLDQVLDEVIPIHGKGNFPTMEVKPKDIIHVVKDQLIGQGIIVKDARLNGSVA
+SYILASHNGISYKDLDVIFGVELPGNEEFQVVKDAVLDCLLDFLPKDVKKEKLSPDIMKD
+AYVQKLVKVCNGHDCWSLISLSNNTGKNLELKFVSSLRRQFEFSVDSFQIVLDPMLDFYS
+DKNAKLTKESYPVVVAESMYGDFQEAMTHLQHKLICTRKPEEIRGGGLLKYCSLLVHGFK
+PACMSEIKNLERYMCSRFFIDFPHIEEQQKKIESYLHNHFIGEGMTKYDYLMTLHGVVNE
+STVCLMSYERRQILHLITMM
+>P05452_PF00059_88 <unknown description>
+TKTFHEASEDCISRGGTLGTPQTGSENDALYEYLRQSVGNEAEIWLGLNDMAAEGTWVDM
+TGARIAYKNWETEITAQPDGGKTENCAVLSGAANGKWFDKRCRDQLPYICQF
+>Q9NXF1_PF12333_132 <unknown description>
+EQISPFFPLVSAHLSSAMTHITEGIQEDSLKVLDILLEQYPALITGRSSILLKNFVELIS
+HQQLSKGLINRDRSQSWILSVNPNRRLTSQQWRLKVLVRLSKFL
+>Q8IYF3_PF08631_175 <unknown description>
+SAVAQGDFQRASMCVLQCKDMLMRLPQMTSSLHHLCYNFGVETQKNNKYEESSFWLSQSY
+DIGKMDKKSTGPEMLAKVLRLLATNYLDWDDTKYYDKALNAVNLANKEHLSSPGLFLKMK
+ILLKGETSNEELLEAVMEILHLDMPLDFCLNIAKLLMDHERESVGFHFLTIIHERFKSSE
+NIGKVLILHTDMLLQRKEELLAKEKIEEIFLAHQTGRQLTAESMNWLHNILWRQAASSFE
+VQNYTDALQWYYYSL
+>Q9BXU0_PF15219_28 <unknown description>
+PQLSSLGKSDSSFSEISGLFYKDEALEKDLNDVSKEINLMLSTYAKLLSERAAVDASYID
+EIDELFKEANAIENFLIQKREFLR
+>Q8IWB6_PF12796_27 <unknown description>
+LHEYVKQGNYVKVKKILKKGIYVDAVNSLGQTALFVAALLGLRKFVDVLVDYGSDPNHRC
+FDGSTPVHAAAFSGNQWILSKLLDAGGDLR
+>Q8IWB6_PF07714_284 <unknown description>
+DLLIAEQEHSSKLRHPYLLQLMAVCLSQDLEKTRLVYERITIGTLFSVLHERRSQFPVLH
+MEVIVHLLLQISDALRYLHFQGFIHRSLSSYAVHIISPGEARLTNLEYMLESEDRGVQRD
+LTRVPLPTQLYNWAAPEVILQKAATVKSDIYSFSMIMQEILTDDIPWKGLDGSVVKKAVV
+SGNYLEADVRLPKPYYDIVKSGIHVKQKDRTM
+>Q8NA77_PF15553_1 <unknown description>
+MCPPVSMRYEEEGMSYLYASWMYQLQHGDQLSICFTCFKAAFLDFKDLLESEDWEEDNWD
+PELMEHTEAESEQEGSSGMELSWGQSPGQPVQGGSEAWGPGTLAAAPEGLEDAGLDPHFV
+PTELWPQEAVPLGLGLEDADWTQGLPWRFEELLTCSHWP
+>O15482_PF10267_48 <unknown description>
+QDSVRHRILYLSEQLRVEKASRDGNTVSYLKLVSKADRHQVPHIQQAFEKVNQRASATIA
+QIEHRLHQCHQQLQELEE
+>O15482_PF10267_191 <unknown description>
+TEDVAQQQNLLLQKVKAELEEAKRFHISLQESYHSLKERSLTDLQLLLESLQEEKCRQAL
+MEEQVNGRLQGQLNEIYNLKHNLACSEERMAYLSYERAKEIWEITETFKSRISKLEMLQQ
+VTQLEAAEHLQSRPPQMLFKFLSPRLSLATVLLVFVSTLCACPSSLISSRLCTCTMLMLI
+GLGVLAWQRW
+>Q8N6K0_PF15839_13 <unknown description>
+HLLKQFTVCDVPLYDICDYNVSRDRCQELGCCFYEGVCYKKAVPIYIHVFSALIVIIAGA
+FVITIIYRVIQESRKE
+>Q5JUR7_PF01738_81 <unknown description>
+VLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHIEPDDGDDFVRGLICISYPLHHPKQQ
+HKLRDEDLFRLKEPVLFVSGSADEMCEKNLLEKVAQKMQAPHKIHWIEKANHSMAVKGRS
+>O43247_PF15400_140 <unknown description>
+SIIPNNIRHKFGSNVVDQLVSEEQAQKAIDEVFEGQKRASSWPSRTQNPVEISSVFSDYY
+DLGYNMRSNLFRGAAEETKSLMKASYTPEVIEKSVRDLEHWHGRKTDDLGRWHQKNAMNL
+NLQKALEEKYGENSKSK
+>Q5T0J7_PF15079_1 <unknown description>
+MSAKRAELKKTHLSKNYKAVCLELKPEPTKTFDYKAVKQEGRFTKAGVTQDLKNELREVR
+EELKEKMEEIKQIKDLMDKDFDKLHEFVEIMKEMQKDMDEKMDILINTQKNYKLPLRRAP
+KEQQELRLMGKTHREPQLRPKKMDGASGVNGAPCALHKKTMAPQKTKQGSLDPLHHCGTC
+CEKCLLCALKNNYNRGNIPSEA
+>Q5VZQ5_PF15115_1 <unknown description>
+MTKGRRFNPPSDKDGRWFPHIGLTQKTPESITSATSKEPQSPHLPRQAEGKLPPIYKVRE
+KQAVNNQFPFSVHDNRHSLENSGCYLDSGLGRKKISPDKRQHVSRNFNLWACDYVPSCLD
+GFSNNQISYVYKEAMVVSSFRRFPRCYKEIWNAFTFLPERSYTEVLKKKPKVRFT
+>Q96LM6_PF15217_1 <unknown description>
+MAGVKYPGQDPVDLDIYQSSHMVDYQPYRKHKYSRVTPQEQAKLDAQLRDKEFYRPIPNP
+NPKLTDGYPAFKRPHMTAKDLGLPGFFPSQEHEATREDERKFTSTCHFTYPASHDLHLAQ
+GDPNQVLQSADFPCLVDPKHQPAAEMAKGYLLLPGCPCLHCHIVKVPILNRWGPLMPFYQ
+>Q6PEX7_PF15834_13 <unknown description>
+MWVSLYFGILGLCSVITGGCIIFLHWRKNLRREEHAQQWVEVMRAATFTYSPLLYWINKR
+RRYGMNAAINTGPAPAVTKTETEVQNPDVLWDLDIPEGRSHADQDSNPKAEAPAPLQPAL
+QLAPQQPQARSPFPLPIFQEVPFAPPLCNLPPLLNHSVSYPLATCPERNVLFHSLLNLAQ
+EDHSFNAKPFPSE
+>Q6ZNM6_PF14983_3 <unknown description>
+SGKDTCPTLPKLTNNCSDESLYKSANKYEEIHLPRFSLKQGMIPRRYVMPWKENMIFRNV
+NLKQAEVCGIHTGPLEDSLFLNHSERLCHGEDRKVVFQKGPPEIKIADMPLHSPLSRYQS
+TVISHGFRRRLV
+>Q53QW1_PF15727_7 <unknown description>
+PLGNVDDSRSKDSPAGEPQGQVPLTADVLAVSSSVASTDWQDIDQASFKTATPRAISTSG
+DKDKSAVVPEHGQKTPRKITPLLPSQNPSPLQVSMSLQNPAWDRQVQDARTSQSLVVFPS
+HLLGKDKMSQMASVPEREPESAPSAPSAELQSTQHMEAQPVESDADHVTAGANGQHGPQA
+ASTTKSAEEKAEHPKAPHPEAEALPSDESPVAMGANVVDSLGDLQTWFFPPPPAGSVSPS
+PGPHEVALGRRPLDSSLYTASEENSYMRSMTSLLDRGEGSISSLADILVWSETTMGMAIA
+TGFLDSGHSTVADLLHSSGPSLRSVPSLVGSVSSAFSSGLVSGTSSALRTITRVLETVEQ
+RTVEGIRSAMRYLTSHLTPRQAQADPNY
+>Q8NA69_PF15373_66 <unknown description>
+LFPMDPRWDREERVSEAHRAFPPPSTPPWELLQAQARERTLAMQAGNLHLHEDAHAGIGL
+SNAHAAYGWPELPARTRERIRGARLIFDRDSLPPGDRDKLRIPPTTHQALFPPHDARPQP
+RAPSCHLGGPNTLKWDYTRQDGTSYQRQFQALPGPPALRCKRASSGVELGDCKISYGSTC
+SEQKQAYRPQDLPEDRYDKAQATAHIHCVNIRPGDGLFRDRTTKAEHFYAREPEPFVLHH
+DQTPESHILKGNWCPGPGSLDTFMQYFYGQPPPPTQPPSRHVPHEKLQSHVTLGEPKLLK
+RFFKTTMGSDYCPSEWRQVQKAPNLHLQQSYLPRGTGEFDFLTMNQKMLKPHRTPPAPVT
+EEMLQRCKYSHMEPPLGGLRFFSTQYKDEFPFKYQGPAALRLKNPQEGFVPLGTPHQRGC
+REKIDPLVPQPPMYLCPSQ
+>H3BTG2_PF17671_2 <unknown description>
+SLHGILASAGTIGAVAAWLMSYKPALFGFLFLLLLLSNWLVKYEHKLTLPEPQQDE
+>H3BTG2_PF17671_55 <unknown description>
+QDEILQRLLFSEMKMKVLENQMFIIWNKMNHHGRSSRHRNFPMKKHRMRRHESICPTLSD
+CTSSSP
+>A6NCN8_PF15046_25 <unknown description>
+MVQASESLPPSQTWAQREFFLPSESWEFPGFTRQAYHQLALKLPPCTDMKSKVRQRLIHP
+WKGGAQHTWGFHTWLDVCRLPATFPTQPDRPYDSNVWRWLTDSNAHRCPPTEHPIPPPSW
+MGQNSFLTFIHCYPTFVDMKRKKQVIFRTVKELKEVEKLKLRSEARAPPLDAQGNIQPPA
+SFKKYRHISAGGRFEPQGLQLMPNPFPNNFARSWPCPNPLPHYQEKVLKLALLPSAPLSQ
+DLIRDFQTLIKDRTALPLHHLSKAQASKSPARKRKRRPG
+>Q96M34_PF17819_393 <unknown description>
+CKFEDSQVDLNSKPSVEMETQNATTIPPYNPVDARFTSNFQAKDQALFPRLPSISSKLNY
+TSSQEKTQAIVTKSDEFSEIDQGKGYHIRNQTYRRFPSIVYEDPYQVSLQYMEKHHILQI
+FQQITENLVYEKPEDPLNFMLCQV
+>P52655_PF03153_13 <unknown description>
+LYRSVIEDVINDVRDIFLDDGVDEQVLMELKTLWENKLMQSRAVDGFHSEEQQLLLQVQQ
+QHQPQQQQHHHHHHHQQAQPQQTVPQQAQTQQVLIPASQQATAPQVIVPDSKLIQHMNAS
+NMSAAATAATLALPAGVTPVQQILTNSGQLLQVVRAANGAQYIFQPQQSVVLQQQVIPQM
+QPGGVQAPVIQQVLAPLPGGISPQTGVIIQPQQILFTGNKTQVIPTTVAAPTPAQAQITA
+TGQQQPQAQ
+>P52655_PF03153_269 <unknown description>
+LVLQVDGTGDTSSEEDEDEEEDYDDDEEEDKEKDGAEDGQVEEEPLNSEDDVSDEEGQEL
+FDTENVVVCQYDKIHRSKNKWKFHLKDGIMNLNGRDYIFSKAIGDAEW
+>Q9UNN4_PF03153_10 <unknown description>
+LYRSVIEDVIEGVRNLFAEEGIEEQVLKDLKQLWETKVLQSKATEDFFRNSIQSPLFTLQ
+LPHSLHQTLQSSTASLVIPAGRTLPSFTTAELGTSNSSANFTFPGYPIHVPAGVTLQTVS
+GHLYKVNVPIMVTETSGRAGILQHPIQQVFQQLGQPSVIQTSVPQLNPWSLQATTEKSQR
+IETVLQQPAILPSGPVDRKHLENATSDILVSPGNEHKIVPEALLCHQESSHYISLPGVVF
+SPQVSQTNSNVESVLSGSASMAQNLHDESLSTSPHGALHQHVTDIQLHILKNRMYGCDSV
+KQPRNIEEPSNIPVSEKDSNSQVDLSIRVTDDDIGEIIQVDGSGDTSSNEEIGSTRDADE
+NEFLGNIDGGDLKVPEEEADSISNEDSATNSSDNEDPQVNIVEEDPLNSGDDVSEQDVPD
+LFDTDNVIVCQYDKIHRSKNKWKFYLKDGVMCFGGRDYVFAKAIGDAEW
+>Q00403_PF08271_14 <unknown description>
+TCPNHPDAILVEDYRAGDMICPECGLVVGDRVIDVGSEWRTF
+>Q00403_PF00382_112 <unknown description>
+RAMMNAFKEITTMADRINLPRNIVDRTNNLFKQVYEQKSLKGRANDAIASACLYIACRQE
+GVPRTFKEICAVSRISKKEIGRCFKLILK
+>Q00403_PF00382_206 <unknown description>
+VDLITTGDFMSRFCSNLCLPKQVQMAATHIARKAVELDLVPGRSPISVAAAAIYMASQAS
+AEKRTQKEIGDIAGVADVTIRQSYRLIY
+>P32780_PF08567_17 <unknown description>
+QKKQDGALYLMAERIAWAPEGKDRFTISHMYADIKCQKISPEGKAKIQLQLVLHAGDTTN
+FHFSNESTAVKERDAVKDLLQ
+>P32780_PF03909_181 <unknown description>
+CNGLRYNLTSDIIESIFRTYPAVKMKYAENVPHNMTEKEFWTRFFQSHYFHRDRL
+>Q13888_PF04056_64 <unknown description>
+VVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTELSGN
+PRKHITSLKKAVDMTCHGEPSLYNSLSIAMQTLKHMPGHTSREVLIIFSSLTTCDPSNIY
+DLIKTLKAAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDESHYKELLTHHVSPPPASS
+SSECSLIRMGFP
+>Q13888_PF07975_345 <unknown description>
+CYGCQGELKDQHVYVCAVCQNVFCVDCDVFVHDSLHCCPGC
+>Q13889_PF03850_9 <unknown description>
+NLLVIVVDANPIWWGKQALKESQFTLSKCIDAVMVLGNSHLFMNRSNKLAVIASHIQESR
+FLYPGKNGRLGDFFGDPGNPPEFNPSGSKDGKYELLTSANEVIVEEIKDLMTKSDIKGQH
+TETLLAGSLAKALCYIHRMNKEVKDNQEMKSRILVIKAAEDSALQYMNFMNVIFAAQKQN
+ILIDACVLDSDSGLLQQACDITGGLYLKVPQMPSLLQYLLWVFLPDQDQRSQLILPPPVH
+VDYRAACFCHRNLIEIGYVCSVCLSIFCNFSPICTTCE
+>Q92759_PF03849_21 <unknown description>
+EFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRMLFLEQPLPQAAVALWVKKEFS
+KAQEESTGLLSGLRIWHTQLLPGGLQGLILNPIFRQNLRIALLGGGKAWSDDTSQLGPDK
+HARDVPSLDKYAEERWEVVLHFMVGSPSAAVSQDLAQLLSQAGLMKSTEPGEPPCITSAG
+FQFLLLDTPAQLWYFMLQYLQTAQSRGMDLVEILSFLFQLSFSTLGKDYSVEGMSDSLLN
+FLQHLREFGLVFQRKRKSRRYYPTRLAINLSSGVSGAGGTVHQPGFIVVETNYRLYAYTE
+SELQIALIALFSEMLYRFPNMVVAQVTRESVQQAIASGITAQQIIHFLRTRAHP
+>Q92759_PF18307_389 <unknown description>
+DQIRLWELERDRLRFTEGVLYNQFLSQVDFELLLAHARELGVLVFENSAKRLMVVTPAGH
+SDVKRFWK
+>Q6ZYL4_PF06331_1 <unknown description>
+MVNVLKGVLIECDPAMKQFLLYLDESNALGKKFIIQDIDDTHVFVIAELVNVLQERVGEL
+MDQNAFS
+>Q9NZI6_PF04516_38 <unknown description>
+PENEARLPPLQYVLCAATSPAVKLHEETLTYLNQGQSYEIRLLENRKLGDFQDLNTKYVK
+SIIRVVFHDRRLQYTEHQQLEGWRWSRPGDRILDIDIPLSVGILDPRASPTQLNAVEFLW
+DPAKRASAFIQVHCISTEFTPRKHGGEKGVPFRVQIDTFKQNENGEYTEHLHSASCQIKV
+FKPKGADRKQKTDREKMEKRT
+>Q9NZI6_PF18016_305 <unknown description>
+LLPSASIQDAQQWLHRNRFSQFCRLFASFSGADLLKMSRDDLVQICGPADGIRLFNAI
+>Q8IUE1_PF05920_68 <unknown description>
+WMYKHRFKAYPSEEEKQMLSEKTNLSLLQISNWFINARRR
+>Q8IUE0_PF05920_68 <unknown description>
+WMYKHRFKAYPSEEEKQMLSEKTNLSLLRISNWFINARRR
+>Q92664_PF00096_70 <unknown description>
+FVCDYEGCGKAFIRDYHLSRHILTH
+>Q92664_PF00096_100 <unknown description>
+FVCAANGCDQKFNTKSNLKKHFERKH
+>Q92664_PF00096_162 <unknown description>
+FKCTQEGCGKHFASPSKLKRHAKAH
+>Q92664_PF00096_219 <unknown description>
+CEVCRKTFKRKDYLKQHMKTH
+>Q92664_PF00096_247 <unknown description>
+RCPREGCGRTYTTVFNLQSHILSFH
+>Q92664_PF00096_277 <unknown description>
+FVCEHAGCGKTFAMKQSLTRHAVVH
+>Q92994_PF08271_5 <unknown description>
+VCRGCGGTDIELDAARGDAVCTACGSVLEDNIIVSEVQFVE
+>Q92994_PF00382_83 <unknown description>
+AQTLQNGRRHIHHLGNQLQLNQHCLDTAFNFFKMAVSRHLTRGRKMAHVIAACLYLVCRT
+EGTPHMLLDLSDLLQVNVYVLGKTFLLLAR
+>Q92994_PF00382_177 <unknown description>
+NAPAIDPCLYIPRFAHLLEFGEKNHEVSMTALRLLQRMKRDWMHTGRRPSGLCGAALLVA
+ARMHDFRRTVKEVISVVKVCESTLRKRLTEFED
+>Q92994_PF07741_450 <unknown description>
+SGIDDLEIDRYILNESEARVKAELWMRENAEYLREQREKEARIAKEKELGIYKEHKPKKS
+CKRREPIQASTAREAIEKMLEQKKISSKINYSVLRGLS
+>Q12789_PF04182_175 <unknown description>
+DFSYCILERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGLITMQSHVIRLPTG
+AQQHSILLLLNRFHV
+>Q8WUA4_PF00400_608 <unknown description>
+CFLAHDQAVRTLQWCKANSHFLVSAGSDRKIKFWD
+>Q9Y5Q9_PF13181_220 <unknown description>
+WVRLAEMSLEQDNIKQAIFCYTKALKYEPTN
+>Q9Y5Q9_PF13181_458 <unknown description>
+VWLRHAECLKALGYMERAAESYGKVVDLAP
+>Q9Y5Q9_PF13181_812 <unknown description>
+ESFYNLGRGLHQLGLIHLAIHYYQKALELPP
+>Q9UKN8_PF12657_64 <unknown description>
+VSGLEPLAWSEDHRVSVSTARSIAVLELICDVHNPGQDLVIHRTSVPAPLNSCLLKVGSK
+TEVAECKEKFAASKDPTVSQTFMLDRVFNPEGKALPPMRGFKYTSWSPMGCDANGRCLLA
+ALTMDNRLTIQANLNRLQWVQLVDLTEIYGERLYETSYRLSKNEAPEGNLGDFAEFQRRH
+SMQTPVRMEWS
+>Q9UKN8_PF19336_265 <unknown description>
+NNECRDVGSVLLAVLFENGNIAVWQFQLPFVGKESISSCNTIESGITSPSVLFWWEYEHN
+NRKMSGLIVGSAFGPIKILPVNLKAVKGYFTLRQPVILWKEMDQLPVHSIKCVPLYHPYQ
+KCSCSLVVAARGSYVFWCLLLISKAGLNVHNSHVTGLHSLPIVSMTADKQNGTVYTCSSD
+GKVRQLIPIFTDVALKFEHQLIKLSDVFGSVRTHGIAVSPCGAYLAIITTEGMINGLHPV
+NKNYQVQFVTLKTFEEAAAQLLESSVQNLFKQVDLIDLVRWKILKDKHIPQFLQEALEKK
+IESSGVTYFWRFKLFLLRILYQSMQKTPSEALWKPTHEDSKILLVDSPGMGNADDEQQEE
+GTSSKQVVKQGLQERSKEGDVEEPTDDSLPTTGDAGGREPMEEKLLEIQGKIEAVEMHLT
+REHMKRVLGEVYLHTWITENTSIPTRGLCNFLMSDE
+>Q9UKN8_PF12660_739 <unknown description>
+NKQTFPEHCSLCKEILPFTDRKQAVCSNGHIWLRCFLTYQSCQSLIYRRCLL
+>Q9Y5Q8_PF17682_25 <unknown description>
+VCVEYPGVVRDVAKMLPTLGGEEGVSRIYADPTKRLELYFRPKDPYCHPVCANRFSTSSL
+LLRIRKRTRRQKGVLGTEAHSEVTFDMEILGIISTIYKFQGMSDFQY
+>Q9Y5Q8_PF09734_168 <unknown description>
+IPPPIFSRLDAPVDYFYRPETQHREGYNNPPISGENLIGLSRARRPHNAIFVNFEDEEVP
+KQPLEAAAQTWRRVCTNPVDRKVEEELRKLFDIRPIWSRNAVKANISVHPDKLKVLLPFI
+AYYMITGPWRSLWIRFGYDPRKNPDAKIYQVLDFR
+>Q969F1_PF10419_16 <unknown description>
+EEEEEQLVLVELSGIIDSDFLSKCENKCKVLGIDTERPILQVDSCVFAGEYEDTLGTCVI
+FEENVEHADTEGNNKTVLKYKCHTMKKLSMTRTL
+>Q04206_PF00554_21 <unknown description>
+VEIIEQPKQRGMRFRYKCEGRSAGSIPGERSTDTTKTHPTIKINGYTGPGTVRISLVTKD
+PPHRPHPHELVGKDCRDGFYEAELCPDRCIHSFQNLGIQCVKKRDLEQAISQRIQTNNNP
+FQVPIEEQRGDYDLNAVRLCFQVTVRDPSGRPLRLPPVLSHPIFDN
+>Q04206_PF16179_195 <unknown description>
+KICRVNRNSGSCLGGDEIFLLCDKVQKEDIEVYFTGPGWEARGSFSQADVHRQVAIVFRT
+PPYADPSLQAPVRVSMQLRRPSDRELSEPMEFQYLPD
+>Q9HCS4_PF08347_26 <unknown description>
+AGGGDDLGANDELIPFQDEGGEEQEPSSDSASAQRDLDEVKSSLVNESENQSSSSDSEAE
+RRPQPVRDTFQKPRDYFAEVRRPQDSAFFKGPPYPGYPFLMIPDLSSPYLSNGPLSPGGA
+RTYLQMKWPLLDVPSSATVKDTRSPSPAHLSNKVPVVQHPHHMHPLTPLITYSNDHFSPG
+SPPTHLSPEIDPKTGIPRPPHPSELSPYYPLSPGAVGQIPHPLGW
+>Q9HCS4_PF00505_346 <unknown description>
+VKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRKWHNLSREEQAKYYELARKERQL
+HSQLYPTW
+>Q9NQB0_PF08347_5 <unknown description>
+NGGGGDDLGANDELISFKDEGEQEEKSSENSSAERDLADVKSSLVNESETNQNSSSDSEA
+ERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGSLSPT
+ARTLHFQSGSTHYSAYKTIEHQIAVQYLQMKWPLLDVQAGSLQSRQALKDARSPSPAHIV
+SNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYP
+LSPGTVGQIPHPLGW
+>Q9NQB0_PF00505_350 <unknown description>
+IKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQL
+HMQLYPGW
+>Q00059_PF00505_50 <unknown description>
+PKKPVSSYLRFSKEQLPIFKAQNPDAKTTELIRRIAQRWRELPDSKKKIYQDAYRAEWQV
+YKEEISRFK
+>Q00059_PF09011_154 <unknown description>
+KPKRPRSAYNVYVAERFQEAKGDSPQEKLKTVKENWKNLSDSEKELYIQHAKEDETRYHN
+EMKSWE
+>Q01664_PF00010_49 <unknown description>
+RREIANSNERRRMQSINAGFQSLKTLIPHTDGEKLSKAAILQQTAEYIFSL
+>Q8WVM0_PF00398_28 <unknown description>
+QAAKQLSQNFLLDLRLTDKIVRKAGNLTNAYVYEVGPGPGGITRSILNADVAELLVVEKD
+TRFIPGLQMLSDAAPGKLRIVHGDVLTFKVEKAFSESLKRPWEDDPPNVHIIGNLPFSVS
+TPLIIKWLENISCRDGPFVYGRTQMTLTFQKEVAERLAANTGSKQRSRLSVMAQYLCNVR
+HIFTIPGQAFVPKPEVDVGVVHFTPLIQPKIEQPFKLVEKVVQNVFQFRRKYCHRGLRML
+FPEAQRLESTGRLLE
+>Q9H5Q4_PF00398_95 <unknown description>
+PPHLLLECNPGPGILTQALLEAGAKVVALESDKTFIPHLESLGKNLDGKLRVIHCDFFKL
+DPRSGGVIKPPAMSSRGLFKNLGIEAVPWTADIPLKVVGMFPSRGEKRALWKLAYDLYSC
+TSIYKFGRIEVNMFIGEKEFQKLMADPGNPDLYHVLSVIWQLACEIKVLHMEPWSSFDIY
+TRKGPLENPKRRELLDQLQQKLYLIQMIPRQNLFTKNLTPMNYNIFFHLLKHCFGRRSAT
+VIDHLRSLTPLDARDILMQIGKQEDEKVVNMHPQDFKTLFETI
+>Q12800_PF04516_56 <unknown description>
+LPPDNENKILPFQYVLCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKLGELPEINGKL
+VKSIFRVVFHDRRLQYTEHQQLEGWRWNRPGDRILDIDIPMSVGIIDPRANPTQLNTVEF
+LWDPAKRTSVFIQVHCISTEFTMRKHGGEKGVPFRVQIDTFKENENGEYTEHLHSASCQI
+KVFKPKGADRKQKTDREKMEKRT
+>Q12800_PF18016_323 <unknown description>
+NLLPTTTPQEAQQWLHRNRFSTFTRLFTNFSGADLLKLTRDDVIQICGPADGIRLFNALK
+>Q14186_PF02319_113 <unknown description>
+GKGLRHFSMKVCEKVQRKGTTSYNEVADELVAEFSAADNHILPNESAYDQKNIRRRVYDA
+LNVLMAMNIISKEKKEIKWIG
+>Q14186_PF08781_200 <unknown description>
+QECQNLEVERQRRLERIKQKQSQLQELILQQIAFKNLVQRNRHAEQQASRPPPPNSVIHL
+PFIIVNTSKKTVIDCSISNDKFEYLFNFDNTFEIHDDIEVLKRMGMACGLESGSCSAEDL
+KMARSLVPKALEPYVTEMA
+>Q14188_PF02319_129 <unknown description>
+GKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIRRRVYDAL
+NVLMAMNIISKEKKEIKWIG
+>Q14188_PF08781_215 <unknown description>
+QECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNRQNEQQNQGPPALNSTIQL
+PFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDL
+KLAKSLVPKALEGYITDI
+>Q5H9I0_PF02319_109 <unknown description>
+MGLCRLSMKVWETVQRKGTTSCQEVVGELVAKFRAASNHASPNESAYDVKNIKRRTYDAL
+NVLMAMNIISREKKKIKWIG
+>Q5H9I0_PF08781_195 <unknown description>
+QNCQNLRVERQKRLERIKQKQSELQQLILQQIAFKNLVLRNQYVEEQVSQRPLPNSVIHV
+PFIIISSSKKTVINCSISDDKSEYLFKFNSSFEIHDDTEVLMWMGMTFGLESGSCSAEDL
+KMARNLVPKALEPYVTEMA
+>P15923_PF00010_550 <unknown description>
+RRVANNARERLRVRDINEAFKELGRMCQLHLNSEKPQTKLLILHQAVSVILNLE
+>P19532_PF15951_113 <unknown description>
+RVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVVGVSAGGHTLSRPPPAQV
+PREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPASAQPLPAPE
+AAHTTGPTGSAPNSPMALLTIGSSSEKEIDDVID
+>P19532_PF00010_347 <unknown description>
+KKDNHNLIERRRRFNINDRIKELGTLIPKSSDPEMRWNKGTILKASVDYIRKLQ
+>P19532_PF11851_432 <unknown description>
+LQAQIHGLPVPPTPGLLSLATTSASDSLKPEQLDIEEEGRPGAATFHVGGGPAQNAPHQQ
+PPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILMEEEEGVVGGLSGGALSPLRAASDPL
+LSSVSPAVSKASSRRSSFSMEEE
+>P19484_PF15951_4 <unknown description>
+RIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQQLGGPPTPAINTPVHFQSPP
+PVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHISPAQGSPKPPPAASP
+GVRAGHVLSSSAGNSAPNSPMAMLHIGSNPERELDDVID
+>P19484_PF00010_236 <unknown description>
+KKDNHNLIERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQ
+>P19484_PF11851_321 <unknown description>
+MQARVHGLPTTSPSGMNMAELAQQVVKQELPSEEGPGEALMLGAEVPDPEPLPALPPQAP
+LPLPTQPPSPFHHLDFSHSLSFGGREDEGPPGYPEPLAPGHGSPFPSLSKKDLDLMLLDD
+SLLPLASDPLLSTMSPEASKASSRRSSFSMEEG
+>O14948_PF00010_140 <unknown description>
+KKDNHNLIERRRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVEYIKWLQ
+>O14948_PF11851_225 <unknown description>
+IQARTHGLPTLASLGTVDLGAHVTKQQSHPEQNSVDYCQQLTVSQGPSPELCDQAIAFSD
+PLSYFTDLSFSAALKEEQRLDGMLLDDTISPFGTDPLLSATSPAVSKESSRRSSFSSDDG
+>P04155_PF00088_31 <unknown description>
+CTVAPRERQNCGFPGVTPSQCANKGCCFDDTVRGVPWCFYP
+>Q03403_PF00088_31 <unknown description>
+CSRLSPHNRTNCGFPGITSDQCFDNGCCFDSSVTGVPWCFHP
+>Q03403_PF00088_81 <unknown description>
+CVMEVSDRRNCGYPGISPEECASRKCCFSNFIFEVPWCFFP
+>Q07654_PF00088_32 <unknown description>
+CAVPAKDRVDCGYPHVTPKECNNRGCCFDSRIPGVPWCFKP
+>Q92734_PF00564_13 <unknown description>
+IKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKDEDGDLITIFDSS
+DLSFAIQCSRILKLTLFVN
+>O95379_PF05527_16 <unknown description>
+FNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTREYTQNKKEAEKIIKNL
+IKTVIKLAILYRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVDYTFDRNVLSRLLNEC
+REMLHQIIQRHLTAKSHGRVNNVFDHFSDCEFLAALYNPFGNFKPHLQKLCDGINKMLDE
+E
+>Q9UBB9_PF12457_18 <unknown description>
+DDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDSDDERPSFGGKRARDYSAP
+VNFISAGLKKGAAEEAELEDSDDEEKPVKQ
+>Q9UBB9_PF01585_149 <unknown description>
+TKGIGQKLLQKMGYVPGRGLGKNAQGIINPIEAKQRKGKGAVGA
+>Q9UBB9_PF07842_397 <unknown description>
+LDECARIFETLQDKYYEEYRMSDRVDLAVAIVYPLMKEYFKEWDPLKDCTYGTEIISKWK
+SLLENDQLLSHGGQDLSADAFHRLIWEVWMPFVRNIVTQWQPRNCDPMVDFLDSWVHIIP
+VWILDNILDQLIFPKLQKEVENWNPLTDTVPIHSWIHPWLPLMQARLEPLYSPIRSKLSS
+ALQKWHPSDSSAKLILQPWKDVFTPGSWEAFMVKNIVPKLGMCLGELVINPHQQHMDAFY
+WVIDWEGMISVSSLVGLLEKHFFPKWLQVL
+>P10646_PF00014_53 <unknown description>
+FCAFKADDGPCKAIMKRFFFNIFTRQCEEFIYGGCEGNQNRFESLEECKKMC
+>P10646_PF00014_124 <unknown description>
+FCFLEEDPGICRGYITRYFYNNQTKQCERFKYGGCLGNMNNFETLEECKNICE
+>P10646_PF00014_217 <unknown description>
+CLTPADRGLCRANENRFYYNSVIGKCRPFKYSGCGGNENNFTSKQECLRACK
+>P48307_PF00014_35 <unknown description>
+ICLLPLDYGPCRALLLRYYYDRYTQSCRQFLYGGCEGNANNFYTWEACDDAC
+>P48307_PF00014_95 <unknown description>
+VCRLQVSVDDQCEGSTEKYFFNLSSMTCEKFFSGGCHRNRIENRFPDEATCMGFC
+>P48307_PF00014_157 <unknown description>
+FCYSPKDEGLCSANVTRYYFNPRYRTCDAFTYTGCGGNDNNFVSREDCKRAC
+>P02786_PF02225_228 <unknown description>
+VTGKLVHANFGTKKDFEDLYTPVNGSIVIVRAGKITFAEKVANAESLNAIGVLIYMDQTK
+FPIVNAELSFFGHAHLGTGDPYTPGFPSFNHTQFPPSRSSGLPNIPVQTISRAAAEKL
+>P02786_PF04389_388 <unknown description>
+NIFGVIKGFVEPDHYVVVGAQRDAWGPGAAKSGVGTALLLKLAQMFSDMVLKDGFQPSRS
+IIFASWSAGDFGSVGATEWLEGYLSSLHLKAFTYINLDKAVLGTSNFKVSASPLLYTLIE
+KTMQNVKHPVTGQFLYQDSNWASKVEKLTLDNAAFPFLAYSGIPAVSFCFCEDTDYPYLG
+TTMDTYKEL
+>P02786_PF04253_638 <unknown description>
+SLQWLYSARGDFFRATSRLTTDFGNAEKTDRFVMKKLNDRVMRVEYHFLSPYVSPKESPF
+RHVFWGSGSHTLPALLENLKLRKQNNGAFNETLFRNQLALATWTIQGAANAL
+>Q9UP52_PF02225_241 <unknown description>
+VTGELVYAHYGRPEDLQDLRARGVDPVGRLLLVRVGVISFAQKVTNAQDFGAQGVLIYPE
+PADFSQDP
+>Q9UP52_PF04389_412 <unknown description>
+NIFGCIEGRSEPDHYVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSL
+LFISWDGGDFGSVGSTEWLEGYLSVLHLKAVVYVSLDNAVLGDDKFHAKTSPLLTSLIES
+VLKQVDSPNHSGQTLYEQVVFTNPSWDAEVIRPLPMDSSAYSFTAFVGVPAVEFSFMEDD
+QAYPFLHTKEDTYENLHKVL
+>P13726_PF01108_19 <unknown description>
+TLLLGWVFAQVAGASGTTNTVAAYNLTWKSTNFKTILEWEPKPVNQVYTVQISTKSGDWK
+SKCFYTTDTECDLTDEIVKDVKQTYLARVFSYPAGN
+>P13726_PF09294_138 <unknown description>
+TNLGQPTIQSFEQVGTKVNVTVEDERTLVRRNNTFLSLRDVFGKDLIYTLYYWKSSSSGK
+KTAKTNTNEFLIDVDKGENYCFSVQAVIPSRTVNRKSTDSPVECMG
+>Q03167_PF00100_456 <unknown description>
+CDNEKMIVAVEKDSFQASGYSGMDVTLLDPTCKAKMNGTHFVLESPLNGCGTRPRWSALD
+GVVYYNSIVIQVPALGDSSGWPDGYEDLESGDNGFPGDMDEGDASLFTRPEIVVFNCSLQ
+QVRNPSSFQEQPHGNITFNMELYNTDLFLVPSQGVFSVPENGHVYVEVSVTKAEQELGFA
+IQTCFISPYSNPDRMSHYTIIENICPKDESVKFYSPKRVHFPIPQADMDKKRFSFVFKPV
+FNTSLLFLQCELTLCTKMEKHPQKLPKC
+>O95455_PF16363_21 <unknown description>
+LVTGGAGFIASHMIVSLVEDYPNYMIINLDKLDYCASLKNLETISNKQNYKFIQGDICDS
+HFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVSAAHEARVEKFIYV
+STDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSYWEQYKFPVVITRSSNVYGPH
+QYPEKVIPKFISLLQHNRKCCIHGSGLQTRNFLYATDVVEAFLTVLKKGKPGEIYNIGTN
+FEMSVVQLAKELIQLIKETNSESEMENWVDYVNDRPTNDMRYPMKSEKIHGLGWRPKVPW
+KEGIKKT
+>Q8WUH2_PF00780_71 <unknown description>
+RHLGFKKPVNELRAASALNRLLVLCDNSISLVNMLNLEPVPSGARIKGAATFALNENPVS
+GDPFCVEVCIISVKRRTIQMFLVYEDRVQIVKEVSTAEQPLAVAVDGHFLCLALTTQYII
+HNYSTGVSQDLFPYCSEERPPIVKRIGRQEFLLAGPGGLGMFATVAGISQRAPVHWSENV
+IGAAVSFPYVIALDDEFITVHSMLDQQQKQTLPFKE
+>Q8WUH2_PF10366_448 <unknown description>
+IDTALLKLYAEADHDSLLDLLVTENFCLLTDSAAWLEKHKKYFALGLLYHYNNQDAAAVQ
+LWVNIVNGDVQDSTRSDLYEYIVDFLTYCLDEELVWAYADWVL
+>Q8WUH2_PF00637_575 <unknown description>
+NPDDIINCLKKYPKALVKYLEHLVIDKRLQKEEYHTHLAVLYLEEVLLQRASASGKGAEA
+TETQAKLRRLLQKSDLYRVHFLLERLQGAGLPMESAILHGKLGEHEKALHILVHELQDFA
+AAEDYC
+>Q8WUH2_PF10367_738 <unknown description>
+VDLLNRHATEFDAAQVLQMLPDTWSVQLLCPFLMGAMRDSIHARRTMQVALGLARSENLI
+YTYDKMKLKGSSIQLSDKKLCQICQNPFCEPVFVRYPNGGLVHTHCAA
+>P61812_PF00688_22 <unknown description>
+STCSTLDMDQFMRKRIEAIRGQILSKLKLTSPPEDYPEPEEVPPEVISIYNSTRDLLQEK
+ASRRAAACERERSDEEYYAKEVYKIDMPPFFPSENAIPPTFYRPYFRIVRFDVSAMEKNA
+SNLVKAEFRVFRLQNPKARVPEQRIELYQILKSKDLTSPTQRYIDSKVVKTRAEGEWLSF
+DVTDAVHEWLHHKDRNLGFKISLHCP
+>P61812_PF00019_316 <unknown description>
+NCCLRPLYIDFKRDLGWKWIHEPKGYNANFCAGACPYLWSSDTQHSRVLSLYNTINPEAS
+ASPCCVSQDLEPLTILYYIGKTPKIEQLSNMIVKSCKC
+>P10600_PF00688_25 <unknown description>
+STCTTLDFGHIKKKRVEAIRGQILSKLRLTSPPEPTVMTHVPYQVLALYNSTRELLEEMH
+GEREEGCTQENTESEYYAKEIHKFDMIQGLAEHNELAVCPKGITSKVFRFNVSSVEKNRT
+NLFRAEFRVLRVPNPSSKRNEQRIELFQILRPDEHIAKQRYIGGKNLPTRGTAEWLSFDV
+TDTVREWLLRRESNLGLEISIHCP
+>P10600_PF00019_314 <unknown description>
+NCCVRPLYIDFRQDLGWKWVHEPKGYYANFCSGPCPYLRSADTTHSTVLGLYNTLNPEAS
+ASPCCVPQDLEPLTILYYVGRTPKVEQLSNMVVKSCKC
+>O43294_PF03535_44 <unknown description>
+TGSGESSGASGDKDHLYSTVCKPRSPKPAAPAAPPFSSSSGVLGTGLCELDRLLQELNAT
+QFN
+>O43294_PF00412_228 <unknown description>
+CGSCNKPIAGQVVTALGRAWHPEHFVCGGCSTALGGSSFFEKDGAPFCPECYFERF
+>O43294_PF00412_287 <unknown description>
+CGFCNQPIRHKMVTALGTHWHPEHFCCVSCGEPFGDEGFHEREGRPYCRRDFLQL
+>O43294_PF00412_346 <unknown description>
+CQGCQGPILDNYISALSALWHPDCFVCRECFAPFSGGSFFEHEGRPLCENHFHAR
+>O43294_PF00412_405 <unknown description>
+CATCGLPVTGRCVSALGRRFHPDHFTCTFCLRPLTKGSFQERAGKPYCQPCFLKL
+>P36897_PF01064_34 <unknown description>
+LQCFCHLCTKDNFTCVTDGLCFVSVTETTDKVIHNSMCIAEIDLIPRDRPFVCAPSSKTG
+SVTTTYCCNQDHCNKI
+>P36897_PF08515_176 <unknown description>
+TLKDLIYDMTTSGSGSGLPLLVQRTIAR
+>P36897_PF00069_208 <unknown description>
+QESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFREAEIYQTVMLRHENILGFIAADN
+KDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIKLALSTASGLAHLHMEIVGTQGKP
+AIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDTIDIAPNHRVGTKRYMAPEVLDDS
+INMKHFESFKRADIYAMGLVFWEIARRCSIGGIHEDYQLPYYDLVPSDPSVEEMRKVVCE
+QKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLTALRIK
+>P37173_PF08917_49 <unknown description>
+QLCKFCDVRFSTCDNQKSCMSNCSITSICEKPQEVCVAVWRKNDENITLETVCHDPKLPY
+HDFILEDAASPKCIMKEKKKPGETFFMCSCSSDECNDNIIFSEEYNTSN
+>P37173_PF07714_245 <unknown description>
+ELDTLVGKGRFAEVYKAKLKQNTSEQFETVAVKIFPYEEYASWKTEKDIFSDINLKHENI
+LQFLTAEERKTELGKQYWLITAFHAKGNLQEYLTRHVISWEDLRKLGSSLARGIAHLHSD
+HTPCGRPKMPIVHRDLKSSNILVKNDLTCCLCDFGLSLRLDPTLSVDDLANSGQVGTARY
+MAPEVLESRMNLENVESFKQTDVYSMALVLWEMTSRCNAVGEVKDYEPPFGSKVREHPCV
+ESMKDNVLRDRGRPEIPSFWLNHQGIQMVCETLTECWDHDPEARLTAQCVAE
+>Q15583_PF05920_53 <unknown description>
+WLYEHRYNAYPSEQEKALLSQQTHLSTLQVCNWFINARRR
+>Q9GZN2_PF05920_36 <unknown description>
+WLYLHRYNAYPSEQEKLSLSGQTNLSVLQICNWFINARRR
+>P22735_PF00868_122 <unknown description>
+SDQNRREHHTDEYEYDELIVRRGQPFHMLLLLSRTYESSDRITLELLIGNNPEVGKGTHV
+IIPVGKGGSGGWKAQVVKASGQNLNLRVHTSPNAIIGKFQFTVRTQ
+>P22735_PF01841_368 <unknown description>
+TGYSVPYGQCWVFAGVTTTVLRCLGLATRTVTNFNSAHDTDTSLTMDIYFDENMKPLEHL
+NHDSVWNFHVWNDCWMKRPDLPSGFDGWQVVDA
+>P22735_PF00927_579 <unknown description>
+VAMQVEAQDAVMGQDLMVSVMLINHSSSRRTVKLHLYLSVTFYTGVSGTIFKETKKEVEL
+APGASDRVTMPVAYKEYRPHLVDQGAMLLNVSGHVKESGQVLAKQ
+>P22735_PF00927_691 <unknown description>
+PDLSLTLLGAAVVGQECEVQIVFKNPLPVTLTNVVFRLEGSGLQRPKILNVGDIGGNETV
+TLRQSFVPVRPGPRQLIASLDSPQLSQVHGVIQVDVA
+>P21980_PF00868_9 <unknown description>
+RCDLELETNGRDHHTADLCREKLVVRRGQPFWLTLHFEGRNYEASVDSLTFSVVTGPAPS
+QEAGTKARFPLRDAVEEGDWTATVVDQQDCTLSLQLTTPANAPIGLYRLSLEA
+>P21980_PF01841_272 <unknown description>
+VKYGQCWVFAAVACTVLRCLGIPTRVVTNYNSAHDQNSNLLIEYFRNEFGEIQGDKSEMI
+WNFHCWVESWMTRPDLQPGYEGWQALDP
+>P21980_PF00927_473 <unknown description>
+MAMRIRVGQSMNMGSDFDVFAHITNNTAEEYVCRLLLCARTVSYNGILGPECGTKYLLNL
+NLEPFSEKSVPLCILYEKYRDCLTESNLIKVRALLVEPV
+>P21980_PF00927_587 <unknown description>
+PEIKIRILGEPKQKRKLVAEVSLQNPLPVALEGCTFTVEGAGLTEEQKTVEIPDPVEAGE
+EVKVRMDLLPLHMGLHKLVVNFESDKLKAVKGFRNVII
+>O95932_PF00868_8 <unknown description>
+KVDWQRSRNGAAHHTQEYPCPELVVRRGQSFSLTLELSRALDCEEILIFTMETGPRASEA
+LHTKAVFQTSELERGEGWTAAREAQMEKTLTVSLASPPSAVIGRYLLSIRL
+>O95932_PF01841_270 <unknown description>
+KYGQCWVFAGVLCTVLRCLGIATRVVSNFNSAHDTDQNLSVDKYVDSFGRTLEDLTEDSM
+WNFHVWNESWFARQDLGPSYNGWQVLDA
+>O95932_PF00927_495 <unknown description>
+IAGKFKVLEPPMLGHDLRLALCLANLTSRAQRVRVNLSGATILYTRKPVAEILHESHAVR
+LGPQEEKRIPITISYSKYKEDLTEDKKILLAAMCLVTKGEKLLVE
+>O95932_PF00927_608 <unknown description>
+ITIKVLGPAMVGVAVTVEVTVVNPLIERVKDCALMVEGSGLLQEQLSIDVPTLEPQERAS
+VQFDITPSKSGPRQLQVDLVSPHFPDIKGFVIVHVA
+>Q08188_PF00868_7 <unknown description>
+QSINWQTAFNRQAHHTDKFSSQELILRRGQNFQVLMIMNKGLGSNERLEFIVSTGPYPSE
+SAMTKAVFPLSNGSSGGWSAVLQASNGNTLTISISSPASAPIGRYTMALQI
+>Q08188_PF01841_268 <unknown description>
+VRYGQCWVFAGTLNTALRSLGIPSRVITNFNSAHDTDRNLSVDVYYDPMGNPLDKGSDSV
+WNFHVWNEGWFVRSDLGPSYGGWQVLDA
+>Q08188_PF00927_485 <unknown description>
+GKLKVAGMLAVGKEVNLVLLLKNLSRDTKTVTVNMTAWTIIYNGTLVHEVWKDSATMSLD
+PEEEAEHPIKISYAQYEKYLKSDNMIRITAVCKVPDESEVVVE
+>Q08188_PF00927_595 <unknown description>
+PTLTLEVLNEARVRKPVNVQMLFSNPLDEPVRDCVLMVEGSGLLLGNLKIDVPTLGPKEG
+SRVRFDILPSRSGTKQLLADFSCNKFPAIKAMLSIDVA
+>P49221_PF00868_12 <unknown description>
+HIDFLNQDNAVSHHTWEFQTSSPVFRRGQVFHLRLVLNQPLQSYHQLKLEFSTGPNPSIA
+KHTLVVLDPRTPSDHYNWQATLQNESGKEVTVAVTSSPNAILGKYQLNVKT
+>P49221_PF01841_253 <unknown description>
+LQQYYNTKQAVCFGQCWVFAGILTTVLRALGIPARSVTGFDSAHDTERNLTVDTYVNENG
+EKITSMTHDSVWNFHVWTDAWMKRPDLPKGYDGWQAVDA
+>P49221_PF00927_587 <unknown description>
+PEFSIELPNTGRIGQLLVCNCIFKNTLAIPLTDVKFSLESLGISSLQTSDHGTVQPGETI
+QSQIKCTPIKTGPKKFIVKLSSKQVKEINAQKIVLIT
+>O43548_PF00868_10 <unknown description>
+TDLQSSRNNVRHHTEEITVDHLLVRRGQAFNLTLYFRNRSFQPGLDNIIFVVETGPLPDL
+ALGTRAVFSLARHHSPSPWIAWLETNGATSTEVSLCAPPTAAVGRYLLKIHI
+>O43548_PF01841_271 <unknown description>
+QPVRYGQCWVFAAVMCTVMRCLGIPTRVITNFDSGHDTDGNLIIDEYYDNTGRILGNKKK
+DTIWNFHVWNECWMARKDLPPAYGGWQVLDA
+>O43548_PF00927_507 <unknown description>
+VSLKFKLLDPPNMGQDICFVLLALNMSSQFKDLKVNLSAQSLLHDGSPLSPFWQDTAFIT
+LSPKEAKTYPCKISYSQYSQYLSTDKLIRISALGEEK
+>O43548_PF00927_620 <unknown description>
+PSITINVLGAAVVNQPLSIQVIFSNPLSEQVEDCVLTVEGSGLFKKQQKVFLGVLKPQHQ
+ASIILETVPFKSGQRQIQANMRSNKFKDIKGYRNVYVD
+>Q96PF1_PF00868_10 <unknown description>
+ESVDLQSSRNNKEHHTQEMGVKRLTVRRGQPFYLRLSFSRPFQSQNDHITFVAETGPKPS
+ELLGTRATFFLTRVQPGNVWSASDFTIDSNSLQVSLFTPANAVIGHYTLKIEI
+>Q96PF1_PF01841_275 <unknown description>
+KYGQCWVFASVMCTVMRCLGVPTRVVSNFRSAHNVDRNLTIDTYYDRNAEMLSTQKRDKI
+WNFHVWNECWMIRKDLPPGYNGWQVLDP
+>Q96PF1_PF00927_608 <unknown description>
+PHLSIEVSERAEVGKALRVHVTLTNTLMVALSSCTMVLEGSGLINGQIAKDLGTLVAGHT
+LQIQLDLYPTKAGPRQLQVLISSNEVKEIKGYKDIFV
+>Q5JRA6_PF07653_52 <unknown description>
+ALEDFTGPDCRFVNFKKGDPVYVYYKLARGWPEVWAGSVGRTFGYFPKDLIQV
+>O43493_PF17818_331 <unknown description>
+TGPEEGSPPKEEKEKMSGSASSENREGTLSDSTGSEKDDLYPNGSGNGSAESSHFFAYLV
+TAAILVAVLYIAHHNKRKIIAFVLEGKRSKVTRRPKASDYQRLDQK
+>H3BV60_PF00100_64 <unknown description>
+SLKLSDTEDVFPRRAGPLEVPADSRVFVQAALARPSPRWGLALHRCSVTPSSRPAPGPAL
+ALLREGCPADTSVAFPPPPPPSPGAARPARFSFRLRPVFNASVQFLHCQLSRCR
+>Q6ZUX3_PF12348_501 <unknown description>
+SSDWQMKEKGLVSIQRLAACHSEVLTGKLHDVCLVVTGEVTNLRSKVSHLAISTLGDLFQ
+ALKKNMDQEAEEIARCLLQKMADTNEFIQRAAGQSLRAMVENVTLARSLVVLTSAGVYHR
+NPLIRKYAAEHLSAVLEQIGAEKLLSGTRDSTDMLVHNLVRLAQDSNQDTRFYGRKMVNI
+L
+>Q96RS0_PF09445_692 <unknown description>
+DVVVDAFCGVGGNTIQFALTGMRVIAIDIDPVKIALARNNAEVYGIADKIEFICGDFLLL
+ASFLKADVVFLSPPWGGPDYATAETFDIRTMMSPDGFEIFRLSKKITNNIVYFLPRNADI
+DQVASLAGPGGQVEIEQNFLNNKLKTITAYFGD
+>Q9BXR0_PF01702_27 <unknown description>
+RARAGELWLPHGTVATPVFMPVGTQATMKGITTEQLDALGCRICLGNTYHLGLRPGPELI
+QKANGLHGFMNWPHNLLTDSGGFQMVSLVSLSEVTEEGVRFRSPYDGNETLLSPEKSVQI
+QNALGSDIIMQLDDVVSSTVTGPRVEEAMYRSIRWLDRCIAAHQRPDKQNLFAIIQGGLD
+ADLRATCLEEMTKRDVPGFAIGGLSGGESKSQFWRMVALSTSRLPKDKPRYLMGVGYATD
+LVVCVALGCDMFDCVFPTRTARFGSALVPTGNLQLRKKVFEKDFGPIDPECTCPTCQKHS
+RAFLHALLHSDNTAALHHLTVHNIAYQLQLMSAVRTSIVEKRFPDFVRDFMGA
+>Q9P2Z0_PF05485_5 <unknown description>
+CVAAHCGNTTKSGKSLFRFPKDRAVRLLWDRFVRGCRADWYGGNDRSVICSDHFAPACFD
+VSSVIQKNLRFSQRLRLVAGAVPTLH
+>Q96EK4_PF05485_6 <unknown description>
+CCVPGCYNNSHRDKALHFYTFPKDAELRRLWLKNVSRAGVSGCFSTFQPTTGHRLCSVHF
+QGGRKTYTVRVPTIFPLRGVNERKV
+>Q6YHU6_PF10350_948 <unknown description>
+VVEKLLLMSYRLSTVVSPVIQSSSPEGLIPMDTDSESASRLQMILNEIQPRDTNDYFNQA
+KILKEHDSFDMKDLNASVVNIDTSTEIKGKEVKTCDVTAQMVLVCCWRSMKEVALLLGML
+CQLLPMQPVPESSDGLLTVEQVKEIGDYFKQHLLQSRHRGAFELAYTGFVKLTEVLNRCP
+NVSLQKLPEQWLWSVLEEIKCSDPSSKLCATRRSAGIPFYIQALLASEPKKGRMDLLKIT
+MKELISLAGPTDDIQSTVPQVHALNILRALFRDTRLGENIIPYVADGAKAAILGFTSPVW
+A
+>Q9NVV9_PF05485_5 <unknown description>
+CSAYGCKNRYDKDKPVSFHKFPLTRPSLCKEWEAAVRRKNFKPTKYSSICSEHFTPDCFK
+RECNNKLLKENAVPTIF
+>Q9H0W7_PF05485_5 <unknown description>
+CAAAGCATTYNKHINISFHRFPLDPKRRKEWVRLVRRKNFVPGKHTFLCSKHFEASCFDL
+TGQTRRLKMDAVPTIF
+>Q8WTV1_PF05485_5 <unknown description>
+CAARQCCNRYSSRRKQLTFHRFPFSRPELLKEWVLNIGRGNFKPKQHTVICSEHFRPECF
+SAFGNRKNLKHNAVPTVF
+>Q8WY91_PF05485_5 <unknown description>
+CAAVNCSNRQGKGEKRAVSFHRFPLKDSKRLIQWLKAVQRDNWTPTKYSFLCSEHFTKDS
+FSKRLEDQHRLLKPTAVPSIF
+>Q8WY91_PF08768_422 <unknown description>
+VEPLSWMLGTWLSDPPGAGTYPTLQPFQYLEEVHISHVGQPMLNFSFNSFHPDTRKPMHR
+ECGFIRLKPDTNKVAFVSAQNTGVVEVEEGEVNGQELCIASHSIARISFAKEPHVEQITR
+KFRLNSEGKLEQTVSMATTTQPMTQHLHVTYKK
+>Q7Z6K1_PF05485_5 <unknown description>
+CAAICCKNRRGRNNKDRKLSFYPFPLHDKERLEKWLKNMKRDSWVPSKYQFLCSDHFTPD
+SLDIRWGIRYLKQTAVPTIF
+>Q8TBB0_PF05485_5 <unknown description>
+CSAIGCASRCLPNSKLKGLTFHVFPTDENIKRKWVLAMKRLDVNAAGIWEPKKGDVLCSR
+HFKKTDFDRSAPNIKLKPGVIPSIF
+>Q9BT49_PF05485_5 <unknown description>
+CSAAGCCTRDTRETRNRGISFHRLPKKDNPRRGLWLANCQRLDPSGQGLWDPASEYIYFC
+SKHFEEDCFELVGISGYHRLKEGAVPTIF
+>Q8NA92_PF05485_5 <unknown description>
+CRAPNCSNTAGRLGADNRPVSFYKFPLKDGPRLQAWLQHMGCEHWVPSCHQHLCSEHFTP
+SCFQWRWGVRYLRPDAVPSIF
+>Q9H5L6_PF05485_5 <unknown description>
+CSAVGCSTRDTVLSRERGLSFHQFPTDTIQRSKWIRAVNRVDPRSKKIWIPGPGAILCSK
+HFQESDFESYGIRRKLKKGAVPSV
+>Q9H5L6_PF12017_177 <unknown description>
+EKLLSEETECLLRAQFSDFKWELYNWRETDEYSAEMKQFACTLYLCSSKVYDYVRKILKL
+PHSSILRTWLSKCQPSPGFNSNIFSFLQRRVENGDQLYQYCSLLIKSMPLKQQLQWDPSS
+HSLQGFMDFGLGKLDADETPLASETVLLMAVGIFGHWRTPLGYFFVNRASGYLQAQLLRL
+TIGKLSDIGITVLAVTSDATAHSVQMAKALGI
+>P24557_PF00067_46 <unknown description>
+HPKPSPFIGNLTFFRQGFWESQMELRKLYGPLCGYYLGRRMFIVISEPDMIKQVLVENFS
+NFTNRMASGLEFKSVADSVLFLRDKRWEEVRGALMSAFSPEKLNEMVPLISQACDLLLAH
+LKRYAESGDAFDIQRCYCNYTTDVVASVAFGTPVDSWQAPEDPFVKHCKRFFEFCIPRPI
+LVLLLSFPSIMVPLARILPNKNRDELNGFFNKLIRNVIALRDQQAAEERRRDFLQMVLDA
+RHSASPMGVQDFD
+>P24557_PF00067_325 <unknown description>
+PLTVDEIVGQAFIFLIAGYEIITNTLSFATYLLATNPDCQEKLLREVDVFKEKHMAPEFC
+SLEEGLPYLDMVIAETLRMYPPAFRFTREAAQDCEVLGQRIPAGAVLEMAVGALHHDPEH
+WPSPETFNPERFTAEARQQHRPFTYLPFGAGPRSCLGVRLGLLEVKLTLLHVLHKFRFQA
+CPETQVPLQLESKSALGPKNGVY
+>P10827_PF00105_52 <unknown description>
+QCVVCGDKATGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCR
+FKKCIAVGMA
+>P10827_PF00104_215 <unknown description>
+FSEFTKIITPAITRVVDFAKKLPMFSELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTL
+TLSGEMAVKREQLKNGGLGVVSDAIFELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLC
+VDKIEKSQEAYLLAFEHYVNHRKHNIPHFWPKLLMKVTDLRMIGACHAS
+>P05543_PF00079_46 <unknown description>
+NADFAFNLYRRFTVETPDKNIFFSPVSISAALVMLSFGACCSTQTEIVETLGFNLTDTPM
+VEIQHGFQHLICSLNFPKKELELQIGNALFIGKHLKPLAKFLNDVKTLYETEVFSTDFSN
+ISAAKQEINSHVEMQTKGKVVGLIQDLKPNTIMVLVNYIHFKAQWANPFDPSKTEDSSSF
+LIDKTTTVQVPMMHQMEQYYHLVDMELNCTVLQMDYSKNALALFVLPKEGQMESVEAAMS
+SKTLKKWNRLLQKGWVDLFVPKFSISATYDLGATLLKMGIQHAYSENADFSGLTEDNGLK
+LSNAAHKAVLHIGEKGTEAAAVPEVELSDQPENTFLHPIIQIDRSFMLLILERSTRSILF
+LGKVVNP
+>P10828_PF00105_106 <unknown description>
+LCVVCGDKATGYHYRCITCEGCKGFFRRTIQKNLHPSYSCKYEGKCVIDKVTRNQCQECR
+FKKCIYVGMA
+>P10828_PF00104_269 <unknown description>
+FSHFTKIITPAITRVVDFAKKLPMFCELPCEDQIILLKGCCMEIMSLRAAVRYDPESETL
+TLNGEMAVTRGQLKNGGLGVVSDAIFDLGMSLSSFNLDDTEVALLQAVLLMSSDRPGLAC
+VERIEKYQDSFLLAFEHYINYRKHHVTHFWPKLLMKVTDLRMIGACHA
+>P0DJG4_PF14912_210 <unknown description>
+PVSQGALKAQLTKRLENLAQPKEVSCHYVPNRAQYYHSCGRESVIWEITPPALFRQPSKR
+IQRLSQPN
+>P0DJG4_PF14912_303 <unknown description>
+PSPRILQLSVAKGTDPNYHPSKKMQTKISLSTLSAIATPRIIELAHPR
+>P0DJG4_PF14912_369 <unknown description>
+PVPPAAMIAKPSPRTIALAKSKSVHQDYLPDRD
+>P0DJG4_PF14912_404 <unknown description>
+WPVSYATTHSKASPRIQELANPNKRAPVRIVYYDPDVFKTKPAALKAQCSQRIWELSQP
+>Q9P2T0_PF14912_217 <unknown description>
+WPIPRSSLEYRASSRLKELAAPKIRDNFWSMPMSEVSQVSRAAQMAVPSSRILQLSKPK
+>Q9P2T0_PF14912_297 <unknown description>
+HNRLLHLARPKAQSDKCVPDRDPRWEVLDVTKKVVASPRIISLAKPK
+>Q5T1C6_PF03061_149 <unknown description>
+GFIHGGAIATMIDATVGMCAMMAGGIVMTANLNINYKRPIPLCSVVMINSQLDKVEGRKF
+FVSCNVQSVDEKT
+>Q8N1Q8_PF03061_155 <unknown description>
+GFAHGGSLAAMMDETFSKTAFLAGEGLFTLSLNIRFKNLIPVDSLVVMDVELDKIEDQKL
+YMSCIAHSRDQQTV
+>Q8WUY1_PF13279_54 <unknown description>
+VLPSDLDLLLHMNNARYLREADFARVAHLTRCGVLGALRELRAHTVLAASCARHRRSLRL
+LEPFEVRTRLLGWDDRAFYLEARFVSLRDGFVCALLRFRQHLLGTSPERVVQHLCQRRVE
+PPELPADLQHWI
+>Q9NWX6_PF04446_35 <unknown description>
+FEYVRDFEADDTCLAHCWVVVRLDGRNFHRFAEKHNFAKPNDSRALQLMTKCAQTVMEEL
+EDIVIAYGQSDEYSFVFKRKTNWFKRRASKFMTHVASQFASSYVFYWRDYFEDQPLLYPP
+GFDGRVVVYP
+>Q9NWX6_PF14413_167 <unknown description>
+QTLKDYLSWRQADCHINNLYNTVFWALIQQSGLTPVQAQGRLQGTLAADKNEILFSEFNI
+NYNNELPMYRKGTVLIWQKVDEVMTKEIKLPTEMEGKKMAVTRTRTKPVPLHCDII
+>Q9BWD1_PF00108_8 <unknown description>
+VVIVSAARTIIGSFNGALAAVPVQDLGSTVIKEVLKRATVAPEDVSEVIFGHVLAAGCGQ
+NPVRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAVQSIGIGDSSIVVAGGMENMSKAPH
+LAYLRTGVKIGEMPLTDSILCDGLTDAFHNCHMGITAENVAKKWQVSREDQDKVAVLSQN
+RTENAQKAGHFDKEIVPVLVSTRKGLIEVKTDEFPRHGSNIEAMSKLKPYFLTDGTGTVT
+PANASGINDGAAAVVLMKKS
+>Q9BWD1_PF02803_274 <unknown description>
+LTPLARIVSWSQVGVEPSIMGIGPIPAIKQAVTKAGWSLEDVDIFEINEAFAAVSAAIVK
+ELGLNPEKVNIEGGAIALGHPLGASGCRILVTLLHTLERMGRSRGVAALCIGGGMGIAMC
+VQR
+>P09110_PF00108_38 <unknown description>
+VVVVHGRRTAICRAGRGGFKDTTPDELLSAVMTAVLKDVNLRPEQLGDICVGNVLQPGAG
+AIMARIAQFLSDIPETVPLSTVNRQCSSGLQAVASIAGGIRNGSYDIGMACGVESMSLAD
+RGNPGNITSRLMEKEKARDCLIPMGITSENVAERFGISREKQDTFALASQQKAARAQSKG
+CFQAEIVPVTTTVHDDKGTKRSITVTQDEGIRPSTTMEGLAKLKPAFKKDGSTTAGNSSQ
+VSDGAAAILLARRS
+>P09110_PF02803_300 <unknown description>
+ILGVLRSYAVVGVPPDIMGIGPAYAIPVALQKAGLTVSDVDIFEINEAFASQAAYCVEKL
+RLPPEKVNPLGGAVALGHPLGCTGARQVITLLNELKRRGKRAYGVVSMCIGTGMGAAAVF
+E
+>P24752_PF00108_42 <unknown description>
+VVIVSATRTPIGSFLGSLSLLPATKLGSIAIQGAIEKAGIPKEEVKEAYMGNVLQGGEGQ
+APTRQAVLGAGLPISTPCTTINKVCASGMKAIMMASQSLMCGHQDVMVAGGMESMSNVPY
+VMNRGSTPYGGVKLEDLIVKDGLTDVYNKIHMGSCAENTAKKLNIARNEQDAYAINSYTR
+SKAAWEAGKFGNEVIPVTVTVKGQPDVVVKEDEEYKRVDFSKVPKLKTVFQKENGTVTAA
+NASTLNDGAAALVLMTA
+>P24752_PF02803_307 <unknown description>
+TPLARIVAFADAAVEPIDFPIAPVYAASMVLKDVGLKKEDIAMWEVNEAFSLVVLANIKM
+LEIDPQKVNINGGAVSLGHPIGMSGARIVGHLTHALKQGEYGLASICNGGGGASAMLIQK
+>P42765_PF00108_7 <unknown description>
+VFVVAAKRTPFGAYGGLLKDFTATDLSEFAAKAALSAGKVSPETVDSVIMGNVLQSSSDA
+IYLARHVGLRVGIPKETPALTINRLCGSGFQSIVNGCQEICVKEAEVVLCGGTESMSQAP
+YCVRNVRFGTKLGSDIKLEDSLWVSLTDQHVQLPMAMTAENLAVKHKISREECDKYALQS
+QQRWKAANDAGYFNDEMAPIEVKTKKGKQTMQVDEHARPQTTLEQLQKLPPVFKKDGTVT
+AGNASGVADGAGAVIIASED
+>P42765_PF02803_274 <unknown description>
+TPLARIVGYFVSGCDPSIMGIGPVPAISGALKKAGLSLKDMDLVEVNEAFAPQYLAVERS
+LDLDISKTNVNGGAIALGHPLGGSGSRITAHLVHELRRRGGKYAVGSACIGGGQGIAVII
+Q
+>Q99757_PF00085_69 <unknown description>
+DFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEY
+EVSAVPTVLAMKNGDVVDKFVGIKDEDQLEAFLKK
+>P10599_PF00085_6 <unknown description>
+ESKTAFQEALDAAGDKLVVVDFSATWCGPCKMIKPFFHSLSEKYSNVIFLEVDVDDCQDV
+ASECEVKCMPTFQFFKKGQKVGEFSGANKEKLEATIN
+>Q8N1K5_PF12736_17 <unknown description>
+LPRVLEIQAGIYLEGSIYEMFGNECCFSTGEVIKITGLKVKKIIAEICEQIEGCESLQPF
+ELPMNFPGLFKIVADKTPYLTMEEITRTIHIGPSRLGHPCFYHQKDIKLENLIIKQGEQI
+MLNSVEEIDGEIMVSCAVARNHQTHSFNLPLSQEGEFYECEDERIYTLKEIVEWKIPKNR
+TRTVNLTDFSNKWDSTNPFPKDFYGTLILKPVYEIQGVMKFRKDIIRILPSLDVEVKDIT
+DSYDANWF
+>Q8N1K5_PF12736_281 <unknown description>
+FPIVTEVIEAPEGNHLPQSILQPGKTIVIHKKYQASRILASEIRSNFPKRHFLIPTSYKG
+KFKRRPREFPTAYDLEIAKSEKEPLHVVATKAFHSPHDKLSSVSVGDQFLVHQSETTEVL
+CEGIKKVVNVLACEKILKKSYEAALLPLYMEGGFVEVIHDKKQYPISELCKQFRLPFNVK
+VSVRDLSIEEDVLAATPGLQLEEDITDSYLLISDFANPTECWEIPVGRLNMTVQLVSNFS
+RDA
+>Q5TEJ8_PF12736_18 <unknown description>
+LPRVLRVCSGVYFEGSIYEISGNECCLSTGDLIKVTQVRLQKVVCENPKTSQTMELAPNF
+QGYFTPLNTPQSYETLEELVSATTQSSKQLPTCFMSTHRIVTEGRVVTEDQLLMLEAVVM
+HLGIRSARCVLGMEGQQVILHLPLSQKGPFWTWEPSAPRTLLQVLQDPALKDLVLTCPTL
+PWHSLILRPQYEIQAIMHMRRTIVKIPSTLEVDVEDVTA
+>Q5TEJ8_PF12736_269 <unknown description>
+PEGRPIFLSPWVGSLQKGQRLCVYGLASPPWRVLASSKGRKVPRHFLVSGGYQGKLRRRP
+REFPTAYDLLGAFQPGRPLRVVATKDCEGEREENPEFTSLAVGDRLEVLGPGQAHGAQGS
+DVDVLVCQRLSDQAGEDEEEECKEEAESPERVLLPFHFPGSFVEEMSDSRRYSLADLTAQ
+FSLPCEVKVVAKDTSHPTDPLTSFLGLRLEEKITEPFLVVSLDSEPGMCFEIPPRWLDLT
+VVKAKGQ
+>Q8IYQ7_PF01202_64 <unknown description>
+PGAGKTTVGRIIGQKLGCCVIDVDDDILEKTWNMSVSEKLQDVGNEQFLEEEGKAVLNFS
+ASGSVISLTGSNPMHDASMWHLKKNGIIVYLDVPLLDLICRLKLMKTDRIVGQNSGTSMK
+DLLKFRRQYYKKWYDARVFCESGASPEEVADKVLNAI
+>Q8IYQ7_PF14821_246 <unknown description>
+VSAKFFSEAVIEGLASDGGLFVPAKEFPKLSCGEWKSLVGATYVERAQILLERCIHPADI
+PAARLGEMIETAY
+>Q86YJ6_PF14821_3 <unknown description>
+YVSTRGVAPRVNFEGALFSGYAPDGGLFMPEELPQLDRGTLCQWSTLSYPGLVKELCALF
+IGSELLPKDELNDLIDRAF
+>Q86YJ6_PF00291_106 <unknown description>
+HGVTYAFKDLSLSCTTQFLQYFLEKREKHVTVVVGTSGDTGSAAIESVQGAKNMDIIVLL
+PKGHCTKIQELQMTTVLKQNVHVFGVEGNSDELDEPIKTVFADVAFVKKHNLMSLNSINW
+SRVLVQMAHHFFAYFQCTPSLDTHPLPLVEVVVPTGAAGNLAAGYIAQKIGLPIRLVVAV
+NRNDIIHRTVQQGDFSLSEAVKSTLASAMDIQVPYNMERVFWLLSGSDSQVTRALMEQFE
+RTQSVNLPKELHSKLSEAVTSVSVSDEAITQTMGRCWDENQYLLCPHSAVAVN
+>Q96FV9_PF11957_70 <unknown description>
+ENVLAIISLAIGGVTEGICTASTPFVLLGDVLDCLPLDQCDTIFTFVEKNVATWKSNTFY
+SAGKNYLLRMCNDLLRRLSKSQNTVFCGRIQLFLARLFPLSEKSGLNLQSQFNLENVTVF
+NTNEQESTLGQKHTEDREEGMDVEEGEMGDEEAPTTCSIPIDYNLYRKFWSLQDYFRNPV
+QCYEKISWKTFLKYSEEVLAVFKSYKLDDTQASRKKMEELKTGGEHVYFAKFLTSEKLMD
+LQLSDSNFRRHILLQYLILFQYLKGQVKFKSSNYVLTDEQSLWIEDTTKSVYQLLSENPP
+DGERFSKMVEHILNTEENWNSWKNEGCPSFVKERTSDTKPTRIIRKRTAPEDFLGKGPTK
+KILMGNEELTRLWNLCPDNMEACKSETREHMPTLEEFFEEAIEQADPENMVENEYKAVNN
+SNYGWRALRLLARRSPHFFQPTNQQFKSLPEYLENMVIKLAKELPPPS
+>Q96FV9_PF00531_572 <unknown description>
+EQIEVFANKLGEQWKILAPYLEMKDSEIRQIECDSEDMKMRAKQLLVAWQDQEGVHATPE
+NLINALNKSGLSDLAESLTN
+>Q8NI27_PF16134_11 <unknown description>
+EWIKNWEKSGRGEFLHLCRILSENKSHDSSTYRDFQQALYELSYHVIKGNLKHEQASNVL
+SDISEFREDMPSILADVFCILDIETNCLEEKSKRDYFTQLVLACLYLVSDTVLKERLDPE
+TLESLGLIKQSQQFNQKSVKIKTKLFYKQQKFNLLREENEGYAKLIAELGQDLSGSITSD
+LILENIKSLIGCFNLDPNRVLDVILEVFECRPEHDDFFISLLESYMSMCEPQTLCHILGF
+KFKFYQEPNGETPSSLYRVAAVLLQFNLIDLDDLYVHLLPADNCIMDEHKREIAEAKQIV
+RKLTMVVLSSEKMDEREKEKEKEEEKVEKPPDNQKLGLLEALLKIGDWQHAQNIMDQMPP
+YYAASHKLIALAICKLIHITIEPLYRRVGVP
+>Q8NI27_PF16134_420 <unknown description>
+QAESFEDLRRDVFNMFCYLGPHLSHDPILFAKVVRIGKSFMKEFQSDGSKQEDKEKTEVI
+LSCLLSITDQVLLPSLSLMDCNACMSEELWGMFKTFPYQHRYRLYGQWKNETYNSHPLLV
+KVKAQTIDRAKYIMKRLTKENVKPSGR
+>Q8NI27_PF11732_568 <unknown description>
+IGKLSHSNPTILFDYILSQIQKYDNLITPVVDSLKYLTSLNYDVLAYCIIEALANPEKER
+MKHDDTTISSWLQSLA
+>Q8NI27_PF11262_873 <unknown description>
+WDDISPQFYATFWSLTMYDLAVPHTSYEREVNKLKVQMKAIDDNQEMPPNKKKKEKERCT
+ALQDKLLEEEKKQMEHVQRVLQRLKLEKDNWLLAKSTKNETITKFLQLCIFPRCIFSAID
+AVYCARFVELVHQQKTPNFSTLLCYDRVFSDIIYTVASCTENEASRYGRFLCCMLETVTR
+WHSDRATYEKECGNYPGFLTILRATGFDGGNKADQLDYENFRHVVHKWHYKLTKASVHCL
+ETGEYTHIRNILIVLTKILPWYPKVLNLGQALERRVHKICQEEKEKRPDLYALAMGYSGQ
+L
+>Q96J01_PF00400_48 <unknown description>
+TREFLAHSAKVHSVAWSCDGRRLASGSFDKTASV
+>Q96J01_PF00400_94 <unknown description>
+NYRGHGDSVDQLCWHPSNPDLFVTASGDKTIRIWD
+>Q96J01_PF08662_134 <unknown description>
+CIATVNTKGENINICWSPDGQTIAVGNKDDVVTFIDAKTHRSKAEEQFKFEVNEISWNND
+NNMFFLTNGNGCINILSYPELKPVQSINAHPSNCICIKFDPMGKYFATGS
+>Q96J01_PF12894_266 <unknown description>
+DWPVRTLSFSHDGKMLASASEDHFIDIAEVETGDKLWEVQCESPTFTVAW
+>Q13769_PF09766_97 <unknown description>
+TLKKLNRLAHIRLKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEE
+IDLVSLEEFYKEAPPDISKAEVTMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKIL
+KEIEVKKEYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQAT
+AYGQACDKTLSVAIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDK
+RKEMLKRHPLSVMLDLKCKDDSVLHLTFYYLMNLNIMTVKAKVTTAMELITPISAGDLLS
+PDSVLSCLYPGDHGKKTPNPANQYQFDKVGILTLSDYVLELGHPYLWVQKLGGLHF
+>Q86W42_PF00400_160 <unknown description>
+FTRVLRGHTDYIHCLALRERSPEVLSGGEDGAVRLWD
+>Q6I9Y2_PF05615_7 <unknown description>
+DEVIRKRLLIDGDGAGDDRRINLLVKSFIKWCNSGSQEEGYSQYQRMLSTLSQCEFSMGK
+TLLVYDMNLREMENYEKIYKEIECSIAGAHEKIAECKKQILQAKRIRKNRQEYDALAKVI
+QHHPDRHETLKEL
+>P52888_PF01432_227 <unknown description>
+LLKKCHVPETRRKVEEAFNCRCKEENCAILKELVTLRAQKSRLLGFHTHADYVLEMNMAK
+TSQTVATFLDELAQKLKPLGEQERAVILELKRAECERRGLPFDGRIRAWDMRYYMNQVEE
+TRYCVDQNLLKEYFPVQVVTHGLLGIYQELLGLAFHHEEGASAWHEDVRLYTARDAASGE
+VVGKFYLDLYPREGKYGHAACFGLQPGCLRQDGSRQIAIAAMVANFTKPTADAPSLLQHD
+EVETYFHEFGHVMHQLCSQAEFAMFSGTHVERDFVEAPSQMLENWVWEQEPLLRMSRHYR
+TGSAVPRELLEKLIESRQANTGLFNLRQIVLAKVDQALHTQTDADPAEEYARLCQEILGV
+PATPGTNMPATFGHLAGGYDAQYYGYLWSEVYSMDMFHTRFKQEGVLNSKVGMDYRSCIL
+RPGGSEDASAMLRRFLGRDPKQDAFLLSK
+>P00734_PF00594_48 <unknown description>
+LEEVRKGNLERECVEETCSYEEAFEALESSTATDVFWAKYT
+>P00734_PF00051_108 <unknown description>
+CAEGLGTNYRGHVNITRSGIECQLWRSRYPHKPEINSTTHPGADLQENFCRNPDSSTTGP
+WCYTTDPTVRRQECSIPVC
+>P00734_PF00051_213 <unknown description>
+CVPDRGQQYQGRLAVTTHGLPCLAWASAQAKALSKHQDFNSAVQLVENFCRNPDGDEEGV
+WCYVAGKPGDFGYCDLNYC
+>P00734_PF09396_317 <unknown description>
+TSEYQTFFNPRTFGSGEADCGLRPLFEKKSLEDKTERELLESYIDGR
+>P00734_PF00089_364 <unknown description>
+IVEGSDAEIGMSPWQVMLFRKSPQELLCGASLISDRWVLTAAHCLLYPPWDKNFTENDLL
+VRIGKHSRTRYERNIEKISMLEKIYIHPRYNWRENLDRDIALMKLKKPVAFSDYIHPVCL
+PDRETAASLLQAGYKGRVTGWGNLKETWTANVGKGQPSVLQVVNLPIVERPVCKDSTRIR
+ITDNMFCAGYKPDEGKRGDACEGDSGGPFVMKSPFNNRWYQMGIVSWGEGCDRDGKYGFY
+THVFRLKKWI
+>Q92748_PF07084_1 <unknown description>
+MQVLTKRYPKNCLLTVMDRYAAEVHNMEQVVMIPSLLRDVQLSGPGGQAQAEAPDLYTYF
+TMLKAICVDVDHGLLPREEWQAKVAGSEENGTAETEEVEDESASGELDLEAQFHLHFSSL
+HHILMHLTEKAQEVTRKYQEMTGQ
+>Q9UPZ6_PF19030_61 <unknown description>
+WKTGPWGRCMGDECGPGGIQTRAVWCAHVEGWTTLHTNCKQAERPNNQQNCF
+>Q9UPZ6_PF19028_195 <unknown description>
+CIVSEFSAWSECSKTCGSGLQHRTRHVVAPPQFGGSGCPNLTEFQVCQSSPC
+>Q9UPZ6_PF00090_364 <unknown description>
+SEWSEWSPCSKTCHDMVSPAGTRVRTRTIRQFPIGSEKECPEFEEKEPC
+>Q9UPZ6_PF19028_635 <unknown description>
+CVLSTWSTWSSCSHTCSGKTTEGKQIRARSILAYAGEEGGIRCPNSSALQEVRSCNEHPC
+>Q9UPZ6_PF00090_776 <unknown description>
+PYSDWTSCPSSCKEGDSSIRKQSRHRVIIQLPANGGRDCTDPLYEEKACEAPQA
+>Q9UPZ6_PF00090_911 <unknown description>
+SWSKFSSCNGDCGAVRTRKRTLVGKSKKKEKCKNSHLYPLIETQYCP
+>Q9UPZ6_PF00090_1039 <unknown description>
+SEWSNWSRCSKSCGSGVKVRSKWLREKPYNGGRPCPKL
+>Q9UPZ6_PF19030_1099 <unknown description>
+WVTEPWSICKVTFVNMRENCGEGVQTRKVRCMQNTADGPSEHVEDYLCDPEEMPLGSRVC
+KL
+>Q9UPZ6_PF00090_1169 <unknown description>
+SEWGPWTQCVLPCNQSSFRQRSADPIRQPADEGRSCPNAVEKEPCNLNKNC
+>Q9UPZ6_PF19028_1287 <unknown description>
+CQLSDWSPWSECSQTCGLTGKMIRRRTVTQPFQGDGRPCPSLMDQSKPCPVKPC
+>Q9UPZ6_PF00090_1346 <unknown description>
+GQWSPCQVQEAQCGEGTRTRNISCVVSDGSADDFSKVVDEEFC
+>Q9UPZ6_PF19028_1415 <unknown description>
+CYLKDWSSWSLCQLTCVNGEDLGFGGIQVRSRPVIIQELENQHLCPEQMLETKSCYDGQC
+>Q9C0I4_PF19030_44 <unknown description>
+WKPGPWGRCTGDCGPGGVQSRAVWCFHVDGWTSHLSNCGESNRPPKERSCF
+>Q9C0I4_PF19028_180 <unknown description>
+CVVSEFLPWSNCSKGCGKKLQHRTRAVIAPPLFGGLQCPNLTESRACDAP
+>Q9C0I4_PF19028_337 <unknown description>
+CETSQWSSWSPCSKTCRSGSLLPGFRSRSRNVKHMAIGGGKECPELLEKEACI
+>Q9C0I4_PF19028_602 <unknown description>
+CVLSEWTEWSSCSQSCSNKNSDGKQTRSRTILALAGEGGKPCPPSQALQEHRLCNDHSC
+>Q9C0I4_PF19030_692 <unknown description>
+ATCGVGIQTRRVFCVKSHVGQVMTKRCPDSTRPETVRPCFL
+>Q9C0I4_PF19028_738 <unknown description>
+CIVTAFSEWTPCPRMCQAGNATVKQSRYRIIIQEAANGGQECPDTLYEERECEDVSLC
+>Q9C0I4_PF00090_1004 <unknown description>
+SDWSSWGSCSSSCGIGVRIRSKWLKEKPYNGGRPCPKLDLKNQVHEAVPC
+>Q9C0I4_PF19030_1062 <unknown description>
+WVVEHWSSCKINNELRSLRCGGGTQSRKIRCVNTADGEGGAVDSNLCNQDEIPPETQSCS
+L
+>Q9C0I4_PF00090_1131 <unknown description>
+SEWGLWSKCPQSCDPHTMQRRTRHLLRPSLNSRTCAEDSQVQPCLLNENC
+>Q9C0I4_PF19028_1248 <unknown description>
+CQLSGWTAWTECSQTCGHGGRMSRTRFIIMPTQGEGRPCPTELTQEKTCPVTPC
+>Q9C0I4_PF19028_1371 <unknown description>
+CHLTEWSEWSTCELTCIDGRSFETVGRQSRSRTFIIQSFENQDSCPQQVLETRPCTGGKC
+>Q9NS62_PF00090_345 <unknown description>
+LWQPWSQCSATCGDGVRERRRVCLTSFPSSPVCPGMSLEASLCSLEEC
+>Q6ZMP0_PF00090_58 <unknown description>
+AWGPWSACSRSCSGGVMEQTRPCL
+>Q6ZMP0_PF19236_324 <unknown description>
+KPFMGRFYEWEPFAEVKGNRKCELNCQAMGYRFYVRQAEKVIDGTPCDQNGTAICVSGQC
+KSIGCDDYLGSDKVVDKCGVCGGDNTGC
+>Q6ZMP0_PF05986_414 <unknown description>
+VSGVFKHALTSLGYHRVVEIPEGATKINITEMYKSNNYLALRSRSGRSIINGNWAIDRPG
+KYEGGGTMFTYKRPNEISSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVI
+>Q6ZMP0_PF19030_627 <unknown description>
+TECSTTCGKGSQYPIFRCVHRSTHEEAPESYCDSSMKPTPEEEPCNIFPC
+>Q6ZMP0_PF19030_680 <unknown description>
+WDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQHLEKPETTSTCQLKIC
+>Q6ZMP0_PF19030_739 <unknown description>
+WQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDVVDDEECNMKLRPNDIENCDMGPC
+>Q6ZMP0_PF19030_797 <unknown description>
+WFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPAEATPCDNGPC
+>Q6ZMP0_PF19030_856 <unknown description>
+WFAGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPSECSFLEKPPSQQSCHLKPC
+>Q6ZMP0_PF19030_916 <unknown description>
+WFSTEWSMCSKSCQGGFRVREVRCLSDDMTLSNLCDPQLKPEERESCNPQDC
+>Q6ZMP0_PF08686_975 <unknown description>
+CKDKYYNCNVVVQARLCVYNYYKTACCASCT
+>P25325_PF00581_36 <unknown description>
+VAEALRAPRAGQPLQLLDASWYLPKLGRDARREFEERHIPGAAFFDIDQCSDRTSPYDHM
+LPGAEHFAEYAGRLGVGAATHVVIYDASDQGLYSAPRVWWMFRAFGHHAVSLLDGGLRHW
+L
+>P25325_PF00581_187 <unknown description>
+EDIKENLESRRFQVVDSRATGRFRGTEPEPRDGIEPGHIPGTVNIPFTDFLSQEGLEKSP
+EEIRHLFQEKKVDLSKPLVATCGSGVTACHVALGAYLCGKPDVPIYDGSWVEWY
+>Q9BU02_PF01928_6 <unknown description>
+IEVERKFLPGPGTEERLQELGGTLEYRVTFRDTYYDTPELSLMQADHWLRRREDSGWELK
+CPGAAGVLGPHTEYKELTAEPTIVAQLCKVLRADGLGAGDVAAVLGPLGLQEVASFVTKR
+SAWKLVLLGADEEEPQLRVDLDTADFGYAVGEVEALVHEEAEVPTALEKIHRLSSMLGVP
+AQET
+>Q16762_PF00581_13 <unknown description>
+TKWLAESIRTGKLGPGLRVLDASWYSPGTREARKEYLERHVPGASFFDIEECRDTASPYE
+MMLPSEAGFAEYVGRLGISNHTHVVVYDGEHLGSFYAPRVWWMFRVFGHRTVSVLNGGFR
+NWLK
+>Q16762_PF00581_166 <unknown description>
+EQVLENLESKRFQLVDSRSQGRFLGTEPEPDAVGLDSGHIRGAVNMPFMDFLTEDGFEKG
+PEELRALFQTKKVDLSQPLIATCRKGVTACHVALAAYLCGKPDVAVYDGSWSEW
+>Q9NXG2_PF02926_121 <unknown description>
+ESGANNVVFIRTLGIEPEKLVHHILQDMYKTKKKKTRVILRMLPISGTCKAFLEDMKKYA
+ETFLEPWFKAPNKGTFQIVYKSRNNSHVNREEVIRELAGIVCTLNSENKVDLTNPQYTVV
+VEIIKAVCCLSVV
+>Q9BTF0_PF02926_180 <unknown description>
+KSEKFQEEEFQNDIEKAIDTHNQNDLTFRVSCRCSGTIGKAFTAQEVGKVIGIAIMKHFG
+WKADLRNPQLEIFIHL
+>Q9BTF0_PF01170_274 <unknown description>
+RAYIKTAGLRSTIAWAMASLADIKAGAFVLDPMCGLGTILLEAAKEWPDVYYVGADVSDS
+QLLGTWDNLKAAGLEDKIELLKISVIELPLPSESVDIIISDIPFGKKFKLGKDIKSILQE
+MERVLHVGGTIVLLLSEDH
+>Q9BV44_PF02926_198 <unknown description>
+STLIGDDLASCKDETDESSKEETEPQVLKFRVTCNRAGEKHCFTSNEAARDFGGAVQDYF
+KWKADMTNFDVEVLLNIHDNEVIVGIA
+>Q9BV44_PF01170_294 <unknown description>
+NITHFGPTTLRSTLAYGMLRLCDPLPYDIIVDPMCGTGAIPIEGATEWSDCFHIAGDNNP
+LAVNRAANNIASLLTKSQIKEGKPSWGLPIDAVQWDICNLPLRTGSVDIIVTDLPFGKRM
+GSKKRNWNLYPACLREMSRVCTPTTGRAVLLTQDTKCFTKALSGMRHVWRKVDTVWVNVG
+GLR
+>P04216_PF00047_22 <unknown description>
+VTSLTACLVDQSLRLDCRHENTSSSPIQYEFSLTRETKKHVLFGTVGVPEHTYRSRTNFT
+SKYNMKVLYLSAFTSKDEGTYTCALHHSGHSPPISS
+>P01266_PF00086_45 <unknown description>
+QADYVPQCAEDGSFQTVQCQNDGRSCWCVGANGSEVLGSRQPGRPVAC
+>P01266_PF00086_96 <unknown description>
+CQLQKQQILLSGYINSTDTSYLPQCQDSGDYAPVQCDVQQVQCWCVDAEGMEVYGTRQLG
+RPKRC
+>P01266_PF00086_181 <unknown description>
+PQCSAEGEFMPVQCKFVNTTDMMIFDLVHSYNRFPDAFVTFSSFQRRFPEVSGYCHCADS
+QGRELAET
+>P01266_PF00086_312 <unknown description>
+GHPYVPSCRRNGDYQAVQCQTEGPCWCVDAQGKEMHGTRQQGEPPSC
+>P01266_PF00086_604 <unknown description>
+SSQTCEQTPERLFVPSCTTEGSYEDVQCFSGECWCVNSWGKELPGSRVRGGQPRC
+>P01266_PF00086_662 <unknown description>
+CEKQRARMQSLMGSQPAGSTLFVPACTSEGHFLPVQCFNSECYCVDAEGQAIPGTRSAIG
+KPK
+>P01266_PF00086_752 <unknown description>
+LPTLSDTYIPQCSTDGQWRQVQCNGPPEQVFELYQRWEAQNKGQD
+>P01266_PF00086_1024 <unknown description>
+SGPYMPQCDAFGSWEPVQCHAGTGHCWCVDEKGGFIPGSLTARSLQIPQC
+>P01266_PF00086_1092 <unknown description>
+ARSQENPSPKDLFVPACLETGEYARLQASGAGTWCVDPASGEELRPGSSSS
+>P01266_PF00086_1161 <unknown description>
+VSPGYVPACRAEDGGFSPVQCDQAQGSCWCVMDSGEEVPGTRVTGGQPAC
+>P01266_PF07699_1465 <unknown description>
+GSYSQDEECIPCPVGFYQEQAGSLACVPCPVGRTTISAGAFSQTHC
+>P01266_PF00135_2199 <unknown description>
+PSVPISTHGRLLGRSQAIQVGTSWKQVDQFLGVPYAAPPLAERRFQAPEPLNWTGSWDAS
+KPRASCWQPGTRTSTSPGVSEDCLYLNVFIPQNVAPNASVLVFFHNTMDREESEGWPAID
+GSFLAAVGNLIVVTASYRVGVFGFLSSGSGEVSGNWGLLDQVAALTWVQTHIRGFGGDPR
+RVSLAADRGGADVASIHLLTARATNSQLFRRAVLMGGSALSPAAVISHERAQQQAIALAK
+EVSCPMSSSQEVVSCLRQKPANVLNDAQTKLLAVSGPFHYWGPVIDGHFLREPPARALKR
+SLWVEVDLLIGSSQDDGLINRAKAVKQFEESRGRTSSKTAFYQALQNSLGGEDSDARVEA
+AATWYYSLEHSTDDYASFSRALENATRDYFIICPIIDMASAWAKRARGNVFMYHAPENYG
+HGSLELLADVQFALGLPFYPAYEGQFSLEEKSLSLKIMQYFSHFIRSGNPNYPYEFSRKV
+PTFATPWPDFVPRAGGENYKEFSELLPNRQGLKKADCSFW
+>Q9P016_PF01878_56 <unknown description>
+HWLMKSEPESRLEKGVDVKFSIEDLKAQPKQTTCWDGVRNYQARNFLRAMKLGEEAFFYH
+SNCKEPGIAGLMKIVKEAYPDHTQFEKNNPHYDPSSKEDNPKWSMVDVQFVRMMKRFIPL
+AELKSYHQAHKATGGPLKNMVLFTRQRLSIQPLTQEEFDFVLS
+>Q99595_PF02466_14 <unknown description>
+VDDCGGAFTMGTIGGGIFQAIKGFRNSPVGVNHRLRGSLTAIKTRAPQLGGSFAVWGGLF
+SMIDCSMVQVRGKEDPWNSITSGALTGAILAARNGPVAMVGSAAMGGIL
+>O60830_PF02466_14 <unknown description>
+VDDCGGAFTMGVIGGGVFQAIKGFRNAPVGIRHRLRGSANAVRIRAPQIGGSFAVWGGLF
+STIDCGLVRLRGKEDPWNSITSGALTGAVLAARSGPLAMVGSAMMGGIL
+>Q5SRD1_PF02466_77 <unknown description>
+FFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPGNVQILNMVTRQGALWANTLGSLAL
+LYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTVSEMALDSPFCVL
+>P31483_PF00076_9 <unknown description>
+LYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAAAALAAMNG
+RKIMGKEVK
+>P31483_PF00076_108 <unknown description>
+VFVGDLSPEITTEDIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQM
+GGQWLGGRQIR
+>P31483_PF00076_216 <unknown description>
+VYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDKGYSFVRFNSHESAAHAIVSVNGTTIE
+GHVVK
+>Q13009_PF00169_447 <unknown description>
+VHKKNKKVESATRRKWKHYWVSLKGCTLFFYESDGRSGIDHNSIPKHAVWVENSIVQAVP
+EHPKKDFVFCLSNSLGDAFLFQTTSQTELENWITAIHSAC
+>Q13009_PF18385_572 <unknown description>
+QKIDMDEKMKKMGEMQLSSVTDSKKKKTILDQIFVWEQNLEQFQMDLFRFRCYLASLQGG
+ELPNPKRLLAFASRPTKVAMGRLGIFSVSSFHALVAAR
+>Q13009_PF02196_767 <unknown description>
+SWFCLPNNQPALTVVRPGDTARDTLELICKTHQLDHSAHYLRLKFLIENKMQLYVPQPEE
+DIYELLYKEIEIC
+>Q13009_PF00595_856 <unknown description>
+DTYGFSLSSVEEDGIRRLYVNSVKETGLASKKGLKAGDEILEINNRAADALNSSMLKDFL
+SQP
+>Q13009_PF00621_1044 <unknown description>
+VICELLETERTYVKDLNCLMERYLKPLQKETFLTQDELDVLFGNLTEMVEFQVEFLKTLE
+DGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFKLYSAFCASHTKVPKVLVKAKTDT
+AFKAFLDAQNPKQQHSSTLESYLIKPIQRILKYPLLLRELFALTDAESEEHYHLDVAIKT
+MNKVASHIN
+>Q13009_PF00169_1284 <unknown description>
+KWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRLSIYEDWDPFRFRHMIPTEALQVR
+ALASADAEANAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKAVHSIL
+>Q8IVF5_PF00169_508 <unknown description>
+RKAGWLFFKPLVTVQKERKLELVARRKWKQYWVTLKGCTLLFYETYGKNSMDQSSAPRCA
+LFAEDSIVQSVPEHPKKENVFCLSNSFGDVYLFQATSQTDLENWVTAVHSAC
+>Q8IVF5_PF18385_645 <unknown description>
+QKIDMDSKMKKMAELQLSVVSDPKNRKAIENQIQQWEQNLEKFHMDLFRMRCYLASLQGG
+ELPNPKSLLAAASRPSKLALGRLGILSVSSFHALVCSR
+>Q8IVF5_PF00595_892 <unknown description>
+QLTKTGSVCDFGFAVTAQVDERQHLSRIFISDVLPDGLAYGEGLRKGNEIMTLNGEAVSD
+LDLKQMEALFSEKS
+>Q8IVF5_PF00621_1103 <unknown description>
+VIQELVDTEKSYVKDLSCLFELYLEPLQNETFLTQDEMESLFGSLPEMLEFQKVFLETLE
+DGISASSDFNTLETPSQFRKLLFSLGGSFLYYADHFKLYSGFCANHIKVQKVLERAKTDK
+AFKAFLDARNPTKQHSSTLESYLIKPVQRVLKYPLLLKELVSLTDQESEEHYHLTEALKA
+MEKVASHIN
+>Q01085_PF00076_11 <unknown description>
+LYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEHTSNDPYCFVEFYEHRDAAAALAAMNG
+RKILGKEVK
+>Q01085_PF00076_99 <unknown description>
+VFVGDLSPEITTEDIKSAFAPFGKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHM
+GGQWLGGRQIR
+>Q01085_PF00076_207 <unknown description>
+VYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEKGYSFVRFSTHESAAHAIVSVNGTTIE
+GHVVK
+>Q08629_PF07648_135 <unknown description>
+KCKPCPVAQSAMVCGSDGHSYTSKCKLEFHACSTGKSLATLCDGPC
+>Q08629_PF10591_196 <unknown description>
+SACTDKELRNLASRLKDWFGALHEDANRVIKPTSSNTAQGRFDTSILPICKDSLGWMFNK
+LDMNYDLLLDPSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNEWCYC
+>Q08629_PF00086_313 <unknown description>
+CQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWCVDKYGNELAGSRKQG
+AVS
+>Q92563_PF07648_136 <unknown description>
+CKPCHMAQLASVCGSDGHTYSSVCKLEQQACLSSKQLAVRCEGPC
+>Q92563_PF10591_197 <unknown description>
+ETCTGQDLADLGDRLRDWFQLLHENSKQNGSASSVAGPASGLDKSLGASCKDSIGWMFSK
+LDTSADLFLDQTELAAINLDKYEVCIRPFFNSCDTYKDGRVSTAEWCFC
+>Q92563_PF00086_313 <unknown description>
+CLAELERIQIQEAAKKKPGIFIPSCDEDGYYRKMQCDQSSGDCWCVDQLGLELTGTRTHG
+SPDC
+>Q9BQ16_PF07648_135 <unknown description>
+TCKQCPVVYPSPVCGSDGHTYSFQCKLEYQACVLGKQISVKCEGHC
+>Q9BQ16_PF10591_196 <unknown description>
+RACSDLEFREVANRLRDWFKALHESGSQNKKTKTLLRPERSRFDTSILPICKDSLGWMFN
+RLDTNYDLLLDQSELRSIYLDKNEQCTKAFFNSCDTYKDSLISNNEWCYC
+>Q9BQ16_PF00086_314 <unknown description>
+CQTELSNIQKRQGVKKLLGQYIPLCDEDGYYKPTQCHGSVGQCWCVDRYGNEVMGSRING
+>Q7Z2Z1_PF15292_208 <unknown description>
+FYWVDTTEWSKLWESPDHLGYWTVCELLHHGGGTVLPSESFSWDFAQAGEMLLRSGIKLS
+SEPHLSPWISMLPTDATLNRLLYNSPEYEASFPRMEGMLFLPVEAGKEIQETWTVTLEPL
+AMHQRHFQKPVRIFLKGSVAQWSLPTSSTLGTDSWMLGSPEESTATQRLLFQQLVSRLTA
+EELHLVADVDPGEGRPPITGVISPLSASAMILTVCRTKEAEFQRHVLQTAVADSPRDTAS
+LFSDVVDSILNQTHDSLADTASAASPVPEWAQQELGHTTPWSPAVVEKWFPFCNISGASS
+DLMESFGLLQAASANKEESSKTEGELIHCLAELYQRKSREESTIAHQEDSKKKRGVPRTP
+VRQKMNTMCRSLKMLNVARLNVKAQKLHPDGSPDVAGEKGIQKIPSGRTVDKLEDRGRTL
+RSSKPKDFKTEEELLSYIRENYQKTVATGEIMLYACARNMISTVKMFLKSKGTKELEVNC
+LNQVKSSLLKTSKSLRQNLGKKLDKEDKVRECQLQVFLRLEMCLQCPSINESTDDMEQVV
+EEVTDLLRMVCLTEDSAYLAEFLEEILRLYIDSIPKTLGNLYNSLGFVIPQKLAGVLPTD
+FFSDDSMTQENKSPLLSVPFLSSARRSVSGSPESDELQELRTRSAKKRRKNALIRHKSIA
+EVSQNLRQIEIPKVSKRATKKENSHPAPQQPSQPVKDTVQEVTKVRRNLFNQELLSPSKR
+SLKRGLPRSHSVSAVDGLEDKLDNFKKNKGYHKLLTKSVAETPVHKQISKRLLHRQIKGR
+SSDPGPDIGVVEESPEK
+>Q9NPL8_PF02466_78 <unknown description>
+CKTAATAGIIGWVYGGIPAFIHAKQQYIEQSQAEIYHNRFDAVQSAHRAATRGFIRYGWR
+WGWRTAVFVTIFNTVNTSLNVYRNKDALSHFVIAGAVTGSLFRINVGLRGLVAGGIIGAL
+L
+>P35590_PF00047_131 <unknown description>
+KVTHTVNKGDTAVLSARVHKEKQTDVIWKSNGSYFYTLDWHEAQDGRFLLQLPNVQPPSS
+GIYSATYLEAS
+>P35590_PF00047_358 <unknown description>
+SELEFNLETMPRINCAAAGNPFPVRGSIELRKPDGTVLLSTKAIVEPEKTTAEFEVPRLV
+LADSGFWECRVSTSGGQDSRRFK
+>P35590_PF00041_454 <unknown description>
+RLLTKQSRQLVVSPLVSFSGDGPISTVRLHYRPQDSTMDWSTIVVDPSENVTLMNLRPKT
+GYSVRVQLSRPGEGGEG
+>P35590_PF00041_557 <unknown description>
+EGTDRLRVSWSLPLVPGPLVGDGFLLRLWDGTRGQERRENVSSPQARTALLTGLTPGTHY
+QLDVQLYHCTLLGPAS
+>P35590_PF00041_645 <unknown description>
+PAPRHLHAQALSDSEIQLTWKHPEALPGPISKYVVEVQVAGGAGDPLWIDVDRPEETSTI
+IRGLNASTRYLFRMRASIQGLGDW
+>P35590_PF07714_840 <unknown description>
+TFEDLIGEGNFGQVIRAMIKKDGLKMNAAIKMLKEYASENDHRDFAGELEVLCKLGHHPN
+IINLLGACKNRGYLYIAIEYAPYGNLLDFLRKSRVLETDPAFAREHGTASTLSSRQLLRF
+ASDAANGMQYLSEKQFIHRDLAARNVLVGENLASKIADFGLSRGEEVYVKKTMGRLPVRW
+MAIESLNYSVYTTKSDVWSFGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRMEQPRNCD
+DEVYELMRQCWRDRPYERPPFAQIALQ
+>Q02763_PF10430_24 <unknown description>
+MDLILINSLPLVSDAETSLTCIASGWRPHEPITIGRDFEALMNQHQDPLEVTQDVTREWA
+KKVVWKREKASKINGAYFCEGRVRGEAIRIRTMKM
+>Q02763_PF00041_449 <unknown description>
+NAPNVIDTGHNFAVINISSEPYFGDGPIKSKKLLYKPVNHYEAWQHIQVTNEIVTLNYLE
+PRTEYELCVQLVRRGEGG
+>Q02763_PF00041_544 <unknown description>
+PPPRGLNLLPKSQTTLNLTWQPIFPSSEDDFYVEVERRSVQKSDQQNIKVPGNLTSVLLN
+NLHPREQYVVRARVNTKAQGEWS
+>Q02763_PF00041_640 <unknown description>
+PQPENIKISNITHSSAVISWTILDGYSISSITIRYKVQGKNEDQHVDVKIKNATITQYQL
+KGLEPETAYQVDIFAENNIGSSN
+>Q02763_PF07714_824 <unknown description>
+IKFQDVIGEGNFGQVLKARIKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHP
+NIINLLGACEHRGYLYLAIEYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLH
+FAADVARGMDYLSQKQFIHRDLAARNILVGENYVAKIADFGLSRGQEVYVKKTMGRLPVR
+WMAIESLNYSVYTTNSDVWSYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNC
+DDEVYDLMRQCWREKPYERPSFAQILVS
+>O15164_PF00643_221 <unknown description>
+VFCPFHKKEQLKLYCETCDKLTCRDCQLLEHKEHRYQ
+>O15164_PF00628_829 <unknown description>
+CAVCQNGGELLCCEKCPKVFHLSCHVPTLTNFPSGEWICTFCR
+>O15164_PF00439_908 <unknown description>
+CERLLLFLYCHEMSLAFQDPVPLTVPDYYKIIKNPMDLSTIKKRLQEDYSMYSKPEDFVA
+DFRLIFQNCAEFNEPDSEVAN
+>Q13263_PF14634_64 <unknown description>
+HCGVCRERLRPEREPRLLPCLHSACSACLGPAAPAAANSSGDGGAAGDGTVVDCPVCKQ
+>Q13263_PF00643_150 <unknown description>
+NQCCTSCEDNAPATSYCVECSEPLCETCVEAHQRVKYTKDHTVR
+>Q13263_PF00643_207 <unknown description>
+VYCNVHKHEPLVLFCESCDTLTCRDCQLNAHKDHQYQ
+>Q13263_PF00628_627 <unknown description>
+ICRVCQKPGDLVMCNQCEFCFHLDCHLPALQDVPGEEWSCSLCH
+>Q6ZNK6_PF00498_38 <unknown description>
+LGRGQDAHLQLQLPRLSRRHLSLEPYLEKGSALLAFCLKALSRKGCVWVNGLTLRYLEQV
+PLSTVNRVSF
+>Q96CG3_PF00498_47 <unknown description>
+VKFGRNSNICHYTFQDKQVSRVQFSLQLFKKFNSSVLSFEIKNMSKKTNLIVDSRELGYL
+NKMDLPYRCMVRFG
+>P49788_PF06907_55 <unknown description>
+PRRLLQQAARAALHFFNFRSGSPSALRVLAEVQEGRAWINPKEGCKVHVVFSTERYNPES
+LLQEGEGRLGKCSARVFFKNQKPRPTINVTCTRLIEKKKRQQEDYLLYKQMKQLKNPLEI
+VSIPDNHGHIDPSLRLIWDLAFLGSSYVMWEMTTQVSHYYLAQLTSVRQWKTNDDTIDFD
+YTVLLHELSTQEIIPCRIHLVWYPGKPLKVKYHCQELQT
+>Q9NQ88_PF00300_7 <unknown description>
+TVVRHGETRFNKEKIIQGQGVDEPLSETGFKQAAAAGIFLNNVKFTHAFSSDLMRTKQTM
+HGILERSKFCKDMTVKYDSRLRERKYGVVEGKALSELRAMAKAAREECPVFTPPGGETLD
+QVKMRGIDFFEFLC
+>Q96MW7_PF04218_9 <unknown description>
+RKSRTSLTLNQKLEMIKLSEEGMSKAEIGRRLGLLRQTVSQVVNAKEKFLKE
+>Q96MW7_PF03221_80 <unknown description>
+ADMEKVLVVWIEDQTSRNIPLSQSLIQNKALTLFNSMKAERGVEAAEEKFEASRGWFMRF
+KERSHFHNI
+>Q96MW7_PF03184_216 <unknown description>
+KDRLTLLLGANAAGDFKLKPMLIYHSENPRALKNYTKSTLPVLYKWNSKARMTAHLFTAW
+FTEYFKPTVETYCSEKKIPFKILLLIDNAPSHPRALMEIYEEINVIFMPANTTSILQPMD
+QGVISTFKSYYLRNTFHKALAAMDSDVSDGSGQSKLKTFWKGFTILDAIKNIRDSWEEVK
+LSTLTGVW
+>Q4W5G0_PF04218_4 <unknown description>
+KRKRVVLTIKDKLDIIKKLEEGISFKKLSVVYGIGESTVRDIKKNKERI
+>Q4W5G0_PF03221_76 <unknown description>
+YEELDRVMIEWFNQQKTDGIPVSGTICAKQAKFFFDALGMEGDFNASSGWLTRFKQRHGI
+P
+>Q4W5G0_PF03184_207 <unknown description>
+ERIIIMCCANATGLHKLNLCVVGKAKKPRAFKGTDLSNLPVTYYSQKGAWIEQSVFRQWF
+EKYFVPQVQKHLKSKGLLEKAVLLLDFPPARPNEEMLSSDDGRIIVKYLPPNVTSLIQPM
+SQGVLATVKRYYRAGLLQKYMDEGNDPKIFWKNLTVLDAIYEVSRAWNMVKSSTITKAW
+>Q6B0B8_PF04218_6 <unknown description>
+KKKLHALSLAEKIQVLELLDESKMSQSEVARRFQVSQPQISRICKNKEKLLA
+>Q6B0B8_PF03221_78 <unknown description>
+GIDEALLCWYHIARAKAWDVTGPMLLHKAKELADIMGQDFVPSIGWLVRWKRRNNVG
+>Q6B0B8_PF03184_194 <unknown description>
+QVQVLLCANSRGTEKRRVLLGGLQAAPRCFFGIRSEALPASYHPDLGIPWLEWLAQFDRD
+MGQQGRQVALLLAARVVEELAGLPGLYHVKLLPLAASSTTPPLPSSVVRAFKAHYRHRLL
+GKLAAIQSERDGTSLAEAGAGITVLDALHVASAAWAKVPPQLIFSSF
+>Q8IY51_PF04218_16 <unknown description>
+KKKKSLSIEEKIDIINAVESGKKKAEIAAEYGIKKNSLSSIMKNKDKVLEA
+>Q8IY51_PF03221_84 <unknown description>
+YTDLEEALMRWYRIAQCLNVPVNGPMLRLKANDFAQKLGHNDFKCSNGWLDRFKSRYGLV
+FR
+>Q8IY51_PF03184_211 <unknown description>
+KDRITLVVGTNMDGSEKLPLLVIGKKRTPHCFKGLKSLPVCYEANRMAWMTSDVFEQWMR
+KLDEEFQAQQRRVVIFVESFPAHPEVKNLKSIELAFFPSCLSSKCIAMKQGVIKSLKIKY
+RHCLIKKFLSSVEGSKEFTFSLLDAVDTLHLCWRAVTPETIVKS
+>Q53EQ6_PF04218_59 <unknown description>
+KDKLQAIERVKGGERQASVCRDFGVPGGTLRGWLKDEPKLR
+>Q53EQ6_PF03221_122 <unknown description>
+EEIDRAVYAWFLALRQHGVPLSGPLIQAQAEAFARQIYGPECTFKASHGWFWRWQKRHGI
+SSQ
+>Q53EQ6_PF03184_273 <unknown description>
+DRVTVLLAANLTGSHKLKPLVIGRLPDPPSLRHHNQDKFPASYRYSPDAWLSRPLLRGWF
+FEEFVPGVKRYLRRSCLQQKAVLLVA
+>Q17RP2_PF04218_7 <unknown description>
+KKRRQFSLEEKMKVVGAVDSGKRKGDVAKEFGITPSTLSTFLKDRTKFE
+>Q17RP2_PF03221_75 <unknown description>
+YDDIDKAVFAWFQEIHAKNILVTGSVIRKKALNLANMLGYDNFQASVGWLNRFRDRHGIA
+L
+>Q17RP2_PF03184_207 <unknown description>
+KQRLTALFCCNASGTEKMRPLIVGRSASPHCLKNIHSLPCDYRANQWAWMTRDLFNEWLM
+QVDARMKRAERRILLLIDNCSAHNMLPHLERIQVGYLPSNCTAVLQPLNLGIIHTMKVLY
+QSHLLKQILLKLNSSEDQEEVDIKQAIDMIAAAWWSVKPSTVVKCW
+>Q6NT04_PF04218_6 <unknown description>
+KYTTLNLEEKMKVLSRIEAGRSLKSVMDEFGISKSTFYDIKKNKKLILDF
+>Q6NT04_PF03221_78 <unknown description>
+GDVDDAVYMWYQQKRSAGVPVRGVELQAAAERFARCFGRTDFKASTGWLFRFRNRHAIGN
+RK
+>Q6NT04_PF03184_207 <unknown description>
+KERLSAFLCANADGTHKLKSIIIGKSKLPKSVKEDTSTLPVIYKPSKDVWFTRELFSEWF
+FQNFVPEVRHFQLNVLRFHDEDVRALLLLDSCPAHPSSESLTSEDGRIKCMFFPHNTSTL
+IQPMNQGVILSCKRLYRWKQLEESLVIFEESDDEQEKGDKGVSKIKIYNIKSAIFNWAKS
+WEEVKQITIANAW
+>Q495A1_PF07686_31 <unknown description>
+GNISAEKGGSIILQCHLSSTTAQVTQVNWEQQDQLLAICNADLGWHISPSFKDRVAPGPG
+LGLTLQSLTVNDTGEYFCIYHTYPDGTYTGRIFLEV
+>Q86V40_PF01963_43 <unknown description>
+FLWTIKRDPPSYFFGTIHVPYTRVWDFIPDNSKEAFLQSSIVYFELDLTDPYTISALTSC
+QMLPQGENLQDVLPRDIYCRLKRHLEYVKLMMPLWMTPDQRGKGLYADYLFNAIAGNWER
+KRPVWVMLMVNSLTEVDIKSRGVPVLDLFLAQEAERLRKQTGAVEKVEEQCHPLNGLNFS
+QVIFALNQTLLQQESLRAGSLQIPYTTEDLIKHYNCGDLSSVILSHDSSQVPNFINATLP
+PQERITAQEIDSYLRRELIYKRNERIGKRVKALLEEFPDKGFFFAFGAGHFMGNNTVLDV
+LRREGYEVEH
+>A6NFA1_PF01963_41 <unknown description>
+FLWTIRRDPPAYLFGTIHVPYTRVWDFIPDNSKAAFQASTRVYFELDLTDPYTISALASC
+QLLPHGENLQDVLPHELYWRLKRHLDYVKLMMPSWMTPAQRGKGLYADYLFNAIAGNWER
+KRPVWVMLMVNSLTERDVRFRGVPVLDLYLAQQAEKMKKTTGAVEQVEEQCHPLNNGLNF
+SQVLFALNQTLLQQESVRAGSLQASYTTEDLIKHYNCGDLSAVIFNHDTSQLPNFINTTL
+PPHEQVTAQEIDSYFRQELIYKRNERMGKRVMALLRENEDKICFFAFGAGHFLGNNTVID
+ILRQAGLEVD
+>P62072_PF02953_7 <unknown description>
+QQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLDIHERMG
+KKLTE
+>Q9Y5L4_PF02953_25 <unknown description>
+QVKVQIAVANAQELLQRMTDKCFRKCIGKPGGSLDNSEQKCIAMCMDRYMDAWNTVSRAY
+N
+>Q96DA6_PF00226_66 <unknown description>
+ILGVSPTANKGKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQA
+>Q9Y3D7_PF03656_1 <unknown description>
+MAKYLAQIIVMGVQVVGRAFARALRQEFAASRAAADARGRAGHRSAAASNLSGLSLQEAQ
+QILNVSKLSPEEVQKNYEHLFKVNDKSVGGSFYLQSKVVRAKERLDEELKIQAQEDREKG
+QMPHT
+>Q9BVV7_PF08294_100 <unknown description>
+KVKEAGRDFTYLIVVLFGISITGGLFYTIFKELFSSSSPSKIYGRALEKCRSHPEVIGVF
+GESVKGYGEVTRRGRRQHVRFTEYVKDGLKHTCVKFYIEGSEPGKQGTVYAQVKENPGSG
+EYDFRYIFVEIESYPRRTIIIEDNR
+>Q9Y584_PF02466_69 <unknown description>
+CAFKAALACVGGFVLGGAFGVFTAGIDTNVGFDPKDPYRTPTAKEVLKDMGQRGMSYAKN
+FAIVGAMFSCTECLIESYRGTSDWKNSVISGCITGGAIGFRAGLKAGAIGCGGFAAFS
+>O14925_PF02466_77 <unknown description>
+FFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPRNVQILNMVTRQGALWANTLGSLAL
+LYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGIARGGLTGLT
+>Q9BSF4_PF10171_25 <unknown description>
+PGVWARLGSWARALLRDYAEACRDASAEARARPGRAAVYVGLLGGAAACFTLAPSEGAFE
+EALLEASGTLLLLAPATRNRESEAFVQRLLWLRGRGRLRYVNLGLCSLVYEAPFDAQASL
+YQARCRYLQPRWTDFPGRVLDVGFVGRWWVLGAWMRDCDIND
+>O43615_PF04280_297 <unknown description>
+SEVLTEILRVDPAFDKDRFLKQCENDIIPNVLEAMISGELDILKDWCYEATYSQLAHPIQ
+QAKALGLQFHSRILDIDNVDLAMGKMMEQGPVLIITFQAQLVMVVRNPKGEVVEGDPDKV
+LRMLYVWALCRDQDELNPYAAWRLLDIS
+>Q3ZCQ8_PF03031_148 <unknown description>
+YTLVLELTGVLLHPEWSLATGWRFKKRPGIETLFQQLAPLYEIVIFTSETGMTAFPLIDS
+VDPHGFISYRLFRDATRYMDGHHVKDISCLNRDPARVVVVDCKKEAFRLQPYNGVALRPW
+DGNSDDRVLLDLSAFLKTIALNGVEDVR
+>O60220_PF02953_22 <unknown description>
+FIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAEACFVNCVERFIDTSQFILNRL
+EQ
+>Q9Y5J9_PF02953_16 <unknown description>
+VAAEQQKAQFTAQVHHFMELCWDKCVEKPGNRLDSRTENCLSSCVDRFIDTTLAITSRFA
+Q
+>Q9Y5J7_PF02953_10 <unknown description>
+QIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEETTCSEHCLQKYLKMTQRISMRFQE
+>Q96H15_PF07686_24 <unknown description>
+SETVVTEVLGHRVTLPCLYSSWSHNSNSMCWGKDQCPYSGCKEALIRTDGMRVTSRKSAK
+YRLQGTIPRGDVSLTILNPSESDSGVYCCRI
+>P01033_PF00965_23 <unknown description>
+ACTCVPPHPQTAFCNSDLVIRAKFVGTPEVNQTTLYQRYEIKMTKMYKGFQALGDAADIR
+FVYTPAMESVCGYFHRSHNRSEEFLIAGKLQDGLLHITTCSFVAPWNSLSLAQRRGFTKT
+YTVGCEECTVFPCLSIPCKLQSGTHCLWTDQLLQGSEKGFQSRHLACLPREPGLCTW
+>P16035_PF00965_25 <unknown description>
+DACSCSPVHPQQAFCNADVVIRAKAVSEKEVDSGNDIYGNPIKRIQYEIKQIKMFKGPEK
+DIEFIYTAPSSAVCGVSLDVGGKKEYLIAGKAEGDGKMHITLCDFIVPWDTLSTTQKKSL
+NHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTEKNINGHQAKFFACIKRSDGSCAW
+>P35625_PF00965_22 <unknown description>
+EACTCSPSHPQDAFCNSDIVIRAKVVGKKLVKEGPFGTLVYTIKQMKMYRGFTKMPHVQY
+IHTEASESLCGLKLEVNKYQYLLTGRVYDGKMYTGLCNFVERWDQLTLSQRKGLNYRYHL
+GCNCKIKSCYYLPCFVTSKNECLWTDMLSNFGYPGYQSKHYACIRQKGGYCSW
+>Q99727_PF00965_28 <unknown description>
+EACSCAPAHPQQHICHSALVIRAKISSEKVVPASADPADTEKMLRYEIKQIKMFKGFEKV
+KDVQYIYTPFDSSLCGVKLEANSQKQYLLTGQVLSDGKVFIHLCNYIEPWEDLSLVQRES
+LNHHYHLNCGCQITTCYTVPCTISAPNECLWTDWLLERKLYGYQAQHYVCMKHVDGTCSW
+>Q9UNS1_PF04821_24 <unknown description>
+TYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQILQSDLLPILTQHHQDKPLFDAVI
+RLMVNLTQPALLCFGNLPKEPSFRHHFLQVLTYLQAYKEAFASEKAFGVLSETLYELLQL
+GWEERQEEDNLLIERILLLVRNILHVPADLDQEKKIDDDASAHDQLLWAIHLSGLDDLLL
+FLASSSAEEQWSLHVLEIVSLMFRDQNPEQLAGVGQGRLAQERSADFAELEVLRQREMAE
+KKTRALQRGNRHSRFGGSYI
+>Q9UNS1_PF05029_1006 <unknown description>
+LGQSLHQEGFSIPLLWLQNCLIRAADDREEDGCSQAVPLVPLTEENEEAMENEQFQQLLR
+KLGVRPPASGQETFWRIPAKLSPTQLR
+>Q9UJW2_PF01033_62 <unknown description>
+CCEDRDDGCVTEFYAANALCYCDKFCDRENSDCCPDYKSFCREE
+>Q9UJW2_PF00112_236 <unknown description>
+DQKNCAASWAFSTASVAADRIAIQSKGRYTANLSPQNLISCCAKNRHGCNSGSIDRAWWY
+LRKRGLVSHACYPLFKDQNATNNGCAMASRSDGRGKRHATKPCPNNVEKSNRIYQCSPPY
+RVSSNETEIMKEIMQNGPVQAIMQVREDFFHYKTGIYRHVTSTNKESEKYRKLQTHAVKL
+TGWGTLRGAQGQKEKFWIAANSWGKSWGENGYFRILRGVNESDIEKLII
+>Q9GZM7_PF00112_203 <unknown description>
+LPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDRVSIHSLGHMTPVLSPQNLLSC
+DTHQQQGCRGGRLDGAWWFLRRRGVVSDHCYPFSGRERDEAGPAPPCMMHSRAMGRGKRQ
+ATAHCPNSYVNNNDIYQVTPVYRLGSNDKEIMKELMENGPVQALMEVHEDFFLYKGGIYS
+HTPVSLGRPERYRRHGTHSVKITGWGEETLPDGRTLKYWTAANSWGPAWGERGHFRIVRG
+VNECDIESFVL
+>Q9BSI4_PF14973_20 <unknown description>
+WQVVRGRCVEHFPRVLEFLRSLRAVAPGLVRYRHHERLCMGLKAKVVVELILQGRPWAQV
+LKALNHHFPESGPIVRDPKATKQDLRKILEAQETFYQQVKQLSEAPVDLASKLQELEQEY
+GEPFLAAMEKLLFEYLCQLEKALPTPQAQQ
+>Q96A98_PF14980_49 <unknown description>
+RAWADPATPRPRRSLALADDAAFRERARLLAALERRHWLNSYMHKLLVLDA
+>Q9BVW5_PF07962_66 <unknown description>
+KLDAQRLISERGLPALRHVFDKAKFKGKGHEAEDLKMLIRHMEHWAHRLFPKLQFEDFID
+RVEYLGSKKEVQTCLKRIRL
+>O75663_PF04176_48 <unknown description>
+MMFGDNVLRIQHGSGFGIEFNATDALRCVNNYQGMLKVACAEEWQESRTEGEHSKEVIKP
+YDWTYTTDYKGTLLGESLKLKVVPTTDHIDTEKLKAREQIKFFEEVLLFEDELHDHGVSS
+LSVKIRVMPSSFFLLLRFFLRIDGVLIRMNDTRLYHEADKTYMLREYTSRESKISSL
+>Q8TB96_PF13517_55 <unknown description>
+DLNSDKQTDLFVLRERNDLIVFLADQNAPYFKPKVKVSFKNHSALITSVVPGDYDGDSQM
+DVL
+>P58753_PF13676_88 <unknown description>
+VCVCHSEEDLVAAQDLVSYLEGSTASLRCFLQLRDATPGGAIVSELCQALSSSHCRVLLI
+TPGFLQDPWCKYQMLQALTEAPGAEGCTIPLLSGLSRAAYPPELRFMYYVDGRGPDGG
+>Q07352_PF04553_1 <unknown description>
+MTTTLVSATIFDLSEVLCKGNKMLNYSAPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSS
+KFHQNQLLSSLKGEPAPALSSRDSRFRDRSFSEGGERLLPTQKQ
+>Q07352_PF00642_115 <unknown description>
+YKTELCRPFEENGACKYGDKCQFAHG
+>Q07352_PF00642_153 <unknown description>
+YKTELCRTFHTIGFCPYGPRCHFIH
+>P47974_PF04553_1 <unknown description>
+MSTTLLSAFYDVDFLCKTEKSLANLNLNNMLDKKAVGTPVAAAPSSGFAPGFLRRHSASN
+LHALAHPAPSPGSCSPKFPGAANGSSCGSAAAGGPTSYGTLKEPSGGGGTALLNKENKFR
+DRSFSENGDRSQHLLHLQQQ
+>P47974_PF00642_154 <unknown description>
+YKTELCRPFEESGTCKYGEKCQFAHGF
+>P47974_PF00642_192 <unknown description>
+YKTELCRTFHTIGFCPYGPRCHFIH
+>Q8WZ42_PF07679_6 <unknown description>
+PTFTQPLQSVVVLEGSTATFEAHISGFPVPEVSWFRDGQVISTSTLPGVQISFSDGRAKL
+TIPAVTKANSGRYSLKATNGSGQATSTAELLV
+>Q8WZ42_PF07679_104 <unknown description>
+PNFVQRLQSMTVRQGSQVRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLI
+AEAYPEDSGTYSVNATNSVGRATSTAELLV
+>Q8WZ42_PF09042_414 <unknown description>
+KEVKQDADKSAAVATVVAAVDMARVREPVISAVEQTAQRT
+>Q8WZ42_PF09042_464 <unknown description>
+QEQVRKEAEKTAVTKVVVAADKAKEQELKSRTKEVITTKQEQM
+>Q8WZ42_PF09042_510 <unknown description>
+HEQIRKETEKTFVPKVVISAAKAKEQETRISEEITKKQKQV
+>Q8WZ42_PF09042_552 <unknown description>
+QEAIRQETEITAASMVVVATAKSTKLETVPGAQEETTTQQDQM
+>Q8WZ42_PF09042_599 <unknown description>
+EKIMKETRKTVVPKVIVATPKVKEQDLVSRGREGITTKREQV
+>Q8WZ42_PF09042_644 <unknown description>
+QEKMRKEAEKTALSTIAVATAKAKEQETILRTRETMATRQEQ
+>Q8WZ42_PF09042_696 <unknown description>
+GKKAEAVATVVAAVDQARVREP
+>Q8WZ42_PF07679_944 <unknown description>
+TLVSGLKNVTVIEGESVTLECHISGYPSPTVTWYREDYQIESSIDFQITFQSGIARLMIR
+EAFAEDSGRFTCSAVNEAGTVSTSCYLAV
+>Q8WZ42_PF07679_1082 <unknown description>
+PYFITKPVVQKLVEGGSVVFGCQVGGNPKPHVYWKKSGVPLTTGYRYKVSYNKQTGECKL
+VISMTFADDAGEYTIVVRNKHGETSASASL
+>Q8WZ42_PF07679_1293 <unknown description>
+FDSRIKNYRILEGMGVTFHCKMSGYPLPKIAWYKDGKRIKHGERYQMDFLQDGRASLRIP
+VVLPEDEGIYTAFASNIKGNAICSGKLY
+>Q8WZ42_PF07679_1457 <unknown description>
+PVFVLKPVSFKCLEGQTARFDLKVVGRPMPETFWFHDGQQIVNDYTHKVVIKEDGTQSLI
+IVPATPSDSGEWTVVAQNRAGRSSISVILTV
+>Q8WZ42_PF07679_1556 <unknown description>
+PMFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHKYPKIRIEGTKGEAAL
+KIDSTVSQDSAWYTATAINKAGRDTTRCKVNV
+>Q8WZ42_PF07679_1705 <unknown description>
+FKKKLTSLRLKRFGPAHFECRLTPIGDPTMVVEWLHDGKPLEAANRLRMINEFGYCSLDY
+GVAYSRDSGIITCRATNKYGTDHTSATLIV
+>Q8WZ42_PF07679_1842 <unknown description>
+DIVLYPEPVRVLEGETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIVD
+CKSYDTGEVKVTAENPEGVIEHKVKLEI
+>Q8WZ42_PF07679_2078 <unknown description>
+PKIFERIQSQTVGQGSDAHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELV
+IRDVTAEDSASIMVKAINIAGETSSHAFLLV
+>Q8WZ42_PF07679_2175 <unknown description>
+TFTQELQDVVAKEKDTMATFECETSEPFVKVKWYKDGMEVHEGDKYRMHSDRKVHFLSIL
+TIDTSDAEDYSCVLVEDENVKTTAKLIV
+>Q8WZ42_PF07679_2268 <unknown description>
+EFVKELQDIEVPESYSGELECIVSPENIEGKWYHNDVELKSNGKYTITSRRGRQNLTVKD
+VTKEDQGEYSFVIDGK
+>Q8WZ42_PF07679_2358 <unknown description>
+ILQGLSDQKVCEGDIVQLEVKVSLESVEGVWMKDGQEVQPSDRVHIVIDKQSHMLLIEDM
+TKEDAGNYSFT
+>Q8WZ42_PF07679_2447 <unknown description>
+VITPLKDVNVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQAIVKGTKQRLVINR
+THASDEGPYKLIV
+>Q8WZ42_PF07679_2534 <unknown description>
+KIIRGLRDLTCTETQNVVFEVELSHSGIDVLWNFKDKEIKPSSKYKIEAHGKIYKLTVLN
+MMKDDEGKYTFYAGEN
+>Q8WZ42_PF07679_2622 <unknown description>
+ISKPLTDQTVAESQEAVFECEVANPDSKGEWLRDGKHLPLTNNIRSESDGHKRRLIIAAT
+KLDDIGEYTYKVATSKTSAKLK
+>Q8WZ42_PF07679_2708 <unknown description>
+KIKKTLKNLTVTETQDAVFTVELTHPNVKGVQWIKNGVVLESNEKYAISVKGTIYSLRIK
+NCAIVDESVYG
+>Q8WZ42_PF07679_2796 <unknown description>
+KIIKKPKDVTALENATVAFEVSVSHDTVPVKWFHKSVEIKPSDKHRLVSERKVHKLMLQN
+ISPSDAGEYTAVVGQLECKAKL
+>Q8WZ42_PF07679_2883 <unknown description>
+HITKTMKNIEVPETKTASFECEVSHFNVPSMWLKNGVEIEMSEKFKIVVQGKLHQLIIMN
+TSTEDSAEYTFVCGNDQVSA
+>Q8WZ42_PF07679_2971 <unknown description>
+ITSMLKDINAEEKDTITFEVTVNYEGISYKWLKNGVEIKSTDKCQMRTKKLTHSLNIRNV
+HFGDAADYTFVAGKATSTAT
+>Q8WZ42_PF07679_3059 <unknown description>
+EFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDDQELQITDRIKIQKEKYVHRLLIPS
+TRMSDAGKYTVVAGGNVS
+>Q8WZ42_PF07679_3149 <unknown description>
+IRSIKKEVQVIEKQRAVVEFEVNEDDVDAHWYKDGIEINFQVQERHKYVVERRIHRMFIS
+ETRQSDAGEYTFVAG
+>Q8WZ42_PF07679_3239 <unknown description>
+PQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLSTGFKCKFLHDGQEYTLLL
+IEAFPEDAAVYTCEAKNDYGVATTSASLSV
+>Q8WZ42_PF07679_3345 <unknown description>
+PAIITPLQDTVTSEGQPARFQCRVSGTDLKVSWYSKDKKIKPSRFFRMTQFEDTYQLEIA
+EAYPEDEGTYTFVASNAVGQVSSTANLS
+>Q8WZ42_PF07679_3504 <unknown description>
+PSFTQKLTFKYVLEGEPVVFTCRLIACPTPEMTWFHNNRPIPTGLRRIIKAESDLHHHSS
+SLEIKRVQDRDSGSYRLLAINSEGSAESTASLLV
+>Q8WZ42_PF07679_3944 <unknown description>
+PSFLQEIESQEVYEGDSCNFVCHFQGYPQPIVTWYNNDMPIPRNQNFIIHSLENYSILTL
+SSVHHQNEGSITCVLFNQYGTVKTTSMLKV
+>Q8WZ42_PF07679_4162 <unknown description>
+QEMPKHARCREGDSIILECLISGEPQPVVTWFQNGVLLKQNQKFQFEEVNCSHQLYIKDV
+NSQDSGKYKCVAENNSGAVESVSDLTV
+>Q8WZ42_PF07679_5183 <unknown description>
+PSFIEPLTKRKVYENTTLGFIVEVEGLPVPGVKWYRNKSLLEPDERIKMERVGNVCSLEI
+SNIQKGEGGEYMCHAVNIIGEAKSFANVD
+>Q8WZ42_PF07679_5380 <unknown description>
+PRFIMPICDFKIPENSDAVFKCSVIGIPTPEVKWYKEYMCIEPDNIKYVISEEKGSHTLK
+IRNVCLSDSATYRCRAVNCVGEAICRGF
+>Q8WZ42_PF07679_5514 <unknown description>
+PRFIKGISDCYAPIGTAAYFQCLVRGSPRPTVYWYKDGKLVQGRRFTVEESGTGFHNLFI
+TSLVKSDEGEYRCVATNKSGMAESFAALT
+>Q5JTD0_PF15453_284 <unknown description>
+TPQPNGECHSLGTARGSPEEELPLPAFEKLNPYPTPSPPHPLYPGRRVIEFSEDKVRIPR
+NSPLPNCTYATRQAISLSLVEEGSERARPSPVPSTPASAQASPHHQPSPAPLTLSAPASS
+ASSEEDLLVSWQRAFVDRTPPPAAVAQRTAFGRDALPELQRHFAHSPADRDEVV
+>Q5JTD0_PF15453_482 <unknown description>
+EEEELNLPISPEEERQSLLPINRGTEEGPGTSHTEGRAWPLPSSSRPQRSPKRMGVHHLH
+RKDSLTQAQEQGNLL
+>Q3LXA3_PF02733_20 <unknown description>
+GLVACNPNLQLLQGHRVALRSDLDSLKGRVALLSGGGSGHEPAHAGFIGKGMLTGVIAGA
+VFTSPAVGSILAAIRAVAQAGTVGTLLIVKNYTGDRLNFGLAREQARAEGIPVEMVVIGD
+DSAFTVLKKAGRRGLCGTVLIHKVAGALAEAGVGLEEIAKQVNVVAKAMGTLGVSLSSCS
+VPGSKPTFELSADEVELGLGIHGEAGVRRIKMATADEIVKLMLDHMTNTTNASHVPVQPG
+SSVVMMVNNLGGLSFLELGIIADATVRSLEGRGVKIARALVGTFMSALEMPGISLTLLLV
+DEPLLKLIDAETTAA
+>Q3LXA3_PF02734_399 <unknown description>
+AGDGDCGTTHSRAARAIQEWLKEGPPPASPAQLLSKLSVLLLEKMGGSSGALYGLFLTAA
+AQPLKAKTSLPAWSAAMDAGLEAMQKYGKAAPGDRTMLDSLWAAGQELQAWKSPGADLLQ
+VLTKAVKSAEAAAEATKNMEAGAGRASYISSARLEQPDPGAVAAAAILRAIL
+>P20366_PF02202_58 <unknown description>
+RPKPQQFFGLM
+>Q9UHF0_PF03823_1 <unknown description>
+MRIMLLFTAILAFSLAQSFGAVCKEPQEEVVPGGGRSKRDPDLYQLLQRLFKSH
+>A6NCJ1_PF15041_23 <unknown description>
+PAPLYSDDYLSLEGSRWPPAIRQATRWKYTPMGRDAAGQLWYTGLTNSDAWEAWYNLPRA
+PASPFREAYNRWHSCYQHRECSMPSAYTQHLRETAWHDPIVPAQYQAPSTRWGSALWKDR
+PIRGKEYVLNRNRYGVEPLWRASDYVPSLSAPQRPPGTTQNYREWVLEPYCPSTCQRSPP
+SLTP
+>P51854_PF00456_25 <unknown description>
+QDMASRLRIHSIRATCSTSSGHPTSCSSSSEIMSVLFFYIMRYKQSDPENPDNDRFVLA
+>P51854_PF00456_88 <unknown description>
+FVDVATGWLGQGLGVACGMAYTGKYFDRASYRVFCLMSDGESSEGSVWEAMAFASYYSLD
+NLVAIFDVNRLGHSGALPAEHCINIYQRRCEAFGWNTYVVDGRDVEALCQVFWQASQVKH
+KPTAVVAKTFKGRGTPSIEDAESWHAKPMPRE
+>P51854_PF02779_288 <unknown description>
+KIATRKACGLALAKLGYANNRVVVLDGDTRYSTFSEIFNKEYPERFIECFMAEQNMVSVA
+LGCASRGRTIAFASTFAAFLTRAFDHIRIGGLAESNINIIGSHCGVSVGDDGASQMALED
+IAMFRTIPKCTIFYPTDAVSTEHAVALAANAKGMCFIRTTRPE
+>P51854_PF02780_465 <unknown description>
+QAKVLRHCVSDKVTVIGAGITVYEALAAADELSKQDIFIRVIDLFTIKPLDVATIVSSAK
+ATEGRIITVEDHYPQGGIGEAVCAAVSMDPDIQVHSLAVSGVPQSGKSEELLDMYGISAR
+HI
+>Q9H0I9_PF00456_18 <unknown description>
+DTANRLRIHSIRATCASGSGQLTSCCSAAEVVSVLFFHTMKYKQTDPEHPDNDRFILSRG
+HAAPILYAAWVEVGDISESDLLNLRKLHSDLERHPTPRLPFVDVATGSLGQGLGTACGMA
+YTGKYLDKASYRVFCLMGDGESSEGSVWEAFAFASHYNLDNLVAVFDVNRLGQSGPAPLE
+HGADIYQNCCEAFGWNTYLVDGHDVEALCQAFWQASQVKNKPTAIVAKTFKGRGIPNIED
+AENWHGKPVPKER
+>Q9H0I9_PF02779_318 <unknown description>
+KIATQKTYGLALAKLGRANERVIVLSGDTMNSTFSEIFRKEHPERFIECIIAEQNMVSVA
+LGCATRGRTIAFAGAFAAFFTRAFDQLRMGAISQANINLIGSHCGVSTGEDGVSQMALED
+LAMFRSIPNCTVFYPSDAISTEHAIYLAANTKGMCFIRTSQP
+>Q9H0I9_PF02780_494 <unknown description>
+GQAKVVRHGVNDKVTVIGAGVTLHEALEAADHLSQQGISVRVIDPFTIKPLDAATIISSA
+KATGGRVITVEDHYREGGIGEAVCAAVSREPDILVHQLAVSGVPQRGKTSELLDMFGIST
+RHI
+>P29401_PF00456_17 <unknown description>
+DTANRLRISSIQATTAAGSGHPTSCCSAAEIMAVLFFHTMRYKSQDPRNPHNDRFVLSKG
+HAAPILYAVWAEAGFLAEAELLNLRKISSDLDGHPVPKQAFTDVATGSLGQGLGAACGMA
+YTGKYFDKASYRVYCLLGDGELSEGSVWEAMAFASIYKLDNLVAILDINRLGQSDPAPLQ
+HQMDIYQKRCEAFGWHAIIVDGHSVEELCKAFGQAKHQPTAIIAKTFKGRGITGVEDKES
+WHGKPLPKNMAEQ
+>P29401_PF02779_314 <unknown description>
+KIATRKAYGQALAKLGHASDRIIALDGDTKNSTFSEIFKKEHPDRFIECYIAEQNMVSIA
+VGCATRNRTVPFCSTFAAFFTRAFDQIRMAAISESNINLCGSHCGVSIGEDGPSQMALED
+LAMFRSVPTSTVFYPSDGVATEKAVELAANTKGICFIRTSRP
+>P29401_PF02780_493 <unknown description>
+KVVLKSKDDQVTVIGAGVTLHEALAAAELLKKEKINIRVLDPFTIKPLDRKLILDSARAT
+KGRILTVEDHYYEGGIGEAVSSAVVGEPGITVTHLAVNRVPRSGKPAELLKMFGIDRDAI
+>Q8TBR7_PF03798_38 <unknown description>
+ISTRLVSSVHAVLATGSGIVIIRSCDDVITGRHWLAREYVWFLIPYMIYDSYAMYLCEWC
+RTRDQNRAPSLTLRNFLSRNRLMITHHAVILFVLVPVAQRLRGDLGDFFVGCIFTAELST
+PFVSLGRVLIQLKQQHTLLYKVNGILTLATFLSCRILLFPFMYWSYGRQQGLSLLQVPFS
+IPFYCNVANAFLVAPQIYWFCLLCR
+>Q71RH2_PF03798_40 <unknown description>
+SARLVSSVQAIMASTAGYIVSTSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHK
+HQVKGHGGDDGAARAPGSTWAIARGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFL
+GCMLMAEVSTPFVCLGKILIQYKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHA
+GLPLLAVPLAIPAHVNLGAALLLAPQLYWFFLICR
+>Q96CP7_PF03798_44 <unknown description>
+RWHNLLVSFAHSIVSGIWALLCVWQTPDMLVEIETAWSLSGYLLVCFSAGYFIHDTVDIV
+ASGQTRASWEYLVHHVMAMGAFFSGIFWSSFVGGGVLTLLVEVSNIFLTIRMMMKISNAQ
+DHLLYRVNKYVNLVMYFLFRLAPQAYLTHFFLRYVNQRTLGTFLLGILLMLDVMIIIYFS
+RLLR
+>A6NGC4_PF03798_39 <unknown description>
+WWNLCVSLAHSLLSGTGALLGLSLYPQMAADPIHGHPRWALVLVAVSVGYFLADGADLLW
+NQTLGKTWDLLCHHLVVVSCLSTAVLSGHYVGFSMVSLLLELNSACLHLRKLLLLSRQAP
+SLAFSVTSWASLATLALFRLVPLGWMSLWLFRQHHQVPLALVTLGGIGLVTVGIMSIILG
+IR
+>Q96MV1_PF03798_48 <unknown description>
+EWNSRVVSTCHSLVVGIFGLYIFLFDEATKADPLWGGPSLANVNIAIASGYLISDLSIII
+LYWKVIGDKFFIMHHCASLYAYYLVLKNGVLAYIGNFRLLAELSSPFVNQRWFFEALKYP
+KFSKAIVINGILMTVVFFIVRIASMLPHYGFMYSVYGTEPYIRLGVLIQLSWVISCVVLD
+VMNVMWMIKIS
+>Q6ZRR5_PF03798_33 <unknown description>
+EWSCRLVTFTHGVLSIGLSAYIGFIDGPWPFTHPGSPNTPLQVHVLCLTLGYFIFDLGWC
+VYFQSEGALMLAHHTLSILGIIMALVLGESGTEVNAVLFGSELTNPLLQMRWFLRETGHY
+HSFTGDVVDFLFVALFTGVRIGVGACLLFCEMVSPTPKWFVKAGGVA
+>A0PJX2_PF07534_79 <unknown description>
+LVFCTSRDGFSLQSLYRRMEGCSGPVLLVLRDQDGQIFGAFSSSAIRLSKGFYGTGETFL
+FSFSPQLKVFKWTGSNSFFVKGDLDSLMMGSGSGRFGLWLDGDLFRGGSSPCPTFNNEVL
+ARQEQFCIQELEAWL
+>Q04724_PF03920_18 <unknown description>
+FKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHK
+QTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELNAIIGQQQLQAQHL
+>Q04724_PF00400_578 <unknown description>
+YALAISPDSKVCFSCCSDGNIAVWD
+>Q04724_PF00400_608 <unknown description>
+LVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWD
+>Q04725_PF03920_17 <unknown description>
+FKFSILEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHK
+QAEIVKRLSGICAQIIPFLTQEHQQQVLQAVERAKQVTVGELNSLIGQQLQ
+>Q04725_PF00400_450 <unknown description>
+QLHTLAHGEVVCAVTISGSTQHVYTGGKGCVKVWD
+>Q04725_PF00400_551 <unknown description>
+YALAVSPDAKVCFSCCSDGNIVVWD
+>Q04725_PF00400_582 <unknown description>
+VRQFQGHTDGASCIDISDYGTRLWTGGLDNTVRCWD
+>Q04726_PF03920_18 <unknown description>
+FKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRHYVMYYEMSYGLNIEMHK
+QTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELNAIIGQQQLQAQHL
+>Q04726_PF00400_479 <unknown description>
+TLSHGEVVCAVTISNPTRHVYTGGKGCVKIWD
+>Q04726_PF00400_607 <unknown description>
+LVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWD
+>Q04727_PF03920_24 <unknown description>
+FKFTISESCDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHK
+QAEIVKRLNAICAQVIPFLSQEHQQQVVQAVERAKQVTMAELNAIIGQQLQAQHL
+>Q04727_PF00400_581 <unknown description>
+YALAISPDSKVCFSCCSDGNIAVWD
+>Q04727_PF00400_611 <unknown description>
+LVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWD
+>Q08117_PF03920_18 <unknown description>
+LKFTTSDSCDRIKDEFQLLQAQYHSLKLECDKLASEKSEMQRHYVMYYEMSYGLNIEMHK
+QAEIVKRLNGICAQVLPYLSQEHQQQVLGAIERAKQVTAPELNSIIRQQLQAHQL
+>A0A1W2PR48_PF00400_154 <unknown description>
+TLFHGKRVYAVAISGSTHHVYTCGSGYIRVWD
+>Q9UKI8_PF00069_459 <unknown description>
+LHLLGRGGFSEVYKAFDLYEQRYAAVKIHQLNKSWRDEKKENYHKHACREYRIHKELDHP
+RIVKLYDYFSLDTDTFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIVMQIVNALRYLNEI
+KPPIIHYDLKPGNILLVDGTACGEIKITDFGLSKIMDDDSYGVDGMDLTSQGAGTYWYLP
+PECFVVGKEPPKISNKVDVWSVGVIFFQCLYGRKPFGHNQSQQDILQENTILKATEVQFP
+VKPVVSSEAKAFIRRCLAYRKEDRFDVHQLANDPYL
+>Q86UE8_PF00069_443 <unknown description>
+LHLLGRGGFSEVYKAFDLTEQRYVAVKIHQLNKNWRDEKKENYHKHACREYRIHKELDHP
+RIVKLYDYFSLDTDSFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIIMQIVNALKYLNEI
+KPPIIHYDLKPGNILLVNGTACGEIKITDFGLSKIMDDDSYNSVDGMELTSQGAGTYWYL
+PPECFVVGKEPPKISNKVDVWSVGVIFYQCLYGRKPFGHNQSQQDILQENTILKATEVQF
+PPKPVVTPEAKAFIRRCLAYRKEDRIDVQQLACDPYL
+>O43897_PF01400_156 <unknown description>
+IWPGGVIPYVIGGNFTGSQRAMFKQAMRHWEKHTCVTFIERSDEESYIVFTYRPCGCCSY
+VGRRGNGPQAISIGKNCDKFGIVVHELGHVIGFWHEHTRPDRDNHVTIIRENIQPGQEYN
+FLKMEPGEVNSLGERYDFDSIMHYARNTFSRGMFLDTILPSRDDNGIRPAIGQRTRLSKG
+DIAQARKLYRCP
+>O43897_PF00431_349 <unknown description>
+CGETLQESNGNLSSPGFPNGYPSYTHCIWRVSVTPGEKIVLNFTTMDLYKSSLCWYDYIE
+VRDGYWRKSPLLGRFCGDKLPEVLTSTDSRMWIEFRSSSNWVGKGFAAVY
+>O43897_PF00431_462 <unknown description>
+CGGEIRKNEGQIQSPNYPDDYRPMKECVWKITVSESYHVGLTFQSFEIERHDNCAYDYLE
+VRDGTSENSPLIGRFCGYDKPEDIRSTSNTLWMKFVSDGTVNKAGFAANF
+>O43897_PF14670_582 <unknown description>
+DRGGCEQRCLNTLGSYQCACEPGYELGPDRRSC
+>O43897_PF00431_618 <unknown description>
+CGGLLTKLNGTITTPGWPKEYPPNKNCVWQVVAPTQYRISVKFEFFELEGNEVCKYDYVE
+IWSGLSSESKLHGKFCGAEVPEVITSQFNNMRIEFKSDNTVSKKGFKAHF
+>O43897_PF07645_730 <unknown description>
+DKDECSKDNGGCQHECVNTMGSYMCQCRNGFVLHDNKHDC
+>O43897_PF00431_774 <unknown description>
+CEQKIHSPSGLITSPNWPDKYPSRKECTWEISATPGHRIKLAFSEFEIEQHQECAYDHLE
+VFDGETEKSPILGRLCGNKIPDPLVATGNKMFVRFVSDASVQRKGFQAT
+>O43897_PF00431_887 <unknown description>
+CGGRLKAESKPRDLYSHAQFGDNNYPGQVDCEWLLVSERGSRLELSFQTFEVEEEADCGY
+DYVELFDGLDSTAVGLGRFCGSGPPEEIYSIGDSVLIHFHTDDTINKKGFHIRY
+>Q9Y6L7_PF01400_158 <unknown description>
+IWPGGVIPYVIGGNFTGSQRAIFKQAMRHWEKHTCVTFIERTDEESFIVFSYRTCGCCSY
+VGRRGGGPQAISIGKNCDKFGIVAHELGHVVGFWHEHTRPDRDQHVTIIRENIQPGQEYN
+FLKMEAGEVSSLGETYDFDSIMHYARNTFSRGVFLDTILPRQDDNGVRPTIGQRVRLSQG
+DIAQARKLYKCP
+>Q9Y6L7_PF00431_351 <unknown description>
+CGETLQDTTGNFSAPGFPNGYPSYSHCVWRISVTPGEKIVLNFTSMDLFKSRLCWYDYVE
+VRDGYWRKAPLLGRFCGDKIPEPLVSTDSRLWVEFRSSSNILGKGFFAAY
+>Q9Y6L7_PF00431_464 <unknown description>
+CGGDMNKDAGQIQSPNYPDDYRPSKECVWRITVSEGFHVGLTFQAFEIERHDSCAYDYLE
+VRDGPTEESALIGHFCGYEKPEDVKSSSNRLWMKFVSDGSINKAGFAANF
+>Q9Y6L7_PF14670_585 <unknown description>
+HGGCEHRCVNTLGSYKCACDPGYELAADKKMC
+>Q9Y6L7_PF00431_620 <unknown description>
+CGGFITKLNGTITSPGWPKEYPTNKNCVWQVVAPAQYRISLQFEVFELEGNDVCKYDFVE
+VRSGLSPDAKLHGRFCGSETPEVITSQSNNMRVEFKSDNTVSKRGFRAHF
+>Q9Y6L7_PF07645_732 <unknown description>
+DKDECAKDNGGCQHECVNTFGSYLCRCRNGYWLHENGHDC
+>Q9Y6L7_PF00431_776 <unknown description>
+CAHKISSVEGTLASPNWPDKYPSRRECTWNISSTAGHRVKLTFNEFEIEQHQECAYDHLE
+MYDGPDSLAPILGRFCGSKKPDPTVASGSSMFLRFYSDASVQRKGFQA
+>Q9Y6L7_PF00431_889 <unknown description>
+CGGRLKAEVQTKELYSHAQFGDNNYPSEARCDWVIVAEDGYGVELTFRTFEVEEEADCGY
+DYMEAYDGYDSSAPRLGRFCGSGPLEEIYSAGDSLMIRFRTDDTINKKGFHARY
+>Q9Y490_PF16511_4 <unknown description>
+LSLKISIGNVVKTMQFEPSTMVYDACRIIRERIPEAPAGPPSDFGLFLSDDDPKKGIWLE
+AGKALDYYMLRNGDTMEYRK
+>Q9Y490_PF00373_206 <unknown description>
+SRDPVQLNLLYVQARDDILNGSHPVSFDKACEFAGFQCQIQFGPHNEQKHKAGFLDLKDF
+LPKEYVKQKGERKIFQAHKNCGQMSEIEAKVRYVKLARSLKTYGVSFF
+>Q9Y490_PF09141_491 <unknown description>
+AQQALTGTINSSMQAVQAAQATLDDFDTLPPLGQDAASKAWRKNKMDESKHEIHSQVDAI
+TAGTASVVNLTAGDPAETDYTAVGCAVTTISSNLTEMSRGVKLLAALLEDEGGSGRPLLQ
+AAKGLAGAVSELLRSAQPASAEPRQNLLQAAGNVGQASGELL
+>Q9Y490_PF08913_1849 <unknown description>
+SFVDYQTTMVRTAKAIAVTVQEMVTKSNTSPEELGPLANQLTSDYGRLASEAKPAAVAAE
+NEEIGSHIKHRVQELGHGCAALVTKAGALQCSPSDAYTKKELIECARRVSEKVSHVLAAL
+QAGNR
+>Q9Y490_PF01608_2385 <unknown description>
+DDGQWSQGLISAARMVAAATNNLCEAANAAVQGHASQEKLISSAKQVAASTAQLLVACKV
+KADQDSEAMKRLQAAGNAVKRASDNLVKAAQKAAAFEEQENETVVVKEKMVGGIAQIIAA
+QEEMLRKERELEEARKKLAQIRQQQYK
+>Q9Y4G6_PF16511_4 <unknown description>
+LSLKICVRHCNVVKTMQFEPSTAVYDACRVIRERVPEAQTGQASDYGLFLSDEDPRKGIW
+LEAGRTLDYYMLRNGDILEYKK
+>Q9Y4G6_PF00373_208 <unknown description>
+SRDPVQLNLLYVQARDDILNGSHPVSFEKACEFGGFQAQIQFGPHVEHKHKPGFLDLKEF
+LPKEYIKQRGAEKRIFQEHKNCGEMSEIEAKVKYVKLARSLRTYGVSFF
+>Q9Y4G6_PF09141_494 <unknown description>
+AQQALMGTINTSMHAVQQAQDDLSELDSLPPLGQDMASRVWVQNKVDESKHEIHSQVDAI
+TAGTASVVNLTAGDPADTDYTAVGCAITTISSNLTEMSKGVKLLAALMDDEVGSGEDLLR
+AARTLAGAVSDLLKAVQPTSGEPRQTVLTAAGSIGQASGDLL
+>Q9Y4G6_PF01608_663 <unknown description>
+TDERFQDVLMSLAKAVANAAAMLVLKAKNVAQVAEDTVLQNRVIAAATQCALSTSQLVAC
+AKVVSPTISSPVCQEQLIEAGKLVDRSVENCVRACQAATTDSE
+>Q9Y4G6_PF08913_1850 <unknown description>
+TFVDYQTTVVKYSKAIAVTAQEMMTKSVTNPEELGGLASQMTSDYGHLAFQGQMAAATAE
+PEEIGFQIRTRVQDLGHGCIFLVQKAGALQVCPTDSYTKRELIECARAVTEKVSLVLSAL
+QAGNK
+>Q9Y4G6_PF01608_2386 <unknown description>
+DDGQWSQGLISAARMVAAATSSLCEAANASVQGHASEEKLISSAKQVAASTAQLLVACKV
+KADQDSEAMRRLQAAGNAVKRASDNLVRAAQKAAFGKADDDDVVVKTKFVGGIAQIIAAQ
+EEMLKKERELEEARKKLAQIRQQQYK
+>Q9BXR5_PF00560_52 <unknown description>
+TLDLSYNLLFQLQSSDFHS
+>Q9BXR5_PF13855_73 <unknown description>
+KLRVLILCHNRIQQLDLKTFEFNKELRYLDLSNNRLKSVTWYLLAGLRYLDLSFNDF
+>Q9BXR5_PF01582_636 <unknown description>
+AFISYSEHDSLWVKNELIPNLEKEDGSILICLYESYFDPGKSISENIVSFIEKSYKSIFV
+LSPNFVQNEWCHYEFYFAHHNLFHENSDHIILILLEPIPFYCIPTRYHKLKALLEKKAYL
+EWPKDRRKCGLFWANLRAAINVNVL
+>Q15399_PF13855_70 <unknown description>
+KLRILIISHNRIQYLDISVFKFNQELEYLDLSHNKLVKISCHPTVNLKHLDLSFNA
+>Q15399_PF13855_445 <unknown description>
+PRIKVLDLHSNKIKSIPKQVVKLEALQELNVAFNSLTDLPGCGSFSSLSVLIIDHNS
+>Q15399_PF01463_554 <unknown description>
+YKCDYPESYRGTLLKDFHMSELSC
+>Q15399_PF01582_637 <unknown description>
+FHAFISYSGHDSFWVKNELLPNLEKEGMQICLHERNFVPGKSIVENIITCIEKSYKSIFV
+LSPNFVQSEWCHYELYFAHHNLFHEGSNSLILILLEPIPQYSIPSSYHKLKSLMARRTYL
+EWPKEKSKRGLFWANLRAAINIK
+>O60603_PF13855_77 <unknown description>
+NLQALVLTSNGINTIEEDSFSSLGSLEHLDLSYNYLSNLSSSWFKPLSSLTFLNLLGN
+>O60603_PF13855_477 <unknown description>
+PQLKELYISRNKLMTLPDASLLPMLLVLKISRNAITTFSKEQLDSFHTLKTLEAGGNNF
+>O60603_PF01463_561 <unknown description>
+NYLCDSPSHVRGQQVQDVRLSVSEC
+>O60603_PF01582_643 <unknown description>
+AFVSYSERDAYWVENLMVQELENFNPPFKLCLHKRDFIPGKWIIDNIIDSIEKSHKTVFV
+LSENFVKSEWCKYELDFSHFRLFDENNDAAILILLEPIEKKAIPQRFCKLRKIMNTKTYL
+EWPMDEAQREGFWVNLRAAI
+>O15455_PF13855_52 <unknown description>
+NITVLNLTHNQLRRLPAANFTRYSQLTSLDVGFNTISKLEPELCQKLPMLKVLNLQHNEL
+>O15455_PF13855_123 <unknown description>
+TNLTELHLMSNSIQKIKNNPFVKQKNLITLDLSHNGLSSTKLGTQVQLENLQELLLSNNK
+I
+>O15455_PF13516_196 <unknown description>
+NSSLKKLELSSNQI
+>O15455_PF13855_274 <unknown description>
+TNLTMLDLSYNNLNVVGNDSFAWLPQLEYFFLEYNNIQHLFSHSLHGLFNVRYLNLK
+>O15455_PF13855_409 <unknown description>
+LHILNLTKNKISKIESDAFSWLGHLEVLDLGLNEIGQELTGQEWRGLENIFEIYLSYNK
+>O15455_PF13855_480 <unknown description>
+PSLQRLMLRRVALKNVDSSPSPFQPLRNLTILDLSNNNIANINDDMLEGLEKLEILDLQH
+NNL
+>O15455_PF13855_562 <unknown description>
+SHLHILNLESNGFDEIPVEVFKDLFELKIIDLGLNNLNTLPASVFNNQVSLKSLNLQKN
+>O15455_PF17968_698 <unknown description>
+DSAPFELFFMINTSILLIFIFIVLLIHFEGWRI
+>O15455_PF01582_759 <unknown description>
+YIIHAYKDKDWVWEHFSSMEKEDQSLKFCLEERDFEAGVFELEAIVNSIKRSRKIIFVIT
+HHLLKDPLCKRFKVHHAVQQAIEQNLDSIILVFLEEIPDYK
+>O00206_PF13855_57 <unknown description>
+KNLDLSFNPLRHLGSYSFFSFPELQVLDLSRCEIQTIEDGAYQSLSHLSTLILTGNPI
+>O00206_PF13855_127 <unknown description>
+SLQKLVAVETNLASLENFPIGHLKTLKELNVAHNLIQSFKLPEYFSNLTNLEHLDLSSNK
+I
+>O00206_PF13855_447 <unknown description>
+RNLIYLDISHTHTRVAFNGIFNGLSSLEVLKMAGNSFQENFLPDIFTELRNLTFLDLSQC
+QL
+>O00206_PF01582_676 <unknown description>
+AFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIAANIIHEGFHKSRKVIV
+VVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKVEKTLLRQQVELYRLLSRNTYL
+EWEDSVLGRHIFWRRLRKALLDGKSWNPEGTVGTGCNWQEATSI
+>Q9Y2C9_PF13855_77 <unknown description>
+ELTVLRLSHNRIQLLDLSVFKFNQDLEYLDLSHNQLQKISCHPIVSFRHLDLSFNDF
+>Q9Y2C9_PF13855_450 <unknown description>
+PRIKVLDLHSNKIKSVPKQVVKLEALQELNVAFNSLTDLPGCGSFSSLSVLIIDHNS
+>Q9Y2C9_PF01463_559 <unknown description>
+YKCDYPESYRGSPLKDFHMSELSC
+>Q9Y2C9_PF01582_644 <unknown description>
+AFISYSEHDSAWVKSELVPYLEKEDIQICLHERNFVPGKSIVENIINCIEKSYKSIFVLS
+PNFVQSEWCHYELYFAHHNLFHEGSNNLILILLEPIPQNSIPNKYHKLKALMTQRTYLQW
+PKEKSKRGLFWANIRAAFNMKLTLVTE
+>Q9NYK1_PF13306_194 <unknown description>
+SIEKDAFLNLTKLKVLSLKDNNVTAVPTVLPSTLTELYLYNNMIAKIQEDDFNNLNQLQI
+LDLSGNCPR
+>Q9NYK1_PF13855_275 <unknown description>
+NNSPLQIPVNAFDALTELKVLRLHSNSLQHVPPRWFKNINKLQELDLSQN
+>Q9NYK1_PF13855_496 <unknown description>
+QTLDLSKNSIFFVKSSDFQHLSFLKCLNLSGNLISQTLNGSEFQPLAELRYLDFSNNRL
+>Q9NYK1_PF13855_675 <unknown description>
+PNLKNLSLAKNGLKSFSWKKLQCLKNLETLDLSHNQLTTVPERLSNCSRSLKNLILKNNQ
+I
+>Q9NYK1_PF01582_893 <unknown description>
+AFIVYDTKDPAVTEWVLAELVAKLEDPREKHFNLCLEERDWLPGQPVLENLSQSIQLSKK
+TVFVMTDKYAKTENFKIAFYLSHQRLMDEKVDVIILIFLEKPFQKSKFLQLRKRLCGSSV
+LEWPTNPQAHPYFWQCLKNAL
+>Q9NR97_PF13855_54 <unknown description>
+LQEVPQTVGKYVTELDLSDNFITHITNESFQGLQNLTKINLNHN
+>Q9NR97_PF13855_277 <unknown description>
+NIDRFAFQNLTQLRYLNLSSTSLRKINAAWFKNMPHLKVLDLEFNYL
+>Q9NR97_PF13855_510 <unknown description>
+LNLSANSNAQVLSGTEFSAIPHVKYLDLTNNRLDFDNASALTELSDLEVLDLSYN
+>Q9NR97_PF13855_640 <unknown description>
+NLTRLDLSLNRLKHIPNEAFLNLPASLTELHINDNMLKFFNWTLLQQFPRLELLDLRGNK
+L
+>Q9NR97_PF13855_713 <unknown description>
+SLRTLLLSHNRISHLPSGFLSEVSSLKHLDLSSNLLKTINKSALETKTTTKLSMLELHGN
+P
+>Q9NR97_PF01582_880 <unknown description>
+YDAYISYDTKDASVTDWVINELRYHLEESRDKNVLLCLEERDWDPGLAIIDNLMQSINQS
+KKTVFVLTKKYAKSWNFKTAFYLALQRLMDENMDVIIFILLEPVLQHSQYLRLRQRICKS
+SILQWPDNPKAEGLFWQTLRNVVLTEN
+>Q9NR96_PF13855_64 <unknown description>
+NVTSLSLSSNRIHHLHDSDFAHLPSLRHLNLKWN
+>Q9NR96_PF13516_123 <unknown description>
+PTLEELNLSYNNI
+>Q9NR96_PF13855_200 <unknown description>
+NLTHLSLKYNNLTVVPRNLPSSLEYLLLSYNRIVKLAPEDLANLTALRVLDVGGN
+>Q9NR96_PF13855_291 <unknown description>
+LKDSSLSWLNASWFRGLGNLRVLDLSENFLYKCITKTKAFQGLTQLRKLNLSFN
+>Q9NR96_PF18837_393 <unknown description>
+LQTLRLQMNFINQAQLGIFRAFPGLRYVDL
+>Q9NR96_PF13855_476 <unknown description>
+TLDLSRNNLVTVQPEMFAQLSHLQCLRLSHNCISQ
+>Q9NR96_PF13855_511 <unknown description>
+AVNGSQFLPLTGLQVLDLSHNKLDLYHEHSFTELPRLEALDLSYN
+>Q9NR96_PF13516_573 <unknown description>
+HLRTLRHLSLAHNNIH
+>Q9NR96_PF13855_622 <unknown description>
+HFFQGLSGLIWLDLSQNRLHTLLPQTLRNLPKSLQVLRLRDNYL
+>Q9NR96_PF13855_702 <unknown description>
+RLRRLDVSCNSISFVAPGFFSKAKELRELNLSANALKTVDHSWFGPLASALQILDVSANP
+L
+>Q9NR96_PF01582_872 <unknown description>
+AFVVFDKTQSAVADWVYNELRGQLEECRGRWALRLCLEERDWLPGKTLFENLWASVYGSR
+KTLFVLAHTDRVSGLLRASFLLAQQRLLEDRKDVVVLVILSPDGRRSRYVRLRQRLCRQS
+VLLWPHQPSGQRSFWAQLGMALT
+>Q9NZ63_PF07052_101 <unknown description>
+SFSAETNRRDEDADMMKYIETELKKRKGIVEHEEQKVKPKNAEDCLYELPENIRVSSAKK
+TEEMLSNQMLSGIPEVDLGIDAKIKNIISTEDAKARL
+>P31314_PF00046_202 <unknown description>
+KKPRTSFTRLQICELEKRFHRQKYLASAERAALAKALKMTDAQVKTWFQNRRTKWRR
+>O43763_PF00046_158 <unknown description>
+KKPRTSFSRSQVLELERRFLRQKYLASAERAALAKALRMTDAQVKTWFQNRRTKWRR
+>O43711_PF00046_167 <unknown description>
+KKPRTSFSRVQICELEKRFHRQKYLASAERAALAKSLKMTDAQVKTWFQNRRTKWRR
+>Q9NV29_PF16311_1 <unknown description>
+MTEEPIKEILGAPKAHMAATMEKSPKSEVVITTVPLVSEIQLMAATGGTELSCYRCIIPF
+AVVVFIAGIVVTAVAYSFNSHGSIISIFGLVVLSSGLFLLASSALCWKVRQRSKKAKRRE
+SQTALVANQRSLF
+>Q96IK0_PF15111_9 <unknown description>
+RWMLQLIMQLGSVLLTRCPFWGCFSQLMLYAERAEARRKPDIPVPYLYFDMGAAVLCASF
+MSFGVKRRWFALGAALQLAISTYAAYIGGYVHYGDWLKVRMYSRTVAIIGGFLVLASGAG
+ELYRRKPRSRSLQSTGQVFLGIYLICVAYSLQHSKEDRLAYLNHLPGGELMIQLFFVLYG
+ILALAFLSGYYVTLAAQILAVLLPPVMLLIDGNVAYWHNTRRVEFWNQMKLLGESVGIFG
+TAVILATD
+>Q8N9M5_PF03281_260 <unknown description>
+TLCSAQVAAWFFATLAAVAESLIPVPGAPRLVHAARHAGFTTVLLATPEPPRRLLLFDLI
+PVVSVAGWPEGARSHSWAGPLASESASFYLVPGGGTERPCASAWQLCFARQELALKARIP
+APLLQAHAAAQALLRPLVAGTRAAAPYLLRTLLYWACERLPALYLARPENAGACCLGLLD
+ELGRVLEAGTLPHYFLNG
+>Q8NE00_PF01490_20 <unknown description>
+YMFNLIVGTGALTMPKAFATAGWLVSLVLLVFLGFMSFVTTTFVIEA
+>Q8NE00_PF01490_134 <unknown description>
+QMASMFFNKVGVNLFYFCIIVYLYGDLAIYAAAVPFSLMQVTCSATGNDSCGVEADTKYN
+DTDRCWGPLRRVDAYRIYLAIFTLLLGPFTFFDVQKTKYLQILTSLMRWIAFAVMIVLAL
+IRIGHGQGEGHPPLADFSGVRNLFGVCVYSFMCQHSLPSLITPVSSKRHLTRLVFLDYVL
+ILAFYGLLSFTAIFCFRGDSLMDMYTLNFARCDVVGLAAVRFFLGLFPVFTISTNFPIIA
+VTLRNNWKTLFHREGGTYPWVVDRVVFPTITLVPPVLVAFCTHDLESLVGITGAYAGTGI
+QYVIPAFLVYHCRRDTQLAFG
+>Q6UX40_PF14995_7 <unknown description>
+LVPSRFLTLLAHLVVVITLFWSRDSNIQACLPLTFTPEEYDKQDIQLVAALSVTLGLFAV
+ELAGFLSGVSMFNSTQSLISIGAHCSASVALSFFIFERWECTTYWYIFVFCSALPAVTEM
+AL
+>Q6UXF1_PF15759_62 <unknown description>
+SVVMLTPNPDGPPSQAAAPMATPTPRAEGHPPTHTISTIAATVTAPHSESSLSTGPAPAA
+MATTSSKPEGRPRGQAAPTILLTKPPGATSRPTTAPPRTTTRRPPRPPGSSRKGAGNSSR
+PVPPAPGGHSRSKEGQRGRNPSSTPLGQKRPLGKIFQIYKGNFTGSVEPEPSTLTPRTPL
+WGYSSSPQPQTVAATTVPSNTSWAPTTTSLGPAKDKPGLRRAAQGGGSTFTSQGGTPDAT
+AASGAPVSPQAAPVPSQRPHHGDPQDGPSHSDSWLTVTPGTSRPLSTSSGVFTAATGPTP
+AAFDTSVSAPSQGIPQGASTTPQAPTHPSRVSESTISGAKEETVATLTMTDRVPSPLSTV
+VSTATGNFLNRLVPAGTWKPGTAGNISHVAEGDKPQHRATICLSKMDIAWVILAISVPIS
+SCSVLLTVCCMKRKKKTANPENNLSYWNNTITMDYFNRHAVELPREIQSLETSEDQLSEP
+RSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI
+>Q9BVC6_PF14965_58 <unknown description>
+GRSVRGTLDAWIGPETMHLVSESSSQVLWAISSAISVAFFALSGIAAQLLNALGLAGDYL
+AQGLKLSPGQVQTFLLWGAGALVVYWLLSLLLGLVLALLGRILWGLKLVIFLAGFVALMR
+SVPDPSTRALLLLALLILYALLSRLTGSRASGAQLEAKVRGLERQVEELRWRQR
+>Q8TBZ6_PF01746_111 <unknown description>
+HLMVLKDIKKLHKQIQRCYAENRRALHPVQFYLTSHGGQLKKNMDENDKGWVNWKDIHIK
+PEHYSELIKKEDLIYLTSDSPNILKELDESKAYVIGGLVDHNHHKGLTYKQASDYGINHA
+QLPLGNFVKMNSRKVLAVNHVFEIILEYLETRDWQEAFFTILPQRK
+>Q6PF06_PF01746_135 <unknown description>
+HYMSKKELSRLAGQIRRLYGSNKKADRPFWICLTGFTTDSPLYEECVRMNDGFSSYLLDI
+TEEDCFSLFPLETLVYLTPDSEHALEDVDLNKVYILGGLVDESIQKKVTFQKAREYSVKT
+ARLPIQEYMVRNQNGKNYHSEILAINQVFDILSTYLETHNWPEALKKGVSS
+>Q7L0Y3_PF01746_213 <unknown description>
+NYMKRKELQNTVSQLLESEGWNRRNVDPFHIYFCNLKIDGALHRELVKRYQEKWDKLLLT
+STEKSHVDLFPKDSIIYLTADSPNVMTTFRHDKVYVIGSFVDKSMQPGTSLAKAKRLNLA
+TECLPLDKYLQWEIGNKNLTLDQMIRILLCLKNNGNWQEALQFVPKRK
+>B3SHH9_PF13903_17 <unknown description>
+ALSFVLLAAAIGTDFWYIIDTERLERTGPGAQDLLGSINRSQPEPLSSHSGLWRTCRVQS
+PCTPLMNPFRLENVTVSESSRQLLTMHGTFVILLPLSLILMVFGGMTGFLSFLLQAYLLL
+LLTGILFLFGAMVTLAGISVYIAYSAAAFREALCLLEEKALLDQVDISFGWSLALGWISF
+IAELLTGAAFL
+>Q12893_PF08551_49 <unknown description>
+PGYLFPPNFWIWTLATHGLMEQHVWDVAISLTTVVVAGRLLEPLWGALELLIFFSVVNVS
+VGLLGAFAYLLTYMASFNLVYLFTVRIHGALGFLGGVLVALKQ
+>Q9H0C3_PF15113_4 <unknown description>
+DFRYYFQHPWSRMIVAYLVIFFNFLIFAEDPVSHSQTEANVIVVGNCFSFVTNKYPRGVG
+WRILKVLLWLLAILTGLIAGKFLFHQRLFGQLLRLKMFREDHGSWMTMFFSTILFLFIFS
+HIYNTILLMDGNMGAYIITDYMGIRNESFMKLAAVGTWMGDFVTAWMVTDMMLQDKPYPD
+WGKSARAFWKKGNVRITLFWTVLFTLTSVVVLVITTDWISWDKLNRGFLPSDEVSRAFLA
+SFILVFDLLIVMQDWEFPHFMGDVDVNLPGLHTPHMQFKIPFFQKIFKEEYRIHITGKWF
+NYGIIFLVLILDLNMWKNQIFYKPHEYGQYIGPGQKIYTVKDSESLKDLNRTKLSWEWRS
+NHTNPRTNKTYVEGDMFLHSRFIGASLDVKCLAFVPSLIAFVWFGFFIWFFGR
+>Q4V9L6_PF15724_25 <unknown description>
+ARSVPLKATFLEDVAGSGEAEGSSASSPSLPPPWTPALSPTSMGPQPITLGGPSPPTNFL
+DGIVDFFRQYVMLIAVVGSLAFLLMFIVCAAVITRQKQKASAYYPSSFPKKKYVDQSDRA
+GGPRAFSEVPDRAPDSRPEEALDSSRQLQADILAATQNLKSPTRAALGGGDGARMVEGRG
+AEEEEKGSQEGDQEVQGHGVPVETPEAQEEPCSGVLEGAVVAGEGQGELEGSLLLAQEAQ
+GPVGPPESPCACS
+>Q6ZMR5_PF01390_49 <unknown description>
+EYYHGSFKILDPQINNNFGQSNTYQLKDLRETTENLVDEIFIDSAWKKNYIKNQVVRLTP
+EEDGVKVDVIMVFQFPSTEQRAVREKKIQSILNQKIRNLRALPI
+>Q6ZMR5_PF00089_189 <unknown description>
+SGVIAPKAAWPWQASLQYDNIHQCGATLISNTWLVTAAHCFQKYKNPHQWTVSFGTKINP
+PLMKRNVRRFIIHEKYRSAAREYDIAVVQVSSRVTFSDDIRQICLPEASASFQPNLTVHI
+TGFGALYYGGESQNDLREARVKIISDDVCKQPQVYGNDIKPGMFCAGYMEGIYDACRGDS
+GGPLVTRDLKDTWYLIGIVSWGDNCGQKDKPGVYTQVTYYRNWI
+>Q86T26_PF01390_45 <unknown description>
+YYYQGDFHISGVTYNDNCENAASQASTNLSKDIETKMLNAFQNSSIYKEYVKSEVIKLLP
+NANGSNVQLQLKFKFPPAEGVSMRTKIKAKLHQMLKNNM
+>Q86T26_PF00089_185 <unknown description>
+IVNGKSSLEGAWPWQASMQWKGRHYCGASLISSRWLLSAAHCFAKKNNSKDWTVNFGIVV
+NKPYMTRKVQNIIFHENYSSPGLHDDIALVQLAEEVSFTEYIRKICLPEAKMKLSENDNV
+VVTGWGTLYMNGSFPVILQEDFLKIIDNKICNASYAYSGFVTDTMLCAGFMSGEADACQN
+DSGGPLAYPDSRNIWHLVGIVSWGDGCGKKNKPGVYTRVTSYRNWI
+>O60235_PF01390_49 <unknown description>
+FYRSSFQLLNVEYNSQLNSPATQEYRTLSGRIESLITKTFKESNLRNQFIRAHVAKLRQD
+GSGVRADVVMKFQFTRNNNGASMKSRIESVLRQMLNNSGNLEIN
+>O60235_PF00089_187 <unknown description>
+ILGGTEAEEGSWPWQVSLRLNNAHHCGGSLINNMWILTAAHCFRSNSNPRDWIATSGIST
+TFPKLRMRVRNILIHNNYKSATHENDIALVRLENSVTFTKDIHSVCLPAATQNIPPGSTA
+YVTGWGAQEYAGHTVPELRQGQVRIISNDVCNAPHSYNGAILSGMLCAGVPQGGVDACQG
+DSGGPLVQEDSRRLWFIVGIVSWGDQCGLPDKPGVYTRVTAYLDWI
+>Q9UL52_PF01390_52 <unknown description>
+NYYSTLSFTTDKLYAEFGREASNNFTEMSQRLESMVKNAFYKSPLREEFVKSQVIKFSQQ
+KHGVLAHMLLICRFHSTEDPETVDKIVQLVLHEKLQDAVGPPKVD
+>Q9UL52_PF00089_192 <unknown description>
+IVGGTEVEEGEWPWQASLQWDGSHRCGATLINATWLVSAAHCFTTYKNPARWTASFGVTI
+KPSKMKRGLRRIIVHEKYKHPSHDYDISLAELSSPVPYTNAVHRVCLPDASYEFQPGDVM
+FVTGFGALKNDGYSQNHLRQAQVTLIDATTCNEPQAYNDAITPRMLCAGSLEGKTDACQG
+DSGGPLVSSDARDIWYLAGIVSWGDECAKPNKPGVYTRVTALRDWI
+>Q6ZWK6_PF01390_60 <unknown description>
+YYLASFKVTNIKYKENYGIRSSREFIERSHQIERMMSRIFRHSSVGGRFIKSHVIKLSPD
+EQGVDILIVLIFRYPSTDSAEQIKKKIEKALYQSLKTKQLSLTIN
+>Q6ZWK6_PF00089_209 <unknown description>
+GRETAMEGEWPWQASLQLIGSGHQCGASLISNTWLLTAAHCFWKNKDPTQWIATFGATIT
+PPAVKRNVRKIILHENYHRETNENDIALVQLSTGVEFSNIVQRVCLPDSSIKLPPKTSVF
+VTGFGSIVDDGPIQNTLRQARVETISTDVCNRKDVYDGLITPGMLCAGFMEGKIDACKGD
+SGGPLVYDNHDIWYIVGIVSWGQSCALPKKPGVYTRVTKYRDWI
+>Q9BTD3_PF14997_73 <unknown description>
+GYAMILWFLYIFVLEIKLYFIFQNYKAARRGAADPVARKALTLLLSVCVPGLFLLLVALD
+RMEYVRTFRKREDLRGRLFWVALDLLDLLDMQASLWEPPRSGLPLWAEGLTFFYCYMLLL
+VLPCVALSEVSMQGEHIAPQKMMLYPVLSLATVNVVAVLARAANMALFRDSRVSAIFVGK
+NVVALATKACTFLEY
+>Q96AQ2_PF15109_18 <unknown description>
+MLAEQVELWWSQQPRRSALCFVVAVGLVAGCGAGGVALLSTTSSRSGEWRLATGTVLCLL
+ALLVLVKQLMSSAVQDMNCIRQAHHVALLRSGGGADALVVLLSGLVLLVTG
+>A0AVI4_PF10272_17 <unknown description>
+VCFVFTPNEFHAAGLTVQNLLSGWLGSEDAAFVPFHLRRTAATLLCHSLLPLGYYVGMCL
+AASEKRLHALSQAPEAWRLFLLLAVTLPSIACILIYYWSRDRWACHPLARTLALYALPQS
+GWQAVASSVNTEFRRIDKFATGAPGARVIVTDTWVMKVTTYRVHVAQQQDVHLTVTESRQ
+HELSPDSNLPVQLLTIRVASTNPAVQAFDIWLNSTEYGELCEKLRAPIRRAAHVVIHQSL
+GDLFLETFASLVEVNPAYSVPSSQELEACIGCMQTRASVKLVKTCQEAATGECQQCYCRP
+MWCLTCMGKWFASRQDPLRPDTWLASRVPCPTCRARFCILDVCTV
+>Q92545_PF12371_109 <unknown description>
+RFEPPMLDFHEQPVGMPKMEKVYLHNPSSEETITLVSISATTSHFHASFFQNRKILPGGN
+TSFDVVFLARVVGNVENTLFINT
+>Q92545_PF19532_342 <unknown description>
+VLNLHLLNSGTKDVPITSVRPTPQNDAITVHFKPITLKASESKYTKVASISFDASKAKKP
+SQFSGKITVKAKEKSYSKLEIPYQAEVLDGYLGFDHAATLFHIRDSPADPVERPIYLTNT
+FSFAILIHDVLLPEEAKTMFKVHNFSKPVLILPNESGYIFTLLFMPSTSSMHIDNNILLI
+TNASKFHLPVRVYT
+>Q92545_PF19532_735 <unknown description>
+SKIANIYFDPGLQCGDHCYVGLPFLSKSEPKVQPGVAMQEDMWDADWDLHQSLFKGWTGI
+KENSGHRLSAIFEVNTDLQKNIISKITAELSWPSILSSPRHLKFPLTNTNCSSEEEITLE
+NPADVPVYVQFIPLALYSNPSVFVDKLVSRFNLSKVAKIDLRTLEFQVFRNSAHPLQSST
+GFMEGLSRHLILNLILKPGEKKSVKVKFTPVHNRTVSSLIIVRNNLTVMDAVMVQGQGTT
+ENLRVAGKLPGPGSSLRFKITEALLKDCTDSLKLREPNFTLKRTFKVENTGQLQIHIETI
+EISGYSCEGYGFKVVNCQEFTLSANASRDIIILFTPDFTASRVIRELKFITTSGSEFVFI
+LNASLPYHMLATCAEALPRPNWELALYIIISGIMSALFLLVIGTAYLEAQGIWEPFRRRL
+SFEASNPPFDVGRPFDLRRIVGISSEGNLNTLSCDPGHSRGFCGAGGSSSRPSAGSHKQC
+GPSVHPHSSHSNRNSADVENVRAKNSSSTSSRTSAQAASSQSANKTSPLVLDSNTVTQGH
+TAGRKSKGAKQSQHGSQHHAHSPLEQHPQPPLPPPVPQPQEPQPERLSPAPLAHPSHPER
+ASSARHSSEDSDITSLIEAMDKDFDHHDSPALEVFTEQPPSPLPKSKGKGKPLQRKVKPP
+KKQEEKEKKGKGKPQEDELKDSLADDDSSSTTTETSNPDTEPLLKEDTEKQKGKQAMPEK
+HESEMSQVKQKSKKLLNIKKEIPTDVKPSSLELPYTPPLESKQRRNLPSKIPLPTAMTSG
+SKSRNAQKTKGTSKLVDNRPPALAKFLPNSQELGNTSSSEGEKDSPPPEWDSVPVHKPGS
+STDSLYKLSLQTLNADIFLKQRQTSPTPASPSPPAAPCPFVARGSYSSIVNSSSSSDPKI
+KQPNGSKHKLTKAASLPGKNGNPTFAAVTAGYDKSPGGN
+>Q9H6X4_PF05915_57 <unknown description>
+YQNLENDEDGAQASPEPDGGVGTRDSSRTSIRSSQWSFSTISSSTQRSYNTCCSWTQHPL
+IQKNRRVVLASFLLLLLGLVLILVGVGLEATPSPGVSSAIFFVPGFLLLVPGVYHVIFIY
+CAVKGHRGFQFFYLPYF
+>Q86UB9_PF15982_9 <unknown description>
+PHNCYEIGHTWHPSCRVSFLQITGGALEESLKIYAPLYLIAAILRKRKLDYYLHKLLPEI
+LQSASFLTANGALYMAFFCILRKILGKFYSWTPGFGAALPASYVAILIERKSRRGLLTIY
+MANLATETLFRMGV
+>Q86UB9_PF02466_294 <unknown description>
+NKENFQLGAFLGSFVSIYKGTSCFLRWIRNLDDELHAIIAGFLAGIS
+>Q9NPI0_PF14935_38 <unknown description>
+QLVLFIIQDIAVLFNIIIIFLMFFNTFVFQAGLVNLLFHKFKGTIILTAVYFALSISLHV
+WVMNLRWKNSNSFIWTDGLQMLFVFQRLAAVLYCYFYKRTAVRLGDPHFYQDSLWLRK
+>Q9NV12_PF14985_7 <unknown description>
+RWRDQLLFMSIIVLVIVVICLMFYALLWEAGNLTDLPNLRIGFYNFCLWNEDTSTLQCHQ
+FPELEALGVPRVGLGLARLGVYGSLVLTLFAPQPLLLAQCNSDERAWRLAVGFLAVSSVL
+LAGGLGLFLSYVWKWVRLSLPGPGFLALGSAQALLILLLIAMAVFPLRAERAESKLESC
+>Q96I45_PF15110_6 <unknown description>
+LSRVDDAVAAKHPGLGEYAACQSHAFMKGVFTFVTGTGMAFGLQMFIQRKFPYPLQWSLL
+VAVVAGSVVSYGVTRVESEKCNNLWLFLETG
+>Q96AN5_PF12576_244 <unknown description>
+TKRGHLVLKSFKDTPLEGLEQLLPELKVRTPTLQRALLNLMLVVSGVAIFVNVGMVVLTD
+LKVATSLLLLLFAIFMGLRASKMFGQRRSAQALELAHMLYYRSTSNNS
+>Q7Z5S9_PF07857_9 <unknown description>
+TFGYISCFVAILLFGSNFVPLKKFDTGDGMFLQWVLCAAIWLVALVVNLILHCPKFWPFA
+MLGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNALTGWASSRFGWFGLDAEEVSNPLLN
+YIGAGLSVVSAFIFLFIKSEIPNNTCSMDTTPLITEHVINTTQDPCSWVDKLSTVHHRIV
+GCSLAVISGVLYGSTFVPIIYIKDHSKRNDSIYAGASQYDLDYVFAHFSGIFLTSTVYFL
+AYCIAMKNSPKLYPEAVLPGFLSGVLWAIATCCWFIANHSLSAVVSFPIITAGPGFIAAM
+WGIFMFKEIKGLQNYLLMILAFCIILTGALCTAFSK
+>Q8NBT3_PF10192_144 <unknown description>
+LQLEYEMVLTNGKSFWTRHFSADEFGILETDVTFLLIFILIFFLSCYFGYLLKGRQLLHT
+TYKMFMAAAGVEVLSLLFFCIYWGQYATDGIGNESVKILAKLLFSSSFLIFLLMLILLGK
+GFTVTRGRISHAGSVKLSVYMTLYTLTHVVLLIYEAEFFDPGQVLYTYESPAGYGLIGLQ
+VAAYVWFCYAVLVSLRHFPEKQPFYVPFFAAYTLWFFAVPVMALIANFGIPKWAREKIVN
+GIQLGIHLYAHGVF
+>Q9BVK8_PF09767_2 <unknown description>
+TLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTWE
+GGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATAELIMSRCIPLWV
+GARGIEFDWKYIQMSIDSNISLVHYIVASAQVWMITR
+>Q9Y6G1_PF03647_4 <unknown description>
+IGFGYAALVTFGSIFGYKRRGGVPSLIAGLFVGCLAGYGAYRVSNDKRDVKVSLFTAFFL
+ATIMGVRFKRSKKIMPAGLVAGLSLMMIL
+>Q9NUH8_PF03647_14 <unknown description>
+FGFGYTALVVSGGIVGYVKTGSVPSLAAGLLFGSLAGLGAYQLYQDPRNVWGFLAATSVT
+FVGVMGMRSYYYGKFMPVGLIAGASLLMAA
+>Q9P0S9_PF03647_13 <unknown description>
+FGFGYAALVASGGIIGYVKAGSVPSLAAGLLFGSLAGLGAYQLSQDPRNVWVFLATSGTL
+AGIMGMRFYHSGKFMPAGLIAGASLLMVA
+>Q6P9G4_PF15102_26 <unknown description>
+ELENSGDTTVESERPNKVTIPSTFAAVTIKETLNANINSTNFAPDENQLEFILMVLIPLI
+LLVLLLLSVVFLATYYKRKRTKQEPSSQGSQSALQTYELGSENVKVPIFEEDTPSVMEIE
+MEELDKWMNSMNRNADFECL
+>Q8N614_PF15106_39 <unknown description>
+EVCLQSNFTYSLSSLNFSFVTFLQPVRETQIIMRIFLNPSNFRNFTRTCQDITGEFKMCS
+SCLVCESKGNMDFISQEQTSKVLIRRGSMEVKANDFHSPCQHFNFSVAPLVDHLEEYNTT
+CHLKNHTGRSTIMEDEPSKEKSINYTCRIMEYPNDCIHISLHLEMDIKNITCSMKITWYI
+LVLLVFIFLIILTIRKILEGQRRVQKWQSHRDKPTSVLLRGSDSEK
+>Q5U3C3_PF14808_10 <unknown description>
+LDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHILRQTKEDGR
+GSPGSQPEQVTQRPEEGKESLSKNLLLVALCLTFGVEVGFKFATKTVIYLLNPCHLVTMM
+HIFLLACPPCRGAIVVFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQHVMLYVVPI
+YLLWKGGAYTPEPLSSFRWALLSTGLMFFYHFSVLQILGLVTEVNLNNMLCPAISDPFYG
+PWYRIWASGHQTLMTMTHGKLVIL
+>Q9HC07_PF01169_99 <unknown description>
+AAISVIIVSELGDKTFFIAAIMAMRYNRLTVLAGAMLALGLMTCLSVLFGYATTVIPRVY
+TYYVSTVLFAIFG
+>Q9HC07_PF01169_239 <unknown description>
+QALTLTFLAEWGDRSQLTTIVLAAREDPYGVAVGGTVGHCLCTGLAVIGGRMIAQKISVR
+TVTIIGGIVFLAFA
+>Q96HH4_PF15052_148 <unknown description>
+MACQMGADRGPHVVLWTLICLPVVFILSFVVSFYYGTITWYNIFLVYNEERTFWHKISYC
+PCLVLFYPVLIMAMASSLGLYAAVVQLSWSWEAWWQAARDMEKGFCGWLCSKLGLEDCSP
+YSIVELLES
+>Q8WVE6_PF15471_2 <unknown description>
+SPAAAAEPDGDQQDRHVSKLIFCFFVFGAVLLCVGVLLSIFGFQACQYKPLPDCPMVLKV
+AGPACAVVGLGAVILARSRAQLQLRAGLQRGQQMDPDRAFICGESRQFAQCLIFGFLFLT
+SGMLISVLGIWVPGCGSNWAQEPLNETDTGDSEPRMCGFLSLQIMGPLIVLVGLCFFVVA
+HVKKRNTLNAGQDASEREEGQIQIMEPVQVTVGDSVIIFPPPPPPYFPESSASAVAESPG
+TNSLLPNENPPSYYSIFNYGRTPTSEGAASERDCESIYTISGTNSSSEASHTPHLPSELP
+PRYEEKENAAATFLPLSS
+>Q8WUU8_PF15029_9 <unknown description>
+EDFPVNVFSVTPYTPSTADIQVSDDDKAGATLLFSGIFLGLVGITFTVMGWIKYQGVSHF
+EWTQLLGPVLLSVGVTFILIAVCKFKMLSCQLCKESEERVPDSEQTPGGPSFVFTGINQP
+ITFHGATVVQYIPPPYGSPEPMGINTSYLQSVVSPCGLITSGGAAAAMSSPPQYYTIYPQ
+DNSAFVVDEGCLSFTDGGNHRPNPDVDQLEETQLEEEACACFSPPPYEEIYS
+>Q9BSA9_PF06736_35 <unknown description>
+RMLSFSDALLSIIATVMILPVTHTEISPEQQFDRSVQRLLATRIAVYLMTFLIVTVAWAA
+HTRLFQVVGKTDDTLALLNLACMMTITFLP
+>Q9BSA9_PF06736_260 <unknown description>
+RVEAFSDGVYAIVATLLILDICEDNVPDPKDVKERFSGSLVAALSATGPRFLAYFGSFAT
+VGLLWFAHHSLFLHVRKATRAMGLLNTLSLAFVGGLP
+>Q6ZP80_PF13903_15 <unknown description>
+ALGVLLFLVAFGSDYWLLATEVGRCSGEKNIENVTFHHEGFFWRCWFNGIVEENDSNIWK
+FWYTNQPPSKNCTHAYLSPYPFMRGEHNSTSYDSAVIYRGFWAVLMLLGVVAVVIASFLI
+ICAAPFASHFLYKAGGGSYIAAGILFSLVVMLYVIWVQAVADMESYRNMKMKDCLDFTPS
+VLYGWSFFLAPAGIFFSLLAGLLFLV
+>Q96B77_PF06979_93 <unknown description>
+YSQGLLTLNTVCLMSGISGFALTMLCWMSYFLRRLVGILYLNESGTMLRVAHLNFWGWRQ
+DTYCPMADVIPLTETKDRPQEMFVRIQRYSGKQTFYVTLRYGRILDRERFTQVFG
+>Q14656_PF15100_9 <unknown description>
+FVHVAVAGGLCAVAVFTGIFDSVSVQVGYEHYAEAPVAGLPAFLAMPFNSLVNMAYTLLG
+LSWLHRGGAMGLGPRYLKDVFAAMALLYGPVQWLRLWTQWRRAAVLDQWLTLPIFAWPVA
+WCLYLDRGWRPWLFLSLECVSLASYGLALLHPQGFEVALGAHVVAAVGQALRTHRHYGST
+TSATYLALGVLSCLGFVVLKLCDHQLARWRLFQCLTGHFWSKVCDVLQFHFAFLFLT
+>Q8WZ59_PF15431_21 <unknown description>
+GNGIQGFFYPWSCEGDIWDRESCGGQAAIDSPNLCLRLRCCYRNGVCYHQRPDENVRRKH
+MWALVWTCSGLLLLSCSICLFWWAKRRDVLHMPGFLAGPCDMSKSVSLLSKHRGTKKTPS
+TGSVP
+>Q8IY95_PF14802_27 <unknown description>
+QLLPHHSLQAHFRPRFHPLPTVIIVNLLWFIHLVFVVLAFLTGVLCSYPNPNEDKCPGNY
+TNPLKVQTVIILGKVILWILHLLLECYIQYHHSKIRNRGYNLIYRSTRHLKRLALMIQSS
+GNTVLLLILCMQHSFPEPGRLYLDLILAILALELICSLICLLIYTVKIRRFNKAKPEPDI
+LEEEKIYAYPSNITSETGFRTISSLEEIVEKQGDTIEYLKRHNALLSKRLLAL
+>Q66K66_PF13886_41 <unknown description>
+VCIMCCLFGVVYCFFGYRCFKAVLFLTGLLFGSVVIFLLCYRERVLETQLSAGASAGIAL
+GIGLLCGLVAMLVRSVGLFLVGLLLGLLLAAAALLGSAPYYQPGSVWGPLGLLLGGGLLC
+ALLTLRWPRPLTTLATAVTGAALIATAADYFAELLLLGRYVVERLRAAPVPPLCWRSWAL
+LALWPLLSLMGVLVQW
+>Q8N511_PF11712_78 <unknown description>
+ELLEGSEIYLPEVVKPPRNPELVARLEKIKIQLANEEYKRITRNVTCQDTRHGGTLSDLG
+KQVRSLKALVITIFNFIVTVVAAFVCTYLGSQYIFTEMASRVLAALIVASVVGLAELYVM
+VRAME
+>O75674_PF00790_12 <unknown description>
+ATSVGHLIEKATFAGVQTEDWGQFMHICDIINTTQDGPKDAVKALKKRISKNYNHKEIQL
+TLSLIDMCVQNCGPSFQSLIVKKEFVKENLVKLLNPRYNLPLDIQNRILNFIKTWSQGFP
+GGVDVSEVKEVYLDLVKKG
+>O75674_PF03127_213 <unknown description>
+KMNVRVMSAILMENTPGSENHEDIELLQKLYKTGREMQERIMDLLVVVENEDVTVELIQV
+NEDLNNAILGYERFTRN
+>Q6ZVM7_PF00790_8 <unknown description>
+PFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLNGNRNYREV
+MLALTVLETCVKNCGHRFHILVANRDFIDSVLVKIISPKNNPPTIVQDKVLALIQAWADA
+FRSSPDLTGVVHIYEELKRKG
+>Q6ZVM7_PF03127_232 <unknown description>
+RGNTKVMSEMLTEMVPGQEDSSDLELLQELNRTCRAMQQRIVELISRVSNEEVTEELLHV
+NDDLNNVFLRYERFER
+>Q5SNT2_PF09779_46 <unknown description>
+VNCWFCNQDTLVPYGNRNCWDCPHCEQYNGFQENGDYNKPIPAQYLEHLNHVVSSAPSLR
+DPSQPQQWVSSQVLLCKRCNHHQTTKIKQLAAFAPREEGRYDEEVEVYRHHLEQMYKLCR
+PCQAAV
+>Q5SNT2_PF10476_191 <unknown description>
+FKRREADQTHAQNFSSAVKSPVQVILLRALAFLACAFLLTTALYGASGHFAPGTTVPLAL
+PPGGNGSATPDNGTTPGAEGWRQLLGLLPEHMAEKLCEAWAFGQSHQTGVVALGLLTCLL
+AMLLAGRIRLRRIDAFCTCLWALLLGLHLAEQHLQAASPSWLDTLKFSTTSLCCLVGFTA
+AVATRKATGPRRFRPRRFF
+>Q6UW68_PF13664_18 <unknown description>
+VLSGAWGMQMWVTFVSGFLLFRSLPRHTFGLVQSKLFPFYFHISMGCAFINLCILASQHA
+WAQLTFWEASQLYLLFLSLTLATVNARWLEPRTTAAM
+>Q9BTX3_PF05620_9 <unknown description>
+TRGKKQIFEENRETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWLALGFSLAVYGASY
+HSMSSMARAAFSEDGALMDGGMDLNMEQGMAEHLKDVILLTAIVQVLSCFSLYVWSFWLL
+APGRALYLLWVNVLGPWFTADSGTPAPEHNEKRQRRQERRQ
+>Q96SK2_PF09786_10 <unknown description>
+ASLIDRTIKMRKETEARKVVLAWGLLNVSMAGMIYTEMTGKLISSYYNVTYWPLWYIELA
+LASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQTLLGLKTAVVQTTPPHDLAATQIPPAP
+PSPSIQGQSVLSYSPSRSPSTSPKFTTSCMTGYSPQLQGLSSGGSGSYSPGVTYSPVSGY
+NKLASFSPSPPSPYPTTVGPVESSGLRSRYRSSPTVYNSPTDKEDYMTDLRTLDTFLRSE
+EEKQHRVKLGSPDSTSPSSSPTFWNYSRSMGDYAQTLKKFQYQLACRSQAPCANKDEADL
+SSKQAAEEVWARVAMNRQLLDHMDSWTAKFRNWINETILVPLVQEIESVSTQMRRMGCPE
+LQIGEASITSLKQAALVKAPLIPTLNTIVQYLDLTPNQEYLFERIKELSQGGCMSSFRWN
+RGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRLPPHPKYPDGKTFTSQHFVQTPNKPDVT
+NENVFCIYQSAINPPHYELIYQRHVYNLPKGRNNMFHTLLMFLYIIKTKESGMLGRVNLG
+LSGVNILWIF
+>A6NLX4_PF15195_32 <unknown description>
+TYCECSLGLSREALIALLVVLAGISASCFCALVIVAIGVLRAKGETCPRQVDNRLVENFG
+VQEDLMDLHPVYVESQLMDADLEVSLVPPLEDQSLVAIPMEASSEEPPPPPPLP
+>A2RRL7_PF15192_29 <unknown description>
+ASSSNSSSLTAHHPDPGTLEQCLNVDFCPQAARCCRTGVDEYGWIAAAVGWSLWFLTLIL
+LCVDKLMKLTPDEPKDLQA
+>Q6NUQ4_PF10151_9 <unknown description>
+GRWEVVKKGRRPGVGAGAGGRGGGRNRRALGEANGVWKYDLTPAIQTTSTLYERGFENIM
+KRQNKEQVPPPAVEPKKPGNKKQPKKVATPPNQNQKQGRFRSLEEALKALDVADLQKELD
+KSQSVFSGNPSIWLKDLASYLNYKLQAPLSEPTLSQHTHDYPYSLVSRELRGIIRGLLAK
+AAGSLELFFDHCLFTMLQELDKTPGESLHGYRICIQAILQDKPKIATANLGKFLELLRSH
+QSRPAKCLTIMWALGQAGFANLTEGLKVWLGIMLPVLGIKSLSPFAITYLDRLLLMHPNL
+TKGFGMIGPKDFFPLLDFAYMPNNSLTPSLQEQLCQLYPRLKVLAFGAKPDSTLHTYFPS
+FLSRATPSCPPEMKKELLSSLTECLTVDPLSASVWRQLYPKHLSQSSLLLEHLLSSWEQI
+PKKVQKSLQETIQSLKLTNQELLRKGSSNNQDVVTCDMACKGLLQQVQGPRLPWTRLLLL
+LLVFAVGFLCHDLRSHSSFQASLTGRLLRSSGFLPASQQACAKLYSYSLQGYSWLGETLP
+LWGSHLLTVVRPSLQLAWAHTNATVSFLSAHCASHLAWFGDSLTSLSQRLQIQLPDSVNQ
+LLRYLRELPLLFHQNVLLPLWHLLLEALAWAQEHCHEACRGEVTWDCMKTQLSEAVHWTW
+LCLQDITVAFLDWAL
+>Q68D42_PF15746_1 <unknown description>
+MRPDDINPRTGLVVALVSVFLVFGFMFTVSGMKGETLGNIPLLAIGPAICLPGIAAIALA
+RKTEGCTKWPENELLWVRKLPCFRKPKDKEVVELLRTPSDLESGKGSSDELAKKAGLRGK
+PPPQSQGEVSVASSINSPTPTEEGECQSLVQNGHQEETSRYLDGYCPSGSSLTYSALDVK
+CSARDRSECPEPEDSIFFVPQDSIIVCSYKQNSPYDRYCCYINQIQGRWDHETIV
+>Q9P0N5_PF09799_22 <unknown description>
+ILFFLNGWYNATYFLLELFIFLYKGVLLPYPTANLVLDVVMLLLYLGIEVIRLFFGTKGN
+LCQRKMPLSISVALTFPSAMMASYYLLLQTYVLRLEAIMNGILLFFC
+>Q8N7C4_PF15049_11 <unknown description>
+AKMGTVLSGVFTIMAVDMYLIFEQKHLGNGSCTEITPKYRGASNIINNFIICWSFKIVLF
+LSFITILISCFLLYSVYAQIFRGLVIYIVWIFFYETANVVIQILTNNDFDIKEVRIMRWF
+GLVSRTVMHCFWMFFVINYAHITYKNRSQGNIISYKRRIST
+>Q86XT9_PF14940_12 <unknown description>
+LCLAHHPPLVCATLILLLLGLSGLGLGSFLLTHRTGLRSPDIPQDWVSFLRSFGQLTLCP
+>Q6QAJ8_PF15071_16 <unknown description>
+FFALAALVQVNDPDAEVWVVVYTIPAVLTLLVGLNPEVTGNVIWKSISAIHILFCTVWAV
+GLASYLLHRTQQNILHEEEGRELSGLVIITAWIILCH
+>A6NGB7_PF15038_10 <unknown description>
+LAAMTLLGIAAAVLAALGAQLLFQLQAGRAELRGLRAEGLGQELGAGPGLPEDAAGTLLP
+LAAALAALVLVLGFTCLLLAALCGHLGAELARGPGPRRSDWFLYDCRLLRHVALGLFCCG
+ISVYLAALSIYALLLFEIETGAAAASILGSGTLVLVAVLTHTLLRAARAARRGLHE
+>Q9H0R3_PF05608_61 <unknown description>
+IPVLTWFFPIIGHMGICTSTGVIRDFAGPYFVSEDNMAFGKPAKYWKLDPA
+>Q9H0R3_PF05608_119 <unknown description>
+NAWDTAVHDASEEYKHRMHNLCCDNCHSHVALALNLMRYNNSTNWNMVTLCFFCLLYGKY
+>A0PJW6_PF06979_32 <unknown description>
+RDVLLFEHDRGRFFTILGLFCAGQGVFWASMAVAAVSRPPVPVQPLDAEVPNRGPFDLRS
+ALWRYGLAVGCGAIGALVLGAGLLFSLRSVRSVVLRAGGQQVTLTTHAPFGLGAHFTVPL
+KQVSCMAHRGEVPAMLPLKVKGRRFYFLLDKTGHFPNTKLFDNTVGA
+>Q96A57_PF05915_75 <unknown description>
+IPSSKVKYSRLSSTDDGYIDLQFKKTPPKIPYKAIALATVLFLIGAFLIIIGSLLLSGYI
+SKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDF
+>Q9H6L2_PF10149_1 <unknown description>
+MALYELFSHPVERSYRAGLCSKAALFLLLAAALTYIPPLLVAFRSHGFWLKRSSYEEQPT
+VRFQHQVLLVALLGPESDGFLAWSTFPAFNRLQGDRLRVPLVSTREEDRNQDGKTDMLHF
+KLELPLQSTEHVLGVQLILTFSYRLHRMATLVMQSMAFLQSSFPVPGSQLYVNGDLRLQQ
+KQPLSCGGLDARYNISVINGTSPFAYDYDLTHIVAAYQERNVTTVLNDPNPIWLVGRAAD
+APFVINAIIRYPVEVISYQPGFWEMVKFAWVQYVSILLIFLWVFERIKIFVFQNQVVTTI
+>C9JQI7_PF15877_42 <unknown description>
+RPTFSITKEFILRFNQTQNSKEKEELLELARKIILRCKRKLGLKTLGSGRHVHLPAAWTE
+VIYLAQCKGEIQDESLNMLYASLDHASFDYDHLPALFFVAESVLYRLCCDASLKTYLYSV
+EIKLAKIGYLVFLRLFIFFLHGHLESFKQHLLRLQPYLYALSFSGASYHKYPNIFSNVQF
+ILKASEIIGKRELRSESIFRPVEDKKRYENTDSDMGGYEINHLLWHCVAAWSCVQNNSPQ
+LNNVLEHLVFHKTQLQKKCWLDSVLALLVLGEAAKLNMACLKALMDVVRDFVSSIMSVQN
+QEESCKVDDFSWAWNVVYIYTVILAEICLYAATSDLRKTALIGFCHCKSSQKNILYLDKS
+VPPELKETSILSLLEYFSSKMSENCDQVVWTGYYGLVYNLVKISWELQGDEEQDGLRNMI
+WQTLQKTKDYEEDVRIQNAINIAQ
+>B4DJY2_PF04505_33 <unknown description>
+MPKNYLWLTIVSCFCPAYPINIVALVFSIMSLNSYNDGDYEGARRLGRNAKWVAIASIII
+GLLII
+>Q8WY98_PF10639_11 <unknown description>
+LVLVAALWGGTQPLLKRASAGLQRVHEPTWAQQLLQEMKTLFLNTEYLMPFLLNQCGSLL
+YYLTLASTDLTLAVPICNSLAIIFTLIVGKALGEDIGGKRAVAGMVLTVIGISLCI
+>A6NFC5_PF13903_16 <unknown description>
+LLSFALLAAAVASDYWYILEVADAGNGSAWPGRAELLSSHSGLWRICEGQNGCIPLVDPF
+ASESLDVSTSVQHLILLHRAVIVVLPLSLVLLVCGWICGLLSSLAQSVSLLLFTGCYFLL
+GSVLTLAGVSIYISYSHLAFAETVQQYGPQHMQGVRVSFGWSMALAWGSCALEAFSGTLL
+LS
+>Q96Q45_PF15383_141 <unknown description>
+YANELGVEDEDIITDEQTTVEQQSVFTAPTGISQPVGKVFVEKSRRFQAADRSELIKTTE
+NIDVSMDVKPSWTTRDVALTVHRAFRMIGLFSHGFLAGCAVWNIVVIYVLAGDQLSNLSN
+LLQQYKTLAYPFQSLLYLLLALSTISAFDRIDFAKISVAIRNFLALDPTALASFLYFTAL
+ILSLSQQMTSDRIHLYTPSSVNGSLWEAGIEEQILQPWIVVNLVVALLVGLSWLFLSYRP
+GMD
+>C9JI98_PF15125_32 <unknown description>
+GRCRMALLLAVALDVAGMAALLTGVFAQLQVRGRDFGDLLIYSGALLVFLSLLGWILWYT
+GNIEISRQE
+>Q8WW34_PF15841_2 <unknown description>
+MQQPRVETDTIGAGEGPQQAVPWSAWVTRHGWVRWWVSHMPPSWIQWWSTSNWRQPLQRL
+LWGLEGILYLLLALMLCHALFTTGSHLLSSLWPVVAAVWRHLLPALLLLVLSALPALLFT
+ASFLLLFSTLLSLVGLLTSMTHPGDTQDL
+>Q5SV17_PF15207_1 <unknown description>
+MSMSANTMIFMILGASVVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHIHY
+VIPYDGDQSVVDASENYFVTDSVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRA
+GRRYDGSWTWLPKLCSLRELGRRPHRPFEEAAGNMVHVKQKLYHNGHPSPRHL
+>Q9NWH2_PF07096_11 <unknown description>
+PASGLEAPGSTNDRLFLVKGGIFLGTVAAAGMLAGFITTLSLAKKKSPEWFNKGSMATAA
+LPESGSSLALRALGWGSLYAWCGVGVISFAVWKALGVHSMNDFRSKMQSIFPTI
+>Q9BU79_PF10856_1 <unknown description>
+MEDFATRTYGTSGLDNRPLFGETSAKDRIINLVVGSLTSLLILVTLISAFVFPQLPPKPL
+NIFFAVCISLSSITACILIYWYRQGDLEPKFRKLIYYIIFSIIMLCICANLYFHDVGR
+>Q9H330_PF01594_604 <unknown description>
+DIVSFVHENIETFLSILESLWIVMSRNVSLLFTTVTTLLTILFYSGTALLNFVLSLIIFL
+TTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRGVFDASLKMAGFYGL
+YTWLTHTMFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAILLLIFH
+LLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVASNIY
+>Q9NWD8_PF14940_10 <unknown description>
+LKVYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLLRFNDLDLCV
+SENETLKHLTNDTTTPESTMTSGQARASTQSPQALEDSGPVNISVSITLTLDPLKPFGGY
+SRNVTHLYSTILGHQIGLSGREAHEEINITFTLPTAWSSDDCALHGHCEQVVFTACMTLT
+ASPGVFPVTVQPPHCVPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYKGAIGKVYHA
+LNPKLTVI
+>Q2WGJ8_PF15158_27 <unknown description>
+CPERHLARRLKNNSFYPFVQQEPNVFVLEYYLDTLWKGMLLFIISVVLVSFSSLREVQKQ
+ETWVFLVYGVGVGLWLVISSLPRRRLVLNHTRGVYHFSIQGRTVCQGPLHLVYVRLALSS
+DAHGRCFFHLVLGGHRLEPLVLVQLSEHYEQMEYLGRYIARKLNINYFDYLATSYRHVVR
+HWP
+>H0YL14_PF17685_1 <unknown description>
+MPVMPIPRRVRSFHGPHTTCLHAACGPVRASHLARTKYNNFDVYIKTRWLYGFIRFLLYF
+SCSLFTAALWGALAALFCLQYLGVRVLLRFQRKLSVLLLLLGRRRVDFRLVNELLVYGIH
+VTMLLVGGLGWCFMVFVDM
+>Q8N6L7_PF15664_29 <unknown description>
+SWGSIFDCQGSLIAAYLLLPLGFVILLSGIFWSNYRQVTESKGVLRHMLRQHLAHGALPV
+ATVDRPDFYPPAYEESLEVEKQSCPAEREASGIPPPLYTETGLEFQDGNDSHPEAPPSYR
+ESIAGLVVTAISEDAQRR
+>Q8TBM7_PF14934_25 <unknown description>
+FGYYTWVVFWPQSIPYQNLGPLGPFTQYLVDHHHTLLCNGYWLAWLIHVGESLYAIVLCK
+HKGITSGRAQLLWFLQTFFFGIASLTILI
+>Q8N2U0_PF04241_23 <unknown description>
+ASYGAHGAQFPDAYGKELFDKANKHHFLHSLALLGVPHCRKPLWAGLLLASGTTLFCTSF
+YYQALSGDPSIQTLAPAGG
+>P61165_PF05251_7 <unknown description>
+SRYTSPVNPAVFPHLTVVLLAIGMFFTAWFFVYEVTSTKYTRDIYKELLISLVASLFMGF
+GVLFLLLWVGIYV
+>Q9NX78_PF11028_52 <unknown description>
+DSGELITAAHELGVAHPPGYPLFTLVAKLAITLFPFGSIAYRVNLLCGLFGAVAASLLFF
+TVFRLSGSSAGGILAAGVFSFSRLTWQWSIAAEVFSLNNLFVGLLMALTVHFEEAATAKE
+RSKVAKIGAFCCGLSLCNQHTIILYVLCIIPWILFQLLKK
+>Q8WUH6_PF15475_25 <unknown description>
+KDHPQQQPGMLSRVTGGIFSVTKGAVGATIGGVAWIGGKSLEVTKTAVTTVPSMGIGLVK
+GGVSAVAGGVTAVGSAVVNKVPLTGKKKDKS
+>A0A087WTH1_PF04505_33 <unknown description>
+LAATSIICGCSCLGVMALVFAIKAEERHKAGRSEEAVRWGARARKLILASFAVWLAVLIL
+>Q5VZI3_PF14800_38 <unknown description>
+HNGQVLTVLRIDNTCAPISFDLGAAEEQLQTWGIQVPADQYRSLAESALLEPQVRRYIIY
+NSRPMRLAFAVVFYVVVWANIYSTSQMFALGNHWAGMLLVTLAAVSLTLTLVLVFERHQK
+KANTNTDLRLAAANGALLRHRVLLGVTDTVEGCQSVIQLWFVYFDLENCVQFLSDHVQEM
+KTSQESLLRSRLSQLCVVMETGVSPATAEGPENLEDAPLLPGNSCPNERPLMQTELHQLV
+PEAEPEEMARQLLAVFGGYYIRLLVTSQLPQAMGTRHTNSPRIPCPCQLIE
+>Q6UE05_PF15164_1 <unknown description>
+MEALPPVRSSLLGILLQVTRLSVLLVQNRDHLYNFLLLKINLFNHWVSGLAQEARGSCNW
+QAHLPLGAAACPLGQALWAGLALIQVPVWLVLQGPRLMWAGMWGSTKGLGLALLSAWEQL
+GLSVAIWTDLFLSCLHGLMLVALLLVVVTWRVCQKSHCFRLGRQLSKALQVNCVVRKLLV
+QLRRLYWWVETMTALTSWHLAYLITWTTCLASHLLQAAFEHTTQLAEAQEVEPQEVSGSS
+LLPSLSASSDSESGTVLPEQETPRE
+>Q5T292_PF14986_15 <unknown description>
+DVGGAQVLATGKTPGAEIDFKYALIGTAVGVAISAGFLALKICMIRRHLFDDDSSDLKST
+>Q9BX74_PF05154_118 <unknown description>
+GYSYKVAVALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFI
+>Q9BX73_PF05154_148 <unknown description>
+HYFITTLLYSFFLGCFGVDRFCLGHTGTAVGKLLTLGGLGIWWFVDLI
+>Q9BRN9_PF05154_184 <unknown description>
+YKWSTALALSITLGGFGADRFYLGQWREGLGKLFSFGGLGIWTLIDV
+>Q8NCS4_PF13564_7 <unknown description>
+VLRVLLGGFFALVGLAKLSEEISAPVSERMNALFVQFAEVFPLKVFGYQPDPLNYQIAVG
+FLELLAGLLLVMGPPMLQEISNLFLILLMMGAIFTLAALKESLSTCIPAIVCL
+>Q9H6F2_PF05197_41 <unknown description>
+PGAVELSRRHPIASWLCAMLHCFGSYILADLLLGEPLIDYFSNNSSILLASAVWYLIFFC
+PLDLFYKCVCFLPVKLIFVAMKEVVRVRKIAVGIHHAHHHYHHGWFVMIATGWVKGSGVA
+LMSNFEQLLRGVWKPETNEILHMSFPTKASLYGAILFTLQQTRWLPVSKASLIFIFTLFM
+VSCKVFLTAT
+>Q9NVV0_PF05197_36 <unknown description>
+QPGAAALAWKNPISSWFTAMLHCFGGGILSCLLLAEPPLKFLANHTNILLASSIWYITFF
+CPHDLVSQGYSYLPVQLLASGMKEVTRTWKIVGGVTHANSYYKNGWIVMIAIGWARGAGG
+TIITNFERLVKGDWKPEGDEWLKMSYPAKVTLLGSVIFTFQHTQHLAISKHNLMFLYTIF
+IVATKITMMTT
+>Q9NV64_PF10271_43 <unknown description>
+IGLPVPPITALITPGPVRHCQIPDLPVDGSLLFEFLFFIYLLVALFIQYINIYKTVWWYP
+YNHPASCTSLNFHLIDYHLAAFITVMLARRLVWALISEATKAGAASMIHYMVLISARLVL
+LTLCGWVLCWTLVNLFRSHSVLNLLFLGYPFGVYVPLCCFHQDSRAHLLLTDYNYVVQHE
+AVEESASTVGGLAKSKDFLSLLLESLKEQFNNATPIPTHSCPLSPDLIRNEVECLKADFN
+HRIKEVLFNSLFSAYYVAFLPLCFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKY
+CDLLHKSAAHLGKWQKLEHGSYSNAPQHIWSENTIWPQGVLVRHSRCLYRAMGPYNVAVP
+SDVSHARFYFLFHRPLRLLNLLILIEGSVVFYQLYSLLRSEKWNHTLSMALILFCNYYVL
+FKLLRDRIVLGRAYS
+>Q9GZU3_PF10271_49 <unknown description>
+TGLSSPPLATQTVVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPP
+SHPPSHTSLNFHLIDFNLLMVTTIVLGRRFIGSIVKEASQRGKVSLFRSILLFLTRFTVL
+TATGWSLCRSLIHLFRTYSFLNLLFLCYPFGMYIPFLQLNCDLRKTSLFNHMASMGPREA
+VSGLAKSRDYLLTLRETWKQHTRQLYGPDAMPTHACCLSPSLIRSEVEFLKMDFNWRMKE
+VLVSSMLSAYYVAFVPVWFVKNTHYYDKRWSCELFLLVSISTSVILMQHLLPASYCDLLH
+KAAAHLGCWQKVDPALCSNVLQHPWTEECMWPQGVLVKHSKNVYKAVGHYNVAIPSDVSH
+FRFHFFFSKPLRILNILLLLEGAVIVYQLYSLMSSEKWHQTISLALILFSNYYAFFKLLR
+DRLVLGKAYS
+>Q969M1_PF01459_26 <unknown description>
+NPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHFQVAHTIHMSALGLPGYHLHAAYAGD
+WQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERLRAKAVFQTQQAKFLTWQFDGEYRGDD
+YTATLTLGNPDLIGESVIMVAHFLQSLTHRLVLGGELVYHRRPGEEGAILTLAGKYSAVH
+WVATLNVGSGGAHASYYHRANEQVQVGVEFEANTRLQDTTFSFGYHLTLPQANMVFRGLV
+DSNWCVGAVLEKKMPPLPVTLALGAFLNHWRNRFHCG
+>Q96HV5_PF09335_85 <unknown description>
+IPGSSFLNVLAGALFGPWLGLLLCCVLTSVGATCCYLLSSIFGKQLVVSYFPDKVALLQR
+KVEENRNSLFFFLLFLRLFPMTPNWFLNLSAPILNIPIVQFFFSVLIGLIPYNFICVQTG
+S
+>Q5BJD5_PF09335_129 <unknown description>
+IPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLTEKAVKWSQ
+QVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPPSFVAIKAG
+T
+>Q9NWC5_PF04819_121 <unknown description>
+SLTKLMLSNALFVEAFIFYNHTHGREMLDIFVHQLLVLVVFLTGLVAFLEFLVRNNVLLE
+LLRSSLILLQGSWFFQIGFVLYPPSGGPAWDLMDHENILFLTICFCWHYAVTIVIVGMNY
+A
+>Q96B21_PF04819_115 <unknown description>
+GVDRLVMAVAVFMEGFLFYYHVHNRPPLDQHIHSLLLYALFGGCVSISLEVIFRDHIVLE
+LFRTSLIILQGTWFWQIGFVLFPPFGTPEWDQKDDANLMFITMCFCWHYLAALSIVAVNY
+>O95807_PF05255_9 <unknown description>
+RCSECIDWGEKRNTIASIAAGVLFFTGWWIIIDAAVIYPTMKDFNHSYHACGVIATIAFL
+MINAVSNGQVRGDSYSEGCLGQTGARIWLFVGFMLAFGSLIASMWILFGGYVAKEKDIVY
+PGIAVFFQNAFIFFGGLVFKFGRTEDLW
+>P56557_PF05255_6 <unknown description>
+DNFRWPECECIDWSERRNAVASVVAGILFFTGWWIMIDAAVVYPKPEQLNHAFHTCGVFS
+TLAFFMINAVSNAQVRGDSYESGCLGRTGARVWLFIGFMLMFGSLIASMWILFGAYVTQN
+TDVYPGLAVFFQNALIFFSTLIYKFGRTEELW
+>Q4KMG9_PF14979_26 <unknown description>
+ENCGNPEHCLTTDWVHLWYIWLLVVIGALLLLCGLTSLCFRCCCLSRQQNGEDGGPPPCE
+VTVIAFDHDSTLQSTITSLQSVFGPAARRILAVAHSHSSLGQLPSSLDTLPGYEEALHMS
+RFTVAMCGQKAPDLPPVP
+>Q9UK28_PF12280_79 <unknown description>
+RGCRLFSICRFVARSSKPNATQTECEAACVEAYVKEAEQQACSHGCWSQPAEPEPEQKRK
+VLEAPSGALSLLDLFSTLCNDLVNSAQGFVSSTWTYYLQTDNGKVVVFQTQPIVESLGFQ
+GGRLQRVEVTWRGSHPEALEVHVDPVGPLDKVRKAKIRVKTSSKAKVESEEPQDNDFLSC
+MSRRSGLPRWILACCLFLSVLVMLWL
+>Q9BZW5_PF05241_245 <unknown description>
+LCRLYTQFQEPYLKDPAAYPKIQMLAYMFYSVPYFVTALYGLVVPGCSWMPDITLIHAGG
+LAQAQFSHIGASLHARTAYVYRVPEEAKILFLALNIAYGVLPQLLAYRC
+>Q9NS93_PF13886_296 <unknown description>
+VFFTLFALLGFFICFFGHRFWKTELFFIGFIIMGFFFYILITRLTPIKYDVNLILTAVTG
+SVGGMFLVAVWWRFGILSICMLCVGLVLGFLISSVTFFTPLGNLKIFHDDGVFWVTFSCI
+AILIPVVFMGCLRILNILTCGVIGSYSVVLAIDSYWSTSLSYITLNVLKRALNKDFHRAF
+TNVPFQTNDFIILAVWGMLAVSGITLQI
+>Q8N2M4_PF07947_39 <unknown description>
+STLIKCLPIFCLWLFLLAHGLGFLLAHPSATRIFVGLVFSAVGDAFLIWQDQGYFVHGLL
+MFAVTHMFYASAFGMQPLALRTGLVMAALSGLCYALLYPCLSGAFTYLVGVYVALIGFMG
+WRAMAGLRLAGADWRWTELAAGSGALFFIISDLTIALNKFCFPVPYSRALIMSTYYVAQM
+LVALS
+>Q8N661_PF07947_49 <unknown description>
+AALVKCLPVLCLAGFLWVMSPSGGYTQLLQGALVCSAVGDACLIWPAAFVPGMAAFATAH
+LLYVWAFGFSPLQPGLLLLIILAPGPYLSLVLQHLEPDMVLPVAAYGLILMAMLWRGLAQ
+GGSAGWGALLFTLSDGVLAWDTFAQPLPHAHLVIMTTYYAAQLLITLS
+>Q8NBN3_PF06814_196 <unknown description>
+SSKENSLSNLFTMTVEVKGPYEYLTLEDYPLMIFFMVMCIVYVLFGVLWLAWSACYWRDL
+LRIQFWIGAVIFLGMLEKAVFYAEFQNIRYKGESVQGALILAELLSAVKRSLARTLVIIV
+SLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGAQTDLASLAFIPLAFLDTALC
+WWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAASIVFIIWTTMKFRIVTCQSDW
+RELWVDDAIWRLLFSMILFVIMVLWRPSANNQ
+>Q96K49_PF06814_174 <unknown description>
+QKDGFHIFIVSIKTENTDASWNLNVSLSMIGPHGYISASDWPLMIFYMVMCIVYILYGIL
+WLTWSACYWKDILRIQFWIAAVIFLGMLEKAVFYSEYQNISNTGLSTQGLLIFAELISAI
+KRTLARLLVIIVSLGYGIVKPRLGTVMHRVIGLGLLYLIFAAVEGVMRVIGGSNHLAVVL
+DDIILAVIDSIFVWFIFISLAQTMKTLRLRKNTVKFSLYRHFKNTLIFAVLASIVFMGWT
+TKTFRIAKCQSDWMERWVDDAFWSFLFSLILIVIMFLWRPSANNQ
+>O15321_PF02990_58 <unknown description>
+TYHYYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVE
+QLRQAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFA
+NVSVRDVKPHSLDGLRPDEFLGLTHTYSVRWSETSVERRSDRRRGDDGGFFPRTLEIHWL
+SIINSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETTSAGSGDDFDQGDNGWKIIHTDV
+FRFPPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISG
+YVSSHFYRQIGGERWVWNIILTTSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLT
+VWLLVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSA
+ISVELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVL
+SVGSTGLFIFLYSVFYYARRSNMSG
+>Q99805_PF02990_75 <unknown description>
+PYEYTAFDFCQASEGKRPSENLGQVLFGERIEPSPYKFTFNKKETCKLVCTKTYHTEKAE
+DKQKLEFLKKSMLLNYQHHWIVDNMPVTWCYDVEDGQRFCNPGFPIGCYITDKGHAKDAC
+VISSDFHERDTFYIFNHVDIKIYYHVVETGSMGARLVAAKLEPKSFKHTHIDKPDCSGPP
+MDISNKASGEIKIAYTYSVSFEEDDKIRWASRWDYILESMPHTHIQWFSIMNSLVIVLFL
+SGMVAMIMLRTLHKDIARYNQMDSTEDAQEEFGWKLVHGDIFRPPRKGMLLSVFLGSGTQ
+ILIMTFVTLFFACLGFLSPANRGALMTCAVVLWVLLGTPAGYVAARFYKSFGGEKWKTNV
+LLTSFLCPGIVFADFFIMNLILWGEGSSAAIPFGTLVAILALWFCISVPLTFIGAYFGFK
+KNAIEHPVRTNQIPRQIPEQSFYTKPLPGIIMGGILPFGCIFIQLFFILNSIWSHQMYYM
+FGFLFLVFIILVITCSEATILLCYFHLCAEDYHWQWRSFLTSGFTAVYFLIYAVHYFFSK
+LQITG
+>Q9HD45_PF02990_57 <unknown description>
+TYKYFSLPFCVGSKKSISHYHETLGEALQGVELEFSGLDIKFKDDVMPATYCEIDLDKEK
+RDAFVYAIKNHYWYQMYIDDLPIWGIVGEADENGEDYYLWTYKKLEIGFNGNRIVDVNLT
+SEGKVKLVPNTKIQMSYSVKWKKSDVKFEDRFDKYLDPSFFQHRIHWFSIFNSFMMVIFL
+VGLVSMILMRTLRKDYARYSKEEEMDDMDRDLGDEYGWKQVHGDVFRPSSHPLIFSSLIG
+SGCQIFAVSLIVIIVAMIEDLYTERGSMLSTAIFVYAATSPVNGYFGGSLYARQGGRRWI
+KQMFIGAFLIPAMVCGTAFFINFIAIYYHASRAIPFGTMVAVCCICFFVILPLNLVGTIL
+GRNLSGQPNFPCRVNAVPRPIPEKKWFMEPAVIVCLGGILPFGSIFIEMYFIFTSFWAYK
+IYYVYGFMMLVLVILCIVTVCVTIVCTYFLLNAEDYRWQWTSFLSAASTAIYVYMYSFYY
+YFFKTKMYG
+>Q92544_PF02990_55 <unknown description>
+PYEYYSLPFCQPSKITYKAENLGEVLRGDRIVNTPFQVLMNSEKKCEVLCSQSNKPVTLT
+VEQSRLVAERITEDYYVHLIADNLPVATRLELYSNRDSDDKKKEKDVQFEHGYRLGFTDV
+NKIYLHNHLSFILYYHREDMEEDQEHTYRVVRFEVIPQSIRLEDLKADEKSSCTLPEGTN
+SSPQEIDPTKENQLYFTYSVHWEESDIKWASRWDTYLTMSDVQIHWFSIINSVVVVFFLS
+GILSMIIIRTLRKDIANYNKEDDIEDTMEESGWKLVHGDVFRPPQYPMILSSLLGSGIQL
+FCMILIVIFVAMLGMLSPSSRGALMTTACFLFMFMGVFGGFSAGRLYRTLKGHRWKKGAF
+CTATLYPGVVFGICFVLNCFIWGKHSSGAVPFPTMVALLCMWFGISLPLVYLGYYFGFRK
+QPYDNPVRTNQIPRQIPEQRWYMNRFVGILMAGILPFGAMFIELFFIFSAIWENQFYYLF
+GFLFLVFIILVVSCSQISIVMVYFQLCAEDYRWWWRNFLVSGGSAFYVLVYAIFYFVNKL
+DIVE
+>Q96EY4_PF11176_14 <unknown description>
+KKVIHPYSRKAAQITREAHKQEKKEKLKNEKALRLNLVGEKLQWFQNHLDPQKKRYSKKD
+ACELIERYLNRFSSELEQIELHNSIRDRQGRRHCSRETVIKQTMERERQQFEGYGLEIPD
+ILNASNLKTFREWDFDLKKLPNIKMRKIC
+>Q9Y2S6_PF09072_3 <unknown description>
+GREGGKKKPLKQPKKQAKEMDEEDKAFKQKQKEEQKKLEELKAKAAGKGPLATGGIKKSG
+KK
+>Q8TDI8_PF07810_515 <unknown description>
+CWETMVGQEFVRLTVSDVLTTYVTILIGDFLRACFVRFCNYCWCWDLEYGYPSYTEFDIS
+GNVLALIFNQGMIWMGSFFAPSLPGINILRLHTSMYFQCWAVMCCNVPEARVFKAS
+>Q8TDI7_PF07810_574 <unknown description>
+CWETAVGIEFMRLTVSDMLVTYITILLGDFLRACFVRFMNYCWCWDLEAGFPSYAEFDIS
+GNVLGLIFNQGMIWMGSFYAPGLVGINVLRLLTSMYFQCWAVMSSNVPHERVFKAS
+>Q7Z5M5_PF07810_499 <unknown description>
+CWETYVGQEMLKLSIIDMLFTVASILLIDFFRGLFVRYLSDYWCWDLESKFPEYGEFKIA
+ENVLHLVYNQGMIWMGAFFSPCLPAFNVLKLIGLMYLRSWAVLTCNVPHQQVFRAS
+>Q8N6Q1_PF14992_14 <unknown description>
+ISLNMDLERDTQRIDEANQKLLLKIQEREDKIQRLESEIIQTRGLVEDEEWEKENRTTME
+RERALQELEEETARLERKNKTLVHSITELQQKLTRKSQKITNCEQSSPDGALEETKVKLQ
+QLEASYACQEKELLKVMKEYAFVTQLCEDQALYIKKYQETLKKIEEELEALFLEREVSKL
+VSMNPVEKEHTSQNNEGTPTQKTARLFSKKIFCCLFFITLFFIRLLSYMFFHVRFINPDL
+LVNVLPKVLGRSTLWKLRCFFFPSLTLETEDMLP
+>Q6UXY8_PF07810_724 <unknown description>
+CWETLIGQDIYRLLLMDFVFSLVNSFLGEFLRRIIGMQLITSLGLQEFDIARNVLELIYA
+QTLVWIGIFFCPLLPFIQMIMLFIMFYSKNISLMMNFQPPSKAWRAS
+>Q7Z403_PF07810_540 <unknown description>
+CWEDFVGQELYRFLVMDFVLMLLDTLFGELVWRIISEKKLKRRRKPEFDIARNVLELIYG
+QTLTWLGVLFSPLLPAVQIIKLLLVFYVKKTSLLANCQAPRRPWLAS
+>Q7Z402_PF07810_486 <unknown description>
+CWETQVGQEMYKLMIFDFIIILAVTLFVDFPRKLLVTYCSSCKLIQCWGQQEFAIPDNVL
+GIVYGQTICWIGAFFSPLLPAIATLKFIIIFYVKEWSLLYTCRPSPRPFRAS
+>Q8IU68_PF07810_418 <unknown description>
+CWENSVGEELYKLSIFNFLLTVAFAFLVTLPRRLLVDRFSGRFWAWLEREEFLVPKNVLD
+IVAGQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLKNSRASSRPFRAS
+>O94876_PF10267_231 <unknown description>
+KAAIAHLQQKILKLTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQ
+TILQLQKKLEHYHRKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGG
+FSSFSQATHSAAGAVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDAGKALGVISN
+FQSSPKYGSEEDCSSATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEIQEIR
+ETQARLEESFETLKEHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQEL
+ASMEEKIAYQSYERARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLI
+NILLAVMAVLLVFVSTVANCVVPLMKTRNRTFSTLFLVVFIAFLWKHW
+>O75069_PF10267_286 <unknown description>
+KAAIDHLHQKILKITEQIKIEQEARDDNVAEYLKLANNADKQQVSRIKQVFEKKNQKSAQ
+TIAQLHKKLEHYRRRLKEIEQNGPSRQPKDVLRDMQQGLKDVGANVRAGISGFGGGVVEG
+VKGSLSGLSQATHTAVVSKPREFASLIRNKFGSADNIAHLKDPLEDGPPEEAARALSGSA
+TLVSSPKYGSDDECSSASASSAGAGSNSGAGPGGALGSPKSNALYGAPGNLDALLEELRE
+IKEGQSHLEDSMEDLKTQLQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQ
+ELASMEEKVAYQSYERARDIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGK
+FINVILALMAVLLVFVSTIANFITPLMKTRLRITSTTLLVLVLFLLWKHW
+>Q9ULS5_PF10267_67 <unknown description>
+TADSLKQKILKVTEQIKIEQTSRDGNVAEYLKLVNNADKQQAGRIKQVFEKKNQKSAHSI
+AQLQKKLEQYHRKLREIEQNGASRSSKDISKDHLKDIHRSLKDAHVKSRTAPHCMESSKS
+GMPGVSLTPPVFVFNKSREFANLIRNKFGSADNIAHLKNSLEEFRPEASARAYGGSATIV
+NKPKYGSDDECSSGTSGSADSNGNQSFGAGGASTLDSQGKLAVILEELREIKDTQAQLAE
+DIEALKVQFKREYGFISQTLQEERYRYERLEDQLHDLTDLHQHETANLKQELASIEEKVA
+YQAYERSRDIQEALESCQTRISKLELHQQEQQALQTDTVNAKVLLGRCINVILAFMTVIL
+VCVSTIAKFVSPMMKSRCHILGTFFAVTLLAIFCKNW
+>Q9UM00_PF01956_8 <unknown description>
+TLLIVFISVCTALLAEGITWVLVYRTDKYKRLKAEVEKQSKKLEKKKETITESAGRQQKK
+KIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFTPLSYIQG
+LSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLA
+>Q7Z6W1_PF15844_9 <unknown description>
+WDNLLESLSLSTVWNWIQASFLGETSAPQQTSLGLLDNLAPAVQIILRISFLILLGIGIY
+ALWKRSIQSIQKTLLFVITLYKLYKKGSHIFEALLANPEGSGLRIQDNNNLFLSLGLQEK
+ILKKLKTVENKMKNLEGIIVAQKPATKRDCSSEPYCSCSDCQSPLSTSGFT
+>Q6UWJ1_PF00999_274 <unknown description>
+IVTIGMLSLPCGWLCTAIGLPTMFGYIICGVLLGPSGLNSIKSIVQVETLGEFGVFFTLF
+LVGLEFSPEKLRKVWKISLQGPCYMTLLMIAFGLLWGHLLRIKPTQSVFISTCLSLSSTP
+LVSRFLMGSARGDKEGDIDYSTVLLGMLVTQDVQLGLFMAVMPTLIQAGASASSSIVVEV
+LRILVLIGQILFSLAAVFLLCLVIKKYLIGPYYRKLHMESKGNKEILILGISAFIFLMLT
+VTELLDVSMELGCFLAGALVSSQGPVVTEEIATSIEPIRDFLAIVFFASIGLHVFPTFVA
+YELTVLVFLTLSVVVMKFLLAALVLSLILPRSSQYIKWIVSAGLAQVSEFSFVLGSRARR
+AGVISREVYLLILSVTTLSLLLAPVLW
+>Q5TGY1_PF05277_185 <unknown description>
+RKWKRYLLIGLATVGGGTVIGVTGGLAAPLVAAGAATIIGSAGAAALGSAAGIAIMTSLF
+GAAGAGLTGYKMKKRVGAIEEFTFLPLTEGRQLHITIAVTGWLASGKYRTFSAPWAALAH
+SREQYCLAWEAKYLMELGNALETILSGLANMVAQEALKYTVLSGIVAALTWPASLLSVAN
+VIDNPWGVCLHRSAEVGKHLAHILLSRQQGRRPVTLIGFSLGARVIYFCLQEMAQEKDCQ
+GIIEDVILLGAPVEGEAKHWEPFRKVVSGRIINGYCRGDWLLSFVYRTSSVQLRVAGLQP
+VLLQDRRVENVDLTSVVSGHLDYAKQMDAILKAVGI
+>Q13445_PF01105_34 <unknown description>
+FTFLLPAGRKQCFYQSAPANASLETEYQVIGGAGLDVDFTLESPQGVLLVSESRKADGVH
+TVEPTEAGDYKLCFDNSFSTISEKLVFFELIFDSLQDDEEVEGWAEAVEPEEMLDVKMED
+IKESIETMRTRLERSIQMLTLLRAFEARDRNLQEGNLERVNFWSAVNVAVLLLVAVLQVC
+TLKRFFQ
+>Q15363_PF01105_23 <unknown description>
+VSIDAHAEECFFERVTSGTKMGLIFEVAEGGFLDIDVEITGPDNKGIYKGDRESSGKYTF
+AAHMDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQDMETEAHQNKLEEMINELAVAMT
+AVKHEQEYMEVRERIHRAINDNTNSRVVLWSFFEALVLVAMTLGQIYYLKRFFE
+>Q9Y3Q3_PF01105_29 <unknown description>
+LTFELPDNAKQCFHEEVEQGVKFSLDYQVITGGHYDVDCYVEDPQGNTIYRETKKQYDSF
+TYRAEVKGVYQFCFSNEFSTFSHKTVYFDFQVGDEPPILPDMGNRVTALTQMESACVTIH
+EALKTVIDSQTHYRLREAQDRARAEDLNSRVSYWSVGETIALFVVSFSQVLLLKSFF
+>Q7Z7H5_PF01105_30 <unknown description>
+LYFHIGETEKRCFIEEIPDETMVIGNYRTQMWDKQKEVFLPSTPGLGMHVEVKDPDGKVV
+LSRQYGSEGRFTFTSHTPGDHQICLHSNSTRMALFAGGKLRVHLDIQVGEHANNYPEIAA
+KDKLTELQLRARQLLDQVEQIQKEQDYQRYREERFRLTSESTNQRVLWWSIAQTVILILT
+GIWQMRHLKSFFE
+>Q9Y3A6_PF01105_36 <unknown description>
+FTFTLPAGQKECFYQPMPLKASLEIEYQVLDGAGLDIDFHLASPEGKTLVFEQRKSDGVH
+TVETEVGDYMFCFDNTFSTISEKVIFFELILDNMGEQAQEQEDWKKYITGTDILDMKLED
+ILESINSIKSRLSKSGHIQTLLRAFEARDRNIQESNFDRVNFWSMVNLVVMVVVSAIQVY
+MLKSLFE
+>Q8WW62_PF01105_44 <unknown description>
+FAIMIPPGGTECFWQFAHQTGYFYFSYEVQRTVGMSHDRHVAATAHNPQGFLIDTSQGVR
+GQINFSTQETGFYQLCLSNQHNHFGSVQVYLNFGVFYEGPETDHKQKERKQLNDTLDAIE
+DGTQKVQNNIFHMWRYYNFARMRKMADFFLIQSNYNYVNWWSTAQSLVIILSGILQLYFL
+KRLFN
+>Q9Y3B3_PF01105_37 <unknown description>
+ITFELPDNAKQCFYEDIAQGTKCTLEFQVITGGHYDVDCRLEDPDGKVLYKEMKKQYDSF
+TFTASKNGTYKFCFSNEFSTFTHKTVYFDFQVGEDPPLFPSENRVSALTQMESACVSIHE
+ALKSVIDYQTHFRLREAQGRSRAEDLNTRVAYWSVGEALILLVVSIGQVFLLKSFF
+>Q6PL24_PF13897_185 <unknown description>
+VKRGEVVTIRVPTHPEGKRVCWEFATDDYDIGFGVYFDWTPVTSTDITVQVSDSSDDEDE
+EEEEEEEIEEPVPAGDVERGSRSSLRGRYGEVMPVYRRDSHRDVQAGSHDYPGEGIYLLK
+FDNSYSLLRNKTLYFHIYYT
+>Q9BVK6_PF01105_37 <unknown description>
+ALYFHIGETEKKCFIEEIPDETMVIGNYRTQLYDKQREEYQPATPGLGMFVEVKDPEDKV
+ILARQYGSEGRFTFTSHTPGEHQICLHSNSTKFSLFAGGMLRVHLDIQVGEHANDYAEIA
+AKDKLSELQLRVRQLVEQVEQIQKEQNYQRWREERFRQTSESTNQRVLWWSILQTLILVA
+IGVWQMRHLKSFFE
+>P49755_PF01105_31 <unknown description>
+AISFHLPINSRKCLREEIHKDLLVTGAYEISDQSGGAGGLRSHLKITDSAGHILYSKEDA
+TKGKFAFTTEDYDMFEVCFESKGTGRIPDQLVILDMKHGVEAKNYEEIAKVEKLKPLEVE
+LRRLEDLSESIVNDFAYMKKREEEMRDTNESTNTRVLYFSIFSMFCLIGLATWQVFYLRR
+FFK
+>Q9P0T7_PF05434_42 <unknown description>
+HIYNQNVSQKDCNCLHVVEPMPVPGHDVEAYCLLCECRYEERSTTTIKVIIVIYLSVVGA
+LLLYMAFLMLVDPLIRKPDAYTEQLHNEEENEDARSMAAAAASLGGPRANTVLERVEGAQ
+QRWKLQVQEQRKTVFDRHKML
+>P82094_PF12329_543 <unknown description>
+ETADLLKEKDEQIRGLMEEGEKLSKQQLHNSNIIKKLRAKDKENENMVAKLNKKVKELEE
+ELQHLKQVLDGKE
+>P82094_PF12325_977 <unknown description>
+VRMGAGSSIIENLQSQLKLREGEITHLQLEIGNLEKTRSIMAEELVKLTNQNDELEEKVK
+EIPKLRTQLRDLDQRYNTILQMYGEKAEEAEELRLDLEDVKNMYKTQIDEL
+>O14668_PF00594_25 <unknown description>
+FEEIRQGNIERECKEEFCTFEEAREAFENNEKTKEFWSTYT
+>O14669_PF00594_55 <unknown description>
+LELLTPGNLERECLEERCSWEEAREYFEDNTLTERFWESYI
+>Q9BZD7_PF00594_24 <unknown description>
+LEELRQGTIERECMEEICSYEEVKEVFENKEKTMEFWKGY
+>Q9BZD6_PF00594_57 <unknown description>
+LELFTPGNLERECNEELCNYEEAREIFVDEDKTIAFWQEYS
+>Q8NEW7_PF16038_47 <unknown description>
+ETVVFWDMRLWHVVGIFSLFVLSIIITLCCVFNCRVPRTRKEIEARYLQRKAAKMYTDKL
+ETVPPLNELTEVPGEDKKKKKKKK
+>Q6UXZ0_PF07679_131 <unknown description>
+QTVEEGSNVKLVCNVKANPQAQMMWYKNSSLLDLEKSRHQIQQTSESFQLSITKVEKPDN
+GTYSCIAKSSLKTESLDFHLIV
+>Q96BF3_PF07686_27 <unknown description>
+QGPNLLQVRQGSQATLVCQVDQATAWERLRVKWTKDGAILCQPYITNGSLSLGVCGPQGR
+LSWQAPSHLTLQLDPVSLNHSGAYVCWAAVEIPELEEAEGNITRLFV
+>P0DMS9_PF00001_29 <unknown description>
+GNVLVICVVKLNPSLQTTTFYFIVSLALADIAVGVLVMPLAIVVSLGITIHFYSCLFMTC
+LLLIFTHASIMSLLAIAVDRYLRVK
+>Q9NVH6_PF06155_54 <unknown description>
+QQHEDHFELKYANTVMRFDYVWLRDHCRSASCYNSKTHQRSLDTASVDLCIKPKTIRLDE
+TTLFFTWPDGHVTKYDLNWL
+>Q9NVH6_PF02668_164 <unknown description>
+SVDCQSFLETNEGLKKFLQNFLLYGIAFVENVPPTQEHTEKLAERISLIRETIYGRMWYF
+TSDFSRGDTAYTKLALDRHTDTTYFQEPCGIQVFHCLKHEGTGGRTLLVDGFYAAEQVLQ
+KAPEEFELLSKVPLKHEYIEDVGECHNHMIGIGPVLNIYPWNKELYLIRYNNYDRAVINT
+VPYDVVHRWYTAHRTLTIELRRPENEFWVKLKPGRVLFIDNWRVLHGRECFTGYRQLCGC
+Y
+>P17152_PF14972_28 <unknown description>
+DCYIVHEIYNGENAQDQFEYELEQALEAQYKYIVIEPTRIGDETARWITVGNCLHKTAVL
+AGTACLFTPLALPLDYSHYISLPAGVLSLACCTLYGISWQFDPCCKYQVEYDAYKLSRLP
+LHTLTSSTPVVLVRKDDLHRKRLHNTIALAALVYCVKKIY
+>Q86X19_PF09799_45 <unknown description>
+MSLYFNTYYFPLWWVSSIMMLHMKYSILPDYYKFIVITVIILITLIEAIRLYLGYVGNLQ
+EKVPELAGFWLLSLLLQLPLILFLLFNEGLTNLPLEKAIHIIFTLFL
+>Q96B42_PF14770_17 <unknown description>
+VLTQTDWTEPWLMGLATFHALCVLLTCLSSRSYRLQIGHFLCLVILVYCAEYINEAAAMN
+WRLFSKYQYFDSRGMFISIVFSAPLLVNAMIIVVMWVWKTLNVMTDLKNAQERRKE
+>Q96HH6_PF01940_60 <unknown description>
+VPVLIVSNGLKKKSLDHSGALGGLVVGFILTIANFSFFTSLLMFFLSSSKLTKWKGEVKK
+RLDSEYKEGGQRNWVQVFCNGAVPTELALLYMIENGPGEIPVDFSKQYSASWMCLSLLAA
+LACSAGDTWASEVGPVLSKSSPRLITTWEKVPVGTNGGVTVVGLVSSLLGGTFVGIAYFL
+TQLIFVNDLDISAPQWPIIAFGGLAGLLGSIVDSYLGATMQYTGLDESTGMVVNSPTNKA
+RHIAGKPILDNNAVNLFSSVLI
+>Q86YD3_PF08205_28 <unknown description>
+LEPQIDGQTWAERALRENERHAFTCRVAGGPGTPRLAWYLDGQLQEASTSRLLSVGGEAF
+SGGTSTFTVTAHRAQHELNCSLQDPRSGR
+>Q6ZUK4_PF09772_4 <unknown description>
+LVFLNALATRLLFLLHSLVGVWRVTEVKKEPRYWLLALLNLLLFLETALTLKFKRGRGYK
+WFSPAIFLYLISIVPSLWLLELHHETQYCSIQAEGTSQNTSRKEDFNQTLTSNEQTSRAD
+DLIETAKVFVNNLSTVCEKVWTLGLHQTFLLMLIIGRWLLPIGGGITRDQLSQLLLMFVG
+TAADILEFTSETLEEQNVRNSPALVYAILVIWTWSMLQFPLDLAVQNVVCPVSVTERGFP
+SLFFCQYSADLWNIGISVFIQDGPFLVVRLILMTYFKVINQMLVFFAAKNFLVVVLQLYR
+>P57088_PF03661_13 <unknown description>
+GAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALLANALTSALRLHQ
+RLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSLLHAATYTKKVLD
+ARGSNSLPLLRSVLDKLSANQQNILKFIACNEIFLMPATVFMLFSGQGSLLQPFIYYRFL
+TLRYSSRRNPYCRTLFNELRIVVEHIIMKPACPLFVRRLCLQSIAFISRLAPT
+>Q8WWA1_PF15817_113 <unknown description>
+ELQLYGDAPGEVVPSGESGLRRRGSDPASGEVEASQLRRLNIKKDDEFFHFVLLCFAIGA
+LLVCYHYYADWFMSLGVGLLTFASLETVGIYFGLVYRIHSVLQGFIPLFQKFRLTGFRKT
+>Q9BTV4_PF07787_121 <unknown description>
+MYQWVETEESREYTEDGQVKKETRYSYNTEWRSEIINSKNFDREIGHKNPSAMAVESFMA
+TAPFVQIGRFFLSSGLIDKVDNFKSLSLSKLEDPHVDIIRRGDFFYHSENPKYPEVGDLR
+VSFSYAGLSGDDPDLGPAHVVTVIARQRGDQLVPFSTKSGDTLLLLHHGDFSAEEVFHRE
+LRSNSMKTWGLRAAGWMAMFMGLNLMTRILYTLVDWFPVFRDLVNIGLKAFAFCVATSLT
+LLTVAAGWLFYRP
+>Q9NW97_PF15345_7 <unknown description>
+ANGSHYALTAIGLGMLVLGVIMAMWNLVPGFSAAEKPTAQGSNKTEVGGGILKSKTFSVA
+YVLVGAGVMLLLLSICLSIRDKRKQRQGEDLAHVQHPTGAGPHAQEEDSQEEEEEDEEAA
+SRYYVPSYEEVMNTNYSEARGEEQNPRLSISLPSYESLTGLDETTPTSTRADVEASPGNP
+PDRQNSKLAKRLKPLKVRRIKSEKLHLKDFRINLPDKNVPPPSIEPLTPPPQYD
+>Q8NDY8_PF14979_37 <unknown description>
+SCDPSDQCPPQARWSSLWHVGLILLAVLLLLLCGVTAGCVRFCCLRKQAQAQPHLPPARQ
+PCDVAVIPMDSDSPVHSTVTSYSSVQYPLGMRLPLPFGELDLDSMAPPAYSLYTPEPPPS
+YDEAVKMAKPREEGPALSQKPSPLL
+>Q6P2H8_PF05705_36 <unknown description>
+PVVILLGWGGCKDKNLAKYSAIYHKRGCIVIRYTAPWHMVFFSESLGIPSLRVLAQKLLE
+LLFDYEIEKEPLLFHVFSNGGVMLYRYVLELLQTRRFCRLRVVGTIFDSAPGDSNLVGAL
+RALAAILERRAAMLRLLLLVAFALVVVLFHVLLAPITALFHTHFYDRLQDAGSRWPELYL
+YSRADEVVLARDIERMVEARLARRVLARSVDFVSSAHVSHLRDYPTYYTSLCVDFM
+>Q969K7_PF12304_18 <unknown description>
+MKTGLVLVVLGHVSFITAALFHGTVLRYVGTPQDAVALQYCVVNILSVTSAIVVITSGIA
+AIVLSRYLPSTPLRWTVFSSSVACALLSLTCALGLLASIAMTFATQGKALLAACTFGSSE
+LLALAPDCPFDPTRIYSSSLCLWGIALVLCVAENVFAVRCAQLTHQLLELRPWWGKSSHH
+M
+>Q9BXS4_PF12280_72 <unknown description>
+RGCRLFSICQFVDDGIDLNRTKLECESACTEAYSQSDEQYACHLGCQNQLPFAELRQEQL
+MSLMPKMHLLFPLTLVRSFWSDMMDSAQSFITSSWTFYLQADDGKIVIFQSKPEIQYAPH
+LEQEPTNLRESSLSKMSYLQMRNSQAHRNFLEDGESDGFLRCLSLNSGWILTTTLVLSVM
+VLLWI
+>Q9H2L4_PF10269_25 <unknown description>
+LKLDEKAPWNWFLIFIPVWIFDTILLVLLIVKMAGRCKSGFDPRHGSHNIKKKAWYLIAM
+LLKLAFCLALCAKLEQFTTMNLSYVFIPLWALLAG
+>Q8N0U2_PF15105_13 <unknown description>
+ASTLRYCMTVSGTVVLVAGTLCFAWWSEGDATAQPGQLAPPTEYPVPEGPSPLLRSVSFV
+CCGAGGLLLLIGLLWSVKASIPGPPRWDPYHLSRDLYYLTVESSEKESCRTPKVVDIPTY
+EEAVSFPVAEGPPTPPAYPTEEALEPSGSRDALLSTQPAWPPPSYESISLALDAVSAETT
+PSATRSCSG
+>Q0P6H9_PF00149_59 <unknown description>
+LQISDIHLSRFRDPGRAVDLEKFCSETIDIIQPALVLATGDLTDAKTKEQLGSRQHEVEW
+QTYQGILKKTRVMEKTKWLDIKGNHDAFNIPSLDSIKNYYRKYSAVRRDGSFHYVHSTPF
+GNYSFICVDATVNPGPKRPYNFFGILDKKKMEELLLLAKESSRSNHTIWFGHFTTSTILS
+PSPGIRSIMSSAIAYLCGHLHT
+>Q6YI46_PF09335_180 <unknown description>
+VLNVAAGYLYGFVLGMGLMMVGVLIGTFIAHVVCKRLLTAWVAARIQSSEKLSAVIRVVE
+GGSGLKVVALARLTPIPFGLQNAVFSITDLSLPNYLMASSVGLLPTQLLNSYLGT
+>Q6PI78_PF10507_120 <unknown description>
+HNAIPFIGFGFLDNAIMIVAGTHIEMSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEA
+LASRLGLSIPDLTPKQVDMWQTRLSTHLGKAVGVTIGCILGMFPLIFF
+>Q96MH6_PF01553_111 <unknown description>
+EVHGMEKIPEDGPALIIFYHGAIPIDFYYFMAKIFIHKGRTCRVVADHFVFKIPGFSLLL
+DVFCALHGPREKCVEILRSGHLLAISPGGVREALISDETYNIVWGHRRGFAQVAIDAKVP
+IIPM
+>Q5SWH9_PF11911_94 <unknown description>
+PKPALCVTLAGLIPFVAPPLVMLMTKTYIPILAFTQMAYGASFLSFLGGIRWGFALPEGS
+PAKPDYLNLASSAAPLFFSWFAFLISERLSEAIVTVIMGMGVAFHLELFLLPHYPNWFKA
+LRIVVTLLATFSFIITLV
+>Q9BUB7_PF06979_92 <unknown description>
+GRLIYTGNMARAVFGVKCFSYSTSLIGLTFLPYIFTQNNAISESVPLPIQIIFYGIMGSF
+TVITPVLLHFITKGYVIRLYHEATTDTYKAITYNAMLAETSTVFHQNDVKIPDAKHVFTT
+FYAKTKSLLVNPVLFPNREDYIHLMGY
+>Q6P5X7_PF15121_1 <unknown description>
+MYRISQLMSTPVASSSRLEREYAGELSPTCIFPSFTCDSLDGYHSFECGSIDPLTGSHYT
+CRRSPRLLTNGYYIWTEDSFLCDKDGNITLNPSQTSVMYKENLVRIFRKKKRICHSFSSL
+FNLSTSKSWLHGSIFGDINSSPSEDNWLK
+>A0PK05_PF16054_4 <unknown description>
+QVFWTGLEYTCRLLGITTAAVLIGVGTETFLQGQFKSLAFYLLFTGAAVSICEGAYFVAQ
+LLAICFQCQPGSLADRVREKAHWLGCFQKFLAYLLLSVACFLHPVLVWHVTIPGSMLIIT
+GLAYFLLSKRKKRKAAPEVLASPEQYTDPSSSAVSTTGSGDTEQTYTFHGALKEGPSSLF
+IHMK
+>Q96NL1_PF14927_169 <unknown description>
+SSGKSIDYGFISAILFLVTGILLVIISYIVPREVTVDPNTVAAREMERLEKESARLGAHL
+DRCVIAGLCLLTLGGVILSCLLMMSMWKG
+>Q96HE8_PF09799_21 <unknown description>
+MLFYLSGTYYALYFLATLLMITYKSQVFSYPHRYLVLDLALLFLMGILEAVRLYLGTRGN
+LTEAERPLAASLALTAGTALLSAHFLLWQALVLWADWALSATLLALH
+>A0PJX8_PF15816_10 <unknown description>
+WLPGLPSLEWGSSLLDSLLQGLIGALGVLVLNSLLKVYFFVGCANDPQRRPEKERLRAQW
+ASLETVHLAGLALFLTVVGSRVAALVVLEFSLRAVSTLLSLGKGSQGAAERLQLYLLCQY
+SLGCGLTCGLSFLQEGAPHRTLNLLLSLGLATLLGLGARRLHRHVCRLYELHSSQRYCGV
+CLGLLAHAHGLPQLLGRALAIAFAVGDLAAVALINQDFLTTSEAMRFWTPLTICYTLLVI
+YMQEEQRQHPGLQSQVQTVLVRMGGLFVLLLTVGRWLDLLGILVSLLGELWCLVGVRTLL
+DLCQI
+>A2RUT3_PF15098_23 <unknown description>
+WSRPLWYQVGLDLQPWGCQPKSVEGCRGGLSCPGYWLGPGASRIYPVAAVMITTTMLMIC
+RKILQGRRRSQATKGEHPQVTTEPCGPWKRRAPISDHTLLRGVLHMLDALLVHIEGHLRH
+LATQRQIQIKGTST
+>Q6ZNR0_PF04505_92 <unknown description>
+PHDHLGLAVFSMLCCFWPVGIAAFCLAQKTNKAWAKGDIQGAGAASRRAFLLGVLAVGLG
+VCTYA
+>Q6UXU6_PF11669_33 <unknown description>
+ACPKGFKCCGDSCCQENELFPGPVRIFVIIFLVILSVFCICGLAKCFCRNCREPEPDSPV
+DCRGPLELPSIIPPERVRVSLSAPPPPYSEVILKPSLGPTPTEPPPPYS
+>Q3KNT9_PF15203_17 <unknown description>
+CVFCRLPAHDLSGRLARLCSQMEARQKECGASPDFSAFALDEVSMNKVTEKTHRVLRVME
+IKEAVSSLPSYWSWLRKTKLPEYTREALCPPACRGSTTLYNCSTCKGTEVSCWPRKRCFP
+GSQDLWEAKILLLSIFGAFLLLGVLSLLVES
+>Q9Y2Y6_PF13324_56 <unknown description>
+QSEPSELELDDVVITNPHIEAILENEDWIEDASGLMSHCIAILKICHTLTEKLVAMTMGS
+GAKMKTSASVSDIIVVAKRISPRVDDVVKSMYPPLDPKLLDARTTALLLSV
+>Q9NQ34_PF05434_55 <unknown description>
+HIYNKNISQKDCDCLHVVEPMPVRGPDVEAYCLRCECKYEERSSVTIKVTIIIYLSILGL
+LLLYMVYLTLVEPILKRRLFGHAQLIQSDDDIGDHQPFANAHDVLARSRSRANVLNKVEY
+AQQRWKLQVQEQRKSVFDRHVVL
+>P28289_PF03250_3 <unknown description>
+YRRELEKYRDLDEDEILGALTEEELRTLENELDELDPDNALLPAGLRQKDQTTKAPTGPF
+KREELLDHLEKQAKEFKDREDLVPYTGEKRGKVWVPKQKPLDPVLESVTLEPELEEALAN
+ASDAELCDIAAILGMHTLMSN
+>Q9NZR1_PF03250_5 <unknown description>
+FQKELEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESAMLPAGFRQKDQTQKAATGPF
+DREHLLMYLEKEALEQKDREDFVPFTGEKKGRVFIPKEKPIETRKEEKVTLDPELEEALA
+SASDTELYDLAAVLGVHNLLNN
+>Q9NYL9_PF03250_5 <unknown description>
+FRKDLEKYKDLDEDELLGNLSETELKQLETVLDDLDPENALLPAGFRQKNQTSKSTTGPF
+DREHLLSYLEKEALEHKDREDYVPYTGEKKGKIFIPKQKPVQTFTEEKVSLDPELEEALT
+SASDTELCDLAAILGMHNLITN
+>Q9NZQ9_PF03250_4 <unknown description>
+YQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPTGPL
+DREALLQYLEQQALEVKERDDLVPFTGEKKGKPYIQPKREIPAEEQITLEPELEEALAHA
+TDAEMCDIAAILDMYTLMSN
+>Q6ZT21_PF00149_207 <unknown description>
+LKIVLLSDIHLGPTVGRTKMEMFVRMVNVLEPDITVIVGDLSDSEASVLRTAVAPLGQLH
+SHLGAYFVTGNHEYYTSDVSNWFALLESLHVQPLHNENVKISATRAQRGGGGSGSGSEDE
+DWICLAGVDDIEADILHYSGHGMDLDKALEGCSPDHTIILLAHQPLAAKRALQARPDINL
+ILSGHTHA
+>O15393_PF15494_154 <unknown description>
+PNFILQVYSSQRKSWHPVCQDDWNENYGRAACRDMGYKNNFYSSQGIVDDSGSTSFMKLN
+TSAGNVDIYKKLYHSDACSSKAVVSLRCIACGVN
+>O15393_PF00089_256 <unknown description>
+IVGGESALPGAWPWQVSLHVQNVHVCGGSIITPEWIVTAAHCVEKPLNNPWHWTAFAGIL
+RQSFMFYGAGYQVEKVISHPNYDSKTKNNDIALMKLQKPLTFNDLVKPVCLPNPGMMLQP
+EQLCWISGWGATEEKGKTSEVLNAAKVLLIETQRCNSRYVYDNLITPAMICAGFLQGNVD
+SCQGDSGGPLVTSKNNIWWLIGDTSWGSGCAKAYRPGVYGNVMVFTDWI
+>P57727_PF00057_73 <unknown description>
+CSGKYRCRSSFKCIELIARCDGVSDCKDGEDEYRC
+>P57727_PF15494_113 <unknown description>
+QNAVLQVFTAASWKTMCSDDWKGHYANVACAQLGFPSYVSSDNLRVSSLEGQFREEFVSI
+DHLLPDDKVTALHHSVYVREGCASGHVVTLQCTACGHR
+>P57727_PF00089_217 <unknown description>
+IVGGNMSLLSQWPWQASLQFQGYHLCGGSVITPLWIITAAHCVYDLYLPKSWTIQVGLVS
+LLDNPAPSHLVEKIVYHSKYKPKRLGNDIALMKLAGPLTFNEMIQPVCLPNSEENFPDGK
+VCWTSGWGATEDGGDASPVLNHAAVPLISNKICNHRDVYGGIISPSMLCAGYLTGGVDSC
+QGDSGGPLVCQERRLWKLVGATSFGIGCAEVNKPGVYTRVTSFLDWI
+>Q9NRS4_PF15494_109 <unknown description>
+DRSTLQVLDSATGNWFSACFDNFTEALAETACRQMGYSSKPTFRAVEIGPDQDLDVVEIT
+ENSQELRMRNSSGPCLSGSLVSLHCLACGKS
+>Q9NRS4_PF00089_205 <unknown description>
+VVGVEEASVDSWPWQVSIQYDKQHVCGGSILDPHWVLTAAHCFRKHTDVFNWKVRAGSDK
+LGSFPSLAVAKIIIIEFNPMYPKDNDIALMKLQFPLTFSGTVRPICLPFFDEELTPATPL
+WIIGWGFTKQNGGKMSDILLQASVQVIDSTRCNADDAYQGEVTEKMMCAGIPEGGVDTCQ
+GDSGGPLMYQSDQWHVVGIVSWGYGCGGPSTPGVYTKVSAYLNWI
+>Q9H3S3_PF15494_117 <unknown description>
+EDFLLEAQVRDQPRWLLVCHEGWSPALGLQICWSLGHLRLTHHKGVNLTDIKLNSSQEFA
+QLSPRLGGFLEEAWQPRNNCTSGQVVSLRCSECGAR
+>Q9H3S3_PF00089_218 <unknown description>
+IVGGQSVAPGRWPWQASVALGFRHTCGGSVLAPRWVVTAAHCMHSFRLARLSSWRVHAGL
+VSHSAVRPHQGALVERIIPHPLYSAQNHDYDVALLRLQTALNFSDTVGAVCLPAKEQHFP
+KGSRCWVSGWGHTHPSHTYSSDMLQDTVVPLFSTQLCNSSCVYSGALTPRMLCAGYLDGR
+ADACQGDSGGPLVCPDGDTWRLVGVVSWGRGCAEPNHPGVYAKVAEFLDWI
+>Q8IU80_PF01390_77 <unknown description>
+QVYSGSLRVLNRHFSQDLTRRESSAFRSETAKAQKMLKELITSTRLGTYYNSSSVYSFGE
+GPLTCFFWFILQIP
+>Q8IU80_PF00057_482 <unknown description>
+CRATFQCKEDSTCISLPKVCDGQPDCLNGSDEEQC
+>Q8IU80_PF00057_521 <unknown description>
+PCGTFTFQCEDRSCVKKPNPQCDGRPDCRDGSDEEHC
+>Q8IU80_PF00089_568 <unknown description>
+IVGGAVSSEGEWPWQASLQVRGRHICGGALIADRWVITAAHCFQEDSMASTVLWTVFLGK
+VWQNSRWPGEVSFKVSRLLLHPYHEEDSHDYDVALLQLDHPVVRSAAVRPVCLPARSHFF
+EPGLHCWITGWGALREGGPISNALQKVDVQLIPQDLCSEVYRYQVTPRMLCAGYRKGKKD
+ACQGDSGGPLVCKALSGRWFLAGLVSWGLGCGRPNYFGVYTRITGVISWI
+>Q7RTY8_PF01390_108 <unknown description>
+FYFAGMFRITNIEFLPEYRQKESREFLSVSRTVQQVINLVYTTSAFSKFYEQSVVADVSS
+NNKGGLLVHFWIVFVMPRAKGHIFCEDCVAAILKDSIQTSI
+>Q7RTY8_PF00431_268 <unknown description>
+SGRLMCHFKLVAIVGYLIRLSIKSIQIEADNCVTDSLTIYDSLLPIRSSILYRICEPTRT
+LMSFVSTNNLMLVTFKSPHIRRLSGIRAYF
+>Q7RTY8_PF00431_374 <unknown description>
+ITGFEGKISSPYYPSYYPPKCKCTWKFQTSLSTLGIALKFYNYSITKKSMKGCEHGWWEI
+>Q7RTY8_PF00057_483 <unknown description>
+PCPVGSFRCSSGLCVPQAQRCDGVNDCFDESDELFC
+>Q7RTY8_PF00057_559 <unknown description>
+CNNRTFKCGNDICFRKQNAKCDGTVDCPDGSDEEGC
+>Q7RTY8_PF00089_606 <unknown description>
+IIGGTDTLEGGWPWQVSLHFVGSAYCGASVISREWLLSAAHCFHGNRLSDPTPWTAHLGM
+YVQGNAKFVSPVRRIVVHEYYNSQTFDYDIALLQLSIAWPETLKQLIQPICIPPTGQRVR
+SGEKCWVTGWGRRHEADNKGSLVLQQAEVELIDQTLCVSTYGIITSRMLCAGIMSGKRDA
+CKGDSGGPLSCRRKSDGKWILTGIVSWGHGSGRPNFPGVYTRVSNFVPWI
+>Q86WS5_PF00089_78 <unknown description>
+IIGGTEAQAGAWPWVVSLQIKYGRVLVHVCGGTLVRERWVLTAAHCTKDASDPLMWTAVI
+GTNNIHGRYPHTKKIKIKAIIIHPNFILESYVNDIALFHLKKAVRYNDYIQPICLPFDVF
+QILDGNTKCFISGWGRTKEEGNATNILQDAEVHYISREMCNSERSYGGIIPNTSFCAGDE
+DGAFDTCRGDSGGPLMCYLPEYKRFFVMGITSYGHGCGRRGFPGVYIGPSFYQKWL
+>Q9BYE2_PF15494_232 <unknown description>
+DKSLLKIYSGSSHQWLPICSSNWNDSYSEKTCQQLGFESAHRTTEVAHRDFANSFSILRY
+NSTIQESLHRSECPSQRYISLQCSHCGLR
+>Q9BYE2_PF00089_326 <unknown description>
+IVGGALASDSKWPWQVSLHFGTTHICGGTLIDAQWVLTAAHCFFVTREKVLEGWKVYAGT
+SNLHQLPEAASIAEIIINSNYTDEEDDYDIALMRLSKPLTLSAHIHPACLPMHGQTFSLN
+ETCWITGFGKTRETDDKTSPFLREVQVNLIDFKKCNDYLVYDSYLTPRMMCAGDLRGGRD
+SCQGDSGGPLVCEQNNRWYLAGVTSWGTGCGQRNKPGVYTKVTEVLPWI
+>Q8IUR5_PF08409_311 <unknown description>
+MMRFLTYSYLLAFNVWLLLAPVTLCYDWQVGSIPLVETIWDMRNLATIFLAVVMA
+>Q8IUR5_PF07719_483 <unknown description>
+AKVHYNYANFLKDQGRNKEAIYHYRTALKLYPRH
+>Q8IUR5_PF13432_553 <unknown description>
+NLGNLLKSQEKKEEAITLLKDSIKYGPEFADAYSSLASLLAEQERFKEAEEIYQTGIKNC
+PDS
+>Q8IUR5_PF13424_617 <unknown description>
+DLHNNYGVFLVDTGLPEKAVAHYQQAIKLSPSHHVAMVNLGRLYRSLGENSMAEEWYKRA
+LQVA
+>Q8IUR5_PF14559_697 <unknown description>
+GRYEEALQIYQEAAALQPSQRELRLALAQVLAVMGQTKEAEKMTNHIVSEETGC
+>Q8IUR5_PF13181_756 <unknown description>
+LLSAIYSKQENHDKALDAIDKALQLKPKD
+>Q8IUR5_PF13432_795 <unknown description>
+KGNQLREQNLLDKAFESYRVAVQLNPDQAQAWMNMGGIQHIKGKYVSARAYYERALQLVP
+DS
+>Q8N394_PF08409_248 <unknown description>
+NKPPSFSNSDNPAADSDSLLTRTLTFFYLPTKNLWLLLCPDTLSFDWSMDAVPLLKTVCD
+WRNLHTVAFYTGL
+>Q8N394_PF13432_499 <unknown description>
+LGNVLKSQSKISEAESAYRNALYYRSNMADMLYNLGLLLQENSRFAEALHYYKLAIGSRP
+>Q8N394_PF13424_560 <unknown description>
+LASAYLNTGIILMNQGRTEEARRTFLKCSEIPDENLKDPHAHKSSVTSCLYNLGKLYHEQ
+GHYEEALSVYKEAIQ
+>Q8N394_PF13181_678 <unknown description>
+PAHLTYGKLLALTGRKSEAEKLFLKAIELDPT
+>Q8N394_PF00515_780 <unknown description>
+AALMNLGAILHLNGRLQKAEANYLRALQLKPDD
+>Q6ZXV5_PF08409_259 <unknown description>
+SQLPVFTRFDNPAAVSPTPTRQLTFNYLLPVNAWLLLNPSELCCDWTMGTIPLIESLLDI
+RNLATFTFFCFL
+>Q6ZXV5_PF13181_446 <unknown description>
+AKLWNNVGHALENEKNFERALKYFLQATHVQPD
+>Q6ZXV5_PF13181_482 <unknown description>
+AHMNVGRTYKNLNRTKEAEESYMMAK
+>Q6ZXV5_PF13181_530 <unknown description>
+VYINLANLIRANESRLEEADQLYRQAISMRPD
+>Q6ZXV5_PF13181_564 <unknown description>
+QAYISRGELLLKMNKPLKAKEAYLKALELDRNN
+>Q6ZXV5_PF00515_597 <unknown description>
+ADLWYNLAIVHIELKEPNEALKNFNRALELNPKH
+>Q6ZXV5_PF13181_669 <unknown description>
+NGYFNLGMLAMDDKKDNEAEIWMKKAIKLQAD
+>Q6ZXV5_PF13174_704 <unknown description>
+ALFNLALLYSQTAKELKALPILEELLRYYPD
+>Q6ZXV5_PF13181_738 <unknown description>
+GLILKGDILMNQKKDILGAKKCFERILEMDPSN
+>Q5T4D3_PF08409_313 <unknown description>
+GPPAFTEVDNPASFADSMLVRAVNYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSISDW
+RVIALAALWFCL
+>Q5T4D3_PF13432_505 <unknown description>
+YNIGKNLADKGNQTAAIRYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLSLAVQI
+QPD
+>Q5T4D3_PF13181_569 <unknown description>
+AAAWMNLGIVQNSLKRFEAAEQSYRTAIK
+>Q5T4D3_PF13432_607 <unknown description>
+YNLGRLYADLNRHVDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALEL
+IPND
+>Q5T4D3_PF13432_676 <unknown description>
+SLANVLGKSQKYKESEALFLKAIKANPNAASYHGNLAVLYHRWGHLDLAKKHYEISLQLD
+PTA
+>Q9BVT8_PF00240_137 <unknown description>
+EQQVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTR
+>Q71RG4_PF00240_189 <unknown description>
+VARPEDTVGALKSKYFPGQESQMKLIYQGRLLQDPARTLRSLNITDNCVIHCHRSP
+>Q9H3N1_PF00085_31 <unknown description>
+VRVITDENWRELLEGDWMIEFYAPWCPACQNLQPEWESFAEWGEDLEVNIAKVDVTEQPG
+LSGRFIITALPTIYHCKDGEFRRYQGPRTKKDFINFIS
+>Q9Y320_PF00085_140 <unknown description>
+YFNDKTIDEELERDKRVTWIVEFFANWSNDCQSFAPIYADLSLKYNCTGLNFGKVDVGRY
+TDVSTRYKVSTSPLTKQLPTLILFQGGKEA
+>Q96JJ7_PF00085_32 <unknown description>
+DESFKENRNDDIWLVDFYAPWCGHCKKLEPIWNEVGLEMKSIGSPVKVGKMDATSYSSIA
+SEFGVRGYPTIKLLKGDLAYNYRGPRTKDDIIEFA
+>Q96JJ7_PF13848_160 <unknown description>
+ESPLKEKYIDAASELIVYTYFFSASEEVVPEYVTLKEMPAVLVFKDETYFVYDEYEDGDL
+SSWINRERFQNYLAMDGFLLYELGDTGKLVALAVIDEKNTSVEHTRLKSIIQEVARDYRD
+LFHRDFQFGHMDGNDYINTLLMDELTVPTVVVLNTSNQQYFLLDRQIKNVEDMVQFINN
+>Q9H1E5_PF00085_52 <unknown description>
+EGEWMLKFYAPWCPSCQQTDSEWEAFAKNGEILQISVGKVDVIQEPGLSGRFFVTTLPAF
+FHAKDGIFRRYRGPGIFEDLQNYI
+>Q9Y275_PF00229_178 <unknown description>
+LEEKENKILVKETGYFFIYGQVLYTDKTYAMGHLIQRKKVHVFGDELSLVTLFRCIQNMP
+ETLPNNSCYSAGIAKLEEGDELQLAIPRENAQISLDGDVTFFGALKL
+>Q03169_PF06046_123 <unknown description>
+SKVEALYELLRDQVLGVLRRPLEAPPERLRQALAVVAEQEREDRQAAAAGPGTSGLAATR
+PRRWLQLWRRGVAEAAEERMGQRPAAGAEVPESVFLHLGRTMKEDLEAVVERLKPLFPAE
+FGVVAAYAESYHQHFAAHLAAVAQFELCERDTYMLLLWVQNLYPNDIINSPKLVGELQGM
+GLGSLLPPRQIRLLEATFLSSEAANVRELMDRALELEARRWAEDVPPQRLDGHCHSELAI
+DIIQITSQAQAKAESITLDLGSQIKRVLLVELPAFLRSYQRAFNEFLERGKQLTNYRANV
+IANINNCLSFRMSMEQNWQVPQDTLSLLLGPLGELKSHGFDTLLQNLHEDLKPLFKRFTH
+TRWAAPVETLENIIATVDTRLPEFSELQGCFREELMEALHLHLVKEYIIQLSKGRLVLKT
+AEQQQQLAGYILANADTIQHFCTQHGSPATWLQPALPTLAEIIRLQDPSAIKIEVATYAT
+CYPDFSKGHLSAILAIKGNLSNSEVKRIRSILDVSMGAQEPSRPLFS
+>P21580_PF02338_98 <unknown description>
+NGDGNCLMHATSQYMWGVQDTDLVLRKALFSTLKETDTRNFKFRWQLESLKSQEFVETGL
+CYDTRNWNDEWDNLIKMASTDTPMARSGLQYNSLEEIHIFVLCNILRRPIIVISDKMLRS
+LESGSNFAPLKVGGIYLPLHWPAQECYRYPIVLGYDSHHF
+>P21580_PF01754_386 <unknown description>
+KCETPNCPFFMSVNTQPLCHEC
+>P21580_PF01754_476 <unknown description>
+MKCRSPGCPFTLNVQHNGFCERCHN
+>P21580_PF01754_655 <unknown description>
+IPCLGRECGTLGSTMFEGYCQKCF
+>P21580_PF01754_760 <unknown description>
+QRCRAPACDHFGNAKCNGYCNECFQ
+>O15417_PF01426_2817 <unknown description>
+EMIRIGDCAVFLSAGRPNLPYIGRIQSMWESWGNNMVVRVKWFYHPEETSPGKQFHQGQH
+WDQKSSRSLPAALRVSSQRKDFMERALYQSSHVDENDVQTVSHKCLVVGLEQYEQMLKTK
+KYQDSEGLYYLAGTYEPTTG
+>P50591_PF00229_153 <unknown description>
+SWESSRSGHSFLSNLHLRNGELVIHEKGFYYIYSQTYFRFQEEIKENTKNDKQMVQYIYK
+YTSYPDPILLMKSARNSCWSKDAEYGLYSIYQGGIFELKENDRIFVSVTNEHLIDMDHEA
+SFFGAFLV
+>O14788_PF00229_186 <unknown description>
+SWYHDRGWAKISNMTFSNGKLIVNQDGFYYLYANICFRHHETSGDLATEYLQLMVYVTKT
+SIKIPSSHTLMKGGSTKYWSGNSEFHFYSINVGGFFKLRSGEEISIEVSNPSLLDPDQDA
+TYFGAFKV
+>O43508_PF00229_132 <unknown description>
+GWEEARINSSSPLRYNRQIGEFIVTRAGLYYLYCQVHFDEGKAVYLKLDLLVDGVLALRC
+LEEFSATAASSLGPQLRLCQVSGLLALRPGSSLRIRTLPWAHLKAAPFLTYFGLFQV
+>O75888_PF00229_155 <unknown description>
+VRIQDAGVYLLYSQVLFQDVTFTMGQVVSREGQGRQETLFRCIRSMPSHPDRAYNSCYSA
+GVFHLHQGDILSVIIPRARAKLNLSPHGTFLGFVKL
+>O43557_PF00229_113 <unknown description>
+LWETQLGLAFLRGLSYHDGALVVTKAGYYYIYSKVQLGGVGCPLGLASTITHGLYKRTPR
+YPEELELLVSQQSPCGRATSSSRVWWDSSFLGGVVHLEAGEKVVVRVLDERLVRLRDGTR
+SYFGAFMV
+>O95150_PF00229_117 <unknown description>
+LHWEHELGLAFTKNRMNYTNKFLLIPESGDYFIYSQVTFRGMTSECSEIRQAGRPNKPDS
+ITVVITKVTDSYPEPTQLLMGTKSVCEVGSNWFQPIYLGAMFSLQEGDKLMVNVSDISLV
+DYTKEDKTFFGAFLL
+>O95407_PF00020_73 <unknown description>
+CPPRHYTQFWNYLERCRYCNVLCGEREEEARACHATHNRAC
+>O95407_PF00020_115 <unknown description>
+CRTGFFAHAGFCLEHASCPPGAGVIAPGTPSQNTQC
+>O95407_PF00020_153 <unknown description>
+CPPGTFSASSSSSEQCQPHRNCTALGLALNVPGSSSHDTLC
+>P01375_PF00229_102 <unknown description>
+LQWLNRRANALLANGVELRDNQLVVPSEGLYLIYSQVLFKGQGCPSTHVLLTHTISRIAV
+SYQTKVNLLSAIKSPCQRETPEGAEAKPWYEPIYLGGVFQLEKGDRLSAEINRPDYLDFA
+ESGQVYFGIIAL
+>P01374_PF00229_78 <unknown description>
+LWRANTDRAFLQDGFSLSNNSLLVPTSGIYFVYSQVVFSGKAYSPKATSSPLYLAHEVQL
+FSSQYPFHVPLLSSQKMVYPGLQEPWLHSMYHGAAFQLTQGDQLSTHTDGIPHLVLSPST
+VFFGAFAL
+>Q06643_PF00229_102 <unknown description>
+LGWETTKEQAFLTSGTQFSDAEGLALPQDGLYYLYCLVGYRGRAPPGGGDPQGRSVTLRS
+SLYRAGGAYGPGTPELLLEGAETVTPVLDPARRQGYGPLWYTSVGFGGLVQLRRGERVYV
+NISHPDMVDFARGKTFFGAVMV
+>P48023_PF00229_160 <unknown description>
+LEWEDTYGIVLLSGVKYKKGGLVINETGLYFVYSKVYFRGQSCNNLPLSHKVYMRNSKYP
+QDLVMMEGKMMSYCTTGQMWARSSYLGAVFNLTSADHLYVNVSELSLVNFEESQTFFGLY
+KL
+>P32971_PF00229_113 <unknown description>
+LSWNKDGILHGVRYQDGNLVIQFPGLYFIICQLQFLVQCPNNSVDLKLELLINKHIKKQA
+LVTVCESGMQTKHVYQNLSQFLLDYLQVNTTISVNVDTFQYIDTSTFPLENVLSIFL
+>P41273_PF00229_107 <unknown description>
+LSWYSDPGLAGVSLTGGLSYKEDTKELVVAKAGVYYVFFQLELRRVVAGEGSGSVSLALH
+LQPLRSAAGAAALALTVDLPPASSEARNSAFGFQGRLLHLSAGQRLGVHLHTEARARHAW
+QLTQGATVLGLFRV
+>Q6ICL3_PF05742_1 <unknown description>
+MCIIFFKFDPRPVSKNAYRLILAANRDEFYSRPSKLADFWGNNNEILSGLDMEEGKEGGT
+WLGISTRGKLAALTNYLQPQLDWQARGRGELVTHFLTTDVDSLSYLKKVSMEGHLYNGFN
+LIAADLSTAKGDVICYYGNRGEPDPIVLTPGTYGLSNALLETPWRKLCFGKQLFLEAVER
+SQALPKDVLIASLLDVLNNEEAQLPDPAIEDQGGEYVQPMLSKYAAVCVRCPGYGTRTNT
+IILVDADGHVTFTERSMM
+>Q9C0B7_PF10363_839 <unknown description>
+LQEVLLSAYDPQIPTRAAALRTLSHWIEQREAKALEMQEKLLKIFLENLEHEDTFVYLSA
+IQGVALLSDVYPEKILPDLLAQYDSSKDKHTPETRMKVGEVLMRIVRALGDM
+>Q9C0B7_PF10304_1042 <unknown description>
+LKDLYHLLKHVVCLEPDDVAKLHAQLALEELDD
+>Q59H18_PF12796_71 <unknown description>
+LHLCCICGGKKSHIRTLMLKGLRPSRLTRNGFTALHLAVYKDNAELITSLLHSGADIQQV
+GYGGLTALHIATIAGHLEAADVLLQHGANVNIQD
+>Q59H18_PF12796_169 <unknown description>
+TPLHIAAYYGHEQVTRLLLKFGADVNVSGEVGDRPLHLASAKGFLNIAKLLMEEGSKADV
+NAQD
+>Q59H18_PF13637_238 <unknown description>
+PLHFCSRFGHHDIVKYLLQSDLEVQPHVVNIYGDTPLHLACYNGKFEVAK
+>Q59H18_PF12796_304 <unknown description>
+FSETAFHSACTYGKSIDLVKFLLDQNVININHQGRDGHTGLHSACYHGHIRLVQFLLDNG
+ADMNL
+>Q59H18_PF07714_463 <unknown description>
+IEFHEIIGSGSFGKVYKGRCRNKIVAIKRYRANTYCSKSDVDMFCREVSILCQLNHPCVI
+QFVGACLNDPSQFAIVTQYISGGSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHNLTQ
+PIIHRDLNSHNILLYEDGHAVVADFGESRFLQSLDEDNMTKQPGNLRWMAPEVFTQCTRY
+TIKADVFSYALCLWEILTGEIPFAHLKPAAAAADMAYHHIRPPIGYSIPKPISSLLIRGW
+NACPEGRPEFSEVVMKL
+>Q9UKE5_PF00069_25 <unknown description>
+FELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTGDEEEEIKQEINMLKKYSHHRNIAT
+YYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNTKGNTLKEEWIAYICREILRGLSHL
+HQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDE
+NPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMRALFLIPRNPAPRLKSKKWSKKFQS
+FIESCLVKNHSQRPATEQLMKHPFI
+>Q9UKE5_PF00780_1056 <unknown description>
+WGVNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNKLRVYYL
+SWLRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIYAWAPKP
+YHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQ
+GNITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIHSNQIM
+GWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCE
+>Q8NFZ5_PF12180_214 <unknown description>
+KQKVTHVEDLNAKWQRYNASRDEYVRGLHAQLR
+>Q96KP6_PF16516_149 <unknown description>
+NKEKEHYECEIKRLNKALQDALNIKCSFSEDCLRKSRVEFCHEEMRTEMEVLKQQVQIYE
+EDFKKERSDRERLNQEKEELQQINETSQSQLNRLN
+>Q13470_PF07714_119 <unknown description>
+GELLGSGCFGVVHRGLWTLPSGKSVPVAVKSLRVGPEGPMGTELGDFLREVSVMMNLEHP
+HVLRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLLVALLCLFLRQLAGAMAYLGA
+RGLVHRDLATRNLLLASPRTIKVADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRHG
+AFSSASDVWMFGVTLWEMFSGGEEPWAGVPPYLILQRLEDRARLPRPPLCSRALYSLALR
+CWAPHPADRPSFSHLE
+>O95271_PF12796_186 <unknown description>
+LLEACRNGDVSRVKRLVDAANVNAKDMAGRKSSPLHFAAGFGRKDVVEHLLQMGANVHAR
+DDGGLIPLHNACSFGHAEVVSLLLCQGADPNARD
+>O95271_PF13606_282 <unknown description>
+NYTPLHEAAIKGKIDVCIVLLQHGADPNI
+>O95271_PF12796_339 <unknown description>
+LLEAARSGNEEKLMALLTPLNVNCHASDGRKSTPLHLAAGYNRVRIVQLLLQHGADVHAK
+DKGGLVPLHNACSYGHYEVTELLLKHGACVNAMD
+>O95271_PF00023_436 <unknown description>
+FTPLHEAASKNRVEVCSLLLSHGADPTLVNC
+>O95271_PF12796_526 <unknown description>
+LHCAVASLHPKRKQVTELLLRKGANVNEKNKDFMTPLHVAAERAHNDVMEVLHKHGAKMN
+ALDTLGQTALHRAALAGHLQTCRLLLSYGSDPS
+>O95271_PF12796_655 <unknown description>
+LEASKAGDLETVKQLCSSQNVNCRDLEGRHSTPLHFAAGYNRVSVVEYLLHHGADVHAKD
+KGGLVPLHNACSYGHYEVAELLVRHGASVNVAD
+>O95271_PF13637_752 <unknown description>
+TPLHEAAAKGKYEICKLLLKHGADPTKKNRDGNTPLDL
+>O95271_PF13637_838 <unknown description>
+STPLHLAAGYNNLEVAEYLLEHGADVNAQDKGGLIPLHNAASYGHVDIAALLI
+>O95271_PF13857_896 <unknown description>
+VNATDKWAFTPLHEAAQKGRTQLCALLLAHGADPTMKNQEGQTPLDLA
+>O95271_PF07647_1030 <unknown description>
+NISQFLKSLGLEHLRDIFETEQITLDVLADMGHEELKEIGINAYGHRHKLIKGVERL
+>O95271_PF00644_1116 <unknown description>
+KEYQSVEEEMQSTIREHRDGGNAGGIFNRYNVIRIQKVVNKKLRERFCHRQKEVSEENHN
+HHNERMLFHGSPFINAIIHKGFDERHAYIGGMFGAGIYFAENSSKSNQYVYGIGGGTGCP
+THKDRSCYICHRQMLFCRVTLGKSFLQFSTMKMAHAPPGHHSVIGRPSVNGLAYAEYVIY
+RGEQAYPEYLITYQ
+>Q9H2K2_PF12796_28 <unknown description>
+LFEACRNGDVERVKRLVTPEKVNSRDTAGRKSTPLHFAAGFGRKDVVEYLLQNGANVQAR
+DDGGLIPLHNACSFGHAEVVNLLLRHGADPNARD
+>Q9H2K2_PF13637_125 <unknown description>
+YTPLHEAAIKGKIDVCIVLLQHGAEPTIRNTDGRTALDLA
+>Q9H2K2_PF12796_184 <unknown description>
+SARSGNEEKMMALLTPLNVNCHASDGRKSTPLHLAAGYNRVKIVQLLLQHGADVHAKDKG
+DLVPLHNACSYGHYEVTELLVKHGACVNAMD
+>Q9H2K2_PF00023_278 <unknown description>
+FTPLHEAASKNRVEVCSLLLSYGADPTLLNC
+>Q9H2K2_PF00023_366 <unknown description>
+TALHCAAASPYPKRKQICELLLRKGANINEKT
+>Q9H2K2_PF12796_401 <unknown description>
+LTPLHVASEKAHNDVVEVVVKHEAKVNALDNLGQTSLHRAAYCGHLQTCRLLLSYGCDPN
+I
+>Q9H2K2_PF12796_473 <unknown description>
+GNENVQQLLQEGISLGNSEADRQLLEAAKAGDVETVKKLCTVQSVNCRDIEGRQSTPLHF
+AAGYNRVSVVEYLLQHGADVHAKD
+>Q9H2K2_PF12796_559 <unknown description>
+GLVPLHNACSYGHYEVAELLVKHGAVVNVADLWKFTPLHEAAAKGKYEICKLLLQHGADP
+TKKN
+>Q9H2K2_PF13637_679 <unknown description>
+HSTPLHLAAGYNNLEVAEYLLQHGADVNAQDKGGLIPLHNAASYGHVDVAALLI
+>Q9H2K2_PF13857_738 <unknown description>
+VNATDKWAFTPLHEAAQKGRTQLCALLLAHGADPTLKNQEGQTPLDL
+>Q9H2K2_PF07647_877 <unknown description>
+SITQFVRNLGLEHLMDIFEREQITLDVLVEMGHKELKEIGINAYGHRHKLIKGVERL
+>Q9H2K2_PF00644_963 <unknown description>
+KEFQSVEEEMQSTVREHRDGGHAGGIFNRYNILKIQKVCNKKLWERYTHRRKEVSEENHN
+HANERMLFHGSPFVNAIIHKGFDERHAYIGGMFGAGIYFAENSSKSNQYVYGIGGGTGCP
+VHKDRSCYICHRQLLFCRVTLGKSFLQFSAMKMAHSPPGHHSVTGRPSVNGLALAEYVIY
+RGEQAYPEYLITYQ
+>Q9H2S6_PF04089_96 <unknown description>
+TDETLEVHDFKNGYTGIYFVGLQKCFIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVI
+WVPAEKPIENRDFLKNSKILEICDNVTMYW
+>P63316_PF13833_32 <unknown description>
+EDGCISTKELGKVMRMLGQNPTPEELQEMIDEVDEDGSGTVDFDEFLVMMVRC
+>P63316_PF13499_95 <unknown description>
+EELSDLFRMFDKNADGYIDLDELKIMLQATGETITEDDIEELMKDGDKNNDGRIDYDEFL
+EFMK
+>P02585_PF13499_20 <unknown description>
+FKAAFDMFDADGGGDISVKELGTVMRMLGQTPTKEELDAIIEEVDEDGSGTIDFEEFLVM
+M
+>P02585_PF13499_93 <unknown description>
+EEELAECFRIFDRNADGYIDPEELAEIFRASGEHVTDEEIESLMKDGDKNNDGRIDFDEF
+LKMME
+>P19237_PF00992_15 <unknown description>
+KLLLKSLMLAKAKECWEQEHEEREAEKVRYLAERIPTLQTRGLSLSALQDLCRELHAKVE
+VVDEERYDIEAKCLHNTREIKDLKLKVMDLRGKFKRPPLRRVRVSADAMLRALLGSKHKV
+SMDLRANLKSVK
+>P48788_PF00992_15 <unknown description>
+RQHLKSVMLQIAATELEKEESRREAEKQNYLAEHCPPLHIPGSMSEVQELCKQLHAKIDA
+AEEEKYDMEVRVQKTSKELEDMNQKLFDLRGKFKRPPLRRVRMSADAMLKALLGSKHKVC
+MDLRANLKQVK
+>P19429_PF11636_1 <unknown description>
+MADGSSDAAREPRPAPAPIRRRSSNYRAYAT
+>P19429_PF00992_46 <unknown description>
+KLQLKTLLLQIAKQELEREAEERRGEKGRALSTRCQPLELAGLGFAELQDLCRQLHARVD
+KVDEERYDIEAKVTKNITEIADLTQKIFDLRGKFKRPTLRRVRISADAMMQALLGARAKE
+SLDLRAHLKQVK
+>P13805_PF00992_69 <unknown description>
+KRMEKDLLELQTLIDVHFEQRKKEEEELVALKERIERRRSERAEQQRFRTEKERERQAKL
+AEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREMKVRILSE
+RKKPLDIDYMGEEQLR
+>P45379_PF00992_103 <unknown description>
+KRMEKDLNELQALIEAHFENRKKEEEELVSLKDRIERRRAERAEQQRIRNEREKERQNRL
+AEERARREEEENRRKAEDEARKKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKIL
+AERRKVLAIDHLNEDQLRE
+>P45379_PF00992_235 <unknown description>
+NEDQLREKAKELWQSIYNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGK
+>P45378_PF00992_62 <unknown description>
+KRQNKDLMELQALIDSHFEARKKEEEELVALKERIEKRRAERAEQQRIRAEKERERQNRL
+AEEKARREEEDAKRRAEDDLKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAE
+RRKPLNIDHLGEDKLR
+>Q92973_PF03810_42 <unknown description>
+QQKLEQLNQYPDFNNYLIFVLTKLKSEDEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKS
+ECLNNIGD
+>Q92973_PF13513_419 <unknown description>
+WVVKESGILVLGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSR
+>O14787_PF03810_32 <unknown description>
+QDKLKQLNQFPDFNNYLIFVLTRLKSEDEPTRSLSGLILKNNVKAHYQSFPPPVADFIKQ
+ECLNNIGD
+>O14787_PF13513_408 <unknown description>
+WVVKESGILVLGAIAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSR
+>Q9Y5L0_PF08389_102 <unknown description>
+PVIVTQLALAIADLALQMPSWKGCVQTLVEKYSNDVTSLPFLLEILTVLPEEVHSRSLRI
+GANRRTEIIEDLAFYSSTVVSLLMTCVEKAGTDEKMLMKVFRCLGSWFNLGVLDSNFMAN
+NKLLALLFEVLQQDKTSSNLHEAASDC
+>Q9Y6Q6_PF00020_40 <unknown description>
+YEHLGRCCNKCEPGKYMSSKCTTTSDSVC
+>Q9Y6Q6_PF18278_89 <unknown description>
+HKVCDTGKALVAVVAGNSTTPRRCACTAGYHWSQDCECCRR
+>Q9Y6Q6_PF00020_154 <unknown description>
+CLAGYFSDAFSSTDKCRPWTNCTFLGKRVEHHGTEKSDAVC
+>Q9NP84_PF12191_1 <unknown description>
+MARGSLRRLLRLLVLGLWLALLRSVAGEQAPGTAPCSRGSSWSADLDKCMDCASCRARPH
+SDFCLGCAAAPPAPFRLLWPILGGALSLTFVLGLLSGFLVWRRCRRREKFTTPIEETGGE
+GCPAVALIQ
+>Q92956_PF00020_78 <unknown description>
+CPPGTYIAHLNGLSKCLQCQMCDPAMGLRASRNCSRTENAVC
+>P08138_PF00020_109 <unknown description>
+CAYGYYQDETTGRCEACRVCEAGSGLVFSCQDKQNTVC
+>P08138_PF00020_149 <unknown description>
+CPDGTYSDEANHVDPCLPCTVCEDTERQLRECTRWADAEC
+>P08138_PF18422_246 <unknown description>
+GTTDNLIPVYCSILAAVVVGLVAYIAFKRWNSCKQNKQ
+>P08138_PF00531_345 <unknown description>
+EEVEKLLNGSAGDTWRHLAGELGYQPEHIDSFTHEACPVRALLASWATQDSATLDALLAA
+LRRIQRADLVESL
+>Q02223_PF09257_8 <unknown description>
+CSQNEYFDSLLHACIPCQLRCSSNTPPLTCQRYCNASV
+>Q9NS68_PF00020_34 <unknown description>
+CRQQEFRDRSGNCVPCNQCGPGMELSKECGFGYGEDAQC
+>Q9NS68_PF00020_75 <unknown description>
+CRLHRFKEDWGFQKCKPCLDCAVVNRFQKANCSATSDAIC
+>P19438_PF00020_44 <unknown description>
+CPQGKYIHPQNNSICCTKCHKGTYLYNDCPGPGQDTDC
+>P19438_PF00020_84 <unknown description>
+CESGSFTASENHLRHCLSCSKCRKEMGQVEISSCTVDRDTVC
+>P19438_PF00020_127 <unknown description>
+CRKNQYRHYWSENLFQCFNCSLCLNGTVHLSCQEKQNTVC
+>P19438_PF00531_358 <unknown description>
+TLYAVVENVPPLRWKEFVRRLGLSDHEIDRLELQNGRCLREAQYSMLATWRRRTPRREAT
+LELLGRVLRDMDLLGCLEDIEEA
+>P20333_PF00020_40 <unknown description>
+CRLREYYDQTAQMCCSKCSPGQHAKVFCTKTSDTVC
+>P20333_PF00020_78 <unknown description>
+CEDSTYTQLWNWVPECLSCGSRCSSDQVETQACTREQNRIC
+>P20333_PF00020_120 <unknown description>
+CRPGWYCALSKQEGCRLCAPLRKCRPGFGVARPGTETSDVVC
+>O75509_PF00020_52 <unknown description>
+GTYRHVDRATGQVLTCDKCPAGTYVSEHCTNTSLRVC
+>O75509_PF00020_91 <unknown description>
+CPVGTFTRHENGIEKCHDCSQPCPWPMIEKLPCAALTDREC
+>O75509_PF00020_171 <unknown description>
+CARGTFSDVPSSVMKCKAYTDCLSQNLVVIKPGTKETDNVC
+>O75509_PF00531_419 <unknown description>
+LKLVAAQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQL
+ISALRQHRRNDVVEKIR
+>Q93038_PF00020_73 <unknown description>
+CPQDTFLAWENHHNSECARCQACDEQASQVALENCSAVADTRC
+>Q93038_PF00531_334 <unknown description>
+QLYDVMDAVPARRWKEFVRTLGLREAEIEAVEVEIGRFRDQQYEMLKRWRQQQPAGLGAV
+YAALERMGLDGCVEDLRS
+>Q9HAV5_PF00020_3 <unknown description>
+CQENEYWDQWGRCVTCQRCGPGQELSKDCG
+>Q9HAV5_PF00020_44 <unknown description>
+CPPRRYKSSWGHHRCQSCITCAVINRVQKVNCTATSNAVC
+>P36941_PF00020_46 <unknown description>
+QEKEYYEPQHRICCSRCPPGTYVSAKCSRIRDTVC
+>P36941_PF00020_83 <unknown description>
+CAENSYNEHWNYLTICQLCRPCDPVMGLEEIAPCTSKRKTQC
+>P36941_PF00020_170 <unknown description>
+CKAGHFQNTSSPSARCQPHTRCENQGLVEAAPGTAQSDTTC
+>P43489_PF00020_31 <unknown description>
+CVGDTYPSNDRCCHECRPGNGMVSRCSRSQNTVC
+>P43489_PF00020_67 <unknown description>
+CGPGFYNDVVSSKPCKPCTWCNLRSGSERKQLCTATQDTVC
+>P43489_PF00020_128 <unknown description>
+CPPGHFSPGDNQACKPWTNCTLAGKHTLQPASNSSDAIC
+>P25942_PF00020_62 <unknown description>
+CGESEFLDTWNRETHCHQHKYCDPNLGLRVQQKGTSETDTIC
+>Q8NDV7_PF10427_1075 <unknown description>
+DNGTSAWGKPIDSGPSWGEPIAAASSTSTWGSSSVGPQALSKSGPKSMQDGWCGDDMPLP
+GNRPTGWEEEEDVEIGMWNSNSSQELNSSLNWPPYTKKMSSKGLSGKKRRRERGMMKGGN
+KQEEAWI
+>Q8NDV7_PF16608_1505 <unknown description>
+INAFSNFPIGLNSNLNVNMDMNSIKEPQSRLRKWTTVDSISVNTSLDQNSSKHGAISSGF
+RLEESPFVPYDFMNSSTSPASPPGSIGDGWPRAKSPNGSSSVNWPPEFRPGEPWKGYPNI
+DPETDPYVTPGSVINNLSINTVREVDHLRDRNSGSSSSLNTTLPSTSAWSSIRASNYNVP
+LSSTAQSTSARNSDSKLTWSPGSVTNTSLAHELWKVPLPPKNITAPSRPPPGLTGQKPPL
+STWDNSPLRIGGGWGNSDARYTPGSSWGESSSGR
+>Q9UPQ9_PF10427_934 <unknown description>
+ASVWSKSTPPAPDNGTSAWGEPNESSPGWGEMDDTGASTTGWGNTPANAPNAMKPNSKSM
+QDGWGESDGPVTGARHPSWEEEEDGGVWNTTGSQGSASSHNSASWGQGGKKQMKCSLKGG
+NNDSWMNP
+>Q9UPQ9_PF16608_1367 <unknown description>
+PIPGYGSGFSSGGMDYGMVGGKEAGTESRFKQWTSMMEGLPSVATQEANMHKNGAIVAPG
+KTRGGSPYNQFDIIPGDTLGGHTGPAGDSWLPAKSPPTNKIGSKSSNASWPPEFQPGVPW
+KGIQNIDPESDPYVTPGSVLGGTATSPIVDTDHQLLRDNTTGSNSSLNTSLPSPGAWPYS
+ASDNSFTNVHSTSAKFPDYKSTWSPDPIGHNPTHLSNKMWKNHISSRNTTPLPRPPPGLT
+NPKPSSPWSSTAPRSVRGWGTQDSRLASASTWSDGGSVR
+>P25445_PF00020_85 <unknown description>
+CQEGKEYTDKAHFSSKCRRCRLCDEGHGLEVEINCTRTQNTKC
+>P25445_PF00020_129 <unknown description>
+CKPNFFCNSTVCEHCDPCTKCEHGIIKECTLTSNTKC
+>P25445_PF00531_232 <unknown description>
+YITTIAGVMTLSQVKGFVRKNGVNEAKIDEIKNDNVQDTAEQKVQLLRNWHQLHGKKEAY
+DTLIKDLKKANLCTLAEKIQTI
+>P28908_PF00020_108 <unknown description>
+CRPGMFCSTSAVNSCARCFFHSVCPAGMIVKFPGTAQKNTVC
+>Q07011_PF00020_48 <unknown description>
+CPPNSFSSAGGQRTCDICRQCKGVFRTRKECSSTSNAEC
+>Q6UXN7_PF02064_16 <unknown description>
+ACGAFAFLGYCIYLNRKRRGDPAFKRRLRDKRRAEPQKAEEQGTQLWDPTKNKKLQELFL
+QEVRMGELWLSRGEHRMGIQHLGNALLVCEQPRELLKVFKHTLPPKVFEMLLH
+>P50616_PF07742_1 <unknown description>
+MQLEIQVALNFIISYLYNKLPRRRVNIFGEELERLLKKKYEGHWYPEKPYKGSGFRCIHI
+GEKVDPVIEQASKESGLDIDDVRGNLPQDLSVWIDPFEVSYQIGEKGPVKVLY
+>Q14106_PF07742_1 <unknown description>
+MQLEIKVALNFIISYLYNKLPRRRADLFGEELERLLKKKYEGHWYPEKPLKGSGFRCVHI
+GEMVDPVVELAAKRSGLAVEDVRANVPEELSVWIDPFEVSYQIGEKGAVKVLY
+>Q14106_PF07145_129 <unknown description>
+IKSSFNPDAQVFVPIG
+>Q14106_PF07145_249 <unknown description>
+PQSQLSPNAKEFVYNG
+>Q96GM8_PF04857_39 <unknown description>
+VQSNNFKEMWPSLLLAIKTANFVAVDTELSGLGDRKSLLNQCIEERYKAVCHAARTRSIL
+SLGLACFKRQPDKGEHSYLAQVFNLTLLCMEEYVIEPKSVQFLIQHGFNFNQQYAQGIPY
+HKGNDKGDE
+>Q96GM8_PF04857_169 <unknown description>
+QSQSVRTLFLELIRARRPLVLHNGLIDLVFLYQNFYAHLPESLGTFTADLCEMFPAGIYD
+TKYAAEFHARFVASYLEYAFRKCERENGKQRAAGSPHLTLEFCNYPSSMRDHIDYRCCLP
+PATHRPHPTSICDNFSAYGWCPLGPQCPQSHDIDLIIDTDEAAAEDKRRRRRRREKRKRA
+LLNLPGTQTSGEAKDGPPKKQVCGDSIKPEETEQEVAADETRNLPHSKQGNKNDLEMGIK
+AARPEIADRATSEVPGSQASPNPVPGDGLHRAGFDAFMTGYVM
+>Q96GM8_PF00642_297 <unknown description>
+TSICDNFSAYGWCPLGPQCPQSHD
+>Q5JTV8_PF05609_204 <unknown description>
+EATSVQQKVNFSEEGETEEDDQDSSHSSVTTVKARSRDSDESGDKTTRSSSQYIESFWQS
+SQSQNFTAHDKQPSVLSSGYQKTPQEWAPQTARIRTRMQNDSILKSELGNQSPSTSSRQV
+TGQPQNASFVKRNRWWLLPLIAALASGSFWFFSTPEVETTAVQEFQNQMNQLKNKYQGQD
+EKLWKRSQTFLEKHLNSSHPRSQPAILLLTAARDAEEALRCLSEQIADAYSSFRSVRAIR
+IDGTDKATQDSDTVKLEVDQELSNGFKNGQNAAVVHRFESFPAGSTLIFYKYCDHENAAF
+KDVALVLTVLLEEETLGTSLGLKEVEEKVRDFLKVKFTNSNTPNSYNHMDPDKLNGLWSR
+ISHLVLPVQPENALKRGICL
+>Q8NFQ8_PF05609_15 <unknown description>
+KDLENDPSVNSQAQETTIIASNAEEAEILHSACGLSKDHQEVETEGPESADTGDKSESPD
+EANVGKHPKDKTEDENKQSFLDGGKGHHLPSENLGKEPLDPDPSHSPSDKVGRADAHLGS
+SSVALPKEASDGTGASQEPPTTDSQEAQSPGHSSAGQEGEDTLRRRLLAPEAGSHPQQTQ
+KLEEIKENAQDTMRQINKKGFWSYGPVILVVLVVAVVASSVNSYYSSPAQQVPKNPALEA
+FLAQFSQLEDKFPGQSSFLWQRGRKFLQKHLNASNPTEPATIIFTAAREGRETLKCLSHH
+VADAYTSSQKVSPIQIDGAGRTWQDSDTVKLLVDLELSYGFENGQKAAVVHHFESFPAGS
+TLIFYKYCDHENAAFKDVALVLTVLLEEETLEASVGPRETEEKVRDLLWAKFTNSDTPTS
+FNHMDSDKLSGLWSRISHLVLPVQPVSSIEEQGCL
+>Q9H0E2_PF00168_53 <unknown description>
+GRLNITVVQAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIHCTVPPGV
+DSFYLEIFDERAFSMDDRIAWTHITIPESLRQGKVEDKWYSL
+>Q9H0E2_PF02845_231 <unknown description>
+EEDLKAIQDMFPNMDQEVIRSVLEAQRGNKDAAINSLLQM
+>O60784_PF00790_8 <unknown description>
+PFSSPVGQRIEKATDGSLQSEDWALNMEICDIINETEEGPKDALRAVKKRIVGNKNFHEV
+MLALTVLETCVKNCGHRFHVLVASQDFVESVLVRTILPKNNPPTIVHDKVLNLIQSWADA
+FRSSPDLTGVVTIYEDLRRKG
+>O60784_PF03127_229 <unknown description>
+GNVRVMSEMLTELVPTQAEPADLELLQELNRTCRAMQQRVLELIPQIANEQLTEELLIVN
+DNLNNVFLRHERFE
+>Q15388_PF02064_10 <unknown description>
+AGVCGALFIGYCIYFDRKRRSDPNFKNRLRERRKKQKLAKERAGLSKLPDLKDAEAVQKF
+FLEEIQLGEELLAQGEYEKGVDHLTNAIAVCGQPQQLLQVLQQTLPPPVFQMLL
+>Q9NS69_PF04281_26 <unknown description>
+EKPEEELEEDDDEELDETLSERLWGLTEMFPERVRSAAGATFDLSLFVAQKMYRFSRAAL
+WIGTTSFMILVLPVVFETEKLQMEQQQQLQQR
+>Q15785_PF00515_229 <unknown description>
+TYSNRALCYLVLKQYTEAVKDCTEALKLDGKN
+>O96008_PF01459_79 <unknown description>
+NPGTFEECHRKCKELFPIQMEGVKLTVNKGLSNHFQVNHTVALSTIGESNYHFGVTYVGT
+KQLSPTEAFPVLVGDMDNSGSLNAQVIHQLGPGLRSKMAIQTQQSKFVNWQVDGEYRGSD
+FTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRRPGEEGTVMSLAGKYTLNN
+WLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSVSFGYQLDLPKANLLFKGSV
+DSNWIVGATLEKKLPPLPLTLALGAFLNHRKNKFQCG
+>Q8N4H5_PF10642_1 <unknown description>
+MFRIEGLAPKLDPEEMKRKMREDVISSIRNFLIYVALLRVTPFILKKL
+>Q96B49_PF15184_1 <unknown description>
+MASSTVPVSAAGSANETPEIPDNVGDWLRGVYRFATDRNDFRRNLILNLGLFAAGVWLAR
+NLSDIDLMAPQPGV
+>O94826_PF00515_114 <unknown description>
+AQAAKNKGNKYFKAGKYEQAIQCYTEAISLCPT
+>O94826_PF13181_329 <unknown description>
+AEALLLRATFYLLIGNANAAKPDLDKVISLKEAN
+>O94826_PF13181_401 <unknown description>
+ADVYHHRGQLKILLDQVEEAVADFDECIRLRPE
+>O94826_PF13181_476 <unknown description>
+AEGYALYAQALTDQQQFGKADEMYDKCIDLEPDN
+>O94826_PF13181_548 <unknown description>
+YETMGTIEVQRGNMEKAIDMFNKAINLAK
+>Q9P0U1_PF08038_9 <unknown description>
+KQRLQQLFKGSQFAIRWGFIPLVIYLGFKRGADPGMPEPTVLSLL
+>Q96HA7_PF13181_243 <unknown description>
+ECCVVIAQVLQDLGDFLAAKRALKKAYRL
+>Q96HA7_PF12796_533 <unknown description>
+LHRACIEGQLRRVQDLVRQGHPLNPRDYCGWTPLHEACNYGHLEIVRFLLDHGAAVDDPG
+GQGCEGITPLHDALNCGHFEVAELLLERGASVTLR
+>Q96HA7_PF13516_1098 <unknown description>
+PSLALLDLSSNHLGPEGLRQLA
+>Q96HA7_PF13516_1128 <unknown description>
+LQSLEELDLSMNPLGDGCGQSL
+>Q969P6_PF02919_51 <unknown description>
+VKWRQLEHKGPYFAPPYEPLPDGVRFFYEGRPVRLSVAAEEVATFYGRMLDHEYTTKEVF
+RKNFFNDWRKEMAVEEREVIKSLDKCDFTEIHRYFVDKAAARKVLSREEKQKLKEEAEKL
+QQEFGYCILDGHQEKIGNFKIEPPGLFRGRGDHPKMGMLKRRITPEDVVINCSRDSKIPE
+PPAGHQWKEVRSDNTVTWLAAWTESVQNSIKYIML
+>Q969P6_PF01028_269 <unknown description>
+SKLKGETAWQKFETARRLRGFVDEIRSQYRADWKSREMKTRQRAVALYFIDKLALRAGNE
+KEDGEAADTVGCCSLRVEHVQLHPEADGCQHVVEFDFLGKDCIRYYNRVPVEKPVYKNLQ
+LFMENKDPRDDLFDRLTTTSLNKHLQELMDGLTAKVFRTYNASITLQEQLRALTRAEDSI
+AAKILSYNRANRVVAILCNHQRATPSTFEKSMQNLQTKIQAKKEQVAEARAE
+>Q969P6_PF14370_532 <unknown description>
+EQLAQLSVQATDKEENKQVALGTSKLNYLDPRISIAWCKRFRVPVEKIYSKTQRERFAWA
+LAMAGEDFEF
+>P11387_PF02919_215 <unknown description>
+IKWKFLEHKGPVFAPPYEPLPENVKFYYDGKVMKLSPKAEEVATFFAKMLDHEYTTKEIF
+RKNFFKDWRKEMTNEEKNIITNLSKCDFTQMSQYFKAQTEARKQMSKEEKLKIKEENEKL
+LKEYGFCIMDNHKERIANFKIEPPGLFRGRGNHPKMGMLKRRIMPEDIIINCSKDAKVPS
+PPPGHKWKEVRHDNKVTWLVSWTENIQGSIKYIML
+>P11387_PF01028_432 <unknown description>
+SSRIKGEKDWQKYETARRLKKCVDKIRNQYREDWKSKEMKVRQRAVALYFIDKLALRAGN
+EKEEGETADTVGCCSLRVEHINLHPELDGQEYVVEFDFLGKDSIRYYNKVPVEKRVFKNL
+QLFMENKQPEDDLFDRLNTGILNKHLQDLMEGLTAKVFRTYNASITLQQQLKELTAPDEN
+IPAKILSYNRANRAVAILCNHQRAPPKTFEKSMMNLQTKIDAKKEQLADARR
+>P11387_PF14370_695 <unknown description>
+EEQLMKLEVQATDREENKQIALGTSKLNYLDPRITVAWCKKWGVPIEKIYNKTQREKFAW
+AIDMADEDYEF
+>P11388_PF02518_79 <unknown description>
+PGLYKIFDEILVNAADNKQRDPKMSCIRVTIDPENNLISIWNNGKGIPVVEHKVEKMYVP
+ALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTKFTVETASREYKKMFKQTWMDNM
+GRAGEMELKPFNGEDYTCITFQPDLS
+>P11388_PF00204_266 <unknown description>
+GFRSYVDMYLKDKLDETGNSLKVIHEQVNHRWEVCLTMSEKGFQQISFVNSIATSKGGRH
+VDYVADQIVTKLVDVVKKKNKGGVAVKAHQVKNHMWIFVNALIENPTFDSQTKENMTLQP
+KSFGSTCQLSEKFIKAAIGCGIVESILNWVKFKAQVQLNKK
+>P11388_PF01751_456 <unknown description>
+TLILTEGDSAKTLAVSGLGVVGRDKYGVFPLRGKILNVREASHKQIMENAEINNIIKIVG
+LQYKKNYEDEDSLKTLRYGKIMIMTDQDQDGSHIKGLLINFIH
+>P11388_PF16898_573 <unknown description>
+FITPIVKVSKNKQEMAFYSLPEFEEWKSSTPNHKKWKVKYYKGLGTSTSKEAKEYFADMK
+RHRIQFKYSGPEDDAAISLAFSKKQIDDRKEWLTNFMEDRRQRKLLGLPEDYLYGQTTTY
+LTYNDFINKELILFSNSDN
+>P11388_PF00521_713 <unknown description>
+RSIPSMVDGLKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSSYHHGEMSLMMTIINLA
+QNFVGSNNLNLLQPIGQFGTRLHGGKDSASPRYIFTMLSSLARLLFPPKDDHTLKFLYDD
+NQRVEPEWYIPIIPMVLINGAEGIGTGWSCKIPNFDVREIVNNIRRLMDGEEPLPMLPSY
+KNFKGTIEELAPNQYVISGEVAILNSTTIEISELPVRTWTQTYKEQVLEPMLNGTEKTPP
+LITDYREYHTDTTVKFVVKMTEEKLAEAERVGLHKVFKLQTSLTCNSMVLFDHVGCLKKY
+DTVLDILRDFFELRLKYYGLRKEWLLGMLGAESAKLNNQARFILEKIDGKIIIENKPKKE
+LIKVLIQRGYDSDPVKAWKEAQQKVPDEEENEESDNEKETEKSDSVTDSGPTFNYLLDMP
+LWYLTKEKKDELCRLRNEKEQELDTLKRKSPSDLWKEDL
+>P11388_PF08070_1435 <unknown description>
+RAAPKGTKRDPALNSGVSQKPDPAKTKNRRKRKPSTSDDSDSNFEKIVSKAVTSKKSKGE
+SDDFHMDFDSAVAPRAKSVRAKKPIKYL
+>Q02880_PF02518_100 <unknown description>
+PGLYKIFDEILVNAADNKQRDKNMTCIKVSIDPESNIISIWNNGKGIPVVEHKVEKVYVP
+ALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTKFTVETACKEYKHSFKQTWMNNM
+MKTSEAKIKHFDGEDYTCITFQPDLS
+>Q02880_PF00204_287 <unknown description>
+GFRSYVDLYVKDKLDETGVALKVIHELANERWDVCLTLSEKGFQQISFVNSIATTKGGRH
+VDYVVDQVVGKLIEVVKKKNKAGVSVKPFQVKNHIWVFINCLIENPTFDSQTKENMTLQP
+KSFGSKCQLSEKFFKAASNCGIVESILNWVKFKAQTQLNKK
+>Q02880_PF01751_478 <unknown description>
+LILTEGDSAKSLAVSGLGVIGRDRYGVFPLRGKILNVREASHKQIMENAEINNIIKIVGL
+QYKKSYDDAESLKTLRYGKIMIMTDQDQDGSHIKGLLINFIH
+>Q02880_PF16898_594 <unknown description>
+FITPIVKASKNKQELSFYSIPEFDEWKKHIENQKAWKIKYYKGLGTSTAKEAKEYFADME
+RHRILFRYAGPEDDAAITLAFSKKKIDDRKEWLTNFMEDRRQRRLHGLPEQFLYGTATKH
+LTYNDFINKELILFSNSDN
+>Q02880_PF00521_734 <unknown description>
+RSIPSLVDGFKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSAYHHGEQALMMTIVNLA
+QNFVGSNNINLLQPIGQFGTRLHGGKDAASPRYIFTMLSTLARLLFPAVDDNLLKFLYDD
+NQRVEPEWYIPIIPMVLINGAEGIGTGWACKLPNYDAREIVNNVRRMLDGLDPHPMLPNY
+KNFKGTIQELGQNQYAVSGEIFVVDRNTVEITELPVRTWTQVYKEQVLEPMLNGTDKTPA
+LISDYKEYHTDTTVKFVVKMTEEKLAQAEAAGLHKVFKLQTTLTCNSMVLFDHMGCLKKY
+ETVQDILKEFFDLRLSYYGLRKEWLVGMLGAESTKLNNQARFILEKIQGKITIENRSKKD
+LIQMLVQRGYESDPVKAWKEAQEKAAEEDETQNQHDDSSSDSGTPSGPDFNYILNMSLWS
+LTKEKVEELIKQRDAKGREVNDLKRKSPSDLWKEDL
+>Q02880_PF08070_1508 <unknown description>
+KRAPKQKKVVEAVNSDSDSEFGIPKKTTTPKGKGRGAKKRKASGSENEGDYNPGRKTSKT
+TSKKPKKTSFDQDSDVDIFPSDFPTEPPSLPRTGRARKEVKYF
+>Q13472_PF01751_36 <unknown description>
+VLCVAEKNDAAKGIADLLSNGRMRRREGLSKFNKIYEFDYHLYGQNVTMVMTSVSGHLLA
+HDFQMQFRKWQSCNPLVLFEAEIEKYCPENFVDIKKTLERETRQCQALVIWTDCDREGEN
+IGFEIIHVCKAVKPNLQVLRARFSEI
+>Q13472_PF01131_196 <unknown description>
+PDQRVSDAVDVRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLISYGSCQFPTLGFVVER
+FKAIQAFVPEIFHRIKVTHDHKDGIVEFNWKRHRLFNHTACLVLYQLCVEDPMATVVEVR
+SKPKSKWRPQALDTVELEKLASRKLRINAKETMRIAEKLYTQGYISYPRTETNIFPRDLN
+LTVLVEQQTPDPRWGAFAQSILERGGPTPRNGNKSDQAHPPIHPTKYTNNLQGDEQRLYE
+FIVRHFLACCSQDAQGQETTVEIDIAQERFVAHGLMILARNYLDVYPYDHWSDKILPVYE
+QGSHFQPSTVEMVDGETSPPKLLTEADLIALMEKHGIGTDATHAEHIETIKARMYVGLTP
+DKRFLPGHLGMGLVEGYDSMGYEMSKPDLRAELEADLKLICDGKKDKF
+>Q13472_PF01396_656 <unknown description>
+RKCPQCNKDMVLKTKKNGGFYLSCMGFPECRSAVWLPD
+>Q13472_PF06839_811 <unknown description>
+VTCNCGQEAVLLTVRKEGPNRGRQFFKCNGGSCNFFLWADS
+>Q13472_PF06839_896 <unknown description>
+SCLCSQPSVTRTVQKDGPNKGRQFHTCAKPREQQCGFFQWVDEN
+>O95985_PF01751_4 <unknown description>
+VLMVAEKPSLAQSIAKILSRGSLSSHKGLNGACSVHEYTGTFAGQPVRFKMTSVCGHVMT
+LDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYIVLWLDCDKEGE
+NICFEVLDAVLPVMNKAHGGEKTVFRARFSSI
+>O95985_PF01131_170 <unknown description>
+PDHNEALSVDARQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERH
+DKIQSFKPETYWVLQAKVNTDKDRSLLLDWDRVRVFDREIAQMFLNMTKLEKEAQVEATS
+RKEKAKQRPLALNTVEMLRVASSSLGMGPQHAMQTAERLYTQGYISYPRTETTHYPENFD
+LKGSLRQQANHPYWADTVKRLLAEGINRPRKGHDAGDHPPITPMKSATEAELGGDAWRLY
+EYITRHFIATVSHDCKYLQSTISFRIGPELFTCSGKTVLSPGFTEVMPWQSVPLEESLPT
+CQRGDAFPVGEVKMLEKQTNPPDYLTEAELITLMEKHGIGTDASIPVHINNICQRNYVTV
+ESGRRLKPTNLGIVLVHGYYKIDAELVLPTIRSAVEKQLNLIAQGKADYRQVL
+>Q92547_PF00533_105 <unknown description>
+VMSDVTISCTSLEKEKREEVHKYVQMMGGRVYRDLNVSVTHLIAGEVGSKKYLVAANLKK
+PILLPSWIKTL
+>Q92547_PF12738_203 <unknown description>
+CIICVTGLCGLDRKEVQQLTVKHGGQYMGQLKMNECTHLIVQEPKGQKYECAKRWNVHCV
+TTQW
+>Q92547_PF00533_355 <unknown description>
+PEDLLDGCRIYLCGFSGRKLDKLRRLINSGGGVRFNQLNEDVTHVIVGDYDDELKQFWNK
+SAHRPHVVGAKWLLECF
+>Q92547_PF12738_649 <unknown description>
+CVISFSQCAGAEKESLTFLANLLGASVQEYFVRKSNAKKGMFASTHLILKERGGSKYEAA
+KKWNLPAVTIAW
+>Q92547_PF00533_902 <unknown description>
+PKPLHKVVVCVSKKLSKKQSELNGIAASLGADYRWSFDETVTHFIYQGRPNDTNREYKSV
+KERGVHIVSEHWLLDC
+>Q92547_PF00533_1265 <unknown description>
+KKQYIFQLSSLNPQERIDYCHLIEKLGGLVIEKQCFDPTCTHIVVGHPLRNEKYLASVAA
+GKWVLHRSYLEAC
+>Q96KB5_PF00069_35 <unknown description>
+MQKLGFGTGVNVYLMKRSPRGLSHSPWAVKKINPICNDHYRSVYQKRLMDEAKILKSLHH
+PNIVGYRAFTEANDGSLCLAMEYGGEKSLNDLIEERYKASQDPFPAAIILKVALNMARGL
+KYLHQEKKLLHGDIKSSNVVIKGDFETIKICDVGVSLPLDENMTVTDPEACYIGTEPWKP
+KEAVEENGVITDKADIFAFGLTLWEMMTLSIPHINLSNDDDDEDKTFDESDFDDEAYYAA
+LGTRPPINMEELDESYQKVIELFSVCTNEDPKDRPSAAHIVE
+>Q9NS56_PF13920_100 <unknown description>
+DSKCPICLDRFDNVSYLDRCLHKFCFRCVQEWSKNKAECPLCKQPFDS
+>Q8N9V7_PF14669_1287 <unknown description>
+LEHCKEKGDWTKLGKLYINVKMGCEKFADFQTFCACIAETLTKNYEDERPDIPFCEFAET
+VSKDPQNSKVDKGVLGRIGISAMYFYHKLLQWSKGRKVLEKLYELKIHFTSLKGLIGPEK
+LASRCQIVNVAAEIFLKSGSLDGAIWVMRESEWIINTPLWPCDRLDVLNRHNLLCT
+>O14656_PF06309_44 <unknown description>
+CLFAECCGQKRSLSREALQKDLDDNLFGQHLAKKIILNAVFGFINNPKPKKPLTLSLHGW
+TGTGKNFVSKIIAENIYEGGLNSDYVHLFVATLHFPHASNITLYKDQLQLWIRGNVSACA
+RSIFIF
+>O14657_PF06309_51 <unknown description>
+CRFAECCREERPLNASALKLDLEEKLFGQHLATEVIFKALTGFRNNKNPKKPLTLSLHGW
+AGTGKNFVSQIVAENLHPKGLKSNFVHLFVSTLHFPHEQKIKLYQDQLQKWIRGNVSACA
+NSVFIF
+>Q5JU69_PF06309_35 <unknown description>
+CTLGAFCECDFRPDLPGLECDLAQHLAGQHLAKALVVKALKAFVRDPAPTKPLVLSLHGW
+TGTGKSYVSSLLAHYLFQGGLRSPRVHHFSPVLHFPHPSHIERYKKDLKSWVQGNLTACG
+RSLFLF
+>Q9H497_PF06309_106 <unknown description>
+CSFKDCCPRGDCRISNNFTGLEWDLNVRLHGQHLVQQLVLRTVRGYLETPQPEKALALSF
+HGWSGTGKNFVARMLVENLYRDGLMSDCVRMFIATFHFPHPKYVDLYKEQLMSQIRETQQ
+LCHQTLFIF
+>Q9NXH8_PF06309_147 <unknown description>
+RYDLDGLEKALQRAVFGQPAAVSRIVALMRDYLATHVHSRPLLLALHGPSGVGKSHVGRL
+LARHFRSVLEDSALVLQYHARHHCPEARAAQDCREELARRVADVVAR
+>Q96NM4_PF00505_246 <unknown description>
+PQKPVSAYALFFRDTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQAYKRKTEAAKKE
+YLKALAAYR
+>O15405_PF00505_255 <unknown description>
+PQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKE
+YLKALAAYR
+>O94842_PF00505_223 <unknown description>
+PQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKE
+YLKALAAYK
+>O94900_PF00505_261 <unknown description>
+PQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDGLGEEQKQVYKKKTEAAKKE
+YLKQLAAYR
+>Q93096_PF00782_35 <unknown description>
+EELKKYGVTTIVRVCEATYDTTLVEKEGIHVLDWPFDDGAPPSNQIVDDWLSLVKIKFRE
+EPGCCIAVHCVAGLGRAPVLVALALIEGGMKYEDAVQFIRQKRRGAF
+>Q12974_PF00102_32 <unknown description>
+EELKKYGVTTLVRVCDATYDKAPVEKEGIHVLDWPFDDGAPPPNQIVDDWLNLLKTKFRE
+EPGCCVAVHCVAGLGRAPVLVALALIECGMKYEDAVQFIRQKRRGAFNSKQ
+>O75365_PF00102_35 <unknown description>
+EDLKKYGATTVVRVCEVTYDKTPLEKDGITVVDWPFDDGAPPPGKVVEDWLSLVKAKFCE
+APGSCVAVHCVAGLGRAPVLVALALIESGMKYEDAIQFIRQKRRGAINSK
+>Q8TEL6_PF12463_407 <unknown description>
+VHRMIAEFKLIPGLNNLFDKLIWRKHSASALVLHGHNQNCDCSPDITLKIQFLRLLQSFS
+DHHENKYLLLNNQELNELSAISLKANIPEVEAVLNTDRSLVCDGKRGLLTRLLQVMKKEP
+AESSFRFWQARAVESFLRGTTSYADQMFLLKRGLLEHILYCIVDSECKSRDVLQSYFDLL
+GELMKFNVDAFKRFNKYINTDAKFQVFLKQINSSLVDSNMLVRCVTLSLDRFENQVDMKV
+AEVLSECRLLAYISQVPTQMSFLFRLINIIHVQTLTQENVSCLNTSLVILMLARRKERLP
+LYLRLLQ
+>Q12888_PF09038_1488 <unknown description>
+GNSFVGLRVVAKWSSNGYFYSGKITRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDT
+EVTALSEDEYFSAGVVKGHRKESGELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGL
+GP
+>Q12888_PF18428_1872 <unknown description>
+NPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIALGVFDVVVTDPSC
+PASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDY
+>Q8WVP5_PF05527_4 <unknown description>
+FSTKSLALQAQKKLLSKMASKAVVAVLVDDTSSEVLDELYRATREFTRSRKEAQKMLKNL
+VKVALKLGLLLRGDQLGGEELALLRRFRHRARCLAMTAVSFHQVDFTFDRRVLAAGLLEC
+RDLLHQAVGPHLTAKSHGRINHVFGHLADCDFLAALYGPAEPYRSHLRRICEGLGRMLDE
+G
+>Q6P589_PF05527_4 <unknown description>
+FSSKSLALQAEKKLLSKMAGRSVAHLFIDETSSEVLDELYRVSKEYTHSRPQAQRVIKDL
+IKVAIKVAVLHRNGSFGPSELALATRFRQKLRQGAMTALSFGEVDFTFEAAVLAGLLTEC
+RDVLLELVEHHLTPKSHGRIRHVFDHFSDPGLLTALYGPDFTQHLGKICDGLRKLLDEG
+>P00750_PF00039_41 <unknown description>
+CRDEKTQMIYQQHQSWLRPVLRSNRVEYCWCNSGRAQC
+>P00750_PF00008_86 <unknown description>
+CSEPRCFNGGTCQQALYFSDFVCQCPEGFAGK
+>P00750_PF00051_127 <unknown description>
+CYEDQGISYRGTWSTAESGAECTNWNSSALAQKPYSGRRPDAIRLGLGNHNYCRNPDRDS
+KPWCYVFKAGKYSSEFCSTPAC
+>P00750_PF00051_215 <unknown description>
+CYFGNGSAYRGTHSLTESGASCLPWNSMILIGKVYTAQNPSAQALGLGKHNYCRNPDGDA
+KPWCHVLKNRRLTWEYCDVPSC
+>P00750_PF00089_311 <unknown description>
+IKGGLFADIASHPWQAAIFAKHRRSPGERFLCGGILISSCWILSAAHCFQERFPPHHLTV
+ILGRTYRVVPGEEEQKFEVEKYIVHKEFDDDTYDNDIALLQLKSDSSRCAQESSVVRTVC
+LPPADLQLPDWTECELSGYGKHEALSPFYSERLKEAHVRLYPSSRCTSQHLLNRTVTDNM
+LCAGDTRSGGPQANLHDACQGDSGGPLVCLNDGRMTLVGIISWGLGCGQKDVPGVYTKVT
+NYLDWI
+>P0DKB5_PF13855_95 <unknown description>
+LLSALRLTHNHIEVVEDGAFDGLPSLAALDLSHNPLRALGGGAFRGLPALRSLQLNH
+>Q13641_PF01462_61 <unknown description>
+QCPALCECSEAARTVKCVNRNLTEVPTDLP
+>Q13641_PF13855_93 <unknown description>
+VRNLFLTGNQLAVLPAGAFARRPPLAELAALNLSGSRLDEVRAGAFEHLPSLRQLDLSHN
+PL
+>Q13641_PF13855_211 <unknown description>
+GLRRLELASNHFLYLPRDVLAQLPSLRHLDLSNNSLVSLTYVSFRNLTHLESLHLEDNAL
+>Q13641_PF01463_321 <unknown description>
+LTCAYPEKMRNRVLLELNSADLDCD
+>P48553_PF12584_1019 <unknown description>
+PPPSLHCRFSVGFSPASEEQLSISLKPYTYEFKVENFFTLYNVKAEIFPPSGMEYCRTGS
+LCSLEVLITRLSDLLEVDKDEALTESDEHFSTKLMYEVVDNSSNWAVCGKSCGVISMPVA
+ARATHRVHMEVMPLFAGYLPLPDVRLFKYLPHHSAHSSQLDADSWIENDSLSVDKHGDDQ
+PDSSSLKSRGSVHSACSSEHKGLPMPRLQALPAGQVFNSSSGTQVLVI
+>Q7Z392_PF11817_263 <unknown description>
+NILEIKTMAGFINYKICRLCFQHNTPLDAIAQFRKHIDLCKKKIGSAELSFEHDAWMSKQ
+FQAFGDLFDEAIKLGLTAIQTQNPGFYYQQAAYYAQERKQLAKTLCNHEASVMYPNPDPL
+ETQTGVLDFYGQRSWRQGILSFDLSDPEKEKVGILAIQLKERNVVHSEIIITLLSNAVAQ
+FKKYKCPRMKSHLMVQMGEEYYYAKDYTKALKLLDYVMCDYRSEGWWTLLTSVLTTALKC
+SYLMAQLKDYITYSLELLG
+>Q7Z392_PF12742_1036 <unknown description>
+LQNKTDLVQDVEISVEPSDAFMFSGLKQIRLRILPGTEQEMLYNFYPLMAGYQQLPSLNI
+>Q8WVT3_PF14559_631 <unknown description>
+LGQNNFAEAHRFFTEILRMDPRNAVANNNAAVCLLYLGKLKDSLRQLEAMVQQDPR
+>A5PLN9_PF06159_65 <unknown description>
+LTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVAELKP
+DCCIDDVIHHEVKEIGTHILVCAVSYTTQAGEKMYFRKFFKFQVLKPLDVKTKFYNAESD
+LSSVTDEVFLEAQIQNMTTSPMFMEKVSLEPSIMYNVTELNSVSQAGECVSTFGSRAYLQ
+PMDTRQYLYCLKPKNEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQR
+>Q8WVR3_PF15806_139 <unknown description>
+PVEEPIVSTDEVIFPLTVSLDRLPPGTPKAKIVVTVWKREIEAPEVRDQGYLRLLQTRSP
+GETFRGEQSAFKAQVSTLLTLLPPPVLRCRQFTVAGKHLTVLKVLNSSSQEEISIWDIRI
+LPNFNASYLPVMPDGSVLLVDNVCHQSGEVSMGSFCRLPGTSGCFPCPLNALEEHNFLFQ
+LRGGEQPPPGAKEGLEVPLIAVVQWSTPKLPFTQSIYTHYRLPSVRLDRPCFVMTASCKS
+PVRTYERFTVTYTLLNNLQDFLAVRLVWTPEHAQAGKQLCEEERRAMQAALDSVVCHTPL
+NNLGFSRKGSALTFSVAFQALRTGLFELSQHMKLKLQFTASVSHPPPEARPLSRKSSPSS
+PAVRDLVERHQASLGRSQSFSHQQPSRSHLMRSGSVMERRAITPPVASPVGRPLYLPPDK
+AVLSLDKIAKRECKVLVVEPV
+>Q9ULQ1_PF00520_108 <unknown description>
+FYLMELATALLLLLLSLCEAPAVPALRLGIYVHATLELFALMVVVFELCMKLRWLGLHTF
+IRHKRTMVKTSVLVVQFVEAIVVLVRQMSHVRVTRALRCIFLVDCRYCGGVRRNLRQIFQ
+SLPPFMDILLLLLFFMIIFAILGFYLFSPNPSDPYFSTLENSIVSLFVLLTTANFPDVMM
+PSYSRNPWSCVFFIVYLSIELYFIMNLLLAVVFDTFNDIEKRK
+>Q9ULQ1_PF00520_441 <unknown description>
+KAFQYFMYLVVAVNGVWILVETFMLKGGNFFSKHVPWSYLVFLTIYGVELFLKVAGLGPV
+EYLSSGWNLFDFSVTVFAFLGLLALALNMEPFYFIVVLRPLQLLRLFKLKERYRNVLDTM
+FELLPRMASLGLTLLIFYYSFAIVGMEFFCGIVFPNCCNTSTVADAYRWRNHTVGNRTVV
+EEGYYYLNNFDNILNSFVTLFELTVVNNWYIIMEGVTSQTSHWSRLYFMTFYIVTMVVMT
+IIVAFILEAF
+>P0DI81_PF04628_9 <unknown description>
+IVGHHDNPVFEMEFLPAGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMYLKTVDKFN
+EWFVSAFVTAGHMRFIMLHDIRQEDGIKNFFTDVYDLYIKFSMNPFYEPNSPIRSSAFDR
+KVQFLGKK
+>P0DI82_PF04628_9 <unknown description>
+IVGHHDNPVFEMEFLPAGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMYLKTVDKFN
+EWFVSAFVTAGHMRFIMLHDIRQEDGIKNFFTDVYDLYIKFSMNPFYEPNSPIRSSAFDR
+KVQFLGKK
+>Q9UL33_PF04628_7 <unknown description>
+VIAKENYPLYIRSTPTENELKFHYMVHTSLDVVDEKISAMGKALVDQRELYLGLLYPTED
+YKVYGYVTNSKVKFVMVVDSSNTALRDNEIRSMFRKLHNSYTDVMCNPFYNPGDRIQSRA
+FDNMVTSMM
+>Q8NHX9_PF00520_91 <unknown description>
+FLILFLAFIETPSSLTSTADVRYRAAPWEPPCGLTESVEVLCLLVFAADLSVKGYLFGWA
+HFQKNLWLLGYLVVLVVSLVDWTVSLSLVCHEPLRIRRLLRPFFLLQNSSMMKKTLKCIR
+WSLPEMASVGLLLAIHLCLFTMFGMLLFAGGKQDDGQDRERLTYFQNLPESLTSLLVLLT
+TANNPDVMIPAYSKNRAYAIFFIVFTVIGSLFLMNLLTAIIYSQF
+>Q8NHX9_PF00520_431 <unknown description>
+HYYFDYLGNLIALANLVSICVFLVLDADVLPAERDDFILGILNCVFIVYYLLEMLLKVFA
+LGLRGYLSYPSNVFDGLLTVVLLVLEISTLAVYRLPHPGWRPEMVGLLSLWDMTRMLNML
+IVFRFLRIIPSMKLMAVVASTVLGLVQNMRAFGGILVVVYYVFAIIGINLFRGVIVALPG
+NSSLAPANGSAPCGSFEQLEYWANNFDDFAAALVTLWNLMVVNNWQVFLDAYRRYSGPWS
+KIYFVLWWLVSSVIWVNLFLALILENF
+>Q5T215_PF04051_19 <unknown description>
+FVLTYGALVAQLCKDYEKDEDVNQYLDKMGYGIGTRLVEDFLARSCVGRCHSYSEIIDII
+AQVAFKMYLGITPSVTCNNSSKNEFSLILEKNPLVEFVEELPAGRSSLCYCNLLCGIIRG
+ALEMVHLAADVTFLQDRLKGDSVTEIGI
+>O75865_PF04051_7 <unknown description>
+FEFLHTEMVAELWAHDPDPGPGGQKMSLSVLEGMGFRVGQALGERLPRETLAFREELDVL
+KFLCKDLWVAVFQKQMDSLRTNHQGTYVLQDNSFPLLLPMASGLQYLEEAPKFLAFTCGL
+LRGALYTLGIESVVTASVAALPVCKFQV
+>Q86SZ2_PF04051_8 <unknown description>
+LLLHNEMVSGVYKSAEQGEVENGRCITKLENMGFRVGQGLIERFTKDTARFKDELDIMKF
+ICKDFWTTVFKKQIDNLRTNHQGIYVLQDNKFRLLTQMSAGKQYLEHASKYLAFTCGLIR
+GGLSNLGIKSIVTAEVSSMPACKFQVMI
+>Q9HC21_PF00153_16 <unknown description>
+FQVAVAGSVSGLVTRALISPFDVIKIRFQLQHERLSRSDPSAKYHGILQASRQILQEEGP
+TAFWKGHVPAQILSIGYGAVQFLSFEMLTELVH
+>Q9HC21_PF00153_117 <unknown description>
+EFSVHFVCGGLAACMATLTVHPVDVLRTRFAAQGEPKVYNTLRHAVGTMYRSEGPQVFYK
+GLAPTLIAIFPYAGLQFSCYSSLKHLYK
+>Q9HC21_PF00153_215 <unknown description>
+ENLQNLLCGSGAGVISKTLTYPLDLFKKRLQVGGFEHARAAFGQVRRYKGLMDCAKQVLQ
+KEGALGFFKGLSPSLLKAALSTGFMFFSYEFFCNVFH
+>P55327_PF04201_31 <unknown description>
+LSEEEQEELRRELAKVEEEIQTLSQVLAAKEKHLAEIKRKLGINSLQELKQNIAKGWQDV
+TATSAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKLQAFSHSFSIRSIQHSISMPA
+MRNSPTFKSFE
+>Q16890_PF04201_31 <unknown description>
+LSEEEKEELKAELVQLEDEITTLRQVLSAKERHLVEIKQKLGMNLMNELKQNFSKSWHDM
+QTTTAYKKTHETLSHAGQKATAAFSNVGTAISKKFGDMSYSIRHSISMPAMRNSPTFKSF
+E
+>O43399_PF04201_41 <unknown description>
+LTEAEEEELRAELTKVEEEIVTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDV
+QVSSAYVKTSEKLGEWNEKVT
+>O43399_PF04201_118 <unknown description>
+EKVTQSDLYKKTQETLSQAGQKTSAALSTVGSAISRKLGDMRNSATFKSFE
+>Q96J77_PF04201_24 <unknown description>
+LTEPEQRELKTKLTKLEAEIVTLRHVLAAKERRCGELKRKLGLTALVGLRQNLSKSWLDV
+QVSNTYVKQKTSAALSTMGTLICRKLGGVKKSATFRSFE
+>P17752_PF00351_106 <unknown description>
+PWFPKKISDLDHCANRVLMYGSELDADHPGFKDNVYRKRRKYFADLAMNYKHGDPIPKVE
+FTEEEIKTWGTVFQELNKLYPTHACREYLKNLPLLSKYCGYREDNIPQLEDVSNFLKERT
+GFSIRPVAGYLSPRDFLSGLAFRVFHCTQYVRHSSDPFYTPEPDTCHELLGHVPLLAEPS
+FAQFSQEIGLASLGASEEAVQKLATCYFFTVEFGLCKQDGQLRVFGAGLLSSISELKHAL
+SGHAKVKPFDPKITCKQECLITTFQDVYFVSESFEDAKEKMREFTKTIKRPFGVKYNPYT
+RSIQILKDTKSITSAMNELQHDLDVVSDAL
+>Q8IWU9_PF00351_152 <unknown description>
+PWFPRKISELDKCSHRVLMYGSELDADHPGFKDNVYRQRRKYFVDVAMGYKYGQPIPRVE
+YTEEETKTWGVVFRELSKLYPTHACREYLKNFPLLTKYCGYREDNVPQLEDVSMFLKERS
+GFTVRPVAGYLSPRDFLAGLAYRVFHCTQYIRHGSDPLYTPEPDTCHELLGHVPLLADPK
+FAQFSQEIGLASLGASDEDVQKLATCYFFTIEFGLCKQEGQLRAYGAGLLSSIGELKHAL
+SDKACVKAFDPKTTCLQECLITTFQEAYFVSESFEEAKEKMRDFAKSITRPFSVYFNPYT
+QSIEILKDTRSIENVVQDLRSDLNTVCDAL
+>Q9HCN2_PF15338_2 <unknown description>
+GSSSEASFRSAQASCSGARRQGLGRGDQNLSVMPPNGRAQTHTPGWVSDPLVLGAQVHGG
+CRGIEALSVSSGSWSSATVWILTGLGLGLSRPFLPGATVLRDRPLGSAFELSYDQKKAPL
+RLQ
+>P60174_PF00121_8 <unknown description>
+FVGGNWKMNGRKQSLGELIGTLNAAKVPADTEVVCAPPTAYIDFARQKLDPKIAVAAQNC
+YKVTNGAFTGEISPGMIKDCGATWVVLGHSERRHVFGESDELIGQKVAHALAEGLGVIAC
+IGEKLDEREAGITEKVVFEQTKVIADNVKDWSKVVLAYEPVWAIGTGKTATPQQAQEVHE
+KLRGWLKSNVSDAVAQSTRIIYGGSVTGATCKELASQPDVDGFLVGGASLKPEFVDIINA
+>Q9H3S4_PF04263_32 <unknown description>
+FRHLWNKALLRACADGGANRLYDITEGERESFLPEFINGDFDSIRPEVREYYATKGCELI
+STPDQDHTDFTKCLKMLQKKIEEKDLKVDVIVTLGGLAGRFDQIMASVNTLFQA
+>Q9H3S4_PF04265_169 <unknown description>
+KHRLHVDTGMEGDWCGLIPVGQPCMQVTTTGLKWNLTNDVLAFGTLVSTSNTYDGSGVVT
+VETDHPLL
+>P09493_PF00261_48 <unknown description>
+KKLKGTEDELDKYSEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLA
+TALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVAR
+KLVIIESDLERAEERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDRYEEEIKV
+LSDKLKEAETRAEFAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTS
+>P07951_PF00261_48 <unknown description>
+KKLKGTEDEVEKYSESVKEAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLA
+TALQKLEEAEKAADESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVAR
+KLVILEGELERSEERAEVAESKCGDLEEELKIVTNNLKSLEAQADKYSTKEDKYEEEIKL
+LEEKLKEAETRAEFAERSVAKLEKTIDDLEDEVYAQKMKYKAISEELDNALNDITS
+>P06753_PF00261_49 <unknown description>
+KKLKGTEDELDKYSEALKDAQEKLELAEKKAADAEAEVASLNRRIQLVEEELDRAQERLA
+TALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVAR
+KLVIIEGDLERTEERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQKEDKYEEEIKI
+LTDKLKEAETRAEFAERSVAKLEKTIDDLEDELYAQKLKYKAISEELDHALNDMTS
+>P67936_PF00261_12 <unknown description>
+RKIQALQQQADEAEDRAQGLQRELDGERERREKAEGDVAALNRRIQLVEEELDRAQERLA
+TALQKLEEAEKAADESERGMKVIENRAMKDEEKMEIQEMQLKEAKHIAEEADRKYEEVAR
+KLVILEGELERAEERAEVSELKCGDLEEELKNVTNNLKSLEAASEKYSEKEDKYEEEIKL
+LSDKLKEAETRAEFAERTVAKLEKTIDDLEEKLAQAKEENVGLHQTLDQTLNELNC
+>P51580_PF05724_25 <unknown description>
+TLEEWQDKWVNGKTAFHQEQGHQLLKKHLDTFLKGKSGLRVFFPLCGKAVEMKWFADRGH
+SVVGVEISELGIQEFFTEQNLSYSEEPITEIPGTKVFKSSSGNISLYCCSIFDLPRTNIG
+KFDMIWDRGALVAINPGDRKCYADTMFSLLGKKFQYLLCVLSYDPTKHPGPPFYVPHAEI
+ERLFGKICNIRCLEKVDAFEERHKSWGIDCLFEKLYLLTEK
+>P40238_PF09067_25 <unknown description>
+SQDVSLLASDSEPLKCFSRTFEDLTCFWDEEEAAPSGTYQLLYAYPREKPRACPLSSQSM
+PHFGTRYVCQFPDQEEVRLFFPLHLWVKNVFLNQTRTQRVLFVD
+>P40238_PF00041_394 <unknown description>
+PNLHWREISSGHLELEWQHPSSWAAQETCYQLRYTGEGHQDWKVLEPPLGARGGTLELRP
+RSRYRLQLRARLNGPTYQGPWS
+>P40225_PF00758_26 <unknown description>
+PACDLRVLSKLLRDSHVLHSRLSQCPEVHPLPTPVLLPAVDFSLGEWKTQMEETKAQDIL
+GAVTLLLEGVMAARGQLGPTCLSSLLGQLSGQVRLLLGALQSLLGTQLPPQGRTTAHKDP
+NAIFLSFQHLLRGKVRFLMLV
+>O14773_PF09286_33 <unknown description>
+PGWVSLGRADPEEELSLTFALRQQNVERLSELVQAVSDPSSPQYGKYLTLENVADLVRPS
+PLTLHTVQKWLLAAGAQKCHSVITQDFLTCWLSIRQAELLLPGAEFHHYVGGPTETHVVR
+SPHPYQLPQALAPHVDFVGGLHRF
+>O14773_PF00082_319 <unknown description>
+HVHTVSYGDDEDSLSSAYIQRVNTELMKAAARGLTLLFASGDSGAGCWSVSGRHQFRPTF
+PASSPYVTTVGGTSFQEPFLITNEIVDYISGGGFSNVFPRPSYQEEAVTKFLSSSPHLPP
+SSYFNASGRAYPDVAALSDGYWVVSNRVPIPWVSGTSASTPVFGGILSLINE
+>Q9Y5R8_PF04099_3 <unknown description>
+VHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDGFL
+AFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVELVVKNPLCPLGQTVQ
+SELFRSRLDSYVRS
+>O43617_PF04051_19 <unknown description>
+FTLTYGALVTQLCKDYENDEDVNKQLDKMGFNIGVRLIEDFLARSNVGRCHDFRETADVI
+AKVAFKMYLGITPSITNWSPAGDEFSLILENNPLVDFVELPDNHSSLIYSNLLCGVLRGA
+LEMVQMAVEAKFVQDTLKGDGVTEIRM
+>Q9Y296_PF04099_3 <unknown description>
+IFSVYVVNKAGGLIYQLDSYAPRAEAEKTFSYPLDLLLKLHDERVLVAFGQRDGIRVGHA
+VLAINGMDVNGRYTADGKEVLEYLGNPANYPVSIRFGRPRLTSNEKLMLASMFHSLFAIG
+SQLSPEQGSSGIEMLETDTFKLHCYQTLTGIKFVVLADPRQAGIDSLLRKIYEIYSDFAL
+KNPFYSLEMPIRCELFDQNLKLALE
+>Q8IUR0_PF04051_29 <unknown description>
+FALLFSELVQHCQSRVFSVAELQSRLAALGRQVGARVLDALVAREKGARRETKVLGALLF
+VKGAVWKALFGKEADKLEQANDDARTFYIIEREPLINTYISVPKENSTLNCASFTAGIVE
+AVLTHSGFPAKVTAHWHKGTTLMIKF
+>Q9Y2L5_PF12739_158 <unknown description>
+EPVEQFSKLSQEQHRIQHNSDYSYPKWFIPNTLKYYVLLHDVSAGDEQRAESIYEEMKQK
+YGTQGCYLLKINSRTSNRASDEQIPDPWSQYLQKNSIQNQESYEDGPCTITSNKNSDNNL
+LSLDGLDNEVKDGLPNNFRAHPLQLEQSSDPSNSIDGPDHLRSASSLHETKKGNTGIIHG
+ACLTLTDHDRIRQFIQEFTFRGLLPHIEKTIRQLNDQLISRKGLSRSLFSATKKWFSGSK
+VPEKSINDLKNTSGLLYPPEAPELQIRKMADLCFLVQHYDLAYSCYHTAKKDFLNDQAML
+YAAGALEMAAVSAFLQPGAPRPYPAHYMDTAIQTYRDICKNMVLAERCVLLSAELLKSQS
+KYSEAAALLIRLTSEDSDLRSALLLEQAAHCFINMKSPMVRKYAFHMILAGHRFSKAGQK
+KHALRCYCQAMQVYKGKGWSLAEDHIN
+>Q96Q05_PF08626_187 <unknown description>
+FVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDSLVHYHMSVELLRSVNDFLWLGAAL
+EGLCSASVIYHYPGG
+>Q96Q05_PF08626_360 <unknown description>
+RSMEASEFLQNAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPS
+IAEPGWRACYKLLLETLPGYSLSLDPKDFSRGTHRGWAAVQMRLLHELVYASRRMGNPAL
+SVRHLSFLLQTMLDFLSDQEKKDVAQSLENYTSKCPGTMEPIALPGGLTLPPVPFTKLPI
+VRHVKLLNLPASLRPHKMKSLLGQNVSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVC
+EVQLMVYNPMPFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGTITV
+NGYHTTVFGV
+>P59282_PF05517_6 <unknown description>
+EKTFHRFAAFGESSSSGTEMNNKNFSKLCKDCGIMDGKTVTSTDVDIVFSKVKAKNARTI
+TFQQFKEAVKELGQKRFKGKSPDEVLENIYGLMEGKDPATTGATKATTVGAVDRLTDTSK
+YTGTHKERFDESGKGKGIAGREEMTDNTGYVSGYKG
+>Q9BW30_PF05517_11 <unknown description>
+EESFRKFAIHGDPKASGQEMNGKNWAKLCKDCKVADGKSVTGTDVDIVFSKVKGKSARVI
+NYEEFKKALEELATKRFKGKSKEEAFDAICQLVAGKEPANVGVTKAKTGGAVDRLTDTSR
+YTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKN
+>O94811_PF05517_52 <unknown description>
+EEAFRRFAVHGDARATGREMHGKNWSKLCKDCQVIDGRNVTVTDVDIVFSKIKGKSCRTI
+TFEQFQEALEELAKKRFKDKSSEEAVREVHRLIEGKAPIISGVTKAISSPTVSRLTDTTK
+FTGSHKERFDPSGKGKGKAGRVDLVDESGYVSGYKH
+>Q86W33_PF10160_40 <unknown description>
+TSRVRYWDLLLLIPNVLFLIFLLWKLPSARAKIRITSSPIFITFYILVFVVALVGIARAV
+VSMTVSTSNAATVADKILWEITRFFLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLS
+LAYSVTQGTLEILYPDAHLSAEDFNIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKER
+ISLPSRRSFYVYAGILALLNLLQGLGSVLLCFDIIEGLCCVDATTFLYFSFFAPLIYVAF
+LRGFFGSEPKILFSYKCQVDETEEPDVHLPQPY
+>Q6ZUI0_PF12456_66 <unknown description>
+YFATRPGAIETAMEDLKGHVAETSGETIQGFWLLTKIDHWNNEKERILLVTDKTLLICKY
+DFIMLSCVQLQRIPLSAVYRICLGKFTFPGMSLDKRQGEGLRIYW
+>Q5T0D9_PF12456_63 <unknown description>
+YFVFRPGSIEQAVEEIRVVVRPVEDGEIQGVWLLTEVDHWNNEKERLVLVTEQSLLICKY
+DFISLQCQQVVRIALNAVDTISYGEFQFPPKSLNKREGFGIRIQWD
+>Q9Y3C4_PF08617_20 <unknown description>
+FKDVKNAGDLRRKAMEGTIDGSLINPTVIVDPFQILVAANKAVHLYKLGKMKTRTLSTEI
+IFNLSPNNNISEALKKFGISANDTSILIVYIEEGEKQINQEYLISQVEGHQVSLKNLPEI
+MNITEVKKIYKLSSQEESIGTLLDAIICRMSTK
+>Q4KMQ1_PF13916_9 <unknown description>
+SGPRAAVPAWKREILERKRAKLAALGGGAGPGAAEPEQRVLAESLGPLRENPFMLLEAER
+RRGGGAAGARLLERYRRVP
+>Q4KMQ1_PF13914_468 <unknown description>
+QAAKLPYLPHPARPLHPARPGCVAELQPRGSNTFTVVPKRKPGTLQDQHFSQANREPRPR
+EAEEEEASCLLGPTLKKRYPTVHEIEVIGGYLALQKSCLTKAGSSRKKMKISFNDKSLQT
+TFEYPSESSL
+>P0DV77_PF00046_21 <unknown description>
+RQERTVYTESQQKVLEFYFQKDQYPNYDQRLNLAEMLSLREQQLQVWFKNRRAK
+>P12270_PF07926_1039 <unknown description>
+TLSSVQNEVQEALQRASTALSNEQQARRDCQEQAKIAVEAQNKYERELMLHAADVEALQA
+AKEQVSKMASVRQHLEETTQKAESQLLECKASWEERERMLKDEVSKCVCRCEDLEKQNRL
+LHDQIEKL
+>Q9BX59_PF07686_198 <unknown description>
+QSLSFLLGSSASLDCGFSMAPGLDLISVEWRLQHKGRGQLVYSWTAGQGQAVRKGATLEP
+AQLGMARDASLTLPGLTIQDEGTYICQITTSLYRAQQIIQLNI
+>Q9BX59_PF07654_317 <unknown description>
+PTLICDIAGYYPLDVVVTWTREELGGSPAQVSGASFSSLRQSVAGTYSISSSLTAEPGSA
+GATYTCQVTHISL
+>O15533_PF07654_308 <unknown description>
+EAPPELLCLVSHFYPSGGLEVEWELRGGPGGRSQKAEGQRWLSALRHHSDGSVSLSGHLQ
+PPPVTTEQHGARYACRIHHPSL
+>O60507_PF13469_70 <unknown description>
+PLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQMWSRSSKEKIRLDEAGV
+TDEVLDSAMQAFLLEIIVKHGEPAPYLCNKDPFALKSLTYLSRLFPNAKFLLMVRDGRAS
+VHSMISRKVTIAGFDLNSYRDCLTKWNRAIETMYNQCMEVGYKKCMLVHYEQLVLHPERW
+MRTLLKFLQIPWN
+>O60704_PF13469_69 <unknown description>
+PLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVLAMRQAWSKSGREKLRLDEAGV
+TDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSVYLSRLFPNSKFLLMVRDGRAS
+VHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCMEVGKEKCLPVYYEQLVLHPRRS
+LKLILDFLGIAWSD
+>Q6XPS3_PF10409_393 <unknown description>
+RRILFIKRFIIYSIRGDVCDLKVQVVMEKKVVFSSTSLGNCSILHDIETDKILINVYDGP
+PLYDDVKVQFFSSNLPKYYDNCPFFFWFNTSFIQNNRLCLPRNELDNPHKQKAWKIYPPE
+FAVEILFG
+>P56180_PF10409_410 <unknown description>
+PRRILFIKHFIIYSIPRYVRDLKIQIEMEKKVVFSTISLGKCSVLDNITTDKILIDVFDG
+LPLYDDVKVQFFYSNLPTYYDNCSFYFWLHTSFIENNRLYLPKNELDNLHKQKARRIYPS
+DFAVEILFG
+>Q9ULW0_PF09041_1 <unknown description>
+MSQVKSSYSYDAPSDFINFSSLDDEGDTQNIDSWFEEKANLENKLLGKNGTGGLFQGKTP
+LRKANLQQ
+>Q9ULW0_PF12214_366 <unknown description>
+DPQTPVLQTKHRARAVTCKSTAELEAEELEKLQQYKFKARELDPRILEGGPILPKKPPVK
+PPTEPIGFDLEIEKRIQERESKKKTE
+>Q9ULW0_PF12214_451 <unknown description>
+EDEHFEFHSRPCPTKILEDVVGVPEKKVLPITVPKSPAF
+>Q9ULW0_PF06886_660 <unknown description>
+FQLATEKRAKERQELEKRMAEVEAQKAQQLEEARLQEEEQKKEELARLRRELVHKANPIR
+KYQGLEIKSSDQPLT
+>O00220_PF00020_148 <unknown description>
+CTEGVGYTNASNNLFACLPCTACKSDEEERSPCTTTRNTAC
+>O00220_PF00020_190 <unknown description>
+CKPGTFRNDNSAEMCRKCSRGCPRGMVKVKDCTPWSDIEC
+>O00220_PF00531_368 <unknown description>
+FDKFANIVPFDSWDQLMRQLDLTKNEIDVVRAGTAGPGDALYAMLMKWVNKTGRNASIHT
+LLDALERMEERHAREKIQD
+>O14763_PF00020_97 <unknown description>
+CKYGQDYSTHWNDLLFCLRCTRCDSGEVELSPCTTTRNTVC
+>O14763_PF00020_139 <unknown description>
+CEEGTFREEDSPEMCRKCRTGCPRGMVKVGDCTPWSDIEC
+>O14763_PF00531_340 <unknown description>
+QCFDDFADLVPFDSWEPLMRKLGLMDNEIKVAKAEAAGHRDTLYTMLIKWVNKTGRDASV
+HTLLDALETLGERLAKQKIEDH
+>O14798_PF00020_69 <unknown description>
+CTEGVDYTNASNNEPSCFPCTVCKSDQKHKSSCTMTRDTVC
+>O14798_PF00020_111 <unknown description>
+CKEGTFRNENSPEMCRKCSRCPSGEVQVSNCTSWDDIQC
+>Q9UBN6_PF00020_99 <unknown description>
+CTEGVDYTIASNNLPSCLLCTVCKSGQTNKSSCTTTRDTVC
+>Q9UBN6_PF00020_141 <unknown description>
+CEKGSFQDKNSPEMCRTCRTGCPRGMVKVSNCTPRSDIKC
+>Q9UI30_PF03966_2 <unknown description>
+KLLTHNLLSSHVRGVGSRGFPLRLQATEVRICPVEFNPNFVARMIPKVEWSAFLEAADNL
+RLIQVPKGPVEGYEENEEFLRTMHHLLLEVEVIEGTLQCPESGRMFPISRG
+>O00300_PF00020_30 <unknown description>
+HYDEETSHQLLCDKCPPGTYLKQHCTAKWKTVC
+>O00300_PF00020_65 <unknown description>
+CPDHYYTDSWHTSDECLYCSPVCKELQYVKQECNRTHNRVC
+>O00300_PF00020_107 <unknown description>
+CKEGRYLEIEFCLKHRSCPPGFGVVQAGTPERNTVC
+>O00300_PF00531_279 <unknown description>
+NSVQRHIGHANLTFEQLRSLMESLPGKKVGAEDIEKTIKACKPSDQILKLLSLWRIKNGD
+QDTLKGLMHALKHSKTYHFPKTVTQS
+>O14836_PF09305_36 <unknown description>
+EEQYWDPLLGTCMSCKTICNHQSQRTCAAFCR
+>O14836_PF09305_69 <unknown description>
+LSCRKEQGKFYDHLLRDCISCASICGQHPKQCAYFCENK
+>Q96RJ3_PF09256_16 <unknown description>
+PTPCVPAECFDLLVRHCVACGLLRTPRPKP
+>Q9Y2W1_PF15440_123 <unknown description>
+GRSRSRSPKRRSPSPRSRSHSRNSDKSSSDRSRRSSSSRSSSNHSRVESSKRKSAKEKKS
+SSKDSRPSQAAGDNQGDEAKEQTFSGGTSQDTKASESSKPWPDATYGTGSASRASAVSEL
+SPRERSPALKSPLQSVVVRRRSPRPSPVPKPSPPLSSTSQMGSTLPSGAGYQSGTHQGQF
+DHGSGSLSPSKKSPVGKSPPSTGSTYGSSQKEESAASGGAAYTKRYLEEQKTENGKDKEQ
+KQTNTDKEKIKEKGSFSDTGLGDGKMKSDSFAPKTDSEKPFRGSQSPKRYKLRDDFEKKM
+ADFHKEEMDDQDKDKAKGRKESEFDDEPKFMSKVIGANKNQEEEKSGKWEGLVYAPPGKE
+KQRKTEELEEESFPERSKKEDRGKRSEGGHRGFVPEKNFRVTAYKAVQEKSSSPPPRKTS
+ESRDKLGAKGDFPTGKSSFSITREAQVNVRMDSFDEDLARPSGLLAQERKLCRDLVHSNK
+KEQEFRSIFQHIQSAQSQRSPSELFAQHIVTIVHHVKEHHFGSSGMTLHERFTKYLKRGT
+EQEAAKNKKSPEIHRRIDISPSTFRKHGLAHDEMKSPREPGYKAEGKYKDDPVDLRLDIE
+RRKKHKERDLKRGKSRESVDSRDSSHSRERSAEKTEKTHKGSKKQKKHRRARDRSRSSSS
+SSQSSHSYK
+>Q969Z4_PF12606_163 <unknown description>
+YAVIAIVPVFCLMGLLGILVCNLLKRKGYHCTAHKE
+>Q8N609_PF08390_49 <unknown description>
+VFLTLQHSVAVPAAEEQATGSKSLYYYGVKDLATVFFYMLVAIIIHATIQEYVLDKINKR
+MQFTKAK
+>Q8N609_PF03798_118 <unknown description>
+KFNESGQFSVFYFFSCIWGTFILISENCLSDPTLIWKARPHSMMTFQMKFFYISQLAYWF
+HAFPELYFQKTKKQDIPRQLVYIGLHLFHITGAYLLYLNHLGLLLLVLHYFVELLSHMCG
+LFYFSDEKYQKGISLWAIVFILGRLVTLIVSVLTVGFHLAGSQNRNPDALTGNVNVLAAK
+IAVLSSSCTIQAYVTWNLI
+>A6NCK2_PF15227_15 <unknown description>
+CVICLNYLVDPVTICCGHSFCRPCLCLSWEEAQSPANCPAC
+>A6NCK2_PF00622_336 <unknown description>
+KHYWELDVDNSCDWALGVCNNSWIRKNSTMVNSEDIFLLLCLKVDNHFNLLTTSPVFPHY
+IEKPLGRVGVFLDFESGSVSFLNVTKSSLIWSYPAGSLTFPVRPFFY
+>A6NDI0_PF15227_15 <unknown description>
+CPICMNYFIDPVTIDCGHSFCRPCFYLNWKDSPFLVQCSEC
+>A6NDI0_PF00643_89 <unknown description>
+EEQMCGTHRETKKMFCEVDRSLLCLLCSSSQEHRDHRHCPI
+>A6NDI0_PF00622_340 <unknown description>
+KYYWEVHVGDSWNWAFGVCNMYWKEKNQNEKIDGEDGLFLLGCVKNDIQRSLFTTSPLLL
+QYIPRPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCC
+>P0CI26_PF15227_15 <unknown description>
+CPLCMNYFIDPVTIDCGHSFCRPCFYLNWQDIPFLVQCSEC
+>P0CI26_PF00643_89 <unknown description>
+EEQMCGTHRETKKIFCEVDRSLLCLLCSSSQEHRYHRHRPI
+>P0CI26_PF00622_340 <unknown description>
+KYYWEVHVGDSWNWAFGVCNMYRKEKNQNEKIDGKEGLFLLGCIKNDIQCSLFTTSPLML
+QYIPKPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCC
+>C9J1S8_PF15227_15 <unknown description>
+CPICLNYFIDPVTIDCGHSFCRPCFYLNWQDIPILTQCFEC
+>C9J1S8_PF00622_340 <unknown description>
+KYYWEVHVGDSWNWAFGVCNKYWKGTNQNGNIHGEEGLFSLGCVKNDIQCSLFTTSPLTL
+QYVPRPTNHVGLFLDCEARTVSFVDVNQSSPIHTIPNCSFSPPLRPIFCC
+>A0A3B3IT33_PF15227_15 <unknown description>
+CPICMNYFIDPVTIDCGHSFCRPCFYLNWQDMAVLAQCSKC
+>A0A3B3IT33_PF00622_340 <unknown description>
+KFYWEFNMGHSWNWAFGVCNNYWKEKRQNDMIDGEVGLFLLGCVKEDTHCSLFTTSPLVM
+QYVPRPTDTVGLFLDCEGRTVSFVDVDRSSLIYTIPNCSFSPPLWPIICCS
+>Q9BVS5_PF08704_217 <unknown description>
+EDYVVLMKRGTAITFPKDINMILSMMDINPGDTVLEAGSGSGGMSLFLSKAVGSQGRVIS
+FEVRKDHHDLAKKNYKHWRDSWKLSHVEEWPDNVDFIHKDISGATEDIKSLTFDAVALDM
+LNPHVTLPVFYPHLKHGGVCAVYVVNITQVIELLDGIRTCELALSCEKISEVIVRDWLVC
+LAKQKNGILAQKVESKINTDVQLDSQEKIGVKGELFQEDDHEESHSDFPYGSFPYVARPV
+HWQPGHTAFLV
+>A6NI03_PF00097_15 <unknown description>
+CCICVNYFIDPVTIDCGHSFCRPCLCLCSEEGRAPMRCPSC
+>A6NI03_PF00622_339 <unknown description>
+KHYWEVDVTLSSNWILGVCRDSRTADANFVIDSDERFFLISSKRSNHYSLSTNSPPLIQY
+VQRPLGRVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFG
+>A6NLI5_PF00622_340 <unknown description>
+KHYWEVDVTHSSNWILGVCRDSRTADTNIVIDSDKTFFSISSKTSNHYSLSTNSPPLIQY
+VQRPLGWVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFG
+>Q13595_PF00076_123 <unknown description>
+VFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERANG
+MELDGRRIR
+>P62995_PF00076_122 <unknown description>
+VFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRGFAFVYFENVDDAKEAKERANG
+MELDGRRIR
+>Q9H4I3_PF01963_68 <unknown description>
+VAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDESTLLREAQ
+ELSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKVPFCKFH
+LGDRPIPVTFKRAIAALSFWQKVRLAWGLCFLSDPISKDDVERCKQKDLLEQMMAEMIGE
+FPDLHRTIVSERDVYLTYMLRQAARRLELPRASDAEPRKCVPSVVVGVVGMGHVPGIEKN
+W
+>Q15628_PF09034_51 <unknown description>
+ESGGSPDVLQMLKIHRSDPQLIVQLRFCGRQPCGRFLRAYREGALRAALQRSLAAALAQH
+SVPLQLELRAGAERLDALLADEERCLSCILAQQPDRLRDEELAELEDALRN
+>Q15628_PF00531_224 <unknown description>
+RSVGLKWRKVGRSLQRGCRALRDPALDSLAYEYEREGLYEQAFQLLRRFVQAEGRRATLQ
+RLVEALEENELTSLAEDL
+>Q13077_PF16673_185 <unknown description>
+KLLAELEGKLRVFENIVAVLNKEVEASHLALATSIHQSQLDRERILSLEQRVVELQQTLA
+Q
+>Q12933_PF00097_34 <unknown description>
+CSACRNVLRRPFQAQCGHRYCSFCLASILSSGPQNCAAC
+>Q12933_PF02176_178 <unknown description>
+HHEVCPKFPLTCDGCGKKKIPREKFQDHVKTCGKCRVPCRFHAIGCLETVEGEKQQEH
+>Q12933_PF16673_267 <unknown description>
+ELLQRCESLEKKTATFENIVCVLNREVERVAMTAEACSRQHRLDQDKIEALSSKVQQLER
+SIGL
+>Q13114_PF02176_136 <unknown description>
+HLKNDCHFEELPCVRPDCKEKVLRKDLRDHVEKACKYREATCSHCKSQVPMIALQKH
+>Q9BUZ4_PF00097_18 <unknown description>
+CPLCGKPMREPVQVSTCGHRFCDTCLQEFLSEGVFKCP
+>Q9BUZ4_PF02176_102 <unknown description>
+HLNTCSFNVIPCPNRCPMKLSRRDLPAHLQHDCPKRRLKCEFCGCDFSGEAYESH
+>Q9BUZ4_PF02176_210 <unknown description>
+HQYQCPRLPVACPNQCGVGTVAREDLPGHLKDSCNTALVLCPFKDSGCKHRCPKLAMARH
+>O00463_PF00097_45 <unknown description>
+CAFCHSVLHNPHQTGCGHRFCQHCILSLRELNTVPICP
+>O00463_PF02176_183 <unknown description>
+HEENLCPEYPVFCPNNCAKIILKTEVDEHLAVCPEAEQDCPFKHYGCAVTDKRRNLQQH
+>Q9Y4K3_PF13923_69 <unknown description>
+ECPICLMALREAVQTPCGHRFCKACIIKSIRDAGHKCPV
+>Q9Y4K3_PF18048_157 <unknown description>
+FALMDCPQCQRPFQKFHINIHILKDCP
+>Q9Y4K3_PF02176_204 <unknown description>
+HDQNCPLANVICEYCNTILIREQMPNHYDLDCPTAPIPCTFSTFGCHEKMQRNHLARH
+>Q6Q0C0_PF13445_131 <unknown description>
+CQLCCSVFKDPVITTCGHTFCRRCA
+>Q6Q0C0_PF00400_387 <unknown description>
+KCKGTFVGHQGPVWCLCVYSMGDLLFSGSSDKTIKVWD
+>Q6Q0C0_PF00400_430 <unknown description>
+KCQKTLEGHDGIVLALCIQGCKLYSGSADCTIIVWD
+>Q6Q0C0_PF00400_590 <unknown description>
+EQVRTLTGHVGTVYALAVISTPDQTKVFSASYDRSLRVWS
+>Q6Q0C0_PF00400_635 <unknown description>
+CTQTLLRHQGSVTALAVSRGRLFSGAVDSTVKVW
+>Q9BWF2_PF13639_7 <unknown description>
+CTICSDFFDHSRDVAAIHCGHTFHLQCLIQWFETAPSRTCPQCR
+>Q9UPV9_PF04849_48 <unknown description>
+EEQLPHYKLRADTIYGYDHDDWLHTPLISPDANIDLTTEQIEETLKYFLLCAERVGQMTK
+TYNDIDAVTRLLEEKERDLELAARIGQSLLKKNKTLTERNELLEEQVEHIREEVSQLRHE
+LSMKDELLQFYTSAAEESEPESVCSTPLKRNESSSSVQNYFHLDSLQKKLKDLEEENVVL
+RSEASQLKTETITYEEKEQQLVNDCVKELRDANVQIASISEELAKKTEDAARQQEEITHL
+LSQIVDLQKKAKACAVENEELVQHLGAAKDAQRQLTAELRELEDKYAECMEMLHEAQEEL
+KNLRNK
+>Q9UPV9_PF12448_414 <unknown description>
+KQRSLTPSPMNIPGSNQSSAMNSLLSSCVSTPRSSFYGSDIGNVVLDNKTNSIILETEAA
+DLGNDERSKKPGTPGTPGSHDLETALRRLSLRRENYLSERRFFEEEQERKLQELAEKGEL
+RSGSLTPTESIMSLGTHSRFSEFTGFSGMSFSSRSYLPEKLQIVKPLEGS
+>O60296_PF04849_48 <unknown description>
+EEQLPQYRLKVDTLFLYENQDWTQSPHQRQHASDALSPVLAEETFRYMILGTDRVEQMTK
+TYNDIDMVTHLLAERDRDLELAARIGQALLKRNHVLSEQNESLEEQLGQAFDQVNQLQHE
+LCKKDELLRIVSIASEESETDSSCSTPLRFNESFSLSQGLLQLEMLQEKLKELEEENMAL
+RSKACHIKTETVTYEEKEQQLVSDCVKELRETNAQMSRMTEELSGKSDELIRYQEELSSL
+LSQIVDLQHKLKEHVIEKEELKLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEI
+KELRSR
+>O60296_PF12448_451 <unknown description>
+DKSLLNQGSSSEEVAGSSQKMGQPGPSGDSDLATALHRLSLRRQNYLSEKQFFAEEWQRK
+IQVLADQKEGVSGCVTPTESLASLCTTQSEITDLSSASCLRGFMPEKLQIVKPLEGS
+>Q15629_PF08390_49 <unknown description>
+IFVTLQYNVTLPATEEQATESVSLYYYGIKDLATVFFYMLVAIIIHAVIQEYMLDKINRR
+MHFSKTK
+>Q15629_PF03798_118 <unknown description>
+KFNESGQLSAFYLFACVWGTFILISENYISDPTILWRAYPHNLMTFQMKFFYISQLAYWL
+HAFPELYFQKTKKEDIPRQLVYIGLYLFHIAGAYLLNLNHLGLVLLVLHYFVEFLFHISR
+LFYFSNEKYQKGFSLWAVLFVLGRLLTLILSVLTVGFGLARAENQKLDFSTGNFNVLAVR
+IAVLASICVTQAFMMWKFI
+>Q15035_PF08390_49 <unknown description>
+FILPQYNISVPTADSETVHYHYGPKDLVTILFYIFITIILHAVVQEYILDKISKRLHLSK
+VK
+>Q15035_PF03798_113 <unknown description>
+KFNESGQLVVFHFTSVIWCFYVVVTEGYLTNPRSLWEDYPHVHLPFQVKFFYLCQLAYWL
+HALPELYFQKVRKEEIPRQLQYICLYLVHIAGAYLLNLSRLGLILLLLQYSTEFLFHTAR
+LFYFADENNEKLFSAWAAVFGVTRLFILTLAVLAIGFGLARMENQAFDPEKGNFNTLFCR
+LCVLLLVCAAQAWLMWRFI
+>Q12931_PF13589_110 <unknown description>
+EVFIRELISNASDALEKLRHKLVSDGQALPEMEIHLQTNAEKGTITIQDTGIGMTQEELV
+SNLGTIARSGSKAFLDALQNQAEASSKIIGQFGVGFYSAFMVADRVEVYSRS
+>Q12931_PF00183_290 <unknown description>
+RRMNTLQAIWMMDPKDVREWQHEEFYRYVAQAHDKPRYTLHYKTDAPLNIRSIFYVPDMK
+PSMFDVSRELGSSVALYSRKVLIQTKATDILPKWLRFIRGVVDSEDIPLNLSRELLQESA
+LIRKLRDVLQQRLIKFFIDQSKKDAEKYAKFFEDYGLFMREGIVTATEQEVKEDIAKLLR
+YESSALPSGQLTSLSEYASRMRAGTRNIYYLCAPNRHLAEHSPYYEAMKKKDTEVLFCFE
+QFDELTLLHLREFDKKKLISVETDIVVDHYKEEKFEDRSPAAECLSEKETEELMAWMRNV
+LGSRVTNVKVTLRLDTHPAMVTVLEMGAARHFLRMQQLAKTQEERAQLLQPTLEINPRHA
+LIKKLNQLRASEPGLAQLLVDQIYENAMIAAGLVDDPRAMVGRLNELLVKALE
+>Q6PIZ9_PF15330_12 <unknown description>
+WGLLALLGLALVISLIFNISHYVEKQRQDKMYSYSSDHTRVDEYYIEDTPIYGNLDDMIS
+EPMDENCYEQMKARPEKSVNKMQEATPSAQATNETQMCYASLDHSVKGK
+>P07204_PF00059_43 <unknown description>
+ATFLNASQICDGLRGHLMTVRSSVAADVISLLLNGDGGVGRRRLWIGLQLPPGCGDPKRL
+GPLRGFQWVTGDNNTSYSRWARLDLNGAPLCGPLCVAVSAAEATVPSEPIWEEQQCEVKA
+DGFLCEF
+>P07204_PF14670_245 <unknown description>
+CSVENGGCEHACNAIPGAPRCQCPAGAALQADGRSC
+>P07204_PF12662_305 <unknown description>
+SYSCMCETGYRLAADQHRCEDVD
+>P07204_PF09064_406 <unknown description>
+MFCNQTACPADCDPNTQASCECPEGYILDDGFIC
+>P07204_PF07645_441 <unknown description>
+DIDECENGGFCSGVCHNLPGTFECICGPDSA
+>Q15633_PF00035_31 <unknown description>
+PISLLQEYGTRIGKTPVYDLLKAEGQAHQPNFTFRVTVGDTSCTGQGPSKKAAKHKAAEV
+ALKHL
+>Q15633_PF00035_160 <unknown description>
+PVGALQELVVQKGWRLPEYTVTQESGPAHRKEFTMTCRVERFIEIGSGTSKKLAKRNAAA
+KML
+>O14717_PF00145_5 <unknown description>
+RVLELYSGVGGMHHALRESCIPAQVVAAIDVNTVANEVYKYNFPHTQLLAKTIEGITLEE
+FDRLSFDMILMSPPCQPFTRIGRQGDMTDSRTNSFLHILDILPRLQKLPKYILLENVKGF
+EVSSTRDLLIQTIENCGFQYQEFLLSPTSLGIPNSRLRYFLIAKLQSEPLPFQAPGQVLM
+EFPKIESVHPQKYAMDVENKIQEKNVEPNISFDGSIQCSGKDAILFKLETAEEIHRKNQQ
+DSDLSVKMLKDFLEDDTDVNQYLLPPKSLLRYALLLDIVQPTCRRSVCFTKGYGSYIEGT
+GSVLQTAEDVQVENIYKSLTNLSQEEQITKLLILKLRYFTPKEIANLLGFPPEFGFPEKI
+TVKQRYRLLGNSLNVHVVAKLIKI
+>Q13061_PF05279_46 <unknown description>
+SPAAWLLVIALIITWSAVAIVMFDLVDYKNFS
+>O43280_PF01204_46 <unknown description>
+KLYQDDKQFVDMPLSIAPEQVLQTFTELSRDHNHSIPREQLQAFVHEHFQAKGQELQPWT
+PADWKDSPQFLQKISDAKLRAWAGQLHQLWKKLGKKMKPEVLSHPERFSLIYSEHPFIVP
+GGRFVEFYYWDSYWVMEGLLLSEMAETVKGMLQNFLDLVKTYGHVPNGGRVYYLQRSQPP
+LLTLMMDCYLTHTNDTAFLQENIETLALELDFWTKNRTVSVSLEGKNYLLNRYYVPYGGP
+RPESYSKDVELADTLPEGDREALWAELKAGAESGWDFSSRWLIGGPNPNSLSGIRTSKLV
+PVDLNAFLCQAEELMSNFYSRLGNDSQATKYRILRSQRLAALNTVLWDEQTGAWFDYDLE
+KKKKNREFYPSNLTPLWAGCFSDPGVADKALKYLEDNRILTYQYGIPTSLQKTGQQWDFP
+NAWAPLQDLVIRGLAKAPLRRAQEVAFQLAQNWIRTNFDVYSQKSAMYEKYDVSNGGQPG
+GGGEYEVQEGFGWTNGVVLMLLDRYG
+>Q9NP99_PF07686_26 <unknown description>
+EEKYELKEGQTLDVKCDYTLEKFASSQKAWQIIRDGEMPKTLACTERPSKNSHPVQVGRI
+ILEDYHDHGLLRVRMVNLQVEDSGLYQCVIYQPPKEPHMLFDRIRLVV
+>Q9NZC2_PF07686_23 <unknown description>
+VFQGVAGQSLQVSCPYDSMKHWGRRKAWCRQLGEKGPCQRVVSTHNLWLLSFLRRWNGST
+AITDDTLGGTLTITLRNLQPHDAGLYQCQSLHGSEADTLRKVLVEVL
+>P02787_PF00405_25 <unknown description>
+VRWCAVSEHEATKCQSFRDHMKSVIPSDGPSVACVKKASYLDCIRAIAANEADAVTLDAG
+LVYDAYLAPNNLKPVVAEFYGSKEDPQTFYYAVAVVKKDSGFQMNQLRGKKSCHTGLGRS
+AGWNIPIGLLYCDLPEPRKPLEKAVANFFSGSCAPCADGTDFPQLCQLCPGCGCSTLNQY
+FGYSGAFKCLKDGAGDVAFVKHSTIFENLANKADRDQYELLCLDNTRKPVDEYKDCHLAQ
+VPSHTVVARSMGGKEDLIWELLNQAQEHFGKDKSKEFQLFSSPHGKDLLFKDSAHGFLKV
+PPRMDAKMYLGYEYVTAIRNLRE
+>P02787_PF00405_361 <unknown description>
+VKWCALSHHERLKCDEWSVNSVGKIECVSAETTEDCIAKIMNGEADAMSLDGGFVYIAGK
+CGLVPVLAENYNKSDNCEDTPEAGYFAIAVVKKSASDLTWDNLKGKKSCHTAVGRTAGWN
+IPMGLLYNKINHCRFDEFFSEGCAPGSKKDSSLCKLCMGSGLNLCEPNNKEGYYGYTGAF
+RCLVEKGDVAFVKHQTVPQNTGGKNPDPWAKNLNEKDYELLCLDGTRKPVEEYANCHLAR
+APNHAVVTRKDKEACVHKILRQQQHLFGSNVTDCSGNFCLFRSETKDLLFRDDTVCLAKL
+HDRNTYEKYLGEEYVKAVGNLRK
+>P02788_PF00405_25 <unknown description>
+VQWCAVSQPEATKCFQWQRNMRKVRGPPVSCIKRDSPIQCIQAIAENRADAVTLDGGFIY
+EAGLAPYKLRPVAAEVYGTERQPRTHYYAVAVVKKGGSFQLNELQGLKSCHTGLRRTAGW
+NVPIGTLRPFLNWTGPPEPIEAAVARFFSASCVPGADKGQFPNLCRLCAGTGENKCAFSS
+QEPYFSYSGAFKCLRDGAGDVAFIRESTVFEDLSDEAERDEYELLCPDNTRKPVDKFKDC
+HLARVPSHAVVARSVNGKEDAIWNLLRQAQEKFGKDKSPKFQLFGSPSGQKDLLFKDSAI
+GFSRVPPRIDSGLYLGSGYFTAIQNLRK
+>P02788_PF00405_364 <unknown description>
+VVWCAVGEQELRKCNQWSGLSEGSVTCSSASTTEDCIALVLKGEADAMSLDGGYVYTAGK
+CGLVPVLAENYKSQQSSDPDPNCVDRPVEGYLAVAVVRRSDTSLTWNSVKGKKSCHTAVD
+RTAGWNIPMGLLFNQTGSCKFDEYFSQSCAPGSDPRSNLCALCIGDEQGENKCVPNSNER
+YYGYTGAFRCLAENAGDVAFVKDVTVLQNTDGNNNEAWAKDLKLADFALLCLDGKRKPVT
+EARSCHLAMAPNHAVVSRMDKVERLKQVLLHQQAKFGRNGSDCPDKFCLFQSETKNLLFN
+DNTECLARLHGKTTYEKYLGPQYVAGITNLKK
+>P08582_PF00405_23 <unknown description>
+VRWCATSDPEQHKCGNMSEAFREAGIQPSLLCVRGTSADHCVQLIAAQEADAITLDGGAI
+YEAGKEHGLKPVVGEVYDQEVGTSYYAVAVVRRSSHVTIDTLKGVKSCHTGINRTVGWNV
+PVGYLVESGRLSVMGCDVLKAVSDYFGGSCVPGAGETSYSESLCRLCRGDSSGEGVCDKS
+PLERYYDYSGAFRCLAEGAGDVAFVKHSTVLENTDGKTLPSWGQALLSQDFELLCRDGSR
+ADVTEWRQCHLARVPAHAVVVRADTDGGLIFRLLNEGQRLFSHEGSSFQMFSSEAYGQKD
+LLFKDSTSELVPIATQTYEAWLGHEYLHAMKGLL
+>P08582_PF00405_366 <unknown description>
+LRWCVLSTPEIQKCGDMAVAFRRQRLKPEIQCVSAKSPQHCMERIQAEQVDAVTLSGEDI
+YTAGKTYGLVPAAGEHYAPEDSSNSYYVVAVVRRDSSHAFTLDELRGKRSCHAGFGSPAG
+WDVPVGALIQRGFIRPKDCDVLTAVSEFFNASCVPVNNPKNYPSSLCALCVGDEQGRNKC
+VGNSQERYYGYRGAFRCLVENAGDVAFVRHTTVFDNTNGHNSEPWAAELRSEDYELLCPN
+GARAEVSQFAACNLAQIPPHAVMVRPDTNIFTVYGLLDKAQDLFGDDHNKNGFKMFDSSN
+YHGQDLLFKDATVRAVPVGEKTTYRGWLGLDYVAALEGM
+>P34981_PF00001_42 <unknown description>
+GNIMVVLVVMRTKHMRTPTNCYLVSLAVADLMVLVAAGLPNITDSIYGSWVYGYVGCLCI
+TYLQYLGINASSCSITAFTIERYIAICHPIKAQFLCTFSRAKKIIIFVWAFTSLYCMLWF
+FLLDLNISTYKDAIVISCGYKISRNYYSPIYLMDFGVFYVVPMILATVLYGFIARILFLN
+PIPSDPKENSKTWKNDSTHQNTNLNVNTSNRCFNSTVSSRKQVTKMLAVVVILFALLWMP
+YRTLVVVNSFLSSPFQENWFLLFCRICIYLNSAINPVIY
+>Q9UKU6_PF17900_191 <unknown description>
+KPLHYNLMLTAFMENFTFSGEVNVEIACRNATRYVVLHASRVAVEKVQLAEDRAFGAVPV
+AGFFLYPQTQVLVVVLNRTLDAQRNYNLKIIYNALIENELLGFFRSSYVLHGERRFLGVT
+QFSPTHARKAFPCFDEPIYKATFKISIKHQATYLSLSNMPVETSVFEEDGWVTDHFSQTP
+LMSTYY
+>Q9UKU6_PF01433_413 <unknown description>
+YALHITKRLIEFYEDYFKVPYSLPKLDLLAVPKHPYAAMENWGLSIFVEQRILLDPSVSS
+ISYLLDVTMVIVHEICHQWFGDLVTPVWWEDVWLKEGFAHYFEFVGTDYLYPGWNMEKQR
+FLTDVLHEVMLLDGLASSHPVSQEVLQATDIDRVFDWIAYKKGAALIRMLANFMGHSVFQ
+RGLQDYLTIHKYGNAARNDLWNTLSEALKRNGKYVNIQEVMDQW
+>Q9UKU6_PF11838_723 <unknown description>
+WLLGNINQTGYFRVNYDLRNWRLLIDQLIRNHEVLSVSNRAGLIDDAFSLARAGYLPQNI
+PLEIIRYLSEEKDFLPWHAASRALYPLDKLLDRMENYNIFNEYILKQVATTYIKLGWPKN
+NFNGSLVQASYQHEELRREVIMLACSFGNKHCHQQASTLISDWISSNRNRIPLNVRDIVY
+CTGVSLLDEDVWEFIWMKFHSTTAVSEKKILLEALTCSDDRNLLNRLLNLSLNSEVVLDQ
+DAIDVIIHVARNPHGRDLAWKFFRDKWKILNTRYGEALFMNSKLISGVTEFLNTEGELKE
+LKNFMKNYDGVAAASFSRAVETVEANV
+>Q07283_PF01023_4 <unknown description>
+LLRSICDITEIFNQYVSHDCDGAALTKKDLKNLLEREFGAVLRR
+>P20396_PF05438_6 <unknown description>
+LLLALALTLNLTGVPGGRAQPEAAQQEAVTAAEHPGLDDFLRQVERLLFLRENIQRLQGD
+QGEHSASQIFQSDWLSKRQHPGKREEEEEEGVEEEEEEEGGAVGPHKRQHPGRREDEASW
+SVDVTQHKRQHPGRRSPWLAYAVPKRQHPGRRLADPKAQRSWEEEEEEEEREEDLMPEKR
+QHPGKRALGGPCGPQGA
+>Q9UDY6_PF13445_16 <unknown description>
+CPICQGTLREPVTIDCGHNFCRACLTRYCEIPGPDLEESPTCP
+>Q9UDY6_PF00643_95 <unknown description>
+EEDVCQEHGEKIYFFCEDDEMQLCVVCREAGEHATHTM
+>Q9UDY6_PF13765_313 <unknown description>
+SLDPQTSHPKLLLSEDHQRAQFSYKWQNSPDNPQRFDRATCVLAHTG
+>Q9UDY6_PF00622_364 <unknown description>
+RHTWVVSIDLAHGGSCTVGVVSEDVQRKGELRLRPEEGVWAVRLAWGFVSALGSFPTRLT
+LKEQPRQVRVSLDYEVGWVTFTNAVTREPIYTFTASFTRKVIPFFGLWGRGSSFS
+>Q96F44_PF15227_16 <unknown description>
+CAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPEC
+>Q96F44_PF00643_89 <unknown description>
+QGVCPAHREPLAAFCGDELRLLCAACERSGEHWAHRVRPL
+>Q96F44_PF13765_289 <unknown description>
+VTLDPDTANPELILSEDRRSVQRGDLRQALPDSPERFDPGPCVLGQERF
+>Q96F44_PF00622_341 <unknown description>
+RHYWEVEVGDRTSWALGVCRENVNRKEKGELSAGNGFWILVFLGSYYNSSERALAPLRDP
+PRRVGIFLDYEAGHLSFYSATDGSLLFIFPEIPFSGTLRPLFS
+>O60858_PF13445_10 <unknown description>
+CPICCSLFDDPRVLPCSHNFCKKCLEGILEGSVRNSLWRPAPFKCP
+>O60858_PF00643_90 <unknown description>
+KMPVCKGHLGQPLNIFCLTDMQLICGICATRGEHTKHVFCSI
+>Q14142_PF00643_22 <unknown description>
+WRCPEHGDRVAELFCRRCRRCVCALCPVLGAHRGHPV
+>Q14142_PF13765_270 <unknown description>
+TLDPDTMHARLRLSADRLTVRCGLLGSLGPVPVLRFDALWQVLARDCF
+>Q14142_PF00622_321 <unknown description>
+RHYWEVDVQEAGAGWWVGAAYASLRRRGASAAARLGCNRQSWCLKRYDLEYWAFHDGQRS
+RLRPRDDLDRLGVFLDYEAGVLAFYDVTGGMSHLHTFRATFQEPLYPALRLW
+>Q9C019_PF13445_16 <unknown description>
+CTLCAGPLEDAVTIPCGHTFCRLCLPALSQMGAQSSGKILLCP
+>Q9C019_PF00643_80 <unknown description>
+ETYCEEHGEKIYFFCENDAEFLCVFCREGPTHQAHTV
+>Q9C019_PF13765_296 <unknown description>
+ITLDPQTASRSLVLSEDRKSVRYTRQKKSLPDSPLRFDGLPAVLGFPGF
+>Q9C019_PF00622_348 <unknown description>
+RHRWQVDLQLGDGGGCTVGVAGEGVRRKGEMGLSAEDGVWAVIISHQQCWASTSPGTDLP
+LSEIPRGVRVALDYEAGQVTLHNAQTQEPIFTFTASFSGKVFPFFAVWKK
+>O95361_PF00643_129 <unknown description>
+RYCPAHHSPLSAFCCPDQQCICQDCCQEHSGHTIVSL
+>O95361_PF13765_375 <unknown description>
+ITFDPDTAHKYLRLQEENRKVTNTTPWEHPYPDLPSRFLHWRQVLSQQS
+>O95361_PF00622_428 <unknown description>
+RYYFEVEIFGAGTYVGLTCKGIDRKGEERNSCISGNNFSWSLQWNGKEFTAWYSDMETPL
+KAGPFRRLGVYIDFPGGILSFYGVEYDTMTLVHKFACKFSEPVYAAFWLSKKENAIR
+>Q9Y577_PF13445_16 <unknown description>
+CSICLDYFTDPVMTTCGHNFCRACIQLSWEKARGKKGRRKRKGSFPCP
+>Q9Y577_PF00643_95 <unknown description>
+KQDLCQEHHEPLKLFCQKDQSPICVVCRESREHRLHRVLP
+>Q9Y577_PF00622_350 <unknown description>
+RHYWEVGMNITGDALWALGVCRDNVSRKDRVPKCPENGFWVVQLSKGTKYLSTFSALTPV
+MLMEPPSHMGIFLDFEAGEVSFYSVSDGSHLHTYSQATFPGPLQPFFCLGAPKSGQMV
+>O15344_PF13445_10 <unknown description>
+CPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNESVESITAFQCP
+>O15344_PF00643_174 <unknown description>
+MCLEHEDEKVNMYCVTDDQLICALCKLVGRHRDHQVA
+>O15344_PF18568_323 <unknown description>
+NDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFDTFALDFSREKKLLE
+>O15344_PF00041_395 <unknown description>
+SYDTITVHWTSDDEFSVVSYELQYTIFTGQANVVSLCNSADSWMIVPNIKQNHYTVHGLQ
+SGTKYIFMVKAINQAGS
+>O15344_PF13765_487 <unknown description>
+KLDPKSAHRKLKVSHDNLTVERDESSSKKSHTPERFTS
+>O15344_PF00622_540 <unknown description>
+RHYWEVVISGSTWYAIGLAYKSAPKHEWIGKNSASWALCRCNNNWVVRHNSKEIPIEPAP
+HLRRVGILLDYDNGSIAFYDALNSIHLYTFDVAFAQPVCPTFTVWNKCLTIITGL
+>Q8IYM9_PF13445_15 <unknown description>
+CPICLELLTEPLSLDCGHSFCQACITAKIKESVIISRGESSCP
+>Q8IYM9_PF00643_93 <unknown description>
+KRDVCEHHGKKLQIFCKEDGKVICWVCELSQEHQGHQT
+>Q8IYM9_PF00622_354 <unknown description>
+KYYWEVDVSGKIAWILGVHSKISSLNKRKSSGFAFDPSVNYSKVYSRYRPQYGYWVIGLQ
+NTCEYNAFEDSSSSDPKVLTLFMAVPPCRIGVFLDYEAGIVSFFNVTNHGALIYKFSGCR
+FSRPAYPYFNP
+>P36406_PF13445_31 <unknown description>
+CGVCEDVFSLQGDKVPRLLLCGHTVCHDCLTRLPLHGRAIRCP
+>P36406_PF00643_126 <unknown description>
+RCDEDEAHLASVYCTVCATHLCSECSQVTHSTKTLAKHRRVPL
+>P36406_PF00025_399 <unknown description>
+IGPKMEIRVVTLGLDGAGKTTILFKLKQDEFMQPIPTIGFNVETVEYKNLKFTIWDVGGK
+HKLRPLWKHYYLNTQAVVFVVDSSHRDRISEAHSELAKLLTEKELRDALLLIFANKQDVA
+GALSVEEITELLSLHKLCCGRSWYIQGCDARSGMGLYEGLDWLSRQ
+>Q14258_PF15227_13 <unknown description>
+CSICLEPFKEPVTTPCGHNFCGSCLNETWAVQGSPYLCPQC
+>Q14258_PF13765_459 <unknown description>
+VILDYNTAHNKVALSECYTVASVAEMPQNYRPHPQRFTYCSQVLGL
+>Q14258_PF00622_511 <unknown description>
+IHYWEVELQKNNFCGVGICYGSMNRQGPESRLGRNSASWCVEWFNTKISAWHNNVEKTLP
+STKATRVGVLLNCDHGFVIFFAVADKVHLMYKFRVDFTEALYPAFWVFSAGATLSI
+>Q12899_PF15227_16 <unknown description>
+CSICLDYLRDPVTIDCGHVFCRSCTTDVRPISGSRPVCPLC
+>Q12899_PF00643_99 <unknown description>
+AKLCERHREKLHYYCEDDGKLLCVMCRESREHRPHTAV
+>Q12899_PF13765_315 <unknown description>
+VTLDPQSASGYLQLSEDWKCVTYTSLYKSAYLHPQQFDCEPGVLGSKGF
+>Q12899_PF00622_435 <unknown description>
+ESCMVGVARDSVKRKGDLSLRPEDGVWALRLSSSGIWANTSPEAELFPALRPRRVGIALD
+YEGGTVTFTNAESQELIYTFTATFTRRLVPFLWLKW
+>P14373_PF15227_16 <unknown description>
+CPVCLQYFAEPMMLDCGHNICCACLARCWGTAETNVSCPQC
+>P14373_PF00643_92 <unknown description>
+EMGVCEKHREPLKLYCEEDQMPICVVCDRSREHRGHSVLPL
+>P14373_PF13765_318 <unknown description>
+VTLDPDTAYPSLILSDNLRQVRYSYLQQDLPDNPERFNLFPCVLGSPCF
+>P14373_PF00622_370 <unknown description>
+RHYWEVEVGDKAKWTIGVCEDSVCRKGGVTSAPQNGFWAVSLWYGKEYWALTSPMTALPL
+RTPLQRVGIFLDYDAGEVSFYNVTERCHTFTFSHATFCGPVRPYFSLSYS
+>Q14134_PF00643_221 <unknown description>
+EARKCPVHGKTMELFCQTDQTCICYLCMFQEHKNHSTVT
+>Q9BZY9_PF15227_16 <unknown description>
+CPICLDILQKPVTIDCGHNFCLKCITQIGETSCGFFKCPLC
+>Q9BZY9_PF00643_91 <unknown description>
+KEATCPRHQEMFHYFCEDDGKFLCFVCRESKDHKSHNVSL
+>Q13049_PF13445_20 <unknown description>
+CPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGVRCP
+>Q13049_PF01436_371 <unknown description>
+FNLPVSLYVTSQGEVLVADRGNYRIQVF
+>Q13049_PF01436_469 <unknown description>
+LSKPWGITALPSGQFVVTDVEGGKLWCF
+>Q13049_PF01436_616 <unknown description>
+LTCPVGIALTPKGQLLVLDCWDHCIKIY
+>Q9UPN9_PF13445_125 <unknown description>
+CAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIPGGSNGDIQQVGVIRCP
+>Q9UPN9_PF00643_274 <unknown description>
+VFCPVHKQEQLKLFCETCDRLTCRDCQLLEHKEHRYQ
+>Q9UPN9_PF00628_890 <unknown description>
+CAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCR
+>Q9UPN9_PF00439_966 <unknown description>
+CERLLLYLYCHELSIEFQEPVPASIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQIPDDFV
+ADVRLIFKNCERFNEMMKVVQVYA
+>Q9BYJ4_PF15227_15 <unknown description>
+CPICLELLTEPLSLDCGHSLCRACITVSNKEAVTSMGGKSSCPVC
+>Q9BYJ4_PF00643_93 <unknown description>
+KRDLCDHHGEKLLLFCKEDRKVICWLCERSQEHRGHHTV
+>Q9BYJ4_PF00622_348 <unknown description>
+KHYWEVDVSKKTAWILGVYCRTYSRHMKYVVRRCANRQNLYTKYRPLFGYWVIGLQNKCK
+YGVFEESLSSDPEVLTLSMAVPPCRVGVFLDYEAGIVSFFNVTSHGSLIYKFSKCCFSQP
+VYPYFN
+>Q9UPQ4_PF13920_18 <unknown description>
+ELLCAVCYDPFRDAVTLRCGHNFCRGCVSRCWEVQVSPTCPVCKD
+>Q9UPQ4_PF00643_99 <unknown description>
+RVCRLHRGQLSLFCLEDKELLCCSCQADPRHQGHRVQPV
+>Q9UPQ4_PF13765_305 <unknown description>
+SFDPNTAAGWLSVSDDLTSVTNHGYRVQVENPERFSSAPCLLGSRVF
+>Q9UPQ4_PF00622_356 <unknown description>
+HAWEVALGGLQSWRVGVVRVRQDSGAEGHSHSCYHDTRSGFWYVCRTQGVEGDHCVTSDP
+ATSPLVLAIPRRLRVELECEEGELSFYDAERHCHLYTFHARFGEVRPYFYLGG
+>Q9NQ86_PF13445_21 <unknown description>
+CPACKELFTHPLILPCQHSICHKCVKELLLT
+>Q9NQ86_PF00643_199 <unknown description>
+MCPEHETERINMYCELCRRPVCHLCKLGGNHANHRVTT
+>Q9NQ86_PF18568_347 <unknown description>
+TDQSCFVQTAKQLHLRIQKATESLKSFRPAAQTSFEDYVVNTSKQTEL
+>Q9NQ86_PF00041_419 <unknown description>
+NNALINWHHPEKDKADSYVLEYRKINRDDEMSWNEIEVCGTSKIIQDLENSSTYAFRVRA
+YKGSICS
+>O94972_PF00643_90 <unknown description>
+NEKDKCENHHEKLSVFCWTCKKCICHQCALWGGMHGGHTFKPL
+>O94972_PF00917_284 <unknown description>
+ENFSTLRQRADPVYSPPLQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEY
+RVEMVHQSCNDPTKNIIREFASDFEVGECWGYNRFFRLDLLANEGYLNPQNDTVILR
+>O00635_PF15227_16 <unknown description>
+CSICLSLMTNPVSINCGHSYCHLCITDFFKNPSQKQLRQETFCCPQC
+>O00635_PF00643_89 <unknown description>
+QEMSCEEHGEQFHLFCEDEGQLICWRCERAPQHKGHTT
+>O00635_PF13765_294 <unknown description>
+VTLDPDTAHHELILSEDRRQVTRGYTQENQDTSSRRFTAFPCVLGCEGF
+>O00635_PF00622_347 <unknown description>
+RYFEVDVGEGTGWDLGVCMENVQRGTGMKQEPQSGFWTLRLCKKKGYVALTSPPTSLHLH
+EQPLLVGIFLDYEAGVVSFYNGNTGCHIFTFPKASFSDTLRPYFQVY
+>Q9HCM9_PF15227_29 <unknown description>
+CSVCLEYLKEPVIIECGHNFCKACITRWWEDLERDFPCPVC
+>Q9HCM9_PF00643_103 <unknown description>
+DESLCPQHHEALSLFCYEDQEAVCLICAISHTHRAHTVVPL
+>Q9HCM9_PF13765_309 <unknown description>
+VTLDPETAHPNLVLSEDRKSVKFVETRLRDLPDTPRRFTFYPCVLATEGF
+>Q9HCM9_PF00622_362 <unknown description>
+RHYWEVEVGDKTHWAVGVCRDSVSRKGELTPLPETGYWRVRLWNGDKYAATTTPFTPLHI
+KVKPKRVGIFLDYEAGTLSFYNVTDRSHIYTFTDTFTEKLWPLFYPG
+>Q6P9F5_PF13445_14 <unknown description>
+CPICQESLKEAVSTNCGHLFCRVCLTQHVEKASASGVFCCP
+>Q8WV44_PF15227_20 <unknown description>
+CAICLDYFTDPVSIGCGHNFCRVCVTQLWGGEDEE
+>Q8WV44_PF00643_224 <unknown description>
+QGICPKHQEALKLFCEVDEEAICVVCRESRSHKQHSVVPL
+>Q8WV44_PF13765_433 <unknown description>
+LTLDPDTAHPALMLSPDRRGVRLAERRQEVADHPKRFSADCCVLGAQGF
+>Q8WV44_PF00622_485 <unknown description>
+RHYWEVEVGGRRGWAVGAARESTHHKEKVGPGGSSVGSGDASSSRHHHRRRRLHLPQQPL
+LQREVWCVGTNGKRYQAQSSTEQTLLSPSEKPRRFGVYLDYEAGRLGFYNAETLAHVHTF
+SAAFLGERVFPFFRVL
+>Q8IWZ5_PF00643_286 <unknown description>
+DEKICIHHPSSRIIEYCRNDNKLLCTFCKFSFHNGHDTISL
+>Q8IWZ5_PF00041_617 <unknown description>
+RAAKVYWTCPAEDVDSFEMEFYEVITSPPNNVQMELCGQIRDIMQQNLELHNLTPNTEYV
+FKVRAINDNGPGQWS
+>Q96BQ3_PF15227_15 <unknown description>
+CVICLNYLVDPVTICCGHSFCRPCLCLSWEEAQSPANCPAC
+>Q96BQ3_PF00622_336 <unknown description>
+KHYWELDVDNSCDWALGVCNNSWIRKNSTMVNSEDIFLLLCLKVDNHFNLLTTSPVFPHY
+IEKPLGRVGVFLDFESGSVSFLNVTKSSLIWSYPAGSLTFPVRPFFY
+>Q96DX7_PF00643_176 <unknown description>
+KRKCPDHGLDLSTYCQEDRQLICVLCPVIGAHQGHQLST
+>Q9H8W5_PF13445_29 <unknown description>
+CPLCLGLFKAPRLLPCLHTVCTTCLE
+>Q9H8W5_PF00643_188 <unknown description>
+PILCPVHPAEELRLFCEFCDRPVCQDCVVGEHREHPC
+>Q9H8W5_PF00630_397 <unknown description>
+VDPAKCVLQGEDLHRAREKQTASFTLLCKDAAGEIMGRGGDNVQVAVVPKDKKDSPVRTM
+VQDNKDGTYYISYTPKEPGVYTVWVCIKEQHVQGSPFT
+>Q7Z4K8_PF13923_33 <unknown description>
+CPVCQEMYKQPLVLPCTHNVCQACAREVLGQQ
+>Q7Z4K8_PF00643_225 <unknown description>
+LMCPDHKEEVTHYCKTCQRLVCQLCRVRRTHSGHKITPV
+>Q7Z4K8_PF18568_373 <unknown description>
+TDQPCFVQAAKQLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKLLT
+>Q96LD4_PF13445_9 <unknown description>
+CPICLEPLREPVTLPCGHNFCLACLGALWPHRGASGAGGPGGAARCP
+>Q96LD4_PF00643_178 <unknown description>
+EESLCPRHLRPLERYCRAERVCLCEACAAQEHRGHELVPL
+>Q8IWZ4_PF15227_31 <unknown description>
+CPICMNYFIDPVTIDCGHSFCRPCFYLNWQD
+>Q8IWZ4_PF00643_105 <unknown description>
+EEQMCGIHRETKKMFCEVDRSLLCLLCSSSQEHRYHRHCP
+>P0CI25_PF15227_15 <unknown description>
+CPLCMNYFIDPVTIDCGHSFCRPCFYLNWQDIPFLVQCSEC
+>P0CI25_PF00643_89 <unknown description>
+EEQMCGTHRETKKIFCEVDRSLLCLLCSSSQEHRYHRHRPI
+>P0CI25_PF00622_340 <unknown description>
+KYYWEVHVGDSWNWAFGVCNMYRKEKNQNEKIDGKAGLFLLGCVKNDIQCSLFTTSPLML
+QYIPKPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCC
+>Q86XT4_PF13445_16 <unknown description>
+CPICLEVFKEPLMLQCGHSYCKGCLVSLSCHLDAELRCP
+>Q86XT4_PF00643_85 <unknown description>
+EPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPV
+>Q86XT4_PF13765_296 <unknown description>
+LKLDPATAHPLLELSKGNTVVQCGLLAQRRASQPERFDYSTCVLASRGF
+>Q86XT4_PF00622_348 <unknown description>
+RHYWEVVVGSKSDWRLGVIKGTASRKGKLNRSPEHGVWLIGLKEGRVYEAFACPRVPLPV
+AGHPHRIGLYLHYEQGELTFFDADRPDDLRPLYTFQADFQGKLYPI
+>Q9BSJ1_PF15227_15 <unknown description>
+CPICMNYFLDPVTIDCGHSFCRPCLYLNWQDTAVLAQCSEC
+>Q9BSJ1_PF00622_340 <unknown description>
+KYYWEVHMGDSWNWAFGVCNNYWKEKRQNDKIDGEEGLFLLGCVKEDTHCSLFTTSPLVV
+QYVPRPTSTVGLFLDCEGRTVSFVDVDQSSLIYTIPNCSFSPPLRPIFCCS
+>Q9BYV2_PF13445_26 <unknown description>
+CPICLEMFSKPVVILPCQHNLCRKCANDVFQASNPLWQSRGSTTVSSGGRFRCP
+>Q9BYV2_PF00643_123 <unknown description>
+HLMCEEHEEEKINIYCLSCEVPTCSLCKVFGAHK
+>Q9BYV6_PF13445_26 <unknown description>
+CPICLEMFTKPVVILPCQHNLCRKCASDIFQASNPYLPTRGGTTMASGGRFRCP
+>Q9BYV6_PF00643_120 <unknown description>
+DQPMCEEHEEERINIYCLNCEVPTCSLCKVFGAHKDCQVAPLTH
+>Q9BRZ2_PF13445_21 <unknown description>
+CKICLEQLRAPKTLPCLHTYCQDCLAQLADGGRVRCP
+>Q9BRZ2_PF00643_165 <unknown description>
+QAAQCPQHPGEALRFLCQPCSQLLCRECRLDPHLDHPCLPL
+>Q8NG06_PF15227_16 <unknown description>
+CPVCLDFLQEPVSVDCGHSFCLRCISEFCEKSDGAQGGVYACPQC
+>Q8NG06_PF00643_94 <unknown description>
+RRCARHGEDLSRFCEEDEAALCWVCDAGPEHRTHRTAPL
+>Q8NG06_PF13765_293 <unknown description>
+VKLDPATAHPSLLLTADLRSVQDGEPWRDVPNNPERFDTWPCILGLQSF
+>Q8NG06_PF00622_345 <unknown description>
+RHYWEVLVGEGAEWGLGVCQDTLPRKGETTPSPENGVWALWLLKGNEYMVLASPSVPLLQ
+LESPRCIGIFLDYEAGEISFYNVTDGSYIYTFNQLFSGLLRPYFFI
+>Q8IWR1_PF13445_10 <unknown description>
+CPICYSIFEDPRVLPCSHTFCRNCLENILQASGNF
+>Q8IWR1_PF00643_96 <unknown description>
+TCPEHYRQPLNVYCLLDKKLVCGHCLTIGQHHGHPID
+>Q495X7_PF15227_16 <unknown description>
+CPICLEYLKDPVTINCGHNFCRSCLSVSWKDLDDTFPCPVC
+>Q495X7_PF00643_93 <unknown description>
+ENAMCEKHNQFLTLFCVKDLEILCTQCSFSTKHQKHYICPI
+>Q495X7_PF13765_297 <unknown description>
+VILDLNTAHPQLLVSEDRKAVRYERKKRNICYDPRRFYVCPAVLGSQRF
+>Q495X7_PF00622_349 <unknown description>
+RHYWEVEVGNKPKWILGVCQDCLLRNWQDQPSVLGGFWAIGRYMKSGYVASGPKTTQLLP
+VVKPSKIGIFLDYELGDLSFYNMNDRSILYTFNDCFTEAVWPYFYTG
+>Q5EBN2_PF15227_16 <unknown description>
+CPICLDYLKDPVTISCGHNFCLSCIIMSWKDLHDSFPCPFC
+>Q5EBN2_PF00643_93 <unknown description>
+EKHVCKKHNQVLTFFCQKDLELLCPRCSLSTDHQHHCVWPI
+>Q9BVG3_PF15227_11 <unknown description>
+CSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPEC
+>Q9BVG3_PF00643_91 <unknown description>
+RPCQAHDKVKLFCLTDRALLCFFCDEPALHEQHQVT
+>Q9BVG3_PF13765_297 <unknown description>
+LTLDPGTAHQRLILSDDCTIVAYGNLHPQPLQDSPKRFDVEVSVLGSEAF
+>Q9BVG3_PF00622_351 <unknown description>
+HYWEVVVAEKTQWVIGLAHEAASRKGSIQIQPSRGFYCIVMHDGNQYSACTEPWTRLNVR
+DKLDKVGVFLDYDQGLLIFYNADDMSWLYTFREKFPGKLCSYFSPG
+>Q969Q1_PF13445_23 <unknown description>
+CPICLEMFTKPVVILPCQHNLCRKCANDIFQAANPYWTSRGSSVSMSGGRFRCP
+>Q969Q1_PF00643_120 <unknown description>
+PMCKEHEDEKINIYCLTCEVPTCSMCKVFGIHK
+>A6NGJ6_PF00097_15 <unknown description>
+CCICVNYFIDPVTIDCGHSFCRPCLCLCSEEGRAPMRCPSC
+>A6NGJ6_PF00622_339 <unknown description>
+KHYWEVDVTLSSNWILGVCQDSRTADANFVIDSDERFFLISSKRSNHYSLSTNSPPLIQY
+VQRPLGQVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFG
+>Q6PJ69_PF00097_12 <unknown description>
+CAICLGLYQDPVTLPCGHNFCGACIRDWWDRCGKACPEC
+>Q6PJ69_PF00643_92 <unknown description>
+AARCPRHGRPLELFCRTEGRCVCSVCTVRE
+>Q6PJ69_PF00622_384 <unknown description>
+HYWEVRASDHSVTLGVSYPQLPRCRLGPHTDNIGRGPCSWGLCVQEDSLQAWHNGEAQRL
+PGVSGRLLGMDLDLASGCLTFYSLEPQTQPLYTFHALFNQPLTPVFWLLEGRTLT
+>Q6ZTA4_PF00097_7 <unknown description>
+CPVCGSLFREPIILPCSHNVCLPCARTIA
+>Q6ZTA4_PF00643_301 <unknown description>
+PTCPEHEMENYSMYCVSCRTPVCYLCLEEGRHAKHEVKPL
+>Q6ZTA4_PF00041_521 <unknown description>
+EKCCTRNNSVTLAWRMPPFTHSPVDGYILELDDGAGGQFREVYVGKETLCTIDGLHFNST
+YNARVKAFNSSGVGPYS
+>Q6ZTA4_PF00622_651 <unknown description>
+HYWELHVDRYDNHPDPAFGVARASVVKDMMLGKDDKAWAMYVDNNRSWFMHCNSHTNRTE
+GGVCKGATVGVLLDLNKHTLTFFINGQQQGPTAFSHVDGVFMPALSLNRNVQVTLHTG
+>Q6AZZ1_PF15227_16 <unknown description>
+CPICMTFLREPMSIDCGHSFCHSCLSGLWEIPGESQNWGYTCPLC
+>Q6AZZ1_PF00643_96 <unknown description>
+DLCERHGEKLKMFCKEDVLIMCEACSQSPEHEAHSVVPM
+>Q6AZZ1_PF13765_305 <unknown description>
+VRLDPDTAYSRLIVSEDRKRVHYGDTNQKLPDNPERFYRYNIVLGSQC
+>Q6AZZ1_PF00622_357 <unknown description>
+RHYWEVEVGDRSEWGLGVCKQNVDRKEVVYLSPHYGFWVIRLRKGNEYRAGTDEYPILSL
+PVPPRRVGIFVDYEAHDISFYNVTDCGSHIFTFPRYPFPGRLLPYFS
+>Q86WT6_PF15227_41 <unknown description>
+CPLCNDWFRDPLMLSCGHNFCEACIQDFWRLQAKETFCPEC
+>Q86WT6_PF13765_325 <unknown description>
+LTLDPKTAHPNLVLSKSQTSVWHGDIKKIMPDDPERFDSSVAVLGSRGF
+>Q86WT6_PF00622_377 <unknown description>
+KWYWEVEVAKKTKWTVGVVRESIIRKGSCPLTPEQGFWLLRLRNQTDLKALDLPSFSLTL
+TNNLDKVGIYLDYEGGQLSFYNAKTMTHIYTFSNTFMEKLYPYFC
+>Q6ZMU5_PF15227_14 <unknown description>
+CPLCLQLFDAPVTAECGHSFCRACLGRVAGEPAADGTVLCPCC
+>Q6ZMU5_PF00643_83 <unknown description>
+QGHCEEHLDPLSIYCEQDRALVCGVCASLGSHRGHRLLP
+>Q6ZMU5_PF13765_291 <unknown description>
+LTFDPSSAHPSLVVSSSGRRVECSEQKAPPAGEDPRQFDKAVAVVAHQ
+>Q6ZMU5_PF00622_345 <unknown description>
+HYWEVDVGDKPRWALGVIAAEAPRRGRLHAVPSQGLWLLGLREGKILEAHVEAKEPRALR
+SPERRPTRIGLYLSFGDGVLSFYDASDADALVPLFAFHERLPRPVYPFFDV
+>Q86UV7_PF13445_16 <unknown description>
+CPICLEVFKESLMLQCGHSYCKGCLVSLSYHLDTKVRCP
+>Q86UV7_PF00643_85 <unknown description>
+EPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPV
+>Q86UV6_PF13445_16 <unknown description>
+CPICLEVFKESLMLQCGHSYCKGCLVSLSYHLDTKVRCP
+>Q86UV6_PF00643_85 <unknown description>
+EPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPV
+>A6NK02_PF15227_16 <unknown description>
+CSICLDYLSDPVTIECGHNFCRSCIQQSWLDLQELFPCPVC
+>A6NK02_PF00643_94 <unknown description>
+TTLCEKHNQPLSVFCKEDLMVLCPLCTQPPDHQGHHVRPI
+>A6NK02_PF13765_296 <unknown description>
+IILDPETAHPNLIVSEDKKRVRFTKRKQKVPGFPKRFTVKPVVLGFP
+>A6NK02_PF00622_348 <unknown description>
+RHFWEIEVGDKSEWAIGICKDSLPTKARRPSSAQQECWRIELQDDGYHAPGAFPTPLLLE
+VKARAIGIFLDYEMGEISFYNMAEKSHICTFTDTFTGPLRPYFYVGPDS
+>I1YAP6_PF00097_15 <unknown description>
+CSICTDYLTDPVTICCGHRFCSPCLCLLWEDTLTPNCCPVC
+>I1YAP6_PF00622_340 <unknown description>
+KHYWEVDVKDSCNWVIGLCREAWTKRNDMRLDSEGIFLLLCLKVDDHFSLFSTSPLLPHY
+IPRPQGWLGVFLDYECGIVSFVNVAQSSLICSFLSRIFYFPLRPFICHG
+>O43715_PF05254_2 <unknown description>
+NSVGEACTDMKREYDQCFNRWFAEKFLKGDSSGDPCTDLFKRYQQCVQKAIKEKEIP
+>Q96RU8_PF00069_136 <unknown description>
+QLPSHSNITGIVEVILGETKAYVFFEKDFGDMHSYVRSRKRLREEEAARLFKQIVSAVAH
+CHQSAIVLGDLKLRKFVFSTEERTQLRLESLEDTHIMKGEDDALSDKHGCPAYVSPEILN
+TTGTYSGKAADVWSLGVMLYTLLVGRYPFHDSDPSALFSKIRRGQFCIPEHISPKARCLI
+RSLLRREPSERLTAPEILLHPWF
+>Q92519_PF00069_107 <unknown description>
+LSAHSNINQITEIILGETKAYVFFERSYGDMHSFVRTCKKLREEEAARLFYQIASAVAHC
+HDGGLVLRDLKLRKFIFKDEERTRVKLESLEDAYILRGDDDSLSDKHGCPAYVSPEILNT
+SGSYSGKAADVWSLGVMLYTMLVGRYPFHDIEPSSLFSKIRRGQFNIPETLSPKAKCLIR
+SILRREPSERLTSQEILDHPWF
+>Q96RU7_PF00069_81 <unknown description>
+RAYQALHCPTGTEYTCKVYPVQEALAVLEPYARLPPHKHVARPTEVLAGTQLLYAFFTRT
+HGDMHSLVRSRHRIPEPEAAVLFRQMATALAHCHQHGLVLRDLKLCRFVFADRERKKLVL
+ENLEDSCVLTGPDDSLWDKHACPAYVGPEILSSRASYSGKAADVWSLGVALFTMLAGHYP
+FQDSEPVLLFGKIRRGAYALPAGLSAPARCLVRCLLRREPAERLTATGILLHPWL
+>Q7L0X0_PF13855_84 <unknown description>
+QLRRLDLQYNQIRSLHPKTFEKLSRLEELYLGNNLLQALAPGTLAPLRKLRILYANGNEI
+>Q7L0X0_PF13855_156 <unknown description>
+SLVKLRLDGNALGALPDAVFAPLGNLLYLHLESNRIRFLGKNAFAQLGKLRFLNLSANEL
+>Q7L0X0_PF13855_229 <unknown description>
+RSLSSLILSANNLQHLGPRIFQHLPRLGLLSLRGNQLTHLAPEAFWGLEALRELRLEGNR
+L
+>Q7L0X0_PF13855_302 <unknown description>
+SLEALDLSGNELSALHPATFGHLGRLRELSLRNNALSALSGDIFAASPALYRLDLDGN
+>Q7L0X0_PF01463_387 <unknown description>
+FVQCRHPPALRGKYLDYLDDQQLQNGSCA
+>Q9UJV3_PF13445_30 <unknown description>
+CPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCP
+>Q9UJV3_PF00643_194 <unknown description>
+TCLDHENEKVNMYCVSDDQLICALCKLVGRHRDHQVAS
+>Q9UJV3_PF18568_343 <unknown description>
+NDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFENFALDFSREKKLLE
+>Q9UJV3_PF00622_591 <unknown description>
+HYWEVVMGSSTWYAIGIAYKSAPKNEWIGKNASSWVFSRCNSNFVVRHNNKEMLVDVPPH
+LKRLGVLLDYDNNMLSFYDPANSLHLHTFDVTFILPVCPTFTIWNKSLMILSG
+>Q9C040_PF13445_50 <unknown description>
+CSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSCP
+>Q9C040_PF00643_144 <unknown description>
+SCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPL
+>Q9C040_PF00630_351 <unknown description>
+VASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKTGNAYLTAELSTPDGSVADGEILD
+NKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFK
+>Q9C040_PF01436_513 <unknown description>
+FTNLQGVAASTNGKILIADSNNQCVQIF
+>Q9C040_PF01436_560 <unknown description>
+LQRPTGVAVHPSGDIIIADYDNKWVSIF
+>Q9C040_PF01436_603 <unknown description>
+MGPKGVSVDRNGHIIVVDNKACCVFIF
+>Q9C040_PF01436_649 <unknown description>
+FAGPHFAAVNSNNEIIITDFHNHSVKVF
+>Q9C040_PF01436_696 <unknown description>
+FNAPTGVAVDSNGNIIVADWGNSRIQVF
+>Q9C040_PF01436_740 <unknown description>
+LYGPQGLALTSDGHVVVADSGNHCFKVY
+>O75382_PF13445_22 <unknown description>
+CSICLDRYQCPKVLPCLHTFCERCLQNYIPAQSLTLSCP
+>O75382_PF00643_114 <unknown description>
+SCPNHEGKTMEFYCEACETAMCGECRAGEHREHGTVL
+>O75382_PF00630_321 <unknown description>
+TAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSAELRAEITGPDGTRLPVPVVD
+HKNGTYELVYTARTEGELLLSVLLYGQPVRGSPFR
+>O75382_PF01436_486 <unknown description>
+FTNLQGVSAASSGRIVVADSNNQCIQVF
+>O75382_PF01436_533 <unknown description>
+LQRPTGVAVDTNGDIIVADYDNRWVSIF
+>O75382_PF01436_576 <unknown description>
+MGPKGVAVDRNGHIIVVDNKSCCVFTF
+>O75382_PF01436_622 <unknown description>
+FAGPHFVAVNNKNEIVVTDFHNHSVKVY
+>O75382_PF01436_669 <unknown description>
+FNAPTGVAVDSNGNIIVADWGNSRIQVF
+>O75382_PF01436_713 <unknown description>
+LYGPQGLALTSDGHVVVADAGNHCF
+>Q9C037_PF15227_12 <unknown description>
+CPICLDYFQDPVSIECGHNFCRGCLHRNWAPGGGPFPCPEC
+>Q9C037_PF00643_84 <unknown description>
+PGLCGRHWEPLRLFCEDDQRPVCLVCRESQEHQTHAMAPI
+>Q9C037_PF00622_353 <unknown description>
+KHYWEVESRDSLEVAVGVCREDVMGITDRSKMSPDVGIWAIYWSAAGYWPLIGFPGTPTQ
+QEPALHRVGVYLDRGTGNVSFYSAVDGVHLHTFSCSSVSRLRPFFWLSPLASLV
+>Q9C035_PF13445_15 <unknown description>
+CPICLELLTQPLSLDCGHSFCQACLTANHKKSMLDKGESSCP
+>Q9C035_PF00643_91 <unknown description>
+KVDHCARHGEKLLLFCQEDGKVICWLCERSQEHRGHHT
+>Q9C035_PF00622_358 <unknown description>
+KHYWEVDVSKKTAWILGVCAGFQPDAMCNIEKNENYQPKYGYWVIGLEEGVKCSAFQDSS
+FHTPSVPFIVPLSVIICPDRVGVFLDYEACTVSFFNITNHGFLIYKFSHCSFSQPVFPYL
+N
+>Q9C030_PF13445_43 <unknown description>
+CPICLELLTEPLSIDCGHSFCQACITPNGRESVIGQEGERSCP
+>Q9C030_PF00643_122 <unknown description>
+AVLCADHGEKLQLFCQEDGKVICWLCERSQEHRGHHT
+>Q9C030_PF00622_382 <unknown description>
+KHYWEVDVAKKTAWILGVCSNSLGPTFSFNHFAQNHSAYSRYQPQSGYWVIGLQHNHEYR
+AYEDSSPSLLLSMTVPPRRVGVFLDYEAGTVSFYNVTNHGFPIYTFSKYYFPTTLCPYF
+>Q9C029_PF15227_29 <unknown description>
+CSICLELFREPVSVECGHSFCRACIGRCWERPGAGSVGAATRAPPFPLPCPQC
+>Q9C029_PF00643_128 <unknown description>
+ARCGQHGEPFKLYCQDDGRAICVVCDRAREHREHAVLPL
+>Q9C029_PF13765_344 <unknown description>
+LTLDPDTANPRLILSLDLKGVRLGERAQDLPNHPCRFDTNTRVLASCGF
+>Q9C029_PF00622_396 <unknown description>
+RHHWEVEVGSKDGWAFGVARESVRRKGLTPFTPEEGVWALQLNGGQYWAVTSPERSPLSC
+GHLSRVRVALDLEVGAVSFYAVEDMRHLYTFRVNFQERVFPLFSVC
+>Q9BZR9_PF15227_15 <unknown description>
+CPICLHVFVEPVQLPCKHNFCRGCIGEAWAKDSGLVRCPEC
+>Q8N9V2_PF15227_16 <unknown description>
+CFICLDYFSSPVTTECGHSFCLVCLLRSWEEHNTPLSCPEC
+>Q8N9V2_PF13765_288 <unknown description>
+ITLDPATANAYLVLSEDLKSVKYGGSRQQLPDNPERFDQSATVLGTQIF
+>Q8N9V2_PF00622_340 <unknown description>
+RHYWEVEVGNKTEWEVGICKDSVSRKGNLPKPPGDLFSLIGLKIGDDYSLWVSSPLKGQH
+VREPVCKVGVFLDYESGHIAFYNGTDESLIYSFPQASFQEALRPIFSP
+>Q8N7C3_PF00643_17 <unknown description>
+AYCETHLEPTRLFCDVDQITLCSKCFQSQEHKHHMVC
+>Q8N7C3_PF13765_251 <unknown description>
+LTLDPETAHPCLALSEDLRTMRLRHGQQDGAGNPERLDFSAMVLAAESF
+>Q8N7C3_PF00622_303 <unknown description>
+RHYWEVDVEKATRWQVGIYHGSADAKGSTARASGEKVLLTGSVMGTEWTLWVFPPLKRLF
+LEKKLDTVGVFLDCEHGQISFYNVTEMSLIYNFSHCAFQGALRPVFSL
+>O75962_PF00650_74 <unknown description>
+AYLSGGRDKRGGPILTFPARSNHDRIRQEDLRRLISYLACIPSEEVCKRGFTVIVDMRGS
+KWDSIKPLLKILQESFPCCIHVALIIKPDNFWQKQRTNFGSSKFEFETNMVSLEGLTKVV
+DPSQLTPEFD
+>O75962_PF00435_341 <unknown description>
+QLRLFEQDAEKMFDWITHNKGLFLNSYTEIGTSHPHAMELQTQHNHFAMNCMNVYVNINR
+IMSVANRLVESGHYASQQIRQIASQLEQEWKAFAAALDERSTLL
+>O75962_PF00435_570 <unknown description>
+VFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHEDFEEVAQNTYTNADKLLE
+AAEQLAQTGECDPEEIYQAAHQLEDRIQDFVRRVEQRKILL
+>O75962_PF00435_909 <unknown description>
+LRHLQAEVKQVLGWIRNGESMLNAGLITASSLQEAEQLQREHEQFQHAIEKTHQSALQVQ
+QKAEAMLQANHYDMDMIRDCAEKVASHWQQLMLKMEDR
+>O75962_PF00435_1140 <unknown description>
+YVVFERSAKQALEWIHDNGEFYLSTHTSTGSSIQHTQELLKEHEEFQITAKQTKERVKLL
+IQLADGFCEKGHAHAAEIKKCVTAVDKRYRDFSLRMEKYRTSLE
+>O75962_PF00621_1296 <unknown description>
+IMAELIQTEKAYVRDLRECMDTYLWEMTSGVEEIPPGIVNKELIIFGNMQEIYEFHNNIF
+LKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSTQLILEHAGSYFDEIQQRHGLA
+NSISSYLIKPVQRITKYQLLLKELLTCCEEGKGEIKDGLEVMLSVPKRA
+>O75962_PF00169_1503 <unknown description>
+KGRERHLFLFEMSLVFSKEVKDSSGRSKYLYKSKLFTSELGVTEHVEGDPCKFALWVGRT
+PTSDNKIVLKASSIENKQDWIKHIREVI
+>O75962_PF00018_1662 <unknown description>
+VVIHDFTACNSNELTIRRGQTVEVLERPHDKPDWCLVRTTDRSPAAEGLVPC
+>O75962_PF16609_1715 <unknown description>
+SLCIAHSRSSMEMEGIFNHKDSLSVSSNDASPPASVASLQPHMIGAQSSPGPKRPGNTLR
+KWLTSPVRRLSSGKADGHVKKLAHKHKKSREVRKSADAGSQKDSDDSAATPQDETVEERG
+RNEGLSSGTLSKSSSSGMQSCGEEEGEEGADAVPLPPPMAIQQHSLLQPDSQDDKASSRL
+LVRPTSSETPSAAELVSAIEELVKSKMALEDRPSSLLVDQGDSSSPSFNPSDNSLLSSSS
+PIDEMEERKSSSLKRRHY
+>O75962_PF00621_1973 <unknown description>
+VLQELVETERDYVRDLGYVVEGYMALMKEDGVPDDMKGKDKIVFGNIHQIYDWHRDFFLG
+ELEKCLEDPEKLGSLFVKHERRLHMYIAYCQNKPKSEHIVSEYIDTFFEDLKQRLGHRLQ
+LTDLLIKPVQRIMKYQLLLKDFLKYSKKASLDTSELERAVEVMCIVPRRCN
+>O75962_PF00169_2178 <unknown description>
+GLLPRCRERRIFLFEQIVIFSEPLDKKKGFSMPGFLFKNSIKVSCLCLEENVENDPCKFA
+LTSRTGDVVETFILHSSSPSVRQTWIHEINQIL
+>O75962_PF07679_2685 <unknown description>
+PEFVIPLSEVTCETGETVVLRCRVCGRPKASITWKGPEHNTLNNDGHYSISYSDLGEATL
+KIVGVTTEDDGIYTCIAVNDMGSASSSASLRV
+>O75962_PF00069_2796 <unknown description>
+YSEVAELGRGRFSVVKKCDQKGTKRAVATKFVNKKLMKRDQVTHELGILQSLQHPLLVGL
+LDTFETPTSYILVLEMADQGRLLDCVVRWGSLTEGKIRAHLGEVLEAVRYLHNCRIAHLD
+LKPENILVDESLAKPTIKLADFGDAVQLNTTYYIHQLLGNPEFAAPEIILGNPVSLTSDT
+WSVGVLTYVLLSGVSPFLDDSVEETCLNICRLDFSFPDDYFKGVSQKAKEFVCFLLQEDP
+AKRPSAALALQEQWL
+>Q15650_PF06221_169 <unknown description>
+HPCDCLGQKHKLINNCLICGRIVCEQEGSGPCLFCGTLVCTHEEQD
+>Q15650_PF04266_437 <unknown description>
+LSVHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATAKKPSPQEVSELQATYRLLRGKDV
+EFPNDYPSGCLLGCVDLIDCLSQKQFKEQFPDISQ
+>Q15654_PF00412_279 <unknown description>
+CGGCGEDVVGDGAGVVALDRVFHVGCFVCSTCRAQLRGQHFYAVERRAYCEGCYVA
+>Q15654_PF00412_339 <unknown description>
+CATCSQPILDRILRAMGKAYHPGCFTCVVCHRGLDGIPFTVDATSQIHCIEDFHRKF
+>Q15654_PF00412_399 <unknown description>
+CSVCGGAIMPEPGQEETVRIVALDRSFHIGCYKCEECGLLLSSEGECQGCYPLDGHILCK
+ACS
+>Q629K1_PF15168_1 <unknown description>
+MGRKDAATIKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLVLAAILA
+LLLAFYAFFYLRLTTDVD
+>Q7Z4G4_PF01170_190 <unknown description>
+KRHFIGNTSMDAGLSFIMANHGKVKENDIVFDPFVGTGGLLIACAHFGAYVYGTDIDYNT
+VHGLGKATRKNQKWRGPDENIRANLRQYGLEKYYLDVLVSDASKPSWRKGTYFDAIITDP
+PYGIRESTRRT
+>Q9NUP7_PF11722_17 <unknown description>
+GRCGYYVEKKKRFCRMVVAAGKRFCGEHA
+>Q9NUP7_PF05253_57 <unknown description>
+ILCPLDPKHTVYEDQLAKHLKKCN
+>Q9NUP7_PF05206_165 <unknown description>
+KQQASILGNIENLKLLGPRRCFVEFGAGKGKLSHWVDIALKDAEKVHFILVEKVTTRFKV
+DGKHRKKNSVFERLQIDIQHLCLNKIPVLREEKLPVVGIGKHLCGMATDLALRCLVETYA
+ASFEERNEEPLAKRIKNDKTEKEIYTLAKEGNEKNVPEKWNPVAGIVIALCCHHRCDWRH
+YVGKEYFRALGLGAVEFHYFQRMSSWATCGMRKTSLETSNSTTKRQDNQNDDSEEHDDGG
+YRITDDGADCLPGLLSVEEKKKIGHLCKLLIDQGRIQYLQQKGFSPALQYYTDPLVSLEN
+VLLTA
+>Q7Z2T5_PF02005_242 <unknown description>
+YSIPQKTDSYFNPKMKLNRQLIFCTLAALAEERKPLECLDAFGATGIMGLQWAKHLGNAV
+KVTINDLNENSVTLIQENCHLNKLKVVVDSKEKEKSDDILEEGEKNLGNIKVTKMDANVL
+MHLRSFDFIHLDPFGTSVNYLDSAFRNIRNLGIVSVTSTDISSLYAKAQHVARRHYGCNI
+VRTEYYKELAARIVVAAVARAAARCNKGIEVLFAVALEHFVLVVVRVLRGPTSADETAKK
+IQYLIHCQWCEERIFQKDGNMVEENPYRQLPCNCHGSMPGKTAIELGPLWSSSLFNTGFL
+KRMLFESLH
+>Q7Z2T5_PF02005_629 <unknown description>
+TEHPPFYYNIHRHSIKGMNMPKLKKFLCYLSQAGFRVSRTHFDPMGVRTDAPLMQFKSI
+>Q9NXH9_PF02005_57 <unknown description>
+VTEGAAKIAFPSANEVFYNPVQEFNRDLTCAVITEFARIQLGAKGIQIKVPGEKDTQKVV
+VDLSEQEEEKVELKESENLASGDQPRTAAVGEICEEGLHVLEGLAASGLRSIRFALEVPG
+LRSVVANDASTRAVDLIRRNVQLNDVAHLVQPSQADARMLMYQHQRVSERFDVIDLDPYG
+SPATFLDAAVQAVSEGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVL
+HSLDLRANCYQRFVVPLLSISADFYVRVFVRVFTGQAKVKASASKQALVFQCVGCGAFHL
+QRLGKASGVPSGRAKFSAACGPPVTPECEHCGQRHQLGGPMWAEPIHDLDFVGRVLEAVS
+ANPGRFHTSERIRGVLSVITEELPDVPLYYTLDQLSSTIHCNTPSLLQLRSALLHADFRV
+SLSHACKNAVKTDAPASALWDIMR
+>Q9NXH9_PF00642_602 <unknown description>
+KTFPCKRFKEGTCQRGDQCCYSHSP
+>Q8IZ69_PF05958_401 <unknown description>
+TFRISPHAFFQVNTPAAEVLYTVIQDWAQLDAGSMVLDVCCGTGTIGLALARKVKRVIGV
+ELCPEAVEDARVNAQDNELSNVEFHCGRAEDLVPTLVSRLASQHLVAILDPPRAGLHSKV
+ILAIRRAKNLRRLLYVSCNPRAAMGNFVDLCRAPSNRVKGIPFRPVKAVAVDLFPQTPHC
+EMLILFER
+>Q96GJ1_PF05958_314 <unknown description>
+IRISPDAFFQINTAGAEMLYRTVGELTGVNSDTILLDICCGTGVIGLSLAQHTSRVLGIE
+LLEQAVEDARWTAAFNGITNSEFHTGQAEKILPGLLKSKEDGQSIVAVVNPARAGLHYKV
+IQAIRNFRAIHTLVFVSCKLH
+>Q8IYL2_PF07757_297 <unknown description>
+ISIMKYSKAYQELKEKYKEMVKVWPEVTDPEKFVYEDVAIAAYLLILWEEERAERRLTAR
+QSFVDLGCGNGLLVHILSSEGHPGRGIDVRRRKIWDMYGPQTQLEEDAI
+>Q32P41_PF02475_199 <unknown description>
+GFSRIGHIAHLNLRDHQLPFKHLIGQVMIDKNPGITSAVNKINNIDNMYRNFQMEVLSGE
+QNMMTKVRENNYTYEFDFSKVYWNPRLSTEHSRITELLKPGDVLFDVFAGVGPFAIPVAK
+KNCTVFANDLNPESHKWLLYNCKLNKVDQKVKVFNLDGKDFLQGPVKEELMQLLGLSKER
+KPSVHVVMNLPAKAIEFLSA
+>Q96FX7_PF08704_64 <unknown description>
+GWVYVLHPTPELWTLNLPHRTQILYSTDIALITMMLELRPGSVVCESGTGSGSVSHAIIR
+TIAPTGHLHTVEFHQQRAEKAREEFQEHRVGRWVTVRTQDVCRSGFGVSHVADAVFLDIP
+SPWEAVGHAWDALKVEGGRFCSFSPCIEQVQRTCQALAARGFSELSTLEVLPQVYNVRTV
+SLPPPDLGTGTDGPAGSDTSPFRSGTPMKEAVGHTGYLTFATK
+>Q9UJA5_PF04189_20 <unknown description>
+IRDGDFVVLKREDVFKAVQVQRRKKVTFEKQWFYLDNVIGHSYGTAFEVTSGGSLQPKKK
+REEPTAETKEAGTDNRNIVDDGKSQKLTQDDIKALKDKGIKGEEIVQQLIENSTTFRDKT
+EFAQDKYIKKKKKKYEAIITVVKPSTRILSIMYYAREPGKINHMRYDTLAQMLTLGNIRA
+GNKMIVMETCAGLVLGAMMERMGGFGSIIQLYPGGGPVRAATACFGFPKSFLSG
+>Q9UET6_PF01728_21 <unknown description>
+WRARSAFKLLQLDKEFQLFQGVTRAVDLCAAPGSWSQVLSQKIGGQGSGHVVAVDLQAMA
+PLPGVVQIQGDITQLSTAKEIIQHFKGCPADLVVCDGAPDVTGLHDVDEYMQAQLLLAAL
+NIATHVLKPGGCFVAKIFRGRDVTLLYSQLQVFFSSVLCAKPRSSRNSSIEAFAVCQGY
+>Q9P272_PF08241_50 <unknown description>
+DIGCGTGKYLKVNSQVHTVGCDYCGPLVEIARNRGCEAMVCDNLNLPFRDEGFDAIISIG
+VIHHFSTKQRRIRAIKEMARVLVPGGQLMI
+>Q9UBP6_PF02390_77 <unknown description>
+QVEFADIGCGYGGLLVELSPLFPDTLILGLEIRVKVSDYVQDRIRALRAAPAGGFQNIAC
+LRSNAMKHLPNFFYKGQLTKMFFLFPDPHFKRTKHKWRIISPTLLAEYAYVLRVGGLVYT
+ITDVLELHDWMCTHFEEHPLFERVPLEDLSEDPVVGHLGTSTEEGKKVLRNGGKNFP
+>Q6UXN2_PF07686_24 <unknown description>
+VPEELHKHPGQTLLLQCQYSPKRGPYQPKSWCQQTSPSRCTLLVTSSKPWTAVQKSHYTI
+WDKPNAGFFNITMIQLTQNDSGFYWCGIYNASEN
+>Q9BU70_PF01980_45 <unknown description>
+GTPRQPSICSYSRACLRIRKRIFNNPEHSLMGLEQFSHVWILFVFHKNGHLSCKAKVQPP
+RLNGAKTGVFSTRSPHRPNAIGLTLAKLEKVEGGAIYLSGIDMIHGTPVLDIKPYIAEYD
+>Q96Q11_PF01743_59 <unknown description>
+LRIAGGAVRDLLNGVKPQDIDFATTATPTQMKEMFQSAGIRMINNRGEKHGTITARLHEE
+NFEITTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYEDLKN
+KKVR
+>Q96Q11_PF12627_216 <unknown description>
+DPETLEAIAENAKGLAGISGERIWVELKKILVGNHVNHLIHLIYDLDVAPYI
+>Q12816_PF01454_451 <unknown description>
+LVKYLLVKDQTKIPIKRSDMLRDVIQEYDEYFPEIIERASYTLEKMFRVNLKEIDKQSSL
+YILISTQESSAGILGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLRPGVRH
+SLFGEVRKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETS
+>O75762_PF12796_61 <unknown description>
+DMDTFFLHYAAAEGQIELMEKITRDSSLEVLHEMDDYGNTPLHCAVEKNQIESVKFLLSR
+GANPNLRN
+>O75762_PF12796_133 <unknown description>
+APLHIAVQGMNNEVMKVLLEHRTIDVNLEGENGNTAVIIACTTNNSEALQILLKKGAKPC
+K
+>O75762_PF12796_194 <unknown description>
+SNKWGCFPIHQAAFSGSKECMEIILRFGEEHGYSRQLHINFMNNGKATPLHLAVQNGDLE
+MIKMCLDNGAQID
+>O75762_PF12796_273 <unknown description>
+CTAIHFAATQGATEIVKLMISSYSGSVDIVNTTDGCHETMLHRASLFDHHELADYLISVG
+ADINKI
+>O75762_PF00023_342 <unknown description>
+GRSPLILATASASWNIVNLLLSKGAQVDIKDN
+>O75762_PF12796_428 <unknown description>
+SVNNLLGFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDLHGMTPLH
+LAAKNGHDKVVQLLLKKGA
+>O75762_PF12796_511 <unknown description>
+DHNGWTALHHASMGGYTQTMKVILDTNLKCTDRLDEDGNTALHFAAREGHAKAVALLLSH
+NADIVL
+>O75762_PF00520_753 <unknown description>
+NETSDHSEILDTTNSYLIKTCMILVFLSSIFGYCKEAGQIFQQKRNYFMDISNVLEWIIY
+TTGIIFVLPLFVEIPAHLQWQCGAIAVYFYWMNFLLYLQRFENCGIFIVMLEVILKTLLR
+STVVFIFLLLAFGLSFYILLNLQDPFSSPLLSIIQTFSMMLGDINYRESFLEPYLRNELA
+HPVLSFAQLVSFTIFVPIVLMNLLIGLAVGDIAEVQKHA
+>P48995_PF08344_193 <unknown description>
+CECTLCSAKNKKDSLRHSRFRLDIYRCLASPALIMLTEEDPILRAFELSADLKELSLVEV
+EFR
+>P48995_PF00520_394 <unknown description>
+YFTFLLLLNLYSLVYNEDKKNTMGPALERIDYLLILWIIGMIWSDIKRLWYEGLEDFLEE
+SRNQLSFVMNSLYLATFALKVVAHNKFHDFADRKDWDAFHPTLVAEGLFAFANVLSYLRL
+FFMYTTSSILGPLQISMGQMLQDFGKFLGMFLLVLFSFTIGLTQLYDKGYTSKEQKDCVG
+IFCEQQSNDTFHSFIGTCFALFWYIFSLAHVAIFVTRFSYGEELQSFVGAVIVGTYNVVV
+VIVLTKLLVAMLHKSFQLIANHE
+>Q13507_PF12796_118 <unknown description>
+DAAEYGNIPVVRKMLEESKTLNVNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARIGD
+ALLLAISKGYVRIVEAILNHPG
+>Q13507_PF08344_267 <unknown description>
+CKCGDCMEKQRHDSFSHSRSRINAYKGLASPAYLSLSSEDPVLTALELSNELAKLANIEK
+EFK
+>Q13507_PF00520_489 <unknown description>
+NITVTDYPKQIFRVKTTQFTWTEMLIMVWVLGMMWSECKELWLEGPREYILQLWNVLDFG
+MLSIFIAAFTARFLAFLQATKAQQYVDSYVQESDLSEVTLPPEIQYFTYARDKWLPSDPQ
+IISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVLFIMVFFAFMIGM
+FILYSYYLGAKVNAAFTTVEESFKTLFWSIFGLSEVTSVVLKYDHKFIENIGYVLYGIYN
+VTMVVVLLNMLIAMINSSYQEIEDDS
+>Q9UBN4_PF08344_176 <unknown description>
+CNCVECVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVEN
+EFK
+>Q9UBN4_PF00520_374 <unknown description>
+LTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEIKQMWDGGLQDYIHDW
+WNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAEALFAIANIFSSLRLI
+SLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFYYEETKGLTCKGIR
+CEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGATMFGTYNVISLVVLL
+NMLIAMMNNSYQLIADHA
+>Q9UL62_PF12796_38 <unknown description>
+NAVEKGDYATVKQALQEAEIYYNVNINCMDPLGRSALLIAIENENLEIMELLLNHSVYVG
+DALLYAIRKEVVGAVELLLSY
+>Q9UL62_PF08344_176 <unknown description>
+CNCVECVSSSEVDSLRHSRSRLNIYKALASPSLIALSSEDPILTAFRLGWELKELSKVEN
+EFK
+>Q9UL62_PF00520_376 <unknown description>
+TFLFMLLLASQHIVRTDLHVQGPPPTVVEWMILPWVLGFIWGEIKEMWDGGFTEYIHDWW
+NLMDFAMNSLYLATISLKIVAYVKYNGSRPREEWEMWHPTLIAEALFAISNILSSLRLIS
+LFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFYYETRAIDEPNNCKG
+IRCEKQNNAFSTLFETLQSLFWSVFGLLNLYVTNVKARHEFTEFVGATMFGTYNVISLVV
+LLNMLIAMMNNSYQLIADHA
+>Q9Y210_PF12796_104 <unknown description>
+DAAEYGNIPVVRKMLEECHSLNVNCVDYMGQNALQLAVANEHLEITELLLKKENLSRVGD
+ALLLAISKGYVRIVEAILSH
+>Q9Y210_PF08344_253 <unknown description>
+CKCNDCNQKQKHDSFSHSRSRINAYKGLASPAYLSLSSEDPVMTALELSNELAVLANIEK
+EFK
+>Q9Y210_PF00520_450 <unknown description>
+FTIFLGLLVMNAADRFEGTKLLPNETSTDNAKQLFRMKTSCFSWMEMLIISWVIGMIWAE
+CKEIWTQGPKEYLFELWNMLDFGMLAIFAASFIARFMAFWHASKAQSIIDANDTLKDLTK
+VTLGDNVKYYNLARIKWDPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGR
+TVKDIFKFMVIFIMVFVAFMIGMFNLYSYYIGAKQNEAFTTVEESFKTLFWAIFGLSEVK
+SVVINYNHKFIENIGYVLYGVYNVTMVIVLLNMLIAMINSSFQEIEDDA
+>Q9HCX4_PF12796_51 <unknown description>
+AEYGNIPVVRKMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDAL
+LLAISKGYVRIVEAILNH
+>Q9HCX4_PF08344_198 <unknown description>
+CKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVLTALELSNELARLANIET
+EFK
+>Q9HCX4_PF00520_393 <unknown description>
+VSFTIFLGLLVVNASDRFEGVKTLPNETFTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIW
+SECKEIWEEGPREYVLHLWNLLDFGMLSIFVASFTARFMAFLKATEAQLYVDQHVQDDTL
+HNVSLPPEVAYFTYARDKWWPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISL
+GRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYYRGAKYNPAFTTVEESFKTLFWSIFGLSE
+VISVVLKYDHKFIENIGYVLYGVYNVTMVVVLLNMLIAMINNSYQEIEEDA
+>Q7Z4N2_PF18139_92 <unknown description>
+AMYIRVSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQNFEMQPKLKQVFGKGLIKAAM
+TTGAWIFTGGVSTGVISHVGDALKDHSSKSRGRVCAIGIAPWGIVENKEDLVGKDVTRVY
+QTMSNPLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRLLEKHISLQKINTRLGQGVPL
+VGLVVEGGPNVVSIVLEYLQEEPPIPVVICDGSGRASDILSFAHKYCEEGGIINESLREQ
+LLVTIQKTFNYNKAQSHQLFAIIMEC
+>Q7Z4N2_PF00520_858 <unknown description>
+ISYLGYLLLFNYVILVRMDGWPSLQEWIVISYIVSLALEKIREILMSEPGKLSQKIKVWL
+QEYWNITDLVAISTFMIGAILRLQNQPYMGYGRVIYCVDIIFWYIRVLDIFGVNKYLGPY
+VMMIGKMMIDMLYFVVIMLVVLMSFGVARQAILHPEEKPSWKLARNIFYMPYWMIYGEVF
+ADQIDLYAMEINPPCGENLYDEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVF
+NNTFFEVKS
+>Q7Z4N2_PF16519_1201 <unknown description>
+DERIRVTSERVENMSMRLEEINERETFMKTSLQTVDLRLAQLEELSNRMVNALENL
+>O94759_PF18139_141 <unknown description>
+KYVRVSQDTPSSVIYHLMTQHWGLDVPNLLISVTGGAKNFNMKPRLKSIFRRGLVKVAQT
+TGAWIITGGSHTGVMKQVGEAVRDFSLSSSYKEGELITIGVATWGTVHRREGLIHPTGSF
+PAEYILDEDGQGNLTCLDSNHSHFILVDDGTHGQYGVEIPLRTRLEKFISEQTKERGGVA
+IKIPIVCVVLEGGPGTLHTIDNATTNGTPCVVVEGSGRVADVIAQVANLPVSDITISLIQ
+QKLSVFFQEMFETF
+>O94759_PF00520_806 <unknown description>
+YFAFLCLFAYVLMVDFQPVPSWCECAIYLWLFSLVCEEMRQLFYDPDECGLMKKAALYFS
+DFWNKLDVGAILLFVAGLTCRLIPATLYPGRVILSLDFILFCLRLMHIFTISKTLGPKII
+IVKRMMKDVFFFLFLLAVWVVSFGVAKQAILIHNERRVDWLFRGAVYHSYLTIFGQIPGY
+IDGVNFNPEHCSPNGTDPYKPKCPESDATQQRPAFPEWLTVLLLCLYLLFTNILLLNLLI
+AMFNYTFQQVQEHT
+>Q9HCF6_PF18139_153 <unknown description>
+AMYVRVSFDTKPDLLLHLMTKEWQLELPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAM
+TTGAWIFTGGVNTGVIRHVGDALKDHASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPY
+QTMSNPMSKLTVLNSMHSHFILADNGTTGKYGAEVKLRRQLEKHISLQKINTRIGQGVPV
+VALIVEGGPNVISIVLEYLRDTPPVPVVVCDGSGRASDILAFGHKYSEEGGLINESLRDQ
+LLVTIQKTFTYTRTQAQHLFIILMEC
+>Q9HCF6_PF00520_891 <unknown description>
+AYIGYLMLFNYIVLVKMERWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQ
+EYWNVTDLIAILLFSVGMILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYV
+MMIGKMMIDMMYFVIIMLVVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFA
+DQIDPPCGQNETREDGKIIQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFE
+VKS
+>Q9HCF6_PF16519_1227 <unknown description>
+DERIRVTSERVENMSMRLEEVNEREHSMKASLQTVDIRLAQLEDLIGRMATALERL
+>Q8TD43_PF18139_89 <unknown description>
+NFLRLSDRTDPAAVYSLVTRTWGFRAPNLVVSVLGGSGGPVLQTWLQDLLRRGLVRAAQS
+TGAWIVTGGLHTGIGRHVGVAVRDHQMASTGGTKVVAMGVAPWGVVRNRDTLINPKGSFP
+ARYRWRGDPEDGVQFPLDYNYSAFFLVDDGTHGCLGGENRFRLRLESYISQQKTGVGGTG
+IDIPVLLLLIDGDEKMLTRIENATQAQLPCLLVAGSGGAADCLAETLEDT
+>Q8TD43_PF00520_791 <unknown description>
+LLFLLLFSRVLLVDFQPAPPGSLELLLYFWAFTLLCEELRQGLSGGGGSLASGGPGPGHA
+SLSQRLRLYLADSWNQCDLVALTCFLLGVGCRLTPGLYHLGRTVLCIDFMVFTVRLLHIF
+TVNKQLGPKIVIVSKMMKDVFFFLFFLGVWLVAYGVATEGLLRPRDSDFPSILRRVFYRP
+YLQIFGQIPQEDMDVALMEHSNCSSEPGFWAHPPGAQAGTCVSQYANWLVVLLLVIFLLV
+ANILLVNLLIAMFSYTFGKVQ
+>Q9NZQ8_PF18139_39 <unknown description>
+KFVRVPSGVAPSVLFDLLLAEWHLPAPNLVVSLVGEEQPFAMKSWLRDVLRKGLVKAAQS
+TGAWILTSALRVGLARHVGQAVRDHSLASTSTKVRVVAVGMASLGRVLHRRILEEAQEDF
+PVHYPEDDGGSQGPLCSLDSNLSHFILVEPGPPGKGDGLTELRLRLEKHISEQRAGYGGT
+GSIEIPVLCLLVNGDPNTLERISRAVEQAAPWLILVGSGGIADVLAALVN
+>Q9NZQ8_PF00520_742 <unknown description>
+YFAFLFLFTYVLLVDFRPPPQGPSGPEVTLYFWVFTLVLEEIRQGFFTDEDTHLVKKFTL
+YVGDNWNKCDMVAIFLFIVGVTCRMLPSAFEAGRTVLAMDFMVFTLRLIHIFAIHKQLGP
+KIIVVERMMKDVFFFLFFLSVWLVAYGVTTQALLHPHDGRLEWIFRRVLYRPYLQIFGQI
+PLDEIDEARVNCSTHPLLLEDSPSCPSLYANWLVILLLVTFLLVTNVLLMNLLIAMFSYT
+FQVVQ
+>Q9BX84_PF18139_109 <unknown description>
+AKYIRTSYDTKLDHLLHLMLKEWKMELPKLVISVHGGIQNFTMPSKFKEIFSQGLVKAAE
+TTGAWIITEGINTGVSKHVGDALKSHSSHSLRKIWTVGIPPWGVIENQRDLIGKDVVCLY
+QTLDNPLSKLTTLNSMHSHFILSDDGTVGKYGNEMKLRRNLEKYLSLQKIHCRSRQGVPV
+VGLVVEGGPNVILSVWETVKDKDPVVVCEGTGRAADLLAFTHKHLADEGMLRPQVKEEII
+CMIQNTFNFSLKQSKHLFQILMEC
+>Q9BX84_PF00520_846 <unknown description>
+TMAYLAFLMLFTYTVLVEMQPQPSVQEWLVSIYIFTNAIEVVREICISEPGKFTQKVKVW
+ISEYWNLTETVAIGLFSAGFVLRWGDPPFHTAGRLIYCIDIIFWFSRLLDFFAVNQHAGP
+YVTMIAKMTANMFYIVIIMAIVLLSFGVARKAILSPKEPPSWSLARDIVFEPYWMIYGEV
+YAGEIDVCSSQPSCPPGSFLTPFLQAVYLFVQYIIMVNLLIAFFNNVYLDME
+>Q9BX84_PF16519_1170 <unknown description>
+EERIRVTSERVTEMYFQLKEMNEKVSFIKDSLLSLDSQVGHLQDLSALTVDTLKVL
+>Q9BX84_PF02816_1776 <unknown description>
+DGGLRKAMRVVSTWSEDDILKPGQVFIVKSFLPEVVRTWHKIFQESTVLHLCLREIQQQR
+AAQKLIYTFNQVKPQTIPYTPRFLEVFLIYCHSANQWLTIEKYMTGEFRKYNNNNGDEIT
+PTNTLEELMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKPEVKQSRGMVFGPANLG
+EDAIRNFIAKHHCNSCC
+>Q96QT4_PF18139_106 <unknown description>
+AKYVRLSYDTKPEVILQLLLKEWQMELPKLVISVHGGMQKFELHPRIKQLLGKGLIKAAV
+TTGAWILTGGVNTGVAKHVGDALKEHASRSSRKICTIGIAPWGVIENRNDLVGRDVVAPY
+QTLLNPLSKLNVLNNLHSHFILVDDGTVGKYGAEVRLRRELEKTINQQRIHARIGQGVPV
+VALIFEGGPNVILTVLEYLQESPPVPVVVCEGTGRAADLLAYIHKQTEEGGNLPDAAEPD
+IISTIKKTFNFGQNEALHLFQTLMEC
+>Q96QT4_PF00520_858 <unknown description>
+FNTLAYLGFLMLYTFVVLVQMEQLPSVQEWIVIAYIFTYAIEKVREIFMSEAGKVNQKIK
+VWFSDYFNISDTIAIISFFIGFGLRFGAKWNFANAYDNHVFVAGRLIYCLNIIFWYVRLL
+DFLAVNQQAGPYVMMIGKMVANMFYIVVIMALVLLSFGVPRKAILYPHEAPSWTLAKDIV
+FHPYWMIFGEVYAYEIDVCANDSVIPQICGPGTWLTPFLQAVYLFVQYIIMVNLLIAFFN
+NVYLQVK
+>Q96QT4_PF16519_1194 <unknown description>
+EERIRVTFERVEQMCIQIKEVGDRVNYIKRSLQSLDSQIGHLQDLSALTVDTLKTL
+>Q96QT4_PF02816_1621 <unknown description>
+GGLRRAVKVQCTWSEHDILKSGHLYIIKSFLPEVVNTWSSIYKEDTVLHLCLREIQQQRA
+AQKLTFAFNQMKPKSIPYSPRFLEVFLLYCHSAGQWFAVEECMTGEFRKYNNNNGDEIIP
+TNTLEEIMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKAEEKRSCDMVFGPANLGE
+DAIKNFRAKHHCNSCC
+>Q7Z2W7_PF18139_116 <unknown description>
+KYIRLSCDTDAEILYELLTQHWHLKTPNLVISVTGGAKNFALKPRMRKIFSRLIYIAQSK
+GAWILTGGTHYGLMKYIGEVVRDNTISRSSEENIVAIGIAAWGMVSNRDTLIRNCDAEGY
+FLAQYLMDDFTRDPLYILDNNHTHLLLVDNGCHGHPTVEAKLRNQLEKYISERTIQDSNY
+GGKIPIVCFAQGGGKETLKAINTSIKNKIPCVVVEGSGQIADVIASLVEVEDALTSSAVK
+EKLVRFLPRTVSRLPEEETESWIKWLKEILEC
+>Q7Z2W7_PF00520_740 <unknown description>
+WNVVFYIAFLLLFAYVLLMDFHSVPHPPELVLYSLVFVLFCDEVRQWYVNGVNYFTDLWN
+VMDTLGLFYFIAGIVFRLHSSNKSSLYSGRVIFCLDYIIFTLRLIHIFTVSRNLGPKIIM
+LQRMLIDVFFFLFLFAVWMVAFGVARQGILRQNEQRWRWIFRSVIYEPYLAMFGQVPSDV
+DGTTYDFAHCTFTGNESKPLCVELDEHNLPRFPEWITIPLVCIYMLSTNILLVNLLVAMF
+GYTVGTVQE
+>Q9UHF7_PF00320_909 <unknown description>
+CANCLTTKTSLWRKNANGGYVCNACGLYQKLHST
+>Q86TN4_PF01885_26 <unknown description>
+DRDVQLSKALSYALRHGALKLGLPMGADGFVPLGTLLQLPQFRGFSAEDVQRVVDTNRKQ
+RFALQLGDPSTGLLIRANQGHSLQVPKLELMPLETPQALPPMLVHGTFWKHWPSILLKGL
+SCQGRTHIHLAPGLPGDPGIISGMRSHCEIAVFIDGPLALADGIPFFRSANGVILTPG
+>Q8NER1_PF12796_121 <unknown description>
+AVAQNNCQDLESLLLFLQKSKKHLTDNEFKDPETGKTCLLKAMLNLHDGQNTTIPLLLEI
+ARQTDSLKELVNASYTDSYYKGQTALHIAIERRNMALVTLLVENGADVQA
+>Q8NER1_PF00520_436 <unknown description>
+YFNFLVYCLYMIIFTMAAYYRPVDGLPPFKMEKTGDYFRVTGEILSVLGGVYFFFRGIQY
+FLQRRPSMKTLFVDSYSEMLFFLQSLFMLATVVLYFSHLKEYVASMVFSLALGWTNMLYY
+TRGFQQMGIYAVMIEKMILRDLCRFMFVYIVFLFGFSTAVVTLIEDGKNDSLPSESTSHR
+WRGPACRPPDSSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVFIILLLAYVILTYILL
+LNMLIALMGETVNKIAQES
+>Q9Y5S1_PF12796_109 <unknown description>
+EYTEGSTGKTCLMKAVLNLKDGVNACILPLLQIDRDSGNPQPLVNAQCTDDYYRGHSALH
+IAIEKRSLQCVKLLVENGANVHAR
+>Q9Y5S1_PF00520_395 <unknown description>
+FLCNLIYMFIFTAVAYHQPTLKKQAAPHLKAEVGNSMLLTGHILILLGGIYLLVGQLWYF
+WRRHVFIWISFIDSYFEILFLFQALLTVVSQVLCFLAIEWYLPLLVSALVLGWLNLLYYT
+RGFQHTGIYSVMIQKVILRDLLRFLLIYLVFLFGFAVALVSLSQEAWRPEAPTGPNATES
+VQPMEGQEDEGNGAQYRGILEASLELFKFTIGMGELAFQEQLHFRGMVLLLLLAYVLLTY
+ILLLNMLIALMSETVNSVATD
+>Q8NET8_PF12796_125 <unknown description>
+AAVSEGCVEELVELLVELQELCRRRHDEDVPDFLMHKLTASDTGKTCLMKALLNINPNTK
+EIVRILLAFAEENDILGRFINAEYTEEAYEGQTALNIAIERRQGDIAALLIAAGADVNA
+>Q8NET8_PF00023_262 <unknown description>
+GETPLALAACTNQPEIVQLLMEHEQTDITSRD
+>Q8NET8_PF00520_442 <unknown description>
+FLSFCFYFFYNITLTLVSYYRPREEEAIPHPLALTHKMGWLQLLGRMFVLIWAMCISVKE
+GIAIFLLRPSDLQSILSDAWFHFVFFIQAVLVILSVFLYLFAYKEYLACLVLAMALGWAN
+MLYYTRGFQSMGMYSVMIQKVILHDVLKFLFVYIVFLLGFGVALASLIEKCPKDNKDCSS
+YGSFSDAVLELFKLTIGLGDLNIQQNSKYPILFLFLLITYVILTFVLLLNMLIALMGETV
+ENVSKES
+>Q9HBA0_PF00023_238 <unknown description>
+GQTALHIAIERRCKHYVELLVAQGADVHAQ
+>Q9HBA0_PF00520_473 <unknown description>
+INVVSYLCAMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTNIKDL
+FMKKCPGVNSLFIDGSFQLLYFIYSVLVIVSAALYLAGIEAYLAVMVFALVLGWMNALYF
+TRGLKLTGTYSIMIQKILFKDLFRFLLVYLLFMIGYASALVSLLNPCANMKVCNEDQTNC
+TVPTYPSCRDSETFSTFLLDLFKLTIGMGDLEMLSSTKYPVVFIILLVTYIILTFVLLLN
+MLIALMGETVGQVSKES
+>Q9H1D0_PF12796_89 <unknown description>
+LLLAAKDNDVQALNKLLKYEDCKVHQRGAMGETALHIAALYDNLEAAMVLMEAAPELVFE
+PMTSELYEGQTALHIAVVNQNMNLVRALLARRASVSA
+>Q9H1D0_PF00023_203 <unknown description>
+GEHPLSFAACVNSEEIVRLLIEHGADIRAQD
+>Q9H1D0_PF00520_375 <unknown description>
+IYLLYIICFTMCCIYRPLKPRTNNRTSPRDNTLLQQKLLQEAYMTPKDDIRLVGELVTVI
+GAIIILLVEVPDIFRMGVTRFFGQTILGGPFHVLIITYAFMVLVTMVMRLISASGEVVPM
+SFALVLGWCNVMYFARGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQT
+EDPEELGHFYDYPMALFSTFELFLTIIDGPANYNVDLPFMYSITYAAFAIIATLLMLNLL
+IAMMGDTHWRVAHER
+>Q8WWH5_PF01509_106 <unknown description>
+KRKKQTLKIGHGGTLDSAARGVLVVGIGSGTKMLTSMLSGSKRYTAIGELGKATDTLDST
+GRVTEEKPYDKITQEDIEGILQKFTGNIMQVPPLYSALKKDGQRLSTLMKRGEVVEAKPA
+RPVTVYSISLQKFQPPFFTLDVECGGGFYI
+>O95900_PF01509_89 <unknown description>
+LKVGVGHRLDAQASGVLVLGVGHGCRLLTDMYNAHLTKDYTVRGLLGKATDDFREDGRLV
+EKTTYDHVTREKLDRILAVIQGSHQKALVMYSNLDLKTQEAYEMAVRGLIRPMNKSPMLI
+TGIRCLYFAPPEFLLEVQCMHETQ
+>Q16881_PF00462_68 <unknown description>
+VVIFSRSTCTRCTEVKKLFKSLCVPYFVLELDQTEDGRALEGTLSELAAETDLPVVFVKQ
+R
+>Q16881_PF07992_163 <unknown description>
+YDLIIIGGGSGGLAAAKEAAQYGKKVMVLDFVTPTPLGTRWGLGGTCVNVGCIPKKLMHQ
+AALLGQALQDSRNYGWKVEETVKHDWDRMIEAVQNHIGSLNWGYRVALREKKVVYENAYG
+QFIGPHRIKATNNKGKEKIYSAERFLIATGERPRYLGIPGDKEYCISSDDLFSLPYCPGK
+TLVVGASYVALECAGFLAGIGLDVTVMVRSILLRGFDQDMANKIGEHMEEHGIKFIRQFV
+PIKVEQIEAGTPGRLRVVAQSTNSEEIIEGEYNTVMLAIGRDACTRKIGLETVGVKINEK
+TGKIPVTDEEQTNVPYIYAIGDILEDKVELTPVAIQAG
+>Q16881_PF02852_520 <unknown description>
+VPTTVFTPLEYGACGLSEEKAVEKFGEENIEVYHSYFWPLEWTIPSRDNNKCYAKIICNT
+KDNERVVGFHVLGPNAGEVTQGFAAALKCGLTKKQLDSTIGIHPVCAEVFTT
+>Q9NNW7_PF07992_40 <unknown description>
+YDLLVVGGGSGGLACAKEAAQLGRKVAVVDYVEPSPQGTRWGLGGTCVNVGCIPKKLMHQ
+AALLGGLIQDAPNYGWEVAQPVPHDWRKMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKA
+SFVDEHTVCGVAKGGKEILLSADHIIIATGGRPRYPTHIEGALEYGITSDDIFWLKESPG
+KTLVVGASYVALECAGFLTGIGLDTTIMMRSIPLRGFDQQMSSMVIEHMASHGTRFLRGC
+APSRVRRLPDGQLQVTWEDSTTGKEDTGTFDTVLWAIGRVPDTRSLNLEKAGVDTSPDTQ
+KILVDSREATSVPHIYAIGDVVEGRPELTPIAIMAG
+>Q9NNW7_PF02852_395 <unknown description>
+VPTTVFTPLEYGCVGLSEEEAVARHGQEHVEVYHAHYKPLEFTVAGRDASQCYVKMVCLR
+EPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMRTVGIHPTCSEEVVKL
+>Q86VQ6_PF00462_68 <unknown description>
+VVIFSKSYCPHSTRVKELFSSLGVECNVLELDQVDDGARVQEVLSEITNQKTVPNIFVNK
+VHV
+>Q86VQ6_PF07992_157 <unknown description>
+YDLIIIGGGSGGLSCAKEAAILGKKVMVLDFVVPSPQGTSWGLGGTCVNVGCIPKKLMHQ
+AALLGQALCDSRKFGWEYNQQVRHNWETMTKAIQNHISSLNWGYRLSLREKAVAYVNSYG
+EFVEHHKIKATNKKGQETYYTAAQFVIATGERPRYLGIQGDKEYCITSDDLFSLPYCPGK
+TLVVGASYVALECAGFLAGFGLDVTVMVRSILLRGFDQEMAEKVGSYMEQHGVKFLRKFI
+PVMVQQLEKGSPGKLKVLAKSTEGTETIEGVYNTVLLAIGRDSCTRKIGLEKIGVKINEK
+SGKIPVNDVEQTNVPYVYAVGDILEDKPELTPVAIQSG
+>Q86VQ6_PF02852_514 <unknown description>
+VPTTVFTPLEYGCCGLSEEKAIEVYKKENLEIYHTLFWPLEWTVAGRENNTCYAKIICNK
+FDHDRVIGFHILGPNAGEVTQGFAAAMKCGLTKQLLDDTIGIHPTCGEVFTT
+>P07477_PF00089_24 <unknown description>
+IVGGYNCEENSVPYQVSLNSGYHFCGGSLINEQWVVSAGHCYKSRIQVRLGEHNIEVLEG
+NEQFINAAKIIRHPQYDRKTLNNDIMLIKLSSRAVINARVSTISLPTAPPATGTKCLISG
+WGNTASSGADYPDELQCLDAPVLSQAKCEASYPGKITSNMFCVGFLEGGKDSCQGDSGGP
+VVCNGQLQGVVSWGDGCAQKNKPGVYTKVYNYVKWI
+>P07478_PF00089_24 <unknown description>
+IVGGYICEENSVPYQVSLNSGYHFCGGSLISEQWVVSAGHCYKSRIQVRLGEHNIEVLEG
+NEQFINAAKIIRHPKYNSRTLDNDILLIKLSSPAVINSRVSAISLPTAPPAAGTESLISG
+WGNTLSSGADYPDELQCLDAPVLSQAECEASYPGKITNNMFCVGFLEGGKDSCQGDSGGP
+VVSNGELQGIVSWGYGCAQKNRPGVYTKVYNYVDWI
+>P35030_PF00089_24 <unknown description>
+IVGGYTCEENSLPYQVSLNSGSHFCGGSLISEQWVVSAAHCYKTRIQVRLGEHNIKVLEG
+NEQFINAAKIIRHPKYNRDTLDNDIMLIKLSSPAVINARVSTISLPTTPPAAGTECLISG
+WGNTLSFGADYPDELKCLDAPVLTQAECKASYPGKITNSMFCVGFLEGGKDSCQRDSGGP
+VVCNGQLQGVVSWGHGCAWKNRPGVYTKVYNYVDWI
+>Q15661_PF00089_31 <unknown description>
+IVGGQEAPRSKWPWQVSLRVHGPYWMHFCGGSLIHPQWVLTAAHCVGPDVKDLAALRVQL
+REQHLYYQDQLLPVSRIIVHPQFYTAQIGADIALLELEEPVNVSSHVHTVTLPPASETFP
+PGMPCWVTGWGDVDNDERLPPPFPLKQVKVPIMENHICDAKYHLGAYTGDDVRIVRDDML
+CAGNTRRDSCQGDSGGPLVCKVNGTWLQAGVVSWGEGCAQPNRPGIYTRVTYYLDWI
+>P20231_PF00089_31 <unknown description>
+IVGGQEAPRSKWPWQVSLRVRDRYWMHFCGGSLIHPQWVLTAAHCVGPDVKDLAALRVQL
+REQHLYYQDQLLPVSRIIVHPQFYTAQIGADIALLELEEPVNVSSHVHTVTLPPASETFP
+PGMPCWVTGWGDVDNDERLPPPFPLKQVKVPIMENHICDAKYHLGAYTGDDVRIVRDDML
+CAGNTRRDSCQGDSGGPLVCKVNGTWLQAGVVSWGEGCAQPNRPGIYTRVTYYLDWI
+>Q9BZJ3_PF00089_38 <unknown description>
+IVGGQEAPRSKWPWQVSLRVRGPYWMHFCGGSLIHPQWVLTAAHCVEPDIKDLAALRVQL
+REQHLYYQDQLLPVSRIIVHPQFYIIQTGADIALLELEEPVNISSHIHTVTLPPASETFP
+PGMPCWVTGWGDVDNNVHLPPPYPLKEVEVPVVENHLCNAEYHTGLHTGHSFQIVRDDML
+CAGSENHDSCQGDSGGPLVCKV
+>Q9NRR2_PF00089_38 <unknown description>
+IVGGHAAPAGAWPWQASLRLRRVHVCGGSLLSPQWVLTAAHCFSGSLNSSDYQVHLGELE
+ITLSPHFSTVRQIILHSSPSGQPGTSGDIALVELSVPVTLSSRILPVCLPEASDDFCPGI
+RCWVTGWGYTREGEPLPPPYSLREVKVSVVDTETCRRDYPGPGGSILQPDMLCARGPGDA
+CQDDSGGPLVCQVNGAWVQAGTVSWGEGCGRPNRPGVYTRVPAYVNWI
+>Q99816_PF05743_22 <unknown description>
+VRETVNVITLYKDLKPVLDSYVFNDGSSRELMNLTGTIPVPYRGNTYNIPICLWLLDTYP
+YNPPICFVKPTSSMTIKTGKHVDANGKIYLPYLHEWKHPQSDLLGLIQVMIVVFGDEPPV
+>Q99816_PF09454_316 <unknown description>
+EVIIPTAPLYKQILNLYAEENAIEDTIFYLGEALRRGVIDLDVFLKHVRLLSRKQFQLRA
+LMQK
+>Q7RTX1_PF01094_76 <unknown description>
+FQAMRLGVEEINNSTALLPNITLGYQLYDVCSDSANVYATLRVLSLPGQHHIELQGDLLH
+YSPTVLAVIGPDSTNRAATTAALLSPFLVPMISYAASSETLSVKRQYPSFLRTIPNDKYQ
+VETMVLLLQKFGWTWISLVGSSDDYGQLGVQALENQATGQGICIAFKDIMPFSAQVGDER
+MQCLMRHLAQAGATVVVVFSSRQLARVFFESVVLTNLTGKVWVASEAWALSRHITGVPGI
+QRIGMVLGVAIQKRAVPGLKAFEEAYARADKKAPRPCHKGSWCSSNQLCRECQAFMAHTM
+PKLKAFSMSSAYNAYRAVYAVAHGLHQLLGCASGACSRGRVYPWQLLEQIHKVHFLLHKD
+TVAFNDNRDPLSSYNIIAWDWN
+>Q7RTX1_PF07562_493 <unknown description>
+PKSVCSSDCLEGHQRVVTGFHHCCFECVPCGAGTFLNKSDLYRCQPCGKEEWA
+>Q7RTX1_PF00003_565 <unknown description>
+HTSWVLLAANTLLLLLLLGTAGLFAWHLDTPVVRSAGGRLCFLMLGSLAAGSGSLYGFFG
+EPTRPACLLRQALFALGFTIFLSCLTVRSFQLIIIFKFSTKVPTFYHAWVQNHGAGLFVM
+ISSAAQLLICLTWLVVWTPLPAREYQRFPHLVMLECTETNSLGFILAFLYNGLLSISAFA
+CSYLGKDLPENYNEAKCVTFSLLFNFVSWIAFFTTASVYDGKYLPAANMMAGLSSLSSGF
+GGYFLPK
+>Q8TE23_PF01094_72 <unknown description>
+MQAMRFAVEEINNDSSLLPGVLLGYEIVDVCYISNNVQPVLYFLAHEDNLLPIQEDYSNY
+ISRVVAVIGPDNSESVMTVANFLSLFLLPQITYSAISDELRDKVRFPALLRTTPSADHHI
+EAMVQLMLHFRWNWIIVLVSSDTYGRDNGQLLGERVARRDICIAFQETLPTLQPNQNMTS
+EERQRLVTIVDKLQQSTARVVVVFSPDLTLYHFFNEVLRQNFTGAVWIASESWAIDPVLH
+NLTELRHLGTFLGITIQSVPIPGFSEFREWGPQAGPPPLSRTSQSYTCNQECDNCLNATL
+SFNTILRLSGERVVYSVYSAVYAVAHALHSLLGCDKSTCTKRVVYPWQLLEEIWKVNFTL
+LDHQIFFDPQGDVALHLEIVQWQWD
+>Q8TE23_PF07562_491 <unknown description>
+PMSMCSKRCQSGQKKKPVGIHVCCFECIDCLPGTFLNHTEDEYECQACPNNEWS
+>Q8TE23_PF00003_560 <unknown description>
+EWHEAPTIAVALLAALGFLSTLAILVIFWRHFQTPIVRSAGGPMCFLMLTLLLVAYMVVP
+VYVGPPKVSTCLCRQALFPLCFTICISCIAVRSFQIVCAFKMASRFPRAYSYWVRYQGPY
+VSMAFITVLKMVIVVIGMLATGLSPTTRTDPDDPKITIVSCNPNYRNSLLFNTSLDLLLS
+VVGFSFAYMGKELPTNYNEAKFITLSMTFYFTSSVSLCTFMSAYSGVLVTIVDLLVTVLN
+LLAISLGYFGPK
+>Q7RTX0_PF01094_72 <unknown description>
+WALAMKMAVEEINNKSDLLPGLRLGYDLFDTCSEPVVAMKPSLMFLAKAGSRDIAAYCNY
+TQYQPRVLAVIGPHSSELAMVTGKFFSFFLMPQVSYGASMELLSARETFPSFFRTVPSDR
+VQLTAAAELLQEFGWNWVAALGSDDEYGRQGLSIFSALAAARGICIAHEGLVPLPRADDS
+RLGKVQDVLHQVNQSSVQVVLLFASVHAAHALFNYSISSRLSPKVWVASEAWLTSDLVMG
+LPGMAQMGTVLGFLQRGAQLHEFPQYVKTHLALATDPAFCSALGEREQGLEEDVVGQRCP
+QCDCITLQNVSAGLNHHQTFSVYAAVYSVAQALHNTLQCNASGCPAQDPVKPWQLLENMY
+NLTFHVGGLPLRFDSSGNVDMEYDLKLW
+>Q7RTX0_PF07562_495 <unknown description>
+PVSRCSRQCQEGQVRRVKGFHSCCYDCVDCEAGSYRQNPDDIACTFCGQDEWS
+>Q7RTX0_PF00003_563 <unknown description>
+AWGEPAVLLLLLLLSLALGLVLAALGLFVHHRDSPLVQASGGPLACFGLVCLGLVCLSVL
+LFPGQPSPARCLAQQPLSHLPLTGCLSTLFLQAAEIFVESELPLSWADRLSGCLRGPWAW
+LVVLLAMLVEVALCTWYLVAFPPEVVTDWHMLPTEALVHCRTRSWVSFGLAHATNATLAF
+LCFLGTFLVRSQPGCYNRARGLTFAMLAYFITWVSFVPLLANVQVVLRPAVQMGALLLCV
+LGILAAFHLP
+>Q96A04_PF15836_1 <unknown description>
+MERHTSHPNRKVPAKEEANAVPLCRAKPSPSYINLQASSPPATFLNIQTTKLPSVDHKPK
+ECLGLLECMYANLQLQTQLAQQQMAVLEHLQASVTQLAPGRGSNNSSLPALSPNPLLNHL
+PQFSK
+>Q9NX07_PF00076_5 <unknown description>
+LWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEKCLHK
+INGKPLPGA
+>Q9NX07_PF00076_98 <unknown description>
+LFVGDLTPDVDDGMLYEFFVKVYPSCRGGKVVLDQTGVSKGYGFVKFTDELEQKRALTEC
+QGAV
+>Q9NX07_PF17654_186 <unknown description>
+SQMYSYSYNQYYQQYQNYYAQWGYDQNTGSYSYSYPQYGYTQSTMQTYEEVGDDALEDPM
+PQLDVTEANKEFMEQSEELYDALMDCHWQPLDTVSSEIP
+>Q92574_PF04388_7 <unknown description>
+VGELLAMLDSPMLGVRDDVTAVFKENLNSDRGPMLVNTLVDYYLETSSQPALHILTTLQE
+PHDKHLLDRINEYVGKAATRLSILSLLGHVIRLQPSWKHKLSQAPLLPSLLKCLKMDTDV
+VVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVAEVYLVHLHASVYA
+LFHRLYGMYPCNFVSFLRSHYSMKENLETFEEVVKPMMEHVRIHPELVTGSKDHELDPRR
+WKRLETHDVVIECAKISLDPTEASYEDGYSVSHQISARFPHRSADVTTSPYADTQNSYGC
+ATSTPYSTSRLMLLNMPGQLPQTLSSPSTRLITEPPQATLWSPSMVCGMTTPPTSPGNVP
+PDLSHPYSKVFGTTAGGKGTPLGTPATSPPPAPLCHSDDYVHISLPQATVTPPRKEERMD
+SARPCLHRQHHLLNDRGSEEPPGSKGSVTLSDLPGFLGDLASEEDSIEKDKEEAAISREL
+SEITTAEAEPVVPRGGFDSPFYRDSLPGSQRKTHSAASSSQGASVNPEPLHSSLDKLGPD
+TPKQAFTPIDLPCGSADESPAGDRECQTSLETSIFTPSPCKIPPPTRVGFGSGQPPPYDH
+LFEVALPKTAHHFVIRKTEELLKKAKGNTEEDGVPSTSPMEVLDRLIQQGADAHSKELNK
+LPLPSKSVDWTHFGGSPPSDEIRTLRDQLLLLHNQLLYERFKRQQHALRNRRL
+>P49815_PF11864_54 <unknown description>
+LNNRIRMIGQICEVAKTKKFEEHAVEALWKAVADLLQPERPLEARHAVLALLKAIVQGQG
+ERLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELADFVLQWMDVGLS
+SEFLLVLVNLVKFNSCYLDEYIARMVQMICLLCVRTASSVDIEVSLQVLDAVVCYNCLPA
+ESLPLFIVTLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCHLMEDRAYMEDAPLLR
+GAVFFVGMALWGAHRLYSLRNSPTSVLPSFYQAMACPNEVVSYEIVLSITRLIKKYRKEL
+QVVAWDILLNIIERLLQQLQTLDSPELRTIVHDLLTTVEELCDQNEFHGSQERYFELVER
+CADQRPESSLLNLISYRAQSIHPAKDGWIQNLQALMERFFRSESRGAVRIKVLDVL
+>P49815_PF03542_556 <unknown description>
+SLEDVKTAVLGLLVILQTKLYTLPASHATRVYEMLVSHIQLHYKHSYTLPIASSIRLQAF
+DFLLLLRADSLHRLGLPNKDGVVRFSPYCVCDYMEPERGSEKKTSGPLSPPTGPPGPAPA
+GPAVRLGSVPYSLLFRVLLQCLKQESDWKVLKLVLGRLPESLRYKVLIFTSPCSVDQLCS
+ALCSMLSGPKTLERLRGAPEGFSRTDLHLAVVPVLTALISYHNYLDKTKQREMVYCLEQG
+LIHRCASQCVVALSICSVEMPDIIIKALPVLVVKLTHISATASMAVPLLEFLSTLARLPH
+LYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLP
+>P49815_PF02145_1561 <unknown description>
+ILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIMQAVFH
+IATLMPTKDVDKHRCDKKRHLGNDFVSIVYNDSGEDFKLGTIKGQFNFVHVIVTPLDYEC
+NLVSLQCRKDMEGLVDTSVAKIVSDRNLPFVARQMALHANMASQVHHSRSNPTDIYPSKW
+IARLRHI
+>Q9BY10_PF07690_84 <unknown description>
+VVGLSPLLSAYGLGWLSDRYHRKISICMSLLGFLLSRLGLLLKVLLDWPVEVLYGAAALN
+GLFGGFSAFWSGVMALGSLGSSEGRRSVRLILIDLMLGLAGFCGSMASGHLFKQMAGHSG
+QGLILTACSVSCASFALLYSLLVLKVPESVAKPSQELPAVDTVSGTVGTYRTLDPDQLDQ
+QYAVGHPPSPGKAKPHKTTIALLFVGAIIYDLAVVGTVDVIPLFVLREPLGWNQVQVGYG
+MAAGYTIFITSFLGVLVFSRCFRDTTMIMIGMVSFGSGALLLAFVKETYMFYIARAVMLF
+ALIPVTTIRSAMSKLIKGSSYGKVFVILQLSLALTG
+>Q8WU66_PF03736_360 <unknown description>
+KFVSYQNIPTHQAQAWRHFTIGKKIFLAVANFEPDEKGQEFSVIYKWS
+>Q8WU66_PF03736_412 <unknown description>
+KFTPYQSIATHSARDWEAFEVDGEHFLAVANHREGDNHNIDSVIYKWN
+>Q8WU66_PF03736_465 <unknown description>
+FEANQTIATSGAYDWEFFSVGPYSFLVVANTFNGTSTKVHSHLY
+>Q8WU66_PF03736_514 <unknown description>
+SFQLFQSFPTFGAADWEVFQIGERIFLAVANSHSYDVEMQVQNDSYVINSVIYELN
+>Q8WU66_PF03736_575 <unknown description>
+FVKFQDILTCSALDWEFFSVGEDYFLVVANSFDGRTFSVNSIIYRWQ
+>Q96PP4_PF14994_1 <unknown description>
+MSQKRQTKFQNGKSKTSENSSAKREKGMVVNSKEISDAVGQSKFVLENLRHYTVHPNLAQ
+YYKPLKATALQKFLAQNRKNTSFMLKVTQYDQDKTLLIMTNNPPPCSITQQDKESASKYF
+SKELLLKVMESHHQHKPTENLWLPRMPQKKKLRSKLKPIFPLILSDDPTSKREQWFRFST
+DNDFKSEGKYSKVYALRTQKKMYPQLTFAPVHERDMRKDASKKSASERPISKVIREPLTL
+ASLLEDMPTRTAPGESAFRNGRAPQWIIKKATVI
+>P98066_PF00193_37 <unknown description>
+VYHREARSGKYKLTYAEAKAVCEFEGGHLATYKQLEAARKIGFHVCAAGWMAKGRVGYPI
+VKPGPNCGFGKTGIIDYGIRLNRSERWDAYCY
+>P98066_PF00431_135 <unknown description>
+CGGVFTDPKQIFKSPGFPNEYEDNQICYWHIRLKYGQRIHLSFLDFDLEDDPGCLADYVE
+IYDSYDDVHGFVGRYCGDELPDDIISTGNVMTLKFLSDASVTAGGFQIKY
+>Q63HK5_PF13912_276 <unknown description>
+KCMYCGHSFESLQDLSVHMIKTKHY
+>Q63HK5_PF12874_1041 <unknown description>
+YQCKLCNRTFASKHAVKLHL
+>P01222_PF00007_20 <unknown description>
+SFCIPTEYTMHIERRECAYCLTINTTICAGYCMTRDINGKLFLPKYALSQDVCTYRDFIY
+RTVEIPGCPLHVAPYFSYPVALSCKCGKCNTDYSDCIHEAIKTNYC
+>Q9UJT2_PF15358_48 <unknown description>
+KKKKAVSFHGVEPQMSHQPMHWCLNLKRSSACTNVSLLNLAAMEPTDSTGTDSTVEDLSG
+QLTLAGPPASPTLPWDPDDADITEILSGVNSGLVRAKDSITSLKEKTNRVNQHVQSLQSE
+CSVLSENLERRRQEAEELEGYCIQLKENCWKVTRSVEDAEIKTNVLKQNSALLEEKLRYL
+QQQLQDETPRRQEAELQEPEEKQEPEEKQEPEEKQKPEAGLSWNSLGPAATSQGCPGPPG
+SPDKPSRPHGLVPAGWGMGPRAGEGPYVSEQELQKLFTGIEELRREVSSLTARWHQEEGA
+VQEALRLLGGLGGRVDGFLGQWERAQREQAQTARDLQELRGRADELCTMVERSAVSVASL
+RSELEGLGPLKPILEEFGRQFQNSRRGPDLSMNLDRSHQGNCARCASQGSQLSTESLQQL
+LDRALTSLVDEVKQRGLTPACPSCQRLHKKILELERQALAKHVRAEALSSTLRLAQDEAL
+RAKNLLLTDKMKPEEKMATLDHLHLKMCSLHDHLSNLPLEGSTGTMGGGSSAGTPPKQGG
+SAPEQ
+>Q8WUA8_PF13855_62 <unknown description>
+HLDLSSNRLEMVNESVLAGPGYTTLAGLDLSHNLLTSISPTAFSRLRYLESLDLSHNGL
+>Q8WUA8_PF13855_207 <unknown description>
+LRYLSLDGNPLAVIGPGAFAGLGGLTHLSLASLQRLPELAPSGFRELPGLQVLDLSGNP
+>Q969D9_PF15216_29 <unknown description>
+YDFTNCDFEKIKAAYLSTISKDLITYMSGTKSTEFNNTVSCSNRPHCLTEIQSLTFNPTA
+GCASLAKEMFAMKTKAALAIWCPGYSETQINATQAMKKRRKRKVTTNKCLEQVSQLQGLW
+RRFNR
+>A1L157_PF00335_16 <unknown description>
+LKYLLFVFNFFFWVGGAAVLAVGIWTLVEKSGYLSVLASSTFAASAYILIFAGVLVMVTG
+FLGFGAILWERKGCLSTYFCLLLVIFLVELVAGVLAHVYYQRLSDELKQHLNRTLAENYG
+QPGATQITASVDRLQQDFKCCGSNSSADWQHSTYILLREAEGRQVPDSCCKTVVVRCGQR
+AHPSNIYKVEGGCLTKLEQFLADHLLLMGAVGIGVACLQICGMVLTCCLH
+>O95859_PF00335_10 <unknown description>
+LRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYFPVVHPVMIA
+VCCFLIIVGMLGYCGTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQELMVPVQWSDMV
+TLKARMTNYGLPRYRWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDSCCVREFPGC
+SKQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMILTITLLW
+>O95857_PF00335_10 <unknown description>
+KNCLCALNLLYTLVSLLLIGIAAWGIGFGLISSLRVVGVVIAVGIFLFLIALVGLIGAVK
+HHQVLLFFYMIILLLVFIVQFSVSCACLALNQEQQGQLLEVGWNNTASARNDIQRNLNCC
+GFRSVNPNDTCLASCVKSDHSCSPCAPIIGEYAGEVLRFVGGIGLFFSFTEILGVWLTYR
+YR
+>Q8NG11_PF00335_16 <unknown description>
+KYLLFSYNIIFWLAGVVFLGVGLWAWSEKGVLSDLTKVTRMHGIDPVVLVLMVGVVMFTL
+GFAGCVGALRENICLLNFFCGTIVLIFFLELAVAVLAFLFQDWVRDRFREFFESNIKSYR
+DDIDLQNLIDSLQKANQCCGAYGPEDWDLNVYFNCSGASYSREKCGVPFSCCVPDPAQKV
+VNTQCGYDVRIQLKSKWDESIFTKGCIQALESWLPRNIYIVAGVFIAISLLQIFGIFLAR
+TLI
+>O95858_PF00335_20 <unknown description>
+LKFSLIIYSTVFWLIGALVLSVGIYAEVERQKYKTLESAFLAPAIILILLGVVMFMVSFI
+GVLASLRDNLYLLQAFMYILGICLIMELIGGVVALTFRNQTIDFLNDNIRRGIENYYDDL
+DFKNIMDFVQKKFKCCGGEDYRDWSKNQYHDCSAPGPLACGVPYTCCIRNTTEVVNTMCG
+YKTIDKERFSVQDVIYVRGCTNAVIIWFMDNYTIMAGILLGILLPQFLGVLLTLLYI
+>Q9UKR8_PF00335_11 <unknown description>
+LKKLLSLLNGFVAVSGIILVGLGIGGKCGGASLTNVLGLSSAYLLHVGNLCLVMGCITVL
+LGCAGWYGATKESRGTLLFCILSMVIVLIMEVTAATVVLLFFPIVGDVALEHTFVTLRKN
+YRGYNEPDDYSTQWNLVMEKLKCCGVNNYTDFSGSSFEMTTGHTYPRSCCKSIGSVSCDG
+RDVSPNVIHQKGCFHKLLKITKTQSFTLSGSSLGAAVIQLPGILATLLLF
+>Q96FV3_PF00335_18 <unknown description>
+KYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGGLDPVWLFVVVGGVMSVL
+GFAGCIGALRENTFLLKFFSVFLGLIFFLELATGILAFVFKDWIRDQLNLFINNNVKAYR
+DDIDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRERCGVPFSCCVRDPAEDV
+LNTQCGYDVRLKLELEQQGFIHTKGCVGQFEKWLQDNLIVVAGVFMGIALLQIFGICLAQ
+NLV
+>Q96SJ8_PF00335_10 <unknown description>
+KYLMFVFNFFIFLGGACLLAIGIWVMVDPTGFREIVAANPLLLTGAYILLAMGGLLFLLG
+FLGCCGAVRENKCLLLFFFLFILIIFLAELSAAILAFIFRENLTREFFTKELTKHYQGNN
+DTDVFSATWNSVMITFGCCGVNGPEDFKFASVFRLLTLDSEEVPEACCRREPQSRDGVLL
+SREECLLGRSLFLNKQGCYTVILNTFETYVYLAGALAIGVLAIELFAMIFAMCLFR
+>P0C672_PF00335_10 <unknown description>
+IKYFLNLINGAFLVLGLLFMGFGAWLLLDRNNFLTAFDENNHFIVPISQILIGMGSSTVL
+FCLLGYIGIHNEIRWLLIVYAVLITWTFAVQVVLSAFIITKKEEVQQLWHDKIDFVISEY
+GSKDKPEDITKWTILNALQKTLQCCGQHNYTDWIKNKNKENSGQVPCSCTKSTLRKWFCD
+EPLNATYLEGCENKISAWYNVNVLTLIGINFGLLTSEVFQVSLTVCFF
+>O60635_PF00335_7 <unknown description>
+IKTMMILFNLLIFLCGAALLAVGIWVSIDGASFLKIFGPLSSSAMQFVNVGYFLIAAGVV
+VFALGFLGCYGAKTESKCALVTFFFILLLIFIAEVAAAVVALVYTTMAEHFLTLLVVPAI
+KKDYGSQEDFTQVWNTTMKGLKCCGFTNYTDFEDSPYFKENSAFPPFCCNDNVTNTANET
+CTKQKAHDQKVEGCFNQLLYDIRTNAVTVGGVAAGIGGLELAAMIVSMYLYC
+>O60636_PF00335_11 <unknown description>
+IKYLLLGFNLLFWLAGSAVIAFGLWFRFGGAIKELSSEDKSPEYFYVGLYVLVGAGALMM
+AVGFFGCCGAMRESQCVLGSFFTCLLVIFAAEVTTGVFAFIGKGVAIRHVQTMYEEAYND
+YLKDRGKGNGTLITFHSTFQCCGKESSEQVQPTCPKELLGHKNCIDEIETIISVKLQLIG
+IVGIGIAGLTIFGMIFSMVLCCA
+>Q12999_PF00335_10 <unknown description>
+KNALCALNVVYMLVSLLLIGVAAWGKGLGLVSSIHIIGGVIAVGVFLLLIAVAGLVGAVN
+HHQVLLFFYMIILGLVFIFQFVISCSCLAINRSKQTDVINASWWVMSNKTRDELERSFDC
+CGLFNLTTLYQQDYDFCTAICKSQSPTCQMCGEKFLKHSDEALKILGGVGLFFSFTEILG
+VWLAMRFRN
+>Q96QS1_PF00335_11 <unknown description>
+KCQMLVTCFFILLLGLSVATMVTLTYFGAHFAVIRRASLEKNPYQAVHQWAFSAGLSLVG
+LLTLGAVLSAAATVREAQGLMAGGFLCFSLAFCAQVQVVFWRLHSPTQVEDAMLDTYDLV
+YEQAMKGTSHVRRQELAAIQDVFLCCGKKSPFSRLGSTEADLCQGEEAAREDCLQGIRSF
+LRTHQQVASSLTSIGLALTVSALLFSSFLW
+>Q86UF1_PF00335_22 <unknown description>
+VKYLLFFFNMLFWVISMVMVAVGVYARLMKHAEAALACLAVDPAILLIVVGVLMFLLTFC
+GCIGSLRENICLLQTFSLCLTAVFLLQLAAGILGFVFSDKARGKVSEIINNAIVHYRDDL
+DLQNLIDFGQKKFSCCGGISYKDWSQNMYFNCSEDNPSRERCSVPYSCCLPTPDQAVINT
+MCGQGMQAFDYLEASKVIYTNGCIDKLVNWIHSNLFLLGGVALGLAIPQLVGILLSQILV
+NQ
+>O60637_PF00335_10 <unknown description>
+KTVLVFLNLIFWGAAGILCYVGAYVFITYDDYDHFFEDVYTLIPAVVIIAVGALLFIIGL
+IGCCATIRESRCGLATFVIILLLVFVTEVVVVVLGYVYRAKVENEVDRSIQKVYKTYNGT
+NPDAASRAIDYVQRQLHCCGIHNYSDWENTDWFKETKNQSVPLSCCRETASNCNGSLAHP
+SDLYAEGCEALVVKKLQEIMMHVIWAALAFAAIQLLGMLCACIVLCR
+>O14817_PF00335_9 <unknown description>
+VKYLMFAFNLLFWLGGCGVLGVGIWLAATQGSFATLSSSFPSLSAANLLIITGAFVMAIG
+FVGCLGAIKENKCLLLTFFLLLLLVFLLEATIAILFFAYTDKIDRYAQQDLKKGLHLYGT
+QGNVGLTNAWSIIQTDFRCCGVSNYTDWFEVYNATRVPDSCCLEFSESCGLHAPGTWWKA
+PCYETVKVWLQENLLAVGIFGLCTALVQILGLTFAMTMYCQ
+>P62079_PF00335_15 <unknown description>
+IKYFIFGFNVIFWFLGITFLGIGLWAWNEKGVLSNISSITDLGGFDPVWLFLVVGGVMFI
+LGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNIRAY
+RDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERCGVPFSCCTKDPAED
+VINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIFIGIALLQIFGICLA
+QNLV
+>O43657_PF00335_18 <unknown description>
+KSVLLIYTFIFWITGVILLAVGIWGKVSLENYFSLLNEKATNVPFVLIATGTVIILLGTF
+GCFATCRASAWMLKLYAMFLTLVFLVELVAAIVGFVFRHEIKNSFKNNYEKALKQYNSTG
+DYRSHAVDKIQNTLHCCGVTDYRDWTDTNYYSEKGFPKSCCKLEDCTPQRDADKVNNEGC
+FIKVMTIIESEMGVVAGISFGVACFQLIGIFLAYCLSR
+>P41732_PF00335_15 <unknown description>
+LKTLLIIYSFVFWITGVILLAVGVWGKLTLGTYISLIAENSTNAPYVLIGTGTTIVVFGL
+FGCFATCRGSPWMLKLYAMFLSLVFLAELVAGISGFVFRHEIKDTFLRTYTDAMQTYNGN
+DERSRAVDHVQRSLSCCGVQNYTNWSTSPYFLEHGIPPSCCMNETDCNPQDLHNLTVAAT
+KVNQKGCYDLVTSFMETNMGIIAGVAFGIAFSQLIGMLLACCLS
+>P19075_PF00335_8 <unknown description>
+IKYSMFTFNFLFWLCGILILALAIWVRVSNDSQAIFGSEDVGSSSYVAVDILIAVGAIIM
+ILGFLGCCGAIKESRCMLLLFFIGLLLILLLQVATGILGAVFKSKSDRIVNETLYENTKL
+LSATGESEKQFQEAIIVFQEEFKCCGLVNGAADWGNNFQHYPELCACLDKQRPCQSYNGK
+QVYKETCISFIKDFLAKNLIIVIGISFGLAVIEILGLVFSMVLYCQ
+>O75954_PF00335_9 <unknown description>
+LKYMMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAIGTIVMVTG
+FLGCLGAIKENKCLLLSFFIVLLVILLAELILLILFFVYMDKVNENAKKDLKEGLLLYHT
+ENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCMENSQGCGRNATTPLWRT
+GCYEKVKMWFDDNKHVLGTVGMCILIMQILGMAFSMTLFQH
+>Q96NA8_PF13873_124 <unknown description>
+RKPNFCPQETEVLVSKVSKHHQLLFGTGLLKAEPTRRYRVWSRILQAVNALGYCRRDVVD
+LKHKWRDLRAVVRRKLG
+>Q96NA8_PF14523_265 <unknown description>
+SANVFRINSSVTSLERSLQSLGTPSDTQELRDSLHTAQQETNKTIAASASSVKQMAELLR
+SSCPQERLQQERPQLDRLKTQLSDAIQCYGVVQKKIAEKSRA
+>Q96NA8_PF05739_452 <unknown description>
+EAVDSIEASLEAASSHAEAARQLLAGASRHQLQRHKIKCCFLSAGVTALLVII
+>Q99598_PF01997_51 <unknown description>
+DKYERLVKLSRDITVESKRTIFLLHRITSAPDMEDILTESEIKLDGVRQKIFQVAQELSG
+EDMHQFHRAITTGLQEYVEAVSFQHFIKTRSLISMDEINKQLIFTTEDNGKENKTPSSDA
+QDKQFGTWRLRVTPVDYLLGVADLTGELMRMCINSVGNGDIDTPFEVSQFLRQVYDGFSF
+IGNTGPYEVSKKLYTLKQSLAKVENACYALKVRG
+>Q15631_PF01997_19 <unknown description>
+DIREEIRKVVQSLEQTAREILTLLQGVHQGAGFQDIPKRCLKAREHFGTVKTHLTSLKTK
+FPAEQYYRFHEHWRFVLQRLVFLAAFVVYLETETLVTREAVTEILGIEPDREKGFHLDVE
+DYLSGVLILASELSRLSVNSVTAGDYSRPLHISTFINELDSGFRLLNLKNDSLRKRYDGL
+KYDVKKVEEVVYDLSIRG
+>P07996_PF00093_318 <unknown description>
+CYHNGVQYRNNEEWTVDSCTECHCQNSVTICKKVSCPIMPCSNATVPDGECCPRC
+>P07996_PF00090_383 <unknown description>
+SPWSEWTSCSTSCGNGIQQRGRSCDSLNNRCEGSSVQTRTCHIQEC
+>P07996_PF00090_439 <unknown description>
+SHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGEARETKACKKDAC
+>P07996_PF00090_496 <unknown description>
+GPWSPWDICSVTCGGGVQKRSRLCNNPTPQFGGKDCVGDVTENQICNKQDC
+>P07996_PF02412_727 <unknown description>
+EDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQ
+>P07996_PF02412_763 <unknown description>
+YDYDRDDVGDRCDNCPYNHNPDQ
+>P07996_PF02412_787 <unknown description>
+DTDNNGEGDACAADIDGDGILNERDNCQYVYNVDQ
+>P07996_PF02412_822 <unknown description>
+RDTDMDGVGDQCDNCPLEHNPDQ
+>P07996_PF02412_846 <unknown description>
+DSDSDRIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQ
+>P07996_PF02412_884 <unknown description>
+DHDKDGKGDACDHDDDNDGIPDDKDNCRLVPNPDQ
+>P07996_PF02412_919 <unknown description>
+KDSDGDGRGDACKDDFDHDSVPDIDDICPENV
+>P07996_PF05735_972 <unknown description>
+QNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERDDDYAGFVFGYQS
+SSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNALWHTGNTPGQVR
+TLWHDPRHIGWKDFTAYRWRLSHRPKTGFIRVVMYEGKKIMADSGPIYDKTYAGGRLGLF
+VFSQEMVFFSDLKYECRD
+>P35442_PF00093_320 <unknown description>
+CWQDGRFFAENETWVVDSCTTCTCKKFKTICHQITCPPATCASPSFVEGECCPSC
+>P35442_PF00090_385 <unknown description>
+SPWAEWTQCSVTCGSGTQQRGRSCDVTSNTCLGPSIQTRACSLSKC
+>P35442_PF00090_441 <unknown description>
+SHWSPWSSCSVTCGVGNITRIRLCNSPVPQMGGKNCKGSGRETKACQGAPC
+>P35442_PF00090_498 <unknown description>
+SPWSPWSACTVTCAGGIRERTRVCNSPEPQYGGKACVGDVQERQMCNKRSC
+>P35442_PF07645_590 <unknown description>
+DLDECALVPDICFSTSKVPRCVNTQPGFHCLPCPPRYRGNQ
+>P35442_PF12947_652 <unknown description>
+CKDKTHNCHKHAECIYLGHFSDPMYKCECQTGYAGDGLIC
+>P35442_PF02412_729 <unknown description>
+EDFDKDGIGDACDDDDDNDGVTDEKDNCQLLFNPRQ
+>P35442_PF02412_789 <unknown description>
+DTDNNGEGDACSVDIDGDDVFNERDNCPYVYNTDQ
+>P35442_PF02412_824 <unknown description>
+RDTDGDGVGDHCDNCPLVHNPDQ
+>P35442_PF02412_847 <unknown description>
+TDVDNDLVGDQCDNNEDIDDDGHQNNQDNCPYISNANQ
+>P35442_PF02412_886 <unknown description>
+DHDRDGQGDACDPDDDNDGVPDDRDNCRLVFNPDQ
+>P35442_PF02412_921 <unknown description>
+EDLDGDGRGDICKDDFDNDNIPDIDDVCPENN
+>P35442_PF05735_974 <unknown description>
+QIDPNWVIRHQGKELVQTANSDPGIAVGFDEFGSVDFSGTFYVNTDRDDDYAGFVFGYQS
+SSRFYVVMWKQVTQTYWEDQPTRAYGYSGVSLKVVNSTTGTGEHLRNALWHTGNTPGQVR
+TLWHDPRNIGWKDYTAYRWHLTHRPKTGYIRVLVHEGKQVMADSGPIYDQTYAGGRLGLF
+VFSQEMVYFSDLKYECRD
+>P49746_PF11598_226 <unknown description>
+EQTKALVTQLTLFNQILVELRDDIRDQVKEMSLIRNTIMECQVCG
+>P49746_PF07645_316 <unknown description>
+DINECAHADPCFPGSSCINTMPGFHCEACPRGYKG
+>P49746_PF07645_370 <unknown description>
+DIDECNDGNNGGCDPNSICTNTVGSFKCGPCRLGFLGNQSQG
+>P49746_PF02412_492 <unknown description>
+EDADNDGVGDQCDDDADGDGIKNVEDNCRLFPNKDQ
+>P49746_PF02412_551 <unknown description>
+KDTDGNGEGDACDNDVDGDGIPNGLDNCPKVPNPLQ
+>P49746_PF02412_587 <unknown description>
+TDRDEDGVGDACDSCPEMSNPTQ
+>P49746_PF02412_610 <unknown description>
+TDADSDLVGDVCDTNEDSDGDGHQDTKDNCPQLPNSSQ
+>P49746_PF02412_649 <unknown description>
+DSDNDGLGDECDGDDDNDGIPDYVPPGPDNCRLVPNPNQ
+>P49746_PF02412_688 <unknown description>
+KDSDGNGVGDVCEDDFDNDAVVDPLDVCPESAEVT
+>P49746_PF05735_741 <unknown description>
+QIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTVTDDDYAGFLFSYQD
+SGRFYVVMWKQTEQTYWQATPFRAVAQPGLQLKAVTSVSGPGEHLRNALWHTGHTPDQVR
+LLWTDPRNVGWRDKTSYRWQLLHRPQVGYIRVKLYEGPQLVADSGVIIDTSMRGGRLGVF
+CFSQENIIWSNLQYRCND
+>P35443_PF11598_218 <unknown description>
+DFNRQFLGQMTQLNQLLGEVKDLLRQQVKETSFLRNTIAECQACG
+>P35443_PF07645_326 <unknown description>
+DVDECKYHPCYPGVHCINLSPGFRCDACPVGFT
+>P35443_PF07645_379 <unknown description>
+DIDECRNGACVPNSICVNTLGSYRCGPCKPGYTGDQ
+>P35443_PF02412_496 <unknown description>
+EDADRDGIGDACDEDADGDGILNEQDNCVLIHNVDQ
+>P35443_PF02412_555 <unknown description>
+KDTDGDGRGDACDDDMDGDGIKNILDNCPKFPNRDQ
+>P35443_PF02412_591 <unknown description>
+RDKDGDGVGDACDSCPDVSNPNQ
+>P35443_PF02412_615 <unknown description>
+DVDNDLVGDSCDTNQDSDGDGHQDSTDNCPTVINSAQ
+>P35443_PF02412_653 <unknown description>
+DTDKDGIGDECDDDDDNDGIPDLVPPGPDNCRLVPNPAQ
+>P35443_PF02412_692 <unknown description>
+EDSNSDGVGDICESDFDQDQVIDRIDVCPENAEVT
+>P35443_PF05735_745 <unknown description>
+QIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTQTDDDYAGFIFGYQD
+SSSFYVVMWKQTEQTYWQATPFRAVAEPGIQLKAVKSKTGPGEHLRNSLWHTGDTSDQVR
+LLWKDSRNVGWKDKVSYRWFLQHRPQVGYIRVRFYEGSELVADSGVTIDTTMRGGRLGVF
+CFSQENIIWSNLKYRCND
+>Q9UI38_PF00089_119 <unknown description>
+AVARRWPWMVSVRANGTHICAGTIIASQWVLTVAHCLIWRDVIYSVRVGSPWIDQMTQTA
+SDVPVLQVIMHSRYRAQRFWSWVGQANDIGLLKLKQELKYSNYVRPICLPGTDYVLKDHS
+RCTVTGWGLSKADGMWPQFRTIQEKEVIILNNKECDNFYHNFTKIPTLVQIIKSQMMCAE
+DTHREKFCYELTGEPLVCSMEGTWYLVGLVSWGAGCQKSEAPPIYLQVSSYQHWI
+>Q5TGU0_PF03073_8 <unknown description>
+FVLLPHLGPILVWLFTRDHMSGWCEGPRMLSWCPFYKVLLLVQTAIYSVVGYASYLVWKD
+LGGGLGWPLALPLGLYAVQLTISWTVLVLFFTVHNPGLALLHLLLLYGLVVSTALIWHPI
+NKLAALLLLPYLAWLTVTSALTYHLW
+>P30536_PF03073_12 <unknown description>
+TLAPSLGCFVGSRFVHGEGLRWYAGLQKPSWHPPHWVLGPVWGTLYSAMGYGSYLVWKEL
+GGFTEKAVVPLGLYTGQLALNWAWPPIFFGARQMGWALVDLLLVSGAAAATTVAWYQVSP
+LAARLLYPYLAWLAFTTTLNYCVW
+>Q01534_PF00956_110 <unknown description>
+EELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQM
+SALITDEDEDMLSYMVSLEVGEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRA
+SHSTPIEWYPDYEVE
+>A6NKD2_PF00956_110 <unknown description>
+EELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQM
+SALITDEDEDMLSYMVSLEVEEEKHRVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRA
+SHSTPIEWYPDYEVE
+>P0CV98_PF00956_110 <unknown description>
+EELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQM
+SALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRA
+SHSTPIEWYPDYEVE
+>P0CV99_PF00956_101 <unknown description>
+QAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQS
+VPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNK
+VITKEYLVNITEYRASHSTPIEWYPDYEVE
+>P0CW00_PF00956_133 <unknown description>
+QREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEE
+KHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYLDYEV
+>A0A494C1R9_PF00956_99 <unknown description>
+AQQAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPQLDRRGAVI
+QSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQ
+NKVITKEYLVNITEYRASHSTPIEWYPDYEVE
+>P0CW01_PF00956_110 <unknown description>
+EELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQM
+SALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRA
+SHSTPIEWYPDYEVE
+>Q2NL82_PF08142_229 <unknown description>
+AGMLLRQLANQKQQHLAFRDRRAYLFAHAVDFVPSEENNLVGTLKISGYVRGQTLNVNRL
+LHIVGYGDFQMKQIDAPGDP
+>Q2NL82_PF04950_488 <unknown description>
+VDTPRDVAARIRFQKYRGLKSFRTSPWDPKENLPQDYARIFQFQNFTNTRKSIFKEVEEK
+EVEGAEVGWYVTLHVSEVPVSVVECFRQGTPLIAFSLLPHEQKMSVLNMVVRRDPGNTEP
+VKAKEELIFHCGFRRFRASPLFSQHTAADKHKLQRFLTADMALVATVYAPITFPPASVLL
+FKQKSNGMHSLIATGHLMSVDPDRMVIKRVVLSGHPFKIFTKMAVVRYMFFNREDVLWFK
+PVELRTKWGRRGHIKEPLGTHGHMKCSFDGKLKSQDTVLMNLYKRVFP
+>Q969E8_PF10273_12 <unknown description>
+FRAGVCAALEAWPALQIAVENGFGGVHSQEKAKWLGGAVEDYFMRNADLELDEVEDFLGE
+LLTNEFDTVVEDGSLPQVSQ
+>Q9UJK0_PF04068_60 <unknown description>
+LAMWELGHCDPRRCTGRKLARLGLVRCLRLGH
+>Q9UJK0_PF04034_96 <unknown description>
+LVLSPVGKQYASPADRQLVAQSGVAVIDCSWARLDETPFGKMRGSHLRLLPYLVAANPVN
+YGRPYRLSCVEAFAATFCIVGFPDLAVILLRKFKWGKGFLDLNRQLLDKYAACGSPEEVL
+QAEQEFL
+>Q9Y5U2_PF15264_95 <unknown description>
+DIFDCLEGAARRAPSSVAHTSMSDNGGFKRPLAPSGRSPVEGLGRAHRSPASPRVPPVPD
+YVAHPERWTKYSLEDVTEVSEQSNQATALAFLGSQSLAAPTDCVSSFNQDPSSCGE
+>Q9BXA7_PF00069_17 <unknown description>
+NLGEGSYAKVKSAYSERLKFNVAIKIIDRKKAPADFLEKFLPREIEILAMLNHCSIIKTY
+EIFETSHGKVYIVMELAVQGDLLELIKTRGALHEDEARKKFHQLSLAIKYCHDLDVVHRD
+LKCDNLLLDKDFNIKLSDFSFSKRCLRDDSGRMALSKTFCGSPAYAAPEVLQGIPYQPKV
+YDIWSLGVILYIMVCGSMPYDDSNIKKMLRIQKEHRVNFPRSKHLTGECKDLIYHMLQPD
+VNRRLHIDEILSHCWM
+>Q96PF2_PF00069_17 <unknown description>
+NLGKGSYAKVKSAYSERLKFNVAVKIIDRKKTPTDFVERFLPREMDILATVNHGSIIKTY
+EIFETSDGRIYIIMELGVQGDLLEFIKCQGALHEDVARKMFRQLSSAVKYCHDLDIVHRD
+LKCENLLLDKDFNIKLSDFGFSKRCLRDSNGRIILSKTFCGSAAYAAPEVLQSIPYQPKV
+YDIWSLGVILYIMVCGSMPYDDSDIRKMLRIQKEHRVDFPRSKNLTCECKDLIYRMLQPD
+VSQRLHIDEILSHSWL
+>Q96PN8_PF00069_10 <unknown description>
+YQLGKTIGEGTYSKVKEAFSKKHQRKVAIKVIDKMGGPEEFIQRFLPRELQIVRTLDHKN
+IIQVYEMLESADGKICLVMELAEGGDVFDCVLNGGPLPESRAKALFRQMVEAIRYCHGCG
+VAHRDLKCENALLQGFNLKLTDFGFAKVLPKSHRELSQTFCGSTAYAAPEVLQGIPHDSK
+KGDVWSMGVVLYVMLCASLPFDDTDIPKMLWQQQKGVSFPTHLSISADCQDLLKRLLEPD
+MILRPSIEEVSWHPWL
+>Q6SA08_PF00069_25 <unknown description>
+YEVGKAIGHGSYGSVYEAFYTKQKVMVAVKIISKKKASDDYLNKFLPREIQVMKVLRHKY
+LINFYRAIESTSRVYIILELAQGGDVLEWIQRYGACSEPLAGKWFSQLTLGIAYLHSKSI
+VHRLMPSLSAAGRDLKLENLLLDKWENVKISDFGFAKMVPSNQPVGCSPSYRQVNCFSHL
+SQTYCGSFAYACPEILRGLPYNPFLSDTWSMGVILYTLVVAHLPFDDTNLKKLLRETQKE
+VTFPANHTISQECKNLILQMLRQATKRATILDIIKDSWV
+>Q9BXA6_PF00069_12 <unknown description>
+YKLGRTIGEGSYSKVKVATSKKYKGTVAIKVVDRRRAPPDFVNKFLPRELSILRGVRHPH
+IVHVFEFIEVCNGKLYIVMEAAATDLLQAVQRNGRIPGVQARDLFAQIAGAVRYLHDHHL
+VHRDLKCENVLLSPDERRVKLTDFGFGRQAHGYPDLSTTYCGSAAYASPEVLLGIPYDPK
+KYDVWSMGVVLYVMVTGCMPFDDSDIAGLPRRQKRGVLYPEGLELSERCKALIAELLQFS
+PSARPSAGQVARNCWL
+>Q9NQE7_PF05577_64 <unknown description>
+QLLDPFNVSDRRSFLQRYWVNDQHWVGQDGPIFLHLGGEGSLGPGSVMRGHPAALAPAWG
+ALVISLEHRFYGLSIPAGGLEMAQLRFLSSRLALADVVSARLALSRLFNISSSSPWICFG
+GSYAGSLAAWARLKFPHLIFASVASSAPVRAVLDFSEYNDVVSRSLMSTAIGGSLECRAA
+VSVAFAEVERRLRSGGAAQAALRTELSACGPLGRAENQAELLGALQALVGGVVQYDGQTG
+APLSVRQLCGLLLGGGGNRSHSTPYCGLRRAVQIVLHSLGQKCLSFSRAETVAQLRSTEP
+QLSGVGDRQWLYQTCTEFGFYVTCENPRCPFSQLPALPSQLDLCEQVFGLSALSVAQAVA
+QTNSYYGGQTPGANKVLFVNGDTDPWHVLSVTQALGSSESTLLIRTGSHCLDMAPERPSD
+SPSLRLGRQNI
+>Q8NFU3_PF00581_11 <unknown description>
+ELRSLLASGRARLFDVRSREEAAAGTIPGALNIPVSELESALQMEPAAFQALYSAEKPKL
+EDEHLVFFCQMGKRGLQATQLARSLGYTGARNYAGAYREWLE
+>Q5T7W7_PF17773_169 <unknown description>
+EVLLYYCYHDLEDPQWICAWQTALCQHLHLTGKIRIAAEGINGTVGGSKLATRLYVEVML
+SFPLFKDDLCKDDFKTSKGGAHCFPELRVGVFEEI
+>Q5T7W7_PF00581_298 <unknown description>
+ANQEQSDTILLDCRNFYESKIGRFQGCLAPDIRKFSYFPSYVDKNLELFREKRVLMYCTG
+GIRCERGSAYLKAKGVCKEVFQLKGGIHKYLE
+>Q5T7W7_PF12368_397 <unknown description>
+KGKLFVFDERYALSYNSDVVSECSYCGARWDQYKLCSTPQCRQLVLTCPACQGQGFTACC
+VTCQ
+>H0UI37_PF00581_32 <unknown description>
+YKELKNLLNSKNIMLIDVREIWEILEYQKIPESINVPLDEVGEALQMNPRDFKEKYNE
+>Q9H0U9_PF00956_273 <unknown description>
+QNIPGFWMTAFRNHPQLSAMIRGQDAEMLRYITNLEVKELRHPRTGCKFKFFFRRNPYFR
+NKLIVKEYEVRSSGRVVSLSTPIIWRRGHEPQSFIRRNQDLICSFFTWFSDHSLPESDKI
+AEIIKEDLWPNPLQYYL
+>Q9H2G4_PF00956_261 <unknown description>
+HIPGFWVKAFLNHPRISILINRRDEDIFRYLTNLQVQDLRHISMGYKMKLYFQTNPYFTN
+MVIVKEFQRNRSGRLVSHSTPIRWHRGQEPQARRHGNQDASHSFFSWFSNHSLPEAD
+>Q9UJ04_PF00956_248 <unknown description>
+QNIPGFWVTAFRNHPQLSPMISGQDEDMLRYMINLEVEELKHPRAGCKFKFIFQGNPYFR
+NEGLVKEYERRSSGRVVSLSTPIRWHRGQDPQAHIHRNREGNTIPSFFNWFSDHSLLEFD
+RIAEIIKGELWPNPLQYYL
+>Q86VY4_PF00956_246 <unknown description>
+QNIPGFWGQAFQNHPQLASFLNSQEKEVLSYLNSLEVEELGLARLGYKIKFYFDRNPYFQ
+NKVLIKEYGCGPSGQVVSRSTPIQWLPGHDLQSLSQGNPENNRSFFGWFSNHSSIESDKI
+VEIINEELWPNPLQF
+>Q8N831_PF00956_246 <unknown description>
+RNIPGFWVTAFRHHPQLSAMIRGQDAEMLSYLTNLEVKELRHPRTGCKFKFFFQRNPYFR
+NKLIVKVYEVRSFGQVVSFSTLIMWRRGH
+>Q7Z4L5_PF13181_723 <unknown description>
+RSFLLLGDAYMNILEPEEAIVAYEQALNQNPKD
+>Q7Z4L5_PF13181_791 <unknown description>
+LCYDLAELLLKLKWYDKAEKVLQHAL
+>Q7Z4L5_PF13181_1198 <unknown description>
+KSWLLLADIYIQSAKYDMAEDLLKRCLR
+>Q86WT1_PF14559_164 <unknown description>
+LYKEGQYEAACSKFSATLQASGYQPDLSYNLALAYYSSRQYASALKHIAEIIE
+>Q5SRH9_PF10300_28 <unknown description>
+MTALDLFLTNQFSEALSYLKPRTKESMYHSLTYATILEMQAMMTFDPQDILLAGNMMKEA
+QMLCQRHRRKSSVTDSFSSLVNRPTLGQFTEEEIHAEVCYAECLLQRAALTFLQDENMVS
+FIKGGIKVRNSYQTYKELDSLVQSSQYCKGENHPHFEGGVKLGVGAFNLTLSMLPTRILR
+LLEFVGFSGNKDYGLLQLEEGASGHSFRSVLCVMLLLCYHTFLTFVLGTGNVNIEEAEKL
+LKPYLNRYPKGAIFLFFAGRIEVIKGNIDAAIRRFEECCEAQQHWKQFHHMCYWELMWCF
+TYKGQWKMSYFYADLLSKENCWSKATYIYMKAAYLSMFGKEDHKPFGDDEVELFRAVPGL
+KLKIAGKSLPTEKFAIRKSRRYFSSNPISLPVPALEMMYIWNGYAVIGKQPKLTDGILEI
+ITKAEEMLEKGPENEYSVDDECLVKLLKGLCLKYL
+>Q5VTQ0_PF10300_75 <unknown description>
+VALNLFLSNKFTDALELLRPWAKESMYHALGYSTIVVLQAVLTFEQQDIQNGISAMKDAL
+QTCQKYRKKYTVVESFSSLLSRGSLEQLSEEEMHAEICYAECLLQKAALTFVQDENMINF
+IKGGLKIRTSYQIYKECLSILHEIQKNKLQQEFFYEFEGGVKLGSGAFNLMLSLLPARII
+RLLEFIGFSGNRELGLLQLREGASGRSMRSALCCLTILAFHTYISLILGTGEVNVAEAER
+LLAPFLQQFPNGSLVLFYHARIELLKGNLEEAQEVFQKCISVQEEWKQFHHLCYWELMWI
+NVFQQNWMQAYYYSDLLCKESKWSKATYVFLKAAILSMLPEEDVVATNENVVTLFRQVDS
+LKQRIAGKSIPTEKFAVRKARRYSASLPAPVKLILPALEMMYVWNGFSIVSKRKDLSENL
+LVTVEKAEAALQSQNFNSFSVDDECLVKLLKGCCLKNL
+>Q5VTQ0_PF13174_563 <unknown description>
+LFELASLYKSQGEIDKAIKFLETARNNYKD
+>Q8N584_PF10300_35 <unknown description>
+AGINMLLNNGFRESDQLFKQYRNHSPLMSFGASFVSFLNAMMTFEEEKMQLACDDLKTTE
+KLCESEEAGVIETIKNKIKKNVDVRKSAPSMVDRLQRQIIIADCQVYLAVLSFVKQELSA
+YIKGGWILRKAWKIYNKCYLDINALQELYQKKLTEESLTSDAANDNHIVAEGVSEESLNR
+LKGAVSFGYGLFHLCISMVPPNLLKIINLLGFPGDRLQGLSSLMYASESKDMKAPLATLA
+LLWYHTVVRPFFALDGSDNKAGLDEAKEILLKKEAAYPNSSLFMFFKGRIQRLECQINSA
+LTSFHTALELAVDQREIQHVCLYEIGWCSMIELNFKDAFDSFERLKNESRWSQCYYAYLT
+AVCQGATGDVDGAQIVFKEVQKLFKRKNNQIEQFSVKKAERFRKQTPTKALCVLASIEVL
+YLWKALPNCSFPNLQRMSQACHEVDDSSVVGLKYLLLGAIHKCL
+>Q5TCY1_PF00069_35 <unknown description>
+KVLKKIGGGGFGEIYEAMDLLTRENVALKVESAQQPKQVLKMEVAVLKKLQGKDHVCRFI
+GCGRNEKFNYVVMQLQGRNLADLRRSQPRGTFTLSTTLRLGKQILESIEAIHSVGFLHRD
+IKPSNFAMGRLPSTYRKCYMLDFGLARQYTNTTGDVRPPRNVAGFRGTVRYASVNAHKNR
+EMGRHDDLWSLFYMLVEFAVGQLPWRKIKDKEQVGMIKEKYEHRMLLKHM
+>Q6IQ55_PF00069_22 <unknown description>
+KVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQVLKMEVAVLKKLQGKDHVCRFI
+GCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLRLGRQILESIESIHSVGFLHRD
+IKPSNFAMGRFPSTCRKCYMLDFGLARQFTNSCGDVRPPRAVAGFRGTVRYASINAHRNR
+EMGRHDDLWSLFYMLVEFVVGQLPWRKIKDKEQVGSIKER
+>Q9H892_PF00515_142 <unknown description>
+LYTNRAQAYMKLEDYEKALVDCEWALKCDEK
+>Q9H892_PF13181_175 <unknown description>
+KAYFHMGKANLALKNYSVSRECYKKILEINPK
+>Q8NBP0_PF13181_220 <unknown description>
+EQRAEILSPLGRINEAVNDLTKAIQLQP
+>Q8NBP0_PF13432_256 <unknown description>
+GTLYFISEDYATAHEDFQQSLELNKNQPIAMLYKGLTFFHRGLLKEAIESFKEALKQ
+>Q8NBP0_PF00515_318 <unknown description>
+DAYKSLGQAYRELGNFEAATESFQKALLLNQNH
+>Q96N46_PF13414_317 <unknown description>
+YFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKG
+>Q8NEE8_PF13432_72 <unknown description>
+LEQADWETAVLLFSRALHLDPQLVDFYALRAEAYLQLCDFSSAAQNLRRAYS
+>Q8NEE8_PF13432_258 <unknown description>
+AGILAVQGKLQHALQRINRAIENNPLDPSLFLFRGTMYRRLQEFDGAVEDFLKVL
+>Q8NEE8_PF00515_368 <unknown description>
+YINRGDCFFQLGNLAFAEADYQQALALSPQD
+>Q96AE7_PF13181_297 <unknown description>
+SYYTLGNIYAMLGEYNHSVLCYDHALQARP
+>Q96AE7_PF13181_694 <unknown description>
+SLGNAYLALKNISGALEAFRQALKLT
+>Q6DKK2_PF13424_247 <unknown description>
+LLFSKQPSQAQRMYEKALQISEEIQGERHPQTIVLMSDLATTLDAQGRFDEAYIYMQRAS
+DLARQ
+>Q6DKK2_PF13374_319 <unknown description>
+MVLSNLAAVLMHRERYTQAKEIYQEAL
+>Q99614_PF13181_123 <unknown description>
+GNEQFKKGDYIEAESSYSRALEMCPSC
+>Q99614_PF00515_158 <unknown description>
+FSNRAAARMKQDKKEMAINDCSKAIQLNPSY
+>Q5W5X9_PF13424_322 <unknown description>
+HFLQMTGQKERATSILRESLEAKVEAFGDFSPEVAETYRLLGGADLAQGNHSGARKKLKK
+CLQIQ
+>A2A3L6_PF13432_42 <unknown description>
+AGHGALQAGQNHEALNNFQRAFLLASKAPQTRDTPVLQACAFNLGAAYVETGDPARGLEL
+LLRAH
+>A2A3L6_PF13424_272 <unknown description>
+QATVLRNLGMAHNALGNYQEAREFHQKAADLHGSVGQRWEQGRSFGSLAFALSQLGDHKA
+ARDNYLHALQAAR
+>A2A3L6_PF13176_356 <unknown description>
+CEGLGAAAARLGQYDQALKYYKEALAQC
+>Q6P3X3_PF07719_563 <unknown description>
+VWFSLGCAYLALEDYQGSAKAFQRCVTLEPDN
+>Q96AY4_PF13176_276 <unknown description>
+AHGNLGSAFFSKGNYREALTNHRHQLVLAMKLKD
+>Q96AY4_PF13424_357 <unknown description>
+LGNMGAVYIAMGDFENAVQCHEQHLKIAKDLGNKREEARAYSNLGSAYHYRRNFDKAMSY
+HNYVLELAQ
+>Q96AY4_PF13424_476 <unknown description>
+ASSNLGIIHQMKGDYDTALKLHKTHLCIAQELSDYAAQGRAYGNMGNAYNALGMYDQAVK
+YHRQELQI
+>Q96AY4_PF13424_553 <unknown description>
+QASTHGNLAVAYQALGAHDRALQHYQNHLNIARELRDIQSEARALSNLGNFHCSRGEYVQ
+AAPYYEQYLRLAP
+>Q96AY4_PF13424_638 <unknown description>
+HNLGYAHYCLGNYQEAVKYYEQDLALAKDLHDKLSQAKAYCNLGLAFKALLNFSKAEECQ
+K
+>Q96AY4_PF13424_715 <unknown description>
+RALGNLGDIFICKKDINGAIKFYEQQLGLAHQVKDRRLEASAYAALGTAYRMIQKYDKAL
+GYHTQELEVYQE
+>Q96AY4_PF13424_834 <unknown description>
+AQVYGNMGITKMNMNVMEEAIGYFEQQLAMLQQLSGNESVLDRGRAYGNLGDCYEALGDY
+EEAIKYYEQYLSVAQ
+>Q96AY4_PF13424_916 <unknown description>
+QAKAYRGLGNGHRAMGSLQQALVCFEKRLVVAHELGEAFNKAQAYGELGSLHSQLGNYEQ
+AISCLERQLNIAR
+>Q96AY4_PF13424_999 <unknown description>
+AACGLGGVYQQMGEYDTALQYHQLDLQIAEETNNPTCQGRAYGNLGLTYESLGTFERAVV
+YQEQHLSIA
+>Q96AY4_PF13424_1079 <unknown description>
+SYSSLGRTHHALQNYSQAVMYLQEGLRLAEQLGRREDEAKIRHGLGLSLWASGNLEEAQH
+QLYRASALFE
+>Q96AY4_PF12770_1390 <unknown description>
+KPPLRALYDLLIAPMEGGLMHSSGPVGRHRQLILVLEGELYLIPFALLKGSSSNEYLYER
+FGLLAVPSIRSLSVQSKSHLRKNPPTYSSSTSMAAVIGNPKLPSAVMDRWLWGPMPSAEE
+EAYMVSELLGCQPLVGSVATKERVMSALTQAECVHFATHISWKLSALVLTPSMDGNPASS
+KSSFGHPYTIPESLRVQDDASDGESISDCPPLQELLLTAADVLDLQLPVKLVVLGSSQES
+NSKVTADGVIALTRAFLAAGAQCVLVSLWPVPVAASKMFIHAFYSSLLNGLKASAALGEA
+MKVVQSSKAFSHPSNWAGFMLIGS
+>Q8NA56_PF13432_247 <unknown description>
+NKEYKQAIKILIKASEIAKEGSDKKMEAEASYYLGLAHLAAEEYETALTVLDTYCKI
+>Q8NA56_PF13424_317 <unknown description>
+YEAIAKVLQSQGEMTEAIKYLKKFVKIARNNFQSLDLVRASTMLGDIYNEKGYYNKASEC
+FQQAFD
+>Q49AM3_PF13432_310 <unknown description>
+KLGTSFAQNGFYHEAVVLFTQALKLNPQDHRLFGNRSFCHERLGQPAWALADAQVALTLR
+PG
+>Q49AM3_PF13432_378 <unknown description>
+RLGKALMGLQRFREAAAVFQETLRGGSQPDAAR
+>Q5I0X7_PF13432_9 <unknown description>
+HATLTLAQAHFNNGEYAEAEALYSAYIRR
+>Q5I0X7_PF00515_95 <unknown description>
+YYNRGLILYRLGYFDDALEDFKKVLDLNPGF
+>A6NLP5_PF13424_84 <unknown description>
+RASAYNNRAQARRLQGDVAGALEDLERAVELSGGRGRAARQSFVQRGLLARLQGRDDDAR
+RDFERAAR
+>P53804_PF19179_622 <unknown description>
+IEESQPQKIKMLLEKFVEECKFPPVPDAICCYQKCHGYSKIQIYITDPDFKGFIRISCCQ
+YCKIEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEGVISKIIIFSSGGEVKCE
+>P53804_PF13639_1956 <unknown description>
+SCEICHEVFKSKNVRVLKCGHKYHKGCFKQWLKGQSACPACQ
+>O95801_PF18972_271 <unknown description>
+QGRLSWPVLFLYPEYAQSDFISAFHEDSRFIDHLMVMFGETPSWDLEQKYCPDNLEVYFE
+DEDRAELYRVPAKSTLLQVLQHQR
+>Q8N0Z6_PF16669_319 <unknown description>
+LELKPLSTLQPGVNSGAVILGKVVFSLTTEEKVPFTFGLVDSDGPCYAVMVYNIVQSWGV
+LIGDSVAIPEPNLRLHRIQHKGKDYSFSSVRVETPLLLVVNGKPQGSSSQAVA
+>Q9ULT0_PF19440_8 <unknown description>
+GSYLKVESELERCRAEGHWDRMPELVRQLQTLSMPGGGGNRRGSPSAAFTFPDTDDFGKL
+LLAEALLEQCLKENHAKIKDSMPLLEKNEPKMSEAKNYLSSILNHGRLSPQYMCEAMLIL
+GKLHYVEGSYRDAISMYARAGIDDMSMENKPLYQMRLLSEAFVIKGLSLERLPNSIASRF
+RLTEREEEVITCFERASWIAQVFLQELEKTTNNSTSRHLKGCHPLDYELTYFLEAALQSA
+YVKNLKKGNIVKGMRELREVLRTVETKATQNFKVMAAKHLAGVLLHSLSEECYWSPLSHP
+LPEFMGKEESSFATQALRKPHLYEGDNLYCPKDNIEEALLLLLISESMATRDVVLSRVPE
+QEEDRTVSLQNAAAIYDLLSITLGRRGQYVMLSECL
+>Q9ULT0_PF13181_746 <unknown description>
+SVLYMRGRLAEVKGNLEEAKQLYKEALTVNPD
+>Q9ULT0_PF13181_814 <unknown description>
+EAWQGLGEVLQAQGQNEAAVDCFLTALELEA
+>Q86TV6_PF19440_2 <unknown description>
+ATKKAGSRLETEIERCRSECQWERIPELVKQLSAKLIANDDMAELLLGESKLEQYLKEHP
+LRQGASPRGPKPQLTEVRKHLTAALDRGNLKSEFLQESNLIMAKLNYVEGDYKEALNIYA
+RVGLDDLPLTAVPPYRLRVIAEAYATKGLCLEKLPISSSTSNLHVDREQDVITCYEKAGD
+IALLYLQEIERVILSNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGNLTRGVGR
+FRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWNPLEDPPCQSPLDDPLRKGANT
+KTYTLTRRARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISLQS
+ASVVYDLLTIALGRRGQYEMLSECL
+>Q86TV6_PF13181_398 <unknown description>
+HLWYQFALSLMAAGKSARAVKVLKECIRLKPDD
+>Q86TV6_PF12895_498 <unknown description>
+RKALLAFQRAHSLSPTDHQAAFYLALQLAISRQIPEALGYVRQALQLQGDDANSLHLLAL
+LLSAQKHYHDALNIID
+>Q86TV6_PF13424_732 <unknown description>
+VLYMRGQIAELRGSMDEARRWYEEALAISPTHVKSMQRLALILHQLGRYSLAEKILRDAV
+Q
+>Q86TV6_PF13181_799 <unknown description>
+EVWNGLGEVLQAQGNDAAATECFLTALELEA
+>Q8TAM2_PF13176_295 <unknown description>
+LCGIARIYEEMNNMSSAAEYYKEVLKQDNTH
+>Q8TAM2_PF13432_401 <unknown description>
+YNLGHVAVGIGDTNLAHQCFRLALVNNNNHAEAYNNLAVLEMRKGHVEQARALLQTA
+>Q92623_PF07719_165 <unknown description>
+KALYRSGVAFYHLGDYDKALYYLKEARTQQPTD
+>Q8N6N2_PF07719_173 <unknown description>
+ATYRAGIAFYHLGDYARALRYLQEARSREPTD
+>Q8N5M4_PF14559_89 <unknown description>
+NYERVREYSQKVLERQPDNAKALYRAGVAFFHLQDYDQARHYLLAAVNRQPKDANVRR
+>Q15361_PF13921_621 <unknown description>
+SEGDTEKLKMYHSLLGNDWKTIGEMVARSSLSVALKFSQISSQRNRGAWSKSETRKL
+>Q9UNY4_PF06839_5 <unknown description>
+RCPEHGTFCFLKTGVRDGPNKGKSFYVCRADTCSF
+>Q9UNY4_PF00176_573 <unknown description>
+HQKQALAWLLWRESQKPQGGILADDMGLGKTLTMIALILTQKNQEKKEEKEKSTALTWLS
+KDDSCDFTSHGTLIICPASLIHHWKNEVEKRVNSNKLRVYLYHGPNRDSRARVLSTYDIV
+ITTYSLVAKEIPTNKQEAEIPGANLNVEGTSTPLLRIAWARIILDEAHNVKNPRVQTSIA
+VCKLQACARWAVTGTPIQNNLLDMYSLLKFLRCSPFDEFNLWRSQVDNGSKKGGERLSIL
+TKSLLLRRTKDQLDSTGRPLVILPQRKFQLHHLKLSEDEETVYNVFFARSRSALQSYLKR
+HESRGNQSGRSPNNPFSRVALEFGSEEPRHSEAADSPRSSTVHILSQLLRLRQCCCHLSL
+>Q9UNY4_PF00271_992 <unknown description>
+KISSLLAELEAIQRNSASQKSVIVSQWTNMLKVVALHLKKHGLTYATIDGSVNPKQRMDL
+VEAFNHSRGPQVMLISLLAGGVGLNLTGGNHLFLLDMHWNPSLEDQACDRIYRVG
+>P02766_PF00576_34 <unknown description>
+VKVLDAVRGSPAINVAVHVFRKAADDTWEPFASGKTSESGELHGLTTEEEFVEGIYKVEI
+DTKSYWKALGISPFHEHAEVVFTANDSGPRRYTIAALLSPYSYST
+>Q6NXR4_PF10521_217 <unknown description>
+ESWKNNPAIKHVFSWTLQQVTRPWLSQHLERVLPASLVISDDYQTENKILGVHCLHHIVL
+NVPAADLLQYNRAQVLYHAISNHLYTPEHHLIQAVLLCLLDLFPILEKTLHWKGDGARPT
+THCDEVLRLILTHMEPEHRLLLRRTYARNLPAFVNRLGILTVRHLKRLERVIIG
+>P33981_PF00069_525 <unknown description>
+YSILKQIGSGGSSKVFQVLNEKKQIYAIKYVNLEEADNQTLDSYRNEIAYLNKLQQHSDK
+IIRLYDYEITDQYIYMVMECGNIDLNSWLKKKKSIDPWERKSYWKNMLEAVHTIHQHGIV
+HSDLKPANFLIVDGMLKLIDFGIANQMQPDTTSVVKDSQVGTVNYMPPEAIKDMSSSREN
+GKSKSKISPKSDVWSLGCILYYMTYGKTPFQQIINQISKLHAIIDPNHEIEFPDIPEKDL
+QDVLKCCLKRDPKQRISIPELLAHPYV
+>Q6ZVT0_PF03133_282 <unknown description>
+EEFFPETYRLDLKHEREAFFTLFDETQIWICKPTASNQGKGIFLLRNQEEVAALQAKTRS
+MEDDPIHHKTPFRGPQARVVQRYIQNPLLVDGRKFDVRSYLLIACTTPYMIFFGHGYARL
+TLSLYDPHSSDLGGHLTNQFMQKKSPLYMLLKEHTVWSMEHLNRYISDTFWKARGLAKDW
+VFTTLKKRMQQIMAHCFLAAKPKLDCKLGYFDLIGCDFLIDDNFKVWLLEMNSNPALHTN
+CEVLKEVIPGVVIETLDL
+>Q8NHH1_PF03133_180 <unknown description>
+GQVNKFPGMTEMVRKITLSRAVRTMQNLFPEEYNFYPRSWILPDEFQLFVAQVQMVKDDD
+PSWKPTFIVKPDGGCQGDGIYLIKDPSDIRLAGTLQSRPAVVQEYICKPLLIDKLKFDIR
+LYVLLKSLDPLEIYIAKDGLSRFCTEPYQEPTPKNLHRIFMHLTNYSLNIHSGNFIHSDS
+ASTGSKRTFSSILCRLSSKGVDIKKVWSDIISVVIKTVIALTPELKVFYQSDIPTGRPGP
+TCFQILGFDILLMKNLKPILLEVNANPSMRIEHEH
+>Q14166_PF03133_348 <unknown description>
+SQERPGVLLNQFPCENLLTVKDCLASIARRAGGPEGPPWLPRTFNLRTELPQFVSYFQQR
+ERWGEDNHWICKPWNLARSLDTHVTKSLHSIIRHRESTPKVVSKYIESPVLFLREDVGKV
+KFDIRYIVLLRSVRPLRLFVYDVFWLRFSNRAFALNDLDDYEKHFTVMNYDPDVVLKQVH
+CEEFIPEFEKQYPEFPWTDVQAEIFRAFTELFQVACAKPPPLGLCDYPSSRAMYAVDLML
+KWDNGPDGRRVMQPQILEVNFNPDCERACRYHPTFFNDVFSTLFLDQPGGCH
+>O95922_PF03133_59 <unknown description>
+DQIVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDENGKYLYLDFVPVTYMLPA
+DYNLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVSQSNKEAY
+VISLYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFV
+HLTNVAIQKHGEDYNHIHGGKWTVSNLRLYLESTRGKEVTSKLFDEIHWIIVQSLKAVAP
+VMNNDKHCFECYGYDIIIDDKLKPWLIEVNASPSLTSSTANDRILKYNLINDTLNIAVPN
+GEIP
+>Q9BWV7_PF03133_139 <unknown description>
+KPWQQLNHHPGTTKLTRKDCLAKHLKHMRRMYGTSLYQFIPLTFVMPNDYTKFVAEYFQE
+RQMLGTKHSYWICKPAELSRGRGILIFSDFKDFIFDDMYIVQKYISNPLLIGRYKCDLRI
+YVCVTGFKPLTIYVYQEGLVRFATEKFDLSNLQNNYAHLTNSSINKSGASYEKIKEVIGH
+GCKWTLSRFFSYLRSWDVDDLLLWKKIHRMVILTILAIAPSVPFAANCFELFGFDILIDD
+NLKPWLLEVNYSPALTLDCSTDVLVKRKLVHDIIDLI
+>Q14679_PF03133_655 <unknown description>
+EHQKLNHFPGSFQIGRKDRLWRNLSRMQSRFGKKEFSFFPQSFILPQDAKLLRKAWESSS
+RQKWIVKPPASARGIGIQVIHKWSQLPKRRPLLVQRYLHKPYLISGSKFDLRIYVYVTSY
+DPLRIYLFSDGLVRFASCKYSPSMKSLGNKFMHLTNYSVNKKNAEYQANADEMACQGHKW
+ALKALWNYLSQKGVNSDAIWEKIKDVVVKTIISSEPYVTSLLKMYVRRPYSCHELFGFDI
+MLDENLKPWVLEVNISPSLHSSSPLDISIKGQMIRDLLNLAGFVL
+>Q6EMB2_PF03133_116 <unknown description>
+AQKVNHFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRG
+PWIVKPVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDP
+LVIYLYEEGLARFATVRYDQGAKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWS
+MSAMLRYLKQEGRDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVL
+IDSTLKPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVV
+>Q8N841_PF03133_155 <unknown description>
+EMKSYQKINHFPGMSEICRKDLLARNMSRMLKMFPKDFRFFPRTWCLPADWGDLQTYSRS
+RKNKTYICKPDSGCQGKGIFITRTVKEIKPGEDMICQLYISKPFIIDGFKFDLRIYVLVT
+SCDPLRIFVYNEGLARFATTSYSRPCTDNLDDICMHLTNYSINKHSSNFSRDAHSGSKRK
+LSTFSAYLEDHSYNVEQIWRDIEDVIIKTLISAHPIIRHNYHTCFPNHTLNSACFEILGF
+DILLDHKLKPWLLEVNHSPSFSTDSRLDKEVKDGLLYDTLVL
+>Q6ZT98_PF03133_90 <unknown description>
+QNYQRINHFPGMGEICRKDFLARNMTKMIKSRPLDYTFVPRTWIFPAEYTQFQNYVKELK
+KKRKQKTFIVKPANGAMGHGISLIRNGDKLPSQDHLIVQEYIEKPFLMEGYKFDLRIYIL
+VTSCDPLKIFLYHDGLVRMGTEKYIPPNESNLTQLYMHLTNYSVNKHNEHFERDETENKG
+SKRSIKWFTEFLQANQHDVAKFWSDISELVVKTLIVAEPHVLHAYRMCRPGQPPGSESVC
+FEVLGFDILLDRKLKPWLLEINRAPSFGTDQKIDYDVKRGVLLNALKLLNIRT
+>Q3SXZ7_PF03133_92 <unknown description>
+MDEHVRISHFRNHYELTRKNYMVKNLKRFRKQLEREAGKLEAAKCDFFPKTFEMPCEYHL
+FVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIVDWRKDTRSSDDQKDDIPVENYVAQ
+RYIENPYLIGGRKFDLRVYVLVMSVFAECLLWSGHRRQDVHLTNVAVQKTSPDYHPKKGC
+KWTLQRFRQYLASKHGPEAVETLFRDIDNIFVKSLQSVQKVIISDKHCFELYGYDILIDQ
+DLKPWLLEVNASPSLTASSQEDYELKTCLLEDTLHVV
+>Q8NG68_PF03133_87 <unknown description>
+LAESCTWFPESYVIYPTNLKTPVAPAQNGIQPPISNSRTDEREFFLASYNRKKEDGEGNV
+WIAKSSAGAKGEGILISSEASELLDFIDNQGQVHVIQKYLEHPLLLEPGHRKFDIRSWVL
+VDHQYNIYLYREGVLRTASEPYHVDNFQDKTCHLTNHCIQKEYSKNYGKYEEGNEMFFKE
+FNQYLTSALNITLESSILLQIKHIIRNCLLSVEPAISTKHLPYQSFQLFGFDFMVDEELK
+VWLIEVNGAPACAQKLYAELCQGIVDIAISSVFPPPDVE
+>Q9BTX7_PF03765_67 <unknown description>
+KEYPNLSTSLDDAFLLRFLRARKFDYDRALQLLVN
+>Q9BTX7_PF00650_128 <unknown description>
+GFLTVLPHTDPRGCHVVCIRPDRWIPSNYPITENIRAIYLTLEKLIQSEETQVNGIVILA
+DYKGVSLSKASHFGPFIAKKVIGILQDGFPIRIKAVHVVNEPRIFKGIFAIIKPFLKEKI
+ANRFFLHGSDLNSLHTNLPRSILPKEYGG
+>P49638_PF03765_48 <unknown description>
+TDSFLLRFLRARDFDLDLAWRLLKN
+>P49638_PF00650_99 <unknown description>
+GYHGVLRSRDPTGSKVLIYRIAHWDPKVFTAYDVFRVSLITSELIVQEVETQRNGIKAIF
+DLEGWQFSHAFQITPSVAKKIAAVLTDSFPLKVRGIHLINEPVIFHAVFSMIKPFLTEKI
+KERIHMHGNNYKQSLLQHFPDILPLEYGG
+>P26651_PF00642_104 <unknown description>
+YKTELCRTFSESGRCRYGAKCQFAHG
+>P26651_PF00642_142 <unknown description>
+YKTELCHKFYLQGRCPYGSRCHFIHNP
+>Q9H313_PF04906_9 <unknown description>
+PSAWVHLLHQLPRADFQLRPVPSVFAPQEQEYQQALLLVAALAGLGLGLSLIFIAVYLIR
+FCCCRPPEPPGSKIPSPGGGCVTWSCIVALLAGCTGIGIGFYGNSETSDGVSQLSSALLH
+ANHTLSTIDHLVLETVERLGEAVRTELTTLEEVLEPRTELVAAARGARRQAEAAAQQLQG
+LAFWQGVPLSPLQVAENVSFVEEYRWLAYVLLLLLELLVCLFTLLGLAKQSKWLVIVMTV
+MSLLVLVLSWGSMGLEAATAVGLSDFCSNPDPYVLNLTQEETGLSSDILSYYLLCNRAVS
+NPFQQRLTLSQRALANIHSQLLGLEREAVPQFPSAQKPLLSLEETLNVTEGNFHQLVALL
+HCRSLHKDYGAALRGLCEDALEGLLFLLLFSLLSAGALATALCSLPRAWALFPPSDDYDD
+TDDDDPFNPQESKR
+>Q9BSA4_PF04906_10 <unknown description>
+APWWVVWLHSVPHVGLRLQPVNSTFSPGDESYQESLLFLGLVAAVCLGLNLIFLVAYLVC
+ACHCRRDDAVQTKQHHSCCITWTAVVAGLICCAAVGVGFYGNSETNDGAYQLMYSLDDAN
+HTFSGIDALVSGTTQKMKVDLEQHLARLSEIFAARGDYLQTLKFIQQMAGSVVVQLSGLP
+VWREVTMELTKLSDQTGYVEYYRWLSYLLLFILDLVICLIACLGLAKRSKCLLASMLCCG
+ALSLLLSWASLAADGSAAVATSDFCVAPDTFILNVTEGQISTEVTRYYLYCSQSGSSPFQ
+QTLTTFQRALTTMQIQVAGLLQFAVPLFSTAEEDLLAIQLLLNSSESSLHQLTAMVDCRG
+LHKDYLDALAGICYDGLQGLLYLGLFSFLAALAFSTMICAGPRAWKHFTTRNRDYDDIDD
+DDPFNPQ
+>Q9C0H2_PF04906_8 <unknown description>
+APWWVSLLHRLPHFDLSWEATSSQFRPEDTDYQQALLLLGAAALACLALDLLFLLFYSFW
+LCCRRRKSEEHLDADCCCTAWCVIIATLVCSAGIAVGFYGNGETSDGIHRATYSLRHANR
+TVAGVQDRVWDTAVGLNHTAEPSLQTLERQLAGRPEPLRAVQRLQGLLETLLGYTAAIPF
+WRNTAVSLEVLAEQVDLYDWYRWLGYLGLLLLDVIICLLVLVGLIRSSKGILVGVCLLGV
+LALVISWGALGLELAVSVGSSDFCVDPDAYVTKMVEEYSVLSGDILQYYLACSPRAANPF
+QQKLSGSHKALVEMQDVVAELLRTVPWEQPATKDPLLRVQEVLNGTEVNLQHLTALVDCR
+SLHLDYVQALTGFCYDGVEGLIYLALFSFVTALMFSSIVCSVPHTWQQKRGPDEDGEEEA
+APG
+>P50607_PF16322_29 <unknown description>
+RQRALLEQKQKKKRQEPLMVQANADGRPRSRRARQSEEQAPLVESYLSSSGSTSYQVQEA
+DSLASVQLGATRPTAPASAKRTKAAATAGGQGGAARKEKKGKHKGTSGPAALAEDKSEAQ
+GPVQILTVGQSDHAQDAGETAAGGGERPSGQDLRATMQRKGISSSMSFDEDEEDEEENSS
+SSSQLNSNTRPSSATSRKSVREAASAPSP
+>P50607_PF01167_257 <unknown description>
+RPAPQGITIKCRITRDKKGMDRGMYPTYFLHLDREDGKKVFLLAGRKRKKSKTSNYLISV
+DPTDLSRGGDSYIGKLRSNLMGTKFTVYDNGVNPQKASSSTLESGTLRQELAAVCYETNV
+LGFKGPRKMSVIVPGMNMVHERVSIRPRNEHETLLARWQNKNTESIIELQNKTPVWNDDT
+QSYVLNFHGRVTQASVKNFQIIHGNDPDYIVMQFGRVAEDVFTMDYNYPLCALQAFAIAL
+SSFDS
+>O00294_PF01167_296 <unknown description>
+LRPAPQGRTVRCRLTRDKKGMDRGMYPSYFLHLDTEKKVFLLAGRKRKRSKTANYLISID
+PTNLSRGGENFIGKLRSNLLGNRFTVFDNGQNPQRGYSTNVASLRQELAAVIYETNVLGF
+RGPRRMTVIIPGMSAENERVPIRPRNASDGLLVRWQNKTLESLIELHNKPPVWNDDSGSY
+TLNFQGRVTQASVKNFQIVHADDPDYIVLQFGRVAEDAFTLDYRYPLCALQAFAIALSSF
+D
+>O00295_PF16322_27 <unknown description>
+QQRRLFEKKQRQKRQELLMVQANPDASPWLWRSCLREERLLGDRGLGNPFLRKKVSEAHL
+PSGIHSALGTVSCGGDGRGERGLPTPRTEAVFRNLGLQSPFLSWLPDNSDAELEEVSVEN
+GSVSPPPFKQSPRIRRKGWQAHQRPGTRAEGESDSQDMGDAHKSPNMGPNPGMDGDCVYE
+NLAFQKEEDLEKKREASESTGTNSSAAHNEELSKALKGEGGTDSDHM
+>O00295_PF01167_278 <unknown description>
+RPALPGTMMQCYLTRDKHGVDKGLFPLYYLYLETSDSLQRFLLAGRKRRRSKTSNYLISL
+DPTHLSRDGDNFVGKVRSNVFSTKFTIFDNGVNPDREHLTRNTARIRQELGAVCYEPNVL
+GYLGPRKMTVILPGTNSQNQRINVQPLNEQESLLSRYQRGDKQGLLLLHNKTPSWDKENG
+VYTLNFHGRVTRASVKNFQIVDPKHQEHLVLQFGRVGPDTFTMDFCFPFSPLQAFSICLS
+SFN
+>O75386_PF16322_31 <unknown description>
+QRLLLEKRQRKKRLEPFMVQPNPEARLRRAKPRASDEQTPLVNCHTPHSNVILH
+>O75386_PF01167_194 <unknown description>
+SPAPQGVTVRCRIIRDKRGMDRGLFPTYYMYLEKEENQKIFLLAARKRKKSKTANYLISI
+DPVDLSREGESYVGKLRSNLMGTKFTVYDRGICPMKGRGLVGAAHTRQELAAISYETNVL
+GFKGPRKMSVIIPGMTLNHKQIPYQPQNNHDSLLSRWQNRTMENLVELHNKAPVWNSDTQ
+SYVLNFRGRVTQASVKNFQIVHKNDPDYIVMQFGRVADDVFTLDYNYPLCAVQAFGIGLS
+SFDS
+>Q9NRJ4_PF01167_1432 <unknown description>
+SKRSPRAAGELEEAKCRRASEKEDGRLGSQGFVYVMANKQPLWNEATQVYQLDFGGRVTQ
+ESAKNFQIELEGRQVMQFGRIDGSAYILDFQYPFSAVQAFAVALAN
+>O75896_PF15000_1 <unknown description>
+MGASGSKARGLWPFASAAGGGGSEAAGAEQALVRPRGRAVPPFVFTRRGSMFYDEDGDLA
+HEFYEETIVTKNGQKRAKLRRVHKNLIPQGIVKLDHPRIHVDFPVILYE
+>Q13454_PF04756_54 <unknown description>
+EQLMEWSSRRSIFRMNGDKFRKFIKAPPRNYSMIVMFTALQPQRQCSVCRQANEEYQILA
+NSWRYSSAFCNKLFFSMVDYDEGTDVFQQLNMNSAPTFMHFPPKGRPKRADTFDLQRIGF
+AAEQLAKWIADRTDVHIRVFRPPNYSGTIALALLVSLVGGLLYLRRNNLEFIYNKTGWAM
+VSLCIVFAMTSGQMWNHIRGPPYAHKNPHNGQVSYIHGSSQAQFVAESHIILVLNAAITM
+GMVLLNEAATSKGDVGKRRIICLVGLGLVVFFFSFLLSIFRSKYHGYPY
+>Q5VYS8_PF19088_205 <unknown description>
+DESVLSTKELLGLQQAEERLKRDCIDRLKRRPRNYPTAKYTCRLCDVLIESIAFAHKHIK
+EKRHKKNIKEKQEEELLTTLPPPTPSQINAVGIAIDKVVQEFGLHNENLEQRLEIKRIME
+NVFQHKLPDCSLRLYGSSCSRLGFKNSDVNIDIQFPAIMSQPDVLLLVQECLKNSDSFID
+VDADFHARVPVVVCREKQSGLLCKVSAGNENACLTTKHLTALGKLEPKLVPLVIAFRYWA
+KLCSIDRPEEGGLPPYVFALMAIFFLQQRKEPLLPVYLGSWIEGFSLSKLGNFNLQDIEK
+DVVIWEHTDSAAGDTGITKEEAPRETPIKRGQ
+>Q5VYS8_PF03828_551 <unknown description>
+VGQLWVELLRFYALEFNLADLVISIRVKELVSRELKDWPKKRIAIEDPYS
+>Q5VYS8_PF00098_964 <unknown description>
+VCSLCKREGHLKKDCPE
+>Q5VYS8_PF19088_971 <unknown description>
+EGHLKKDCPEDFKRIQLEPLPPLTPKFLNILDQVCIQCYKDFSPTIIEDQAREHIRQNLE
+SFIRQDFPGTKLSLFGSSKNGFGFKQSDLDVCMTINGLETAEGLDCVRTIEELARVLRKH
+SGLRNILPITTAKVPIVKFFHLRSGLEVDISLYNTLALHNTRLLSAYSAIDPRVKYLCYT
+MKVFTKMCDIGDASRGSLSSYAYTLMVLYFLQQRNPPVIPVL
+>Q5VYS8_PF03828_1233 <unknown description>
+SVGQLWLGLLRFYTEEFDFKEHVISIRRKSLLTTFKKQWTSKYIVIEDPFDLNH
+>Q5VYS8_PF00098_1346 <unknown description>
+CCRICGKIGHFMKDCP
+>Q5VYS8_PF16631_1363 <unknown description>
+RRKVRRRRDQEDALNQRYPENKEKRSKEDKEIHNKYTEREVSTKEDKPIQCTPQKAKPMR
+AAADLGREKILRPPVEKWKRQDDKDLRE
+>Q5VYS8_PF00098_1452 <unknown description>
+RCFICGREGHIKKECPQ
+>Q9P2J2_PF13927_135 <unknown description>
+PPQFQETPPAVLEVQELEPVTLRCVARGSPLPHVTWKLRGKDLGQGQGQVQVQNGTLRIR
+RVERGSSGVYTCQAS
+>Q9P2J2_PF13927_226 <unknown description>
+PPVIVVPPKNSTVNASQDVSLACHAEAYPANLTYSWFQDNINVFHISRLQPRVRILVDGS
+LRLLATQPDDAGCYTCVPSN
+>Q9P2J2_PF13927_418 <unknown description>
+PAFIERPKEEYFQEVGRELLIPCSAQGDPPPVVSWTKVGRGLQGQAQVDSNSSLILRPLT
+KEAHGHWECSASN
+>Q9P2J2_PF00041_512 <unknown description>
+TNVSVVALPKGANVSWEPGFDGGYLQRFSVWYTPLAKRPDRMHHDWVSLAVPVGAAHLLV
+PGLQPHTQYQFSVLAQNKLGSGPFS
+>Q9P2J2_PF00041_625 <unknown description>
+SPPRGLVAVRTPRGVLLHWDPPELVPKRLDGYVLEGRQGSQGWEVLDPAVAGTETELLVP
+GLIKDVLYEFRLVAFAGSFVSDP
+>Q9UPX0_PF13927_33 <unknown description>
+VTARAGESVVLRCDVIHPVTGQPPPYVVEWFKFGVPIPIFIKFGYYPPHVDPEYAGRASL
+HDKASLRLEQVRSEDQGWYECKV
+>Q9UPX0_PF13927_138 <unknown description>
+PPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLLGASGKYQVSDGSLTVTS
+VSREDRGAYTCRA
+>Q9UPX0_PF13927_228 <unknown description>
+PPFIVSPPENITVNISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGT
+LIIFRVKPEDSGKYTCVPSN
+>Q9UPX0_PF13895_328 <unknown description>
+LNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWNKDGRPLQVEKNLGWTLMEDGSIRIEEA
+TEEALGTYTCVPYNTLGTMGQSAPARL
+>Q9UPX0_PF13927_419 <unknown description>
+PPYFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHSALPSGSLQFRAL
+SKEDHGEWECVATN
+>A6NH52_PF05832_31 <unknown description>
+HPLATFFHLFFRVSAIVTYVSCDWFSKSFVGCFVMVLLLLSLDFWSVKNVTGRLLVGLRW
+WNQIDEDGKSHWIFEARKVSPNSIAATEAEARIFWLGLIICPMIWIVFFFSTLFSLKLKW
+LALVVAGISLQAANLYGYILC
+>Q9NYZ1_PF05832_32 <unknown description>
+RHPVASFFHLFFRVSAIIVYLLCGLLSSSFITCMVTIILLLSCDFWAVKNVTGRLMVGLR
+WWNHIDEDGKSHWVFESRKESSQENKTVSEAESRIFWLGLIACPVLWVIFAFSALFSFRV
+KWLAVVIMGVVLQGANLYGYIRC
+>Q96ET8_PF05832_32 <unknown description>
+RHPVASFFHLFFRVSAIIVCLLCELLSSSFITCMVTIILLLSCDFWAVKNVTGRLMVGLR
+WWNHIDEDGKSHWVFESRKESSQENKTVSEAESRIFWLGLIACSVLWVIFAFSALFSFTV
+KWLAVVIMGVVLQGANLYGYIRC
+>Q12792_PF00241_17 <unknown description>
+FARARNGKYRLLKISIENEQLVIGSYSQPSDSWDKDYDSFVLPLLEDKQPCYILFRLDSQ
+NAQGYEWIFIAWSPDHSHVRQKMLYAATRATLKKEFGGGHIKDEVFGTVKEDVSL
+>Q12792_PF00241_188 <unknown description>
+QALEKLNNRQLNYVQLEIDIKNEIIILANTTNTELKDLPKRIPKDSARYHFFLYKHSHEG
+DYLESIVFIYSMPGYTCSIRERMLYSSCKSRLLEIVERQLQMDVIRKIEIDNGDELTADF
+LYE
+>Q6IBS0_PF00241_14 <unknown description>
+KEFFAKARAGSVRLIKVVIEDEQLVLGASQEPVGRWDQDYDRAVLPLLDAQQPCYLLYRL
+DSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDELFGTVKDDLSFA
+>Q6IBS0_PF00241_187 <unknown description>
+QRALQQLKQKMVNYIQMKLDLERETIELVHTEPTDVAQLPSRVPRDAARYHFFLYKHTHE
+GDPLESVVFIYSMPGYKCSIKERMLYSSCKSRLLDSVEQDFHLEIAKKIEIGDGAELTAE
+FLYD
+>Q9GZX9_PF04668_87 <unknown description>
+PTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVSFPVAEELSHHENLVSFLETVNQPHHQ
+NVSVPSNNVHAPYSSDKEHMCTVVYFDDCMSIHQCKISCESMGASKYRWFHNACCECIGP
+ECIDYGSKTVKCMNCM
+>Q15672_PF00010_109 <unknown description>
+QRVMANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFL
+>Q8WVJ9_PF00010_67 <unknown description>
+QRILANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFL
+>Q9BY14_PF00021_140 <unknown description>
+CPTCVALGTCFSAPSLPCPNGTTRCYQGKLEITGGGIESSVEVKGCTAMIGCRLMSGILA
+VGPMFVREACPH
+>Q9BXU3_PF15186_5 <unknown description>
+PEDPSSGFRHSNVVAFINEKMARHTKGPEFYLENISLSWEKVEDKLRAILEDSEVPSEVK
+EACTWGSLALGVRFAHRQAQLQRHRVRWLHGFAKLHKSAAQALASDLKKLREQQETERKE
+AASRLRMAQTSLVEVQKERD
+>Q9BXU2_PF15186_5 <unknown description>
+PEDPSSGFRHGNVVAFIIEKMARHTKGPEFYFENISLSWEEVEDKLRAILEDSEVPSEVK
+EACTWGSLALGVRFAHRQGQLQNRRVQWLQGFAKLHRSAALVLASNLTELKEQQEMECNE
+ATFQLQLTETSLAEVQRERDMLRWKLFHAE
+>A0A0J9YWL9_PF15186_5 <unknown description>
+FGDHASGFRHDDVIRFINNEVLRNGGSPAFYTAFRSRPWNEVEDRLRAIVADPRVPRAIK
+RACTWSALALSVQVAARQQEELLYQVWWLQGHVEECQATSWALTSQLQQLRLEHEEVATQ
+LHLTQAALQQVLNERDGLCGRLLEV
+>A0A0J9YY54_PF15186_5 <unknown description>
+FGDHASGFRHNDVIRFINNEVLMDGSGPAFYVAFRSRPWNEVEDSLQAIVADSQVPRAIK
+RACTWSALALSVRVATRQREELLHHVRRLQRHAEERQATSWALTSQLQQLRLEHEVAATQ
+LHLAQAALQQALNERDGLYGRLLQI
+>O14907_PF00595_20 <unknown description>
+KLRQGENLILGFSIGGGIDQDPSQNPFSEDKTDKGIYVTRVSEGGPAEIAGLQIGDKIMQ
+VNGWDMTMVTHDQARKRLTKRSEEVVRLLV
+>Q6UWH6_PF04148_1 <unknown description>
+MWFMYLLSWLSLFIQVAFITLAVAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLY
+VFERFPTSMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYY
+PFSEVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKGKRGKRLGIL
+>Q6PKC3_PF00085_116 <unknown description>
+DYAEYVRRDSEVVLLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQ
+KHFFYFPVIYLYHRSFGPIEYKGPMSAVYIEKFVR
+>Q6PKC3_PF00085_666 <unknown description>
+TEVTTDTFWEVVLQKQDVLLLYYAPWCGFCPSLNHIFIQLARNLPMDTFTVARIDVSQND
+LPWEFMVDRLPTVLFFPCNR
+>O95881_PF13899_42 <unknown description>
+TLEDGKKEAAASGLPLMVIIHKSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPK
+DEDFSPDGGYIPRILFLDP
+>Q96J42_PF00085_208 <unknown description>
+SDCTLVLFYTPWCRFSASLAPHFNSLPRAFPALHFLALDASQHSSLSTRFGTVAVPNILL
+FQGAKPMARFNHTDRTLETLKIF
+>Q9P2K2_PF00085_394 <unknown description>
+VELTEETFNATVMASDSIVLFYAGWQAVSMAFLQSYIDVAVKLKGTSTMLLTRINCADWS
+DVCTKQNVTEFPIIKMYKKGENPVSYAGMLGTEDLLKFIQ
+>Q9P2K2_PF13848_533 <unknown description>
+FSPTMKTAKEDFSEAGNYLKGYVITGIYSEEDVLLLSTKYAASLPALLLARHTEGKIESI
+PLASTHAQDIVQIITDALLEMFPEITVENLPSYFRLQKPLLILFSDGTVNPQYKKAILTL
+VKQKYLDSFTPCWLNLKNTPVGRGILRAYFDPLPPLPLLVLVNLHSGGQVFAFPSDQAII
+EENLVLWLKK
+>Q9BRA2_PF06110_9 <unknown description>
+VSGFEEFHRAVEQHNGKTIFAYFTGSKDAGGKSWCPDCVQAEPVVREGLKHISEGCVFIY
+CQVGEKPYWKDPNNDFRKNLKVTAVPTLLKYGTPQKLVESECLQANLVEMLFSE
+>P42681_PF00018_88 <unknown description>
+KALYDFLPREPCNLALRRAEEYLILEKYNPHWWKARDRLGNEGLIPS
+>P42681_PF00017_150 <unknown description>
+WYHRNITRNQAEHLLRQESKEGAFIVRDSRHLGSYTISVFMGARRSTEAAIKHYQIKKND
+SGQWYVAERHAFQSIPELIWYH
+>P42681_PF07714_272 <unknown description>
+AFIKEIGSGQFGVVHLGEWRSHIQVAIKAINEGSMSEEDFIEEAKVMMKLSHSKLVQLYG
+VCIQRKPLYIVTEFMENGCLLNYLRENKGKLRKEMLLSVCQDICEGMEYLERNGYIHRDL
+AARNCLVSSTCIVKISDFGMTRYVLDDEYVSSFGAKFPIKWSPPEVFLFNKYSSKSDVWS
+FGVLMWEVFTEGKMPFENKSNLQVVEAISEGFRLYRPHLAPMSIYEVMYSCWHEKPEGRP
+TFAELLR
+>P40222_PF09728_170 <unknown description>
+KEITLLMQTLNTLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRG
+EHSKAVLARSKLESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQME
+QHNERNSKLRQENMELAERLKKLIEQYELREEHIDKVFKHKDLQQQLVDAKLQQAQEMLK
+EAEERHQREKDFLLKEAVESQRMCELMKQQETHLKQQLALYTEKFEEFQNTLSKSSEVFT
+TFKQEMEKMTKKIKKLEKETTMYRSRWESSNKALLEMAEEKTVRDKELEGLQVKIQRLEK
+LCRALQTE
+>Q8N3L3_PF09728_143 <unknown description>
+KEANLLMQNLNKLQTPEEKFDFLFKKYAELLDEHRTEQKKLKLLQKKQVQIQKEKDQLQG
+EHSRAILARSKLESLCRELQRHNKTLKEEALQRAREEEEKRKEITSHFQSTLTDIQGQIE
+QQSERNMKLCQENTELAEKLKSIIDQYELREEHLDKIFKHRELQQKLVDAKLEQAQEMMK
+EAEERHKREKEYLLNQAAEWKLQAKVLKEQETVLQAQLTLYSGRFEEFQSTLTKSNEVFA
+TFKQEMDKTTKKMKKLEKDTATWKARFENCNKALLDMIEEKALRAKEYECFVMKIGRLEN
+LCRALQEE
+>Q9NUQ3_PF09728_138 <unknown description>
+EVLLLMQALNTLSTPEEKLAALCKKYADLLEESRSVQKQMKILQKKQAQIVKEKVHLQSE
+HSKAILARSKLESLCRELQRHNKTLKEENMQQAREEEERRKEATAHFQITLNEIQAQLEQ
+HDIHNAKLRQENIELGEKLKKLIEQYALREEHIDKVFKHKELQQQLVDAKLQQTTQLIKE
+ADEKHQREREFLLKEATESRHKYEQMKQQEVQLKQQLSLYMDKFEEFQTTMAKSNELFTT
+FRQEMEKMTKKIKKLEKETIIWRTKWENNNKALLQMAEEKTVRDKEYKALQIKLERLEKL
+CRALQTE
+>P83876_PF02966_4 <unknown description>
+MLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYLVDI
+TEVPDFNKMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWAMEDKQEMVDIIETVYRGA
+RKGRGLVVSPKDY
+>Q9NX01_PF02966_4 <unknown description>
+LLPKLTSKKEVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSSDLSKMAAIYLVDV
+DQTAVYTQYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIYRGA
+MRGKLIVQSPIDP
+>Q86VQ3_PF00085_385 <unknown description>
+VILSKEDFEASLKEAGERLVAVDFSATWCGPCRTIRPFFHALSVKHEDVVFLEVDADNCE
+EVVRECAIMCVPTFQFYKKEEKVDELCGALKEKLEAVIA
+>Q8N427_PF00085_14 <unknown description>
+INNQSLWDEMLQNKGLTVIDVYQAWCGPCRAMQPLFRKLKNELNEDEILHFAVAEADNIV
+TLQPFRDKCEPVFLFSVNGKIIEKIQGANA
+>Q8N427_PF00334_157 <unknown description>
+IAIIKPDAVISKKVLEIKRKITKAGFIIEAEHKTVLTEEQVVNFYSRIADQCDFEEFVSF
+MTSGLSYILVVS
+>Q8N427_PF00334_316 <unknown description>
+EKTLALLRPNLFHERKDDVLRIIKDEDFKILEQRQVVLSEKEAQALCKEYENEDYFNKLI
+ENMTSGPSLALVLLRDNGLQYWKQLLGPRTVEEAIEYFPESLCAQFAMDSLPVNQLYGSD
+SLETAEREIQHFFP
+>Q8N427_PF00334_451 <unknown description>
+QSTLGLIKPHATSEQREQILKIVKEAGFDLTQVKKMFLTPEQIEKIYPKVTGKDFYKDLL
+EMLSVGPSMVMILTKWNAVAEWRRLMGPTDPEEAKLLSPDSIRAQFGISKLKNIVHGASN
+AYEAKEVVNRLFED
+>Q8NBS9_PF00085_67 <unknown description>
+TADMFTHGIQSAAHFVMFFAPWCGHCQRLQPTWNDLGDKYNSMEDAKVYVAKVDCTAHSD
+VCSAQGVRGYPTLKLFKPGQEAVKYQGPRDFQTLENWM
+>Q8NBS9_PF00085_193 <unknown description>
+ELSASNFELHVAQGDHFIKFFAPWCGHCKALAPTWEQLALGLEHSETVKIGKVDCTQHYE
+LCSGNQVRGYPTLLWFRDGKKVDQYKGKRDLESLREYVE
+>Q8NBS9_PF00085_324 <unknown description>
+VLALTENNFDDTIAEGITFIKFYAPWCGHCKTLAPTWEELSKKEFPGLAGVKIAEVDCTA
+ERNICSKYSVRGYPTLLLFRGGKKVSEHSGGRDLDSLHRFV
+>Q86XW9_PF00085_14 <unknown description>
+ISTQELWEEMLSSKGLTVVDVYQGWCGPCKPVVSLFQKMRIEVGLDLLHFALAEADRLDV
+LEKYRGKCEPTFLFYAGGELVAVVRGANAP
+>Q86XW9_PF00334_160 <unknown description>
+CTLAIIKPDAVAHGKTDEIIMKIQEAGFEILTNEERTMTEAEVRLFYQHKAGEEAFEKLV
+HHMCSGPSHLLILTRTEGFEDVVTTWRTVMGPRDPNVARREQPESLRAQYGTEMPFNAVH
+GSRDREDADRELALLFPS
+>O14530_PF00085_79 <unknown description>
+ERDFFQEVKESENVVCHFYRDSTFRCKILDRHLAILSKKHLETKFLKLNVEKAPFLCERL
+HIKVIPTLALLKDGKTQDYVVGFT
+>Q9H3M7_PF00339_10 <unknown description>
+FEVVFNDPEKVYGSGEKVAGRVIVEVCEVTRVKAVRILACGVAKVLWMQGSQQCKQTSEY
+LRYEDTLLLEDQPTGENEMVIMRPGNKYEYKFGFELPQGPLGTSFKGKYGCVDYWVKAFL
+DRPSQPTQETKKNFEVVDLVDVN
+>Q9H3M7_PF02752_175 <unknown description>
+DGRVSVSARIDRKGFCEGDEISIHADFENTCSRIVVPKAAIVARHTYLANGQTKVLTQKL
+SSVRGNHIISGTCASWRGKSLRVQKIRPSILGCNILRVEYSLLIYVSVPGSKKVILDLPL
+VIGS
+>O43396_PF00085_12 <unknown description>
+DFQPELSGAGSRLAVVKFTMRGCGPCLRIAPAFSSMSNKYPQAVFLEVDVHQCQGTAATN
+NISATPTFLFFRNKVRIDQYQGADAVGLEEKIK
+>O43396_PF06201_126 <unknown description>
+LMPFINKAGCECLNESDEHGFDNCLRKDTTFLESDCDEQLLITVAFNQPVKLYSMKFQGP
+DNGQGPKYVKIFINLPRSMDFEEAERSEPTQALELTEDDIKEDGIVPLRYVKFQNVNSVT
+IFVQSNQGEEETTRISYFTFIGT
+>P53007_PF00153_25 <unknown description>
+PGKAILAGGLAGGIEICITFPTEYVKTQLQLDERSHPPRYRGIGDCVRQTVRSHGVLGLY
+RGLSSLLYGSIPKAAVRFGMFEFLSNHMR
+>P53007_PF00153_122 <unknown description>
+TRGLLCGLGAGVAEAVVVVCPMETIKVKFIHDQTSPNPKYRGFFHGVREIVREQGLKGTY
+QGLTATVLKQGSNQAIRFFVMTSLRNWYRG
+>P53007_PF00153_219 <unknown description>
+NPLITGVFGAIAGAASVFGNTPLDVIKTRMQGLEAHKYRNTWDCGLQILKKEGLKAFYKG
+TVPRLGRVCLDVAIVFVIYDEVVKLLNK
+>P07101_PF12549_2 <unknown description>
+PTPDATTPQAKGFRRAVSELDAKQA
+>P07101_PF12549_31 <unknown description>
+SPRFIGRRQSLIEDARKER
+>P07101_PF00351_164 <unknown description>
+PWFPRKVSELDKCHHLVTKFDPDLDLDHPGFSDQVYRQRRKLIAEIAFQYRHGDPIPRVE
+YTAEEIATWKEVYTTLKGLYATHACGEHLEAFALLERFSGYREDNIPQLEDVSRFLKERT
+GFQLRPVAGLLSARDFLASLAFRVFQCTQYIRHASSPMHSPEPDCCHELLGHVPMLADRT
+FAQFSQDIGLASLGASDEEIEKLSTLYWFTVEFGLCKQNGEVKAYGAGLLSSYGELLHCL
+SEEPEIRAFDPEAAAVQPYQDQTYQSVYFVSESFSDAKDKLRSYASRIQRPFSVKFDPYT
+LAIDVLDSPQAVRRSLEGVQDELDTLAHAL
+>P63313_PF01290_3 <unknown description>
+DKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKR
+>O14604_PF01290_3 <unknown description>
+DKPGMAEIEKFDKSKLKKTETQEKNPLSSKETIEQERQ
+>P62328_PF01290_3 <unknown description>
+DKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQ
+>Q9NUW8_PF06087_166 <unknown description>
+FYLTRVSGVKPKYNSGALHIKDILSPLFGTLVSSAQFNYCFDVDWLVKQYPPEFRKKPIL
+LVHGDKREAKAHLHAQAKPYENISLCQAKLDIAFGTHHTKMMLLLYEEGLRVVIHTSNLI
+HADWHQKTQGIWLSPLYPRIADGTHKSGESPTHFKADLISYLMAYNAPSLKEWIDVIHKH
+DLSETNVYLIGSTPGRFQGSQKDNWGHFRLKKLLKDHASSMPNAESWPVVGQFSSVGSLG
+ADESKWLCSEFKESMLTLGKESKTPGKSSVPLYLIYPSVENVRTSLEGYPAGGSLPYSIQ
+TAEKQNWLHSYFHKWSAETSGRSNAMPHIKTYMRPSPDFSKIAWFLVTSANLSKAAWGAL
+EKNGTQLMIRSYELGVLFLPSAFGLDSFKVKQKFFAGSQEPMATFPVPYDLPPELYG
+>O95551_PF14555_31 <unknown description>
+EFASVASCDAAVAQCFLAENDWEMERALNSYFE
+>O95551_PF03372_118 <unknown description>
+TWNIDGLDLNNLSERARGVCSYLALYSPDVIFLQEVIPPYYSYLKKRSSNYEIITGHEEG
+YFTAIMLKKSRVKLKSQEIIPFPSTKMMRNLLCVHVNVSGNELCLMTSHLESTRGHAAER
+MNQLKMVLKKMQEAPESATVIFAGDTNLRDREVTRCGGLPNNIVDVWEFLGKPKHCQYTW
+DTQMNSNLGITAACKLRFDRIFFRAAAEEGHIIPRSLDLLGLEKLDCGRFPSDH
+>P29597_PF18379_28 <unknown description>
+LKVLLHWAGPGGGEPWVTFSESSLTAEEVCIHIAHKVGITPPCFNLFALFDAQAQVWLPP
+NHILEIPRDASLMLYFRIRFYFRNWHGMNPREPAVYR
+>P29597_PF18377_142 <unknown description>
+QLLDPASFEYLFEQGKHEFVNDVASLWELSTEEEIHHFKNESLGMAFLHLCHLALRHGIP
+LEEVAKKTSFKDCIPRSFRRHIRQHSALTRLRLRNVFRRFLRDFQPGRLSQQMVMVKYLA
+TLERL
+>P29597_PF17887_285 <unknown description>
+QAEGEPCYIRDSGVAPTDPGPESAAGPPTHEVLVTGTGGIQWWPVEEEVNKEEGSSGSSG
+RNPQASLFGKKAKAHKAVGQPADRPREPLWAYFCDFRDITHVVLKEHCVSIHRQDNKCLE
+LSLPSRAAALSFVSLVDGYFRLTADSSH
+>P29597_PF07714_591 <unknown description>
+QLSHLGQGTRTNVYEGRLRVEGSGDPEEGKMDDEDPLVPGRDRGQELRVVLKVLDPSHHD
+IALAFYETASLMSQVSHTHLAFVHGVCVRGPENIMVTEYVEHGPLDVWLRRERGHVPMAW
+KMVVAQQLASALSYLENKNLVHGNVCGRNILLARLGLAEGTSPFIKLSDPGVGLGALSRE
+ERVERIPWLAPECLPGGANSLSTAMDKWGFGATLLEICFDGEAPLQSRSPSEKEHFYQRQ
+HRLPEPSCPQLATLTSQCLTYEPTQRPSFRTILRDL
+>P29597_PF07714_898 <unknown description>
+KKIRDLGEGHFGKVSLYCYDPTNDGTGEMVAVKALKADCGPQHRSGWKQEIDILRTLYHE
+HIIKYKGCCEDQGEKSLQLVMEYVPLGSLRDYLPRHSIGLAQLLLFAQQICEGMAYLHAQ
+HYIHRDLAARNVLLDNDRLVKIGDFGLAKAVPEGHEYYRVREDGDSPVFWYAPECLKEYK
+FYYASDVWSFGVTLYELLTHCDSSQSPPTKFLELIGIAQGQMTVLRLTELLERGERLPRP
+DKCPCEVYHLMKNCWETEASFRPTFENLIP
+>P19971_PF02885_38 <unknown description>
+ELIRMKRDGGRLSEADIRGFVAAVVNGSAQGAQIGAMLMAIRLRGMDLEETSVLTQALAQ
+SG
+>P19971_PF00591_110 <unknown description>
+QQLVDKHSTGGVGDKVSLVLAPALAACGCKVPMISGRGLGHTGGTLDKLESIPGFNVIQS
+PEQMQVLLDQAGCCIVGQSEQLVPADGILYAARDVTATVDSLPLITASILSKKLVEGLSA
+LVVDVKFGGAAVFPNQEQARELAKTLVGVGASLGLRVAAALTAMDKPLGRCVGHALEVEE
+ALLCMDGAGPPDLRDLVTTLGGALLWLSGHAGTQAQGAARVAAALDDGSAL
+>P19971_PF07831_389 <unknown description>
+VELVRALPLALVLHELGAGRSRAGEPLRLGVGAELLVDVGQRLRRGTPWLRVHRDGPA
+>Q06418_PF07679_48 <unknown description>
+APVKLTVSQGQPVKLNCSVEGMEEPDIQWVKDGAVVQNLDQLYIPVSEQHWIGFLSLKSV
+ERSDAGRYWCQVEDGGE
+>Q06418_PF00041_227 <unknown description>
+APFNITVTKLSSSNASVAWMPGADGRALLQSCTVQVTQAPGGWEVLAVVVPVPPFTCLLR
+DLVPATNYSLRVRCANALGPS
+>Q06418_PF00041_324 <unknown description>
+SAPQNLHAIRTDSGLILEWEEVIPEAPLEGPLGPYKLSWVQDNGTQDELTVEGTRANLTG
+WDPQKDLIVRVCVSNAVGCGPWS
+>Q06418_PF07714_519 <unknown description>
+TLGRMLGKGEFGSVREAQLKQEDGSFVKVAVKMLKADIIASSDIEEFLREAACMKEFDHP
+HVAKLVGVSLRSRAKGRLPIPMVILPFMKHGDLHAFLLASRIGENPFNLPLQTLIRFMVD
+IACGMEYLSSRNFIHRDLAARNCMLAEDMTVCVADFGLSRKIYSGDYYRQGCASKLPVKW
+LALESLADNLYTVQSDVWAFGVTMWEIMTRGQTPYAGIENAEIYNYLIGGNRLKQPPECM
+EDVYDLMYQCWSADPKQRPSFTCLRME
+>P14679_PF00264_172 <unknown description>
+IYDLFVWMHYYVSMDALLGGSEIWRDIDFAHEAPAFLPWHRLFLLRWEQEIQKLTGDENF
+TIPYWDWRDAEKCDICTDEYMGGQHPTNPNLLSPASFFSSWQIVCSRLEEYNSHQSLCNG
+TPEGPLRRNPGNHDKSRTPRLPSSADVEFCLSLTQYESGSMDKAANFSFRNTLEGFASPL
+TGIADASQSSMHNALHIYMNGTMSQVQGSANDPIFLLHHAFVDSIFEQWLR
+>P17643_PF00264_183 <unknown description>
+SIYNYFVWTHYYSVKKTFLGVGQESFGEVDFSHEGPAFLTWHRYHLLRLEKDMQEMLQEP
+SFSLPYWNFATGKNVCDICTDDLMGSRSNFDSTLISPNSVFSQWRVVCDSLEDYDTLGTL
+CNSTEDGPIRRNPAGNVARPMVQRLPEPQDVAQCLEVGLFDTPPFYSNSTNSFRNTVEGY
+SDPTGKYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLR
+>P40126_PF00264_180 <unknown description>
+SVYDFFVWLHYYSVRDTLLGPGRPYRAIDFSHQGPAFVTWHRYHLLCLERDLQRLIGNES
+FALPYWNFATGRNECDVCTDQLFGAARPDDPTLISRNSRFSSWETVCDSLDDYNHLVTLC
+NGTYEGLLRRNQMGRNSMKLPTLKDIRDCLSLQKFDNPPFFQNSTFSFRNALEGFDKADG
+TLDSQVMSLHNLVHSFLNGTNALPHSAANDPIFVVLHSFTDAIFDEWMK
+>Q2T9J0_PF13365_357 <unknown description>
+GSGVAVAPRLVVTCRHVSPREAARVLVRSTTPKSVAIWGRVVFATQETCPYDIAVVSLEE
+DLDDVPIPVPAEHFHEGEAVSVVGFGVFGQSCGPSVTSGILSAVVQVNGTPVMLQTTCAV
+HSGSSGGPLFSNHSGNLLGI
+>P04818_PF00303_32 <unknown description>
+QYLGQIQHILRCGVRKDDRTGTGTLSVFGMQARYSLRDEFPLLTTKRVFWKGVLEELLWF
+IKGSTNAKELSSKGVKIWDANGSRDFLDSLGFSTREEGDLGPVYGFQWRHFGAEYRDMES
+DYSGQGVDQLQRVIDTIKTNPDDRRIIMCAWNPRDLPLMALPPCHALCQFYVVNSELSCQ
+LYQRSGDMGLGVPFNIASYALLTYMIAHITGLKPGDFIHTLGDAHIYLNHIEPLKIQLQR
+EPRPFPKLRILRKVEKIDDFKAEDFQIEGYNPHPTIKMEMAV
+>Q6NUM6_PF00258_47 <unknown description>
+FATVLAEAVTSLDLPVAIINLKEYDPDDHLIEEVTSKNVCVFLVATYTDGLPTESAEWFC
+KWLEEASIDFRFGKTYLKGMRDAVFGLGNSAYASHFNKVGKNVDKWLWMLGVHRVMSRGE
+GDCD
+>Q6NUM6_PF04055_347 <unknown description>
+TPSLACANKCVFCWWHHNNPVGTEWLWKMDQPEMILKEAIENHQNMIKQFKGVPGVKAER
+FEEGMTVKHCALSLVGEPIMYPEINRFLKLLHQCKISSFLVTNAQFPAEIRNLEPVTQLY
+VSVDASTKDSLKKIDRPLFKDFWQQFLDSLKALAVKQQRTVYRLMLVKAWNVDELQAYAQ
+LV
+>Q6NUM6_PF08608_531 <unknown description>
+GNPDFIEVKGVTYCRESSASSLTMAHVPWHEEVVQFVRELVDLIPEYEIACEHEHSNCLL
+IAH
+>Q9NV66_PF00258_81 <unknown description>
+IFYGSQTGTAKGFATVLAEAVTSLDLPVAIINLKEYDPDDHLIEEVTSKNVCVFLVATYT
+DGLPTESAEWFCKWLEEASIDFRFGKTYLKGMRYAVFGLGNSAYASHFNKVGKNVDKWLW
+MLGAHRVMSRGEGDCD
+>Q9NV66_PF04055_411 <unknown description>
+TPSLACANKCVFCWRHHTNPVGTEWRWKMDQPEMILKEAIENHQNMIKQFKGVPGVKAER
+FEEGMTVKHCALSLVGEPIMYPEINRFLKLLHQCKISSFLVTNAQFPAEIRNLEPVTQLY
+VSVDASTKDSLKKIDRPLFKDFWQRFLDSLKALAVKQQRTVYRLTLVKAWNVDELQAYAQ
+LV
+>Q9NV66_PF08608_595 <unknown description>
+GNPDFIEVKGVTYCGESSASSLTMAHVPWHEEVVQFVHELVDLIPEYEIACEHEHSNCLL
+IAH
+>Q53H54_PF02475_182 <unknown description>
+DGTRTPAVTLLLGDHGWVEHVDNGIRYKFDVTQCMFSFGNITEKLRVASLSCAGEVLVDL
+YAGIGYFTLPFLVHAGAAFVHACEWNPHAVVALRNNLEINGVADRCQIHFGDNRKLKLSN
+IADRVILGLIPSSEEGWPIA
+>Q6IPR3_PF02676_11 <unknown description>
+KAQCLSKADLSRKGSVDEDVVELVQFLNMRDQFFTTSSCAGRILLLDRGINGFEVQKQNC
+CWLLVTHKLCVKDDVIVALKKANGDATLKFEPFVLHVQCRQLQDAQILHSMAIDSGFRNS
+GITVGKRGKTMLAVRSTHGLEVPLSHKGKLMVTEEYIDFLLNVANQKMEENKKRIERFYN
+CLQ
+>O60294_PF04072_16 <unknown description>
+TNDSSALSKRSLAARGYVQDPFAALLVPGAARRAPLIHRGYYVRARAVRHCVRAFLEQIG
+APQAALRAQILSLGAGFDSLYFRLKTAGRLARAAVWEVDFPDVARRKAERIGETPELCAL
+TGPFERGEPASALCFESADYCILGLDLRQLQRVEEALGAAGLDAASPTLLLAEAVLTYLE
+PESAAALIAWA
+>O60294_PF13964_533 <unknown description>
+ARHSHSACTWQGGALIAGGLGASEEPLNSVLFLRPISCGFLWESVDIQPPITPR
+>A2RUC4_PF13621_17 <unknown description>
+EQFMQHLYPQRKPLVLEGIDLGPCTSKWTVDYLSQVGGKKEVKIHVAAVAQMDFISKNFV
+YRTLPFDQLVQRAAEEKHKEFFVSEDEKYYLRSLGEDPRKDVADIRKQFPLLKGDIKFPE
+FFKEEQFFSSVFRISSPGLQLWTHYDVMDNLLIQVTGKKRVVLFSPRDAQYLYLKGTKSE
+VLNIDNPDLAKYPLFSKARRYECSLEAGDVLFIPALWFHNVISEEFGVGVNIFWKHL
+>P25490_PF00096_325 <unknown description>
+HVCAECGKAFVESSKLKRHQLVH
+>P25490_PF00096_353 <unknown description>
+FQCTFEGCGKRFSLDFNLRTHVRIH
+>P25490_PF00096_383 <unknown description>
+YVCPFDGCNKKFAQSTNLKSHILTH
+>O15391_PF00096_311 <unknown description>
+FQCTFEGCGKRFSLDFNLRTHLRIH
+>O15391_PF00096_341 <unknown description>
+FVCPFDVCNRKFAQSTNLKTHILTH
+>P10074_PF00651_16 <unknown description>
+LNKQREKGQYCDATLDVGGLVFKAHWSVLACCSHFFQSLYGDGSGGSVVLPAGFAEIFGL
+LLDFFYTGHLALTSGNRDQVLLAARELRVPEAVELCQSFK
+>P10074_PF00096_319 <unknown description>
+FECPKCGKCYFRKENLLEHE
+>P10074_PF00096_350 <unknown description>
+FTCSVCQETFRRRMELRVHMVSH
+>P10074_PF00096_465 <unknown description>
+HVCEFCSHAFTQKANLNMHLRTH
+>P10074_PF00096_493 <unknown description>
+FQCHLCGKTFRTQASLDKHNRTH
+>P10074_PF00096_551 <unknown description>
+FCQICGKTFKAVEQLRVHVRRH
+>P10074_PF00096_578 <unknown description>
+FECTECGYKFTRQAHLRRHMEIH
+>Q13432_PF05351_80 <unknown description>
+EENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRDLDPNAGRFVRYQFTPAFLR
+LRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFGFCIPSSKNTCEHIYDFPPL
+SEELISEMIRHPYETQSDSFYFVDDRLVMHNKADYSY
+>A6NIH7_PF05351_88 <unknown description>
+EDNIYSIDFTRFKIRDLETGTVLFEIAKPCVSDQEEDEEEGGGDVDISAGRFVRYQFTPA
+FLRLRTVGATVEFTVGDKPVSNFRMIERHYFREHLLKNFDFDFGFCIPSSRNTCEHIYEF
+PQLSEDVIRLMIENPYETRSDSFYFVDNKLIMHNKADYAY
+>Q7RTZ2_PF00443_81 <unknown description>
+GLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPG
+HVIQPSQALAAGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHCKDTTLIHQIFGGC
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQR
+APASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHDGHYFSYVKAQEVQWYKMDDAEVTVCSIISVLSQQAYVLFY
+>Q6R6M4_PF00443_81 <unknown description>
+GLQNMGNTCYENASLQCLTYTPPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGC
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHDGHYFSYVKAQEGQWYKMDDAKVTACSITSVLSQQAYVLFY
+>A6NCW0_PF00443_81 <unknown description>
+GLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPG
+HVIQPSQALASGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGC
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQR
+APASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHDGHYFSYVKAQEGQWYKMDDAEVTVCSITSVLSQQAYVLFY
+>A6NCW7_PF00443_81 <unknown description>
+GLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPG
+HVIQPSQALAAGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGC
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQR
+APASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHDGYYFSYVKAQEGQWYKMDDAEVTVCSITSVLSQQAYVLFY
+>A8MUK1_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLAKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>A8MUK1_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>P0C7H9_PF00443_81 <unknown description>
+GLQKIGNTFYVNVSLQCLTYTLPLSNYMLSREDSQTCHLHKCCMFCTMQAHITWALHSPG
+HVIQPSQVLAAGFHRGEQEDAHEFLMFTVDAMKKACLPGHKQLDHHSKDTTLIHQIFGAY
+WRSQIKYLHCHGVSDTFDPYLDIALDIQAAQSVKQALEQLVKPKELNGENAYHCGLCLQK
+APASKTLTLPTSAKVLILVLKRFSDVTGNKLAKNVQYPKCRDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASGITSVLSQQAYVLFY
+>P0C7I0_PF00443_81 <unknown description>
+GLQNMGNTCYLNASLQCLTYTPPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGC
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYPCGLCLQR
+APASNTLTLHTSAKVLILVLKRFCDVTGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGYYFSYVKAQEGQWYKMDDAEVTACSITSVLSQQAYVLFY
+>C9JJH3_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYKPPLANYMLFREHSQTCHRHKGCMLCTMQAHITRALHIPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMRKACLPGHKQVDRHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHNSAKVLILVLKRFPDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGHYSSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>C9JVI0_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>C9JVI0_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>C9JLJ4_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHPSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTAASITSVLSQQAYVLFY
+>C9J2P7_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIDKNVQYPECLDMKLYMSQTNSGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>C9J2P7_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6RBQ6_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTAASITSVLSQQAYVLFY
+>D6RBQ6_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6R9N7_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>D6R9N7_PF04774_410 <unknown description>
+RDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6RCP7_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>D6RCP7_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6RJB6_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>D6RJB6_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6R901_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKMLTLLTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>D6R901_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>D6RA61_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>D6RA61_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>Q0WX57_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>Q0WX57_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>Q16560_PF00076_53 <unknown description>
+LFVARLNLQTKEDKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERAVIKAYRDA
+DGLVIDQHEI
+>Q01081_PF00642_14 <unknown description>
+DKVNCSFYFKIGACRHGDRCSRLHN
+>Q01081_PF00076_91 <unknown description>
+EKYGEVEEMNVCDNLGDHLVGNVYVKFRREEDAEKAVIDLNNRWFNGQPIH
+>Q01081_PF00642_150 <unknown description>
+FREACCRQYEMGECTRGGFCNFMHL
+>P26368_PF00076_151 <unknown description>
+LYVGNIPFGITEEAMMDFFNAQMRLGGLTQAPGNPVLAVQINQDKNFAFLEFRSVDETTQ
+AMAFDGIIFQGQSL
+>P26368_PF00076_261 <unknown description>
+LFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSKGYAFCEYVDINVTDQAIAGL
+NGMQLGDKKL
+>P26368_PF00076_400 <unknown description>
+EDVRDECSKYGLVKSIEIPRPVDGVEVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVV
+>Q8WU68_PF00642_14 <unknown description>
+DKVNCSFYFKIGVCRHGDRCSRLHN
+>Q8WU68_PF00076_42 <unknown description>
+FSQEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRREEDGERAVAELSNRWFNGQAV
+>Q8WU68_PF00642_111 <unknown description>
+FRESCCRQYEMGECTRGGFCNFMHL
+>Q15696_PF00642_168 <unknown description>
+DRANCPFYSKTGACRFGDRCSRKHN
+>Q15696_PF00076_241 <unknown description>
+EDVLPEFKNVGKVIQFKVSCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQL
+>A1L167_PF00179_1 <unknown description>
+MKELQDIARLSDRFISVELVDESLFDWNVKLHQVDKDSVLWQDMKETNTEFILLNLTFPD
+NFPFSPPFMRVLSPRLENGYVLDGGAICMELLTPRGWSSAYTVEAVMRQFA
+>O43818_PF00400_139 <unknown description>
+IRVLRGHQLSITCLVVTPDDSAIFSAAKDCSIIKW
+>O43818_PF00400_196 <unknown description>
+PGHSSHVLCMAISSDGKYLASGDRSKLILIW
+>O43818_PF00400_232 <unknown description>
+QHLYTFTGHRDAVSGLAFRRGTHQLYSTSHDRSVKVWN
+>O43818_PF00400_278 <unknown description>
+TLFGHQDAVAALDALSRECCVTAGGRDGTVRVWK
+>O43818_PF00400_316 <unknown description>
+SQLVFYGHQGSIDCIHLINEEHMVSGADDGSVALW
+>O75643_PF18149_255 <unknown description>
+KKDLHPRDIDAFWLQRQLSRFYDDAIVSQKKADEVLEILKTASDDRECENQLVLLLGFNT
+FDFIKVLRQHRMMILYCTLLASAQSEAEKERIMGKMEADPELSKFLYQL
+>O75643_PF00270_483 <unknown description>
+RIQSKLYRAALETDENLLLCAPTGAGKTNVALMCMLREIGKHINMDGTINVDDFKIIYIA
+PMRSLVQEMVGSFGKRLATYGITVAELTGDHQLCKEEISATQIIVCTPEKWDIITRKGGE
+RTYTQLVRLIILDEIHLLHDDRGPVLEALVARAIRNIEMTQEDVRLIGLSATLPNY
+>O75643_PF00271_714 <unknown description>
+EHAGKNQVLVFVHSRKETGKTARAIRDMCLEKDTLGLFLREGSASTEVLRTEAEQCKNLE
+LKDLLPYGFAIHHAGMTRVDRTLVEDLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQV
+YSPEKGRWTELGALDILQMLGRAGR
+>O75643_PF02889_982 <unknown description>
+TELGRIASHYYITNDTVQTYNQLLKPTLSEIELFRVFSLSSEFKNITVREEEKLELQKLL
+ERVPIPVKESIEEPSAKINVLLQAFISQLKLEGFALMADMVYVTQSAGRLMRAIFEIVLN
+RGWAQLTDKTLNLCKMIDKRMWQSMCPLRQFRKLPEEVVKKIEKKNFPFERLYDLNHNEI
+GELIRMPKMGKTIHKYVHLFPKLELSVHLQPITRSTLKVELTITPDFQWDEKVHGSSEAF
+WILVEDVDSEVILHHEYFLLKAKYAQDEHLITFFVPVFEPLPPQYFIRVVSDRWLSCETQ
+LPVS
+>O75643_PF00270_1330 <unknown description>
+PIQTQVFNTVYNSDDNVFVGAPTGSGKTICAEFAILRMLLQSSEGRCVYITPMEALAEQV
+YMDWYEKFQDRLNKKVVLLTGETSTDLKLLGKGNIIISTPEKWDILSRRWKQRKNVQNIN
+LFVVDEVHLIGGENGPVLEVICSRMRYISSQIERPIRIVALSSSLSN
+>O75643_PF02889_1812 <unknown description>
+PLNLGMIAAYYYINYTTIELFSMSLNAKTKVRGLIEIISNAAEYENIPIRHHEDNLLRQL
+AQKVPHKLNNPKFNDPHVKTNLLLQAHLSRMQLSAELQSDTEEILSKAIRLIQACVDVLS
+SNGWLSPALAAMELAQMVTQAMWSKDSYLKQLPHFTSEHIKRCTDKGVESVFDIMEMEDE
+ERNALLQLTDSQIADVARFCNRYPNIELSYEVVDKDSIRSGGPVVVLVQLEREEEVTGPV
+IAPLFPQKREEGWWVVIGDAKSNSLISIKRLTLQQKAKVKLDFVAPATGAHNYTLYFMSD
+AYMGCDQEYKFS
+>Q15029_PF16004_4 <unknown description>
+DLYDEFGNYIGPELDSDEDDDELGRETKDLDEMDDDDDDDDVGDHDDDHPGMEVVLHEDK
+KYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLP
+>Q15029_PF00009_129 <unknown description>
+IRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVGIKSTPVTV
+VLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTERLIKHAVQ
+ERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLILSPLLGNVC
+FSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKAPTSSSQRS
+FVEFILEPLYKIL
+>Q15029_PF03144_491 <unknown description>
+HAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIEVNRVPAGNWV
+LIEGVDQPIVKTATIT
+>Q15029_PF14492_586 <unknown description>
+SVIKIAVEPVNPSELPKMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLR
+KMY
+>Q15029_PF03764_707 <unknown description>
+ITWNRKKLGEFFQTKYDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSI
+VQGFQWGTREGPLCDELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMA
+>Q15029_PF00679_826 <unknown description>
+RLMEPYYFVEVQAPADCVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFET
+DLRTHTQGQAFSLSVFHHWQIVPGDPLDK
+>Q9BZF9_PF12796_73 <unknown description>
+HVVTSKGNLECLNAILIHGVDITTSDTAGRNALHLAAKYGHALCLQKLLQYNCPTEHADL
+QGRTALHDAAMADCPSSIQLLCDHGASVNAKD
+>Q9BZF9_PF12796_166 <unknown description>
+DGRTPLVLATQMSRPTICQLLIDRGADVNSRDKQNRTALMLGCEYGCRDAVEVLIKNGAD
+ISL
+>Q3KQV9_PF01704_98 <unknown description>
+FRQISLNKVAVLLLAGGQGTRLGVTYPKGMYRVGLPSRKTLYQLQAERIRRVEQLAGERH
+GTRCTVPWYVMTSEFTLGPTAEFFREHNFFHLDPANVVMFEQRLLPAVTFDGKVILERKD
+KVAMAPDGNGGLYCALEDHKILEDMERRGVEFVHVYCVDNILVRLADPVFIGFCVLQGAD
+CGAKVVEKAYPEEPVGVVCQVDGVPQVVEYSEISPETAQLRASDGSLLYNAGNICNHFFT
+RGFLKAVTREFEPLLKPHVAVKKVPYVDEEGNLVKPLKPNGIKMEKFVFDVFRFAKNFAA
+LEVLREEEFSPLKNAEPADRDSPRTARQALLTQHYRWALRAGA
+>Q16222_PF01704_46 <unknown description>
+NFFFQKAIEGFNQSSHQKNVDARMEPVPREVLGSATRDQDQLQAWESEGLFQISQNKVAV
+LLLAGGQGTRLGVAYPKGMYDVGLPSRKTLFQIQAERILKLQQVAEKYYGNKCIIPWYIM
+TSGRTMESTKEFFTKHKYFGLKKENVIFFQQGMLPAMSFDGKIILEEKNKVSMAPDGNGG
+LYRALAAQNIVEDMEQRGIWSIHVYCVDNILVKVADPRFIGFCIQKGADCGAKVVEKTNP
+TEPVGVVCRVDGVYQVVEYSEISLATAQKRSSDGRLLFNAGNIANHFFTVPFLRDVVNVY
+EPQLQHHVAQKKIPYVDTQGQLIKPDKPNGIKMEKFVFDIFQFAKKFVVYEVLREDEFSP
+LKNADSQNGKDNPTTARHALMSLHHCWVLNAGGHFIDENGSRLPAIPRSATNGKSETITA
+DV
+>P51668_PF00179_5 <unknown description>
+RIQKELSDLQRDPPAHCSAGPVGDDLFHWQATIMGPPDSAYQGGVFFLTVHFPTDYPFKP
+PKIAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLVPDIA
+QIYKSDKEKYNRHAREW
+>P62837_PF00179_5 <unknown description>
+RIHKELNDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKP
+PKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIA
+RIYKTDREKYNRIAREW
+>P61077_PF00179_5 <unknown description>
+RINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKP
+PKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIA
+RIYKTDRDKYNRISREW
+>Q9Y2X8_PF00179_5 <unknown description>
+RIQKELTDLQRDPPAQCSAGPVGDDLFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKP
+PKVAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLVPEIA
+HTYKADREKYNRLAREW
+>P51965_PF00179_51 <unknown description>
+RIQKELADITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFTPEYPFKP
+PKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIA
+TQYMTNRAEHDRMARQW
+>Q96LR5_PF00179_59 <unknown description>
+RIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSPDYPFKP
+PKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIA
+TQYMTNRAEHDRMARQW
+>Q969T4_PF00179_65 <unknown description>
+RIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDYPFKP
+PKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIA
+TQYLTNRAEHDRIARQW
+>P62253_PF00179_10 <unknown description>
+LRRQLAELNKNPVEGFSAGLIDDNDLYRWEVLIIGPPDTLYEGGVFKAHLTFPKDYPLRP
+PKMKFITEIWHPNVDKNGDVCISILHEPGEDKYGYEKPEERWLPIHTVETIMISVISMLA
+DPNGDSPANVDAAKEWREDRNGEFKRKVARC
+>P60604_PF00179_8 <unknown description>
+RLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIMGPEDTCFEFGVFPAILSFPLDYPLS
+PPKMRFTCEMFHPNIYPDGRVCISILHAPGDDPMGYESSAERWSPVQSVEKILLSVVSML
+AEPNDESGANVDASKMWRDDREQFYKIAKQI
+>Q9Y385_PF00179_14 <unknown description>
+RLMKEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHGRIVLPPEYPMKPP
+SIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMP
+>Q8N2K1_PF00179_16 <unknown description>
+RLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGYYHGKLIFPREFPFKP
+PSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLSFMVEK
+>P68036_PF00179_6 <unknown description>
+RLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAEYPFKP
+PKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHPLRADL
+AEEYSKDRKKFCKNAEEF
+>A0A1B0GUS4_PF00179_6 <unknown description>
+RLMKELEEIRKCGMENFRNIQVDEANLLTWQGLIVPDNPPYNKGAFRIEINFPAEYPFKP
+PRITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHPLRADL
+AEEYSNDRKKFCKNAEEF
+>O14933_PF00179_6 <unknown description>
+RVVKELEDLQKKPPPYLRNLSSDDANVLVWHALLLPDQPPYHLKAFNLRISFPPEYPFKP
+PMIKFTTKIYHPNVDENGQICLPIISSENWKPCTKTCQVLEALNVLVNRPNIREPLRMDL
+ADLLTQNPELFRKNAEEF
+>Q7Z7E8_PF00179_317 <unknown description>
+LNFSFKDNFPFDPPFVRVVSPVLSGGYVLGGGAICMELLTKQGWSSAYSIESVIMQISAT
+LVKGKARVQFGANKSQYSLTRAQQSYKSLV
+>Q8WVN8_PF00179_269 <unknown description>
+LLNFSFKDNFPFDPPFVRVVLPVLSGGYVLGGGALCMELLTKQGWSSAYSIESVIMQINA
+TLVKGKARVQFGANKNQYNLARAQQSYNSIV
+>P49427_PF00179_14 <unknown description>
+LLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKARLKFPIDYPYSPP
+AFRFLTKMWHPNIYETGDVCISILHPPVDDPQSGELPSERWNPTQNVRTILLSVISLLNE
+PNTFSPANVDASVMYRKWKE
+>Q712K3_PF00179_13 <unknown description>
+LMLELKSLQEEPVEGFRITLVDESDLYNWEVAIFGPPNTLYEGGYFKAHIKFPIDYPYSP
+PTFRFLTKMWHPNIYENGDVCISILHPPVDDPQSGELPSERWNPTQNVRTILLSVISLLN
+EPNTFSPANVDASVMFRKWR
+>Q13404_PF00179_33 <unknown description>
+VSWGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYPEAPPFVRFVTKINMNG
+VNSSNGVVDPRAISVLAKWQNSYSIKVVLQELRRLMMSKENM
+>Q15819_PF00179_31 <unknown description>
+VSWGLEDDEDMTLTRWTGMIIGPPRTNYENRIYSLKVECGPKYPEAPPSVRFVTKINMNG
+INNSSGMVDARSIPVLAKWQNSYSIKVVLQELRRLMMSKENMK
+>P22314_PF00899_55 <unknown description>
+YSRQLYVLGHEAMKRLQTSSVLVSGLRGLGVEIAKNIILGGVKAVTLHDQGTAQWADLSS
+QFYLREEDIGKNRAEVSQPRLAELNSYVPVTAYTGPLVEDFLSGFQVVVLTNTPLEDQLR
+VGEFCHNRGIKLVVADTRGLFGQLFCDFGEEMILTDSNGEQPLSAMVSMVTKDNPGVVTC
+LDEARHGFESGDFVSFSEVQGMVELNGNQPMEIKVLGPYTFSICDTSNFSDYIRGGIVSQ
+VKVPKKISFKSLVASLAEPDFVVTDFAKFSRPAQLHIGFQALHQFCAQHGRPPRPRNEED
+AAELVALAQAVNARALPAVQQNNLDEDLIRKLAYVAAGDLAPINAFIGGLAAQEVMKACS
+GKFMPIMQWLYFDALECLPEDKEVLTEDKCL
+>P22314_PF16190_227 <unknown description>
+DNPGVVTCLDEARHGFESGDFVSFSEVQGMVELNGNQPMEIKVLGPYTFSICDTSNFSDY
+IRGGIVSQVK
+>P22314_PF16191_298 <unknown description>
+PKKISFKSLVASLAEPDFVVTDFAKFSRPAQLHIGFQALHQFCAQHGRPPRPRNEEDAAE
+LVALAQAVN
+>P22314_PF00899_451 <unknown description>
+YDGQVAVFGSDLQEKLGKQKYFLVGAGAIGCELLKNFAMIGLGCGEGGEIIVTDMDTIEK
+SNLNRQFLFRPWDVTKLKSDTAAAAVRQMNPHIRVTSHQNRVGPDTERIYDDDFFQNLDG
+VANALDNVDARMYMDRRCVYYRKPLLESGTLGTKGNVQVVIPFLTESYSSSQDPPEKSIP
+ICTLKNFPNAIEHTLQWARDEFEGLFKQPAENVNQYLTDPKFVERTLRLAGTQPLEVLEA
+VQRSLVLQRPQTWADCVTWACHHWHTQYSNNIRQLLHNFPPDQLTSSGAPFWSGPKRCPH
+PLTFDVNNPLHLDYVMAAANLFAQTYGLTGSQDRAAVATFLQSVQVPEFTPKSGVKIHVS
+DQELQSANASVDDSRLEELKATLPSPDKLPGFKMYPIDFEKDDDSNFHMDFIVAASNLRA
+ENYDIPSADRHKSKLIAGKIIPAIATTTAAVVGLVCLELYKVVQGHRQLDSYKNGFLNLA
+LPFFGFSEPLAAPRHQYYN
+>P22314_PF10585_638 <unknown description>
+PNAIEHTLQWARDEFEGLFKQPAENVNQYLTDPKFVERTLRLAGTQPLEVLEAVQRSLVL
+QRPQTWADCVTWACHHWHTQYSNNIRQLLHNFPPDQLTSSGAPFWSGPKRCPHPLTFDVN
+NPLHLDYVMAAANLFAQTYGLTGSQDRAAVATFLQSVQVPEFTPKSGVKIHVSDQELQSA
+NASVDDSRLEELKATLPSPDKLPGFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYDIPS
+ADRHKSK
+>P22314_PF09358_955 <unknown description>
+WDRFEVQGLQPNGEEMTLKQFLDYFKTEHKLEITMLSQGVSMLYSFFMPAAKLKERLDQP
+MTEIVSRVSKRKLGRHVRALVLELCCNDESGEDVEVPYV
+>Q8TBC4_PF00899_66 <unknown description>
+LLDTCKVLVIGAGGLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDIGRPKA
+EVAAEFLNDRVPNCNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLISLLNY
+EDGVLDPSSIVPLIDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIASMPRL
+PEHCIEYVRMLQWPKEQPFGEGVPLDGDDPEHIQWIFQKSLERASQYNIRGVTYRLTQGV
+VKRIIPAVASTNAVIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAERKENCP
+>Q8TBC4_PF08825_376 <unknown description>
+FSPSAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTSIEERTRPNLSKTLKE
+LGLVDGQELAVADVTTPQTVLFKLHF
+>Q9GZZ9_PF00899_53 <unknown description>
+YSRLMALKRMGIVSDYEKIRTFAVAIVGVGGVGSVTAEMLTRCGIGKLLLFDYDKVELAN
+MNRLFFQPHQAGLSKVQAAEHTLRNINPDVLFEVHNYNITTVENFQHFMDRISNGGLEEG
+KPVDLVLSCVDNFEARMTINTACNELGQTWMESGVSENAVSGHIQLIIPGESACFACAPP
+LVVAANIDEKTLKREGVCAASLPTTMGVVAGILVQNVLKFLLNFGTVSFYLGYNAMQDFF
+PTMSMKPNPQC
+>A0AVT1_PF00899_44 <unknown description>
+YSRQRYVLGDTAMQKMAKSHVFLSGMGGLGLEIAKNLVLAGIKAVTIHDTEKCQAWDLGT
+NFFLSEDDVVNKRNRAEAVLKHIAELNPYVHVTSSSVPFNETTDLSFLDKYQCVVLTEMK
+LPLQKKINDFCRSQCPPIKFISADVHGIWSRLFCDFGDEFEVLDTTGEEPKEIFISNITQ
+ANPGIVTCLENHPHKLETGQFLTFREINGMTGLNGSIQQITVISPFSFSIGDTTELEPYL
+HGGIAVQVKTPKTVFFESLERQLKHPKCLIVDFSNPEAPLEIHTAMLALDQFQEKYSRKP
+NVGCQQDSEELLKLATSISETLEEKPDVNADIVHWLSWTAQGFLSPLAAAVGGVASQEVL
+KAVTGKFSPLCQWLYLEAA
+>A0AVT1_PF16190_225 <unknown description>
+NPGIVTCLENHPHKLETGQFLTFREINGMTGLNGSIQQITVISPFSFSIGDTTELEPYLH
+GGIAVQVK
+>A0AVT1_PF16191_293 <unknown description>
+TPKTVFFESLERQLKHPKCLIVDFSNPEAPLEIHTAMLALDQFQEKYSRKPNVGCQQDSE
+ELLKLATSI
+>A0AVT1_PF00899_447 <unknown description>
+RACIGDTLCQKLQNLNIFLVGCGAIGCEMLKNFALLGVGTSKEKGMITVTDPDLIEKSNL
+NRQFLFRPHHIQKPKSYTAADATLKINSQIKIDAHLNKVCPTTETIYNDEFYTKQDVIIT
+ALDNVEARRYVDSRCLANLRPLLDSGTMGTKGHTEVIVPHLTESYNSHRDPPEEEIPFCT
+LKSFPAAIEHTIQWARDKFESSFSHKPSLFNKFWQTYSSAEEVLQKIQSGHSLEGCFQVI
+KLLSRRPRNWSQCVELARLKFEKYFNHKALQLLHCFPLDIRLKDGSLFWQSPKRPPSPIK
+FDLNEPLHLSFLQNAAKLYATVYCIPFAEEDLSADALLNILSEVKIQEFKPSNKVVQTDE
+TARKPDHVPISSEDERNAIFQLEKAILSNEATKSDLQMAVLSFEKDDDHNGHIDFITAAS
+NLRAKMYSIEPADRFKTKRIAGKIIPAIATTTATVSGLVALEMIKVTGGYPFEAYKNCFL
+NLAIPIVVFTETT
+>A0AVT1_PF10585_631 <unknown description>
+PAAIEHTIQWARDKFESSFSHKPSLFNKFWQTYSSAEEVLQKIQSGHSLEGCFQVIKLLS
+RRPRNWSQCVELARLKFEKYFNHKALQLLHCFPLDIRLKDGSLFWQSPKRPPSPIKFDLN
+EPLHLSFLQNAAKLYATVYCIPFAEEDLSADALLNILSEVKIQEFKPSNKVVQTDETARK
+PDHVPISSEDERNAIFQLEKAILSNEATKSDLQMAVLSFEKDDDHNGHIDFITAASNLRA
+KMYSIEPADRFKTK
+>A0AVT1_PF09358_955 <unknown description>
+WDRWTVHGKEDFTLLDFINAVKEKYGIEPTMVVQGVKMLYVPVMPGHAKRLKLTMHKLVK
+PTTEKKYVDLTVSFAPDIDGDEDLPGPPV
+>P41226_PF00899_15 <unknown description>
+YSRQLYVLGSPAMQRIQGARVLVSGLQGLGAEVAKNLVLMGVGSLTLHDPHPTCWSDLAA
+QFLLSEQDLERSRAEASQELLAQLNRAVQVVVHTGDITEDLLLDFQVVVLTAAKLEEQLK
+VGTLCHKHGVCFLAADTRGLVGQLFCDFGEDFTVQDPTEAEPLTAAIQHISQGSPGILTL
+RKGANTHYFRDGDLVTFSGIEGMVELNDCDPRSIHVREDGSLEIGDTTTFSRYLRGGAIT
+EVKRPKTVRHKSLDTALLQPHVVAQSSQEVHHAHCLHQAFCALHKFQHLHGRPPQPWDPV
+DAETVVGLARDLEPLKRTEEEPLEEPLDEALVRTVALSSAGVLSPMVAMLGAVAAQEVLK
+AISRKFMPLDQWLYFDALDCL
+>P41226_PF16190_188 <unknown description>
+SPGILTLRKGANTHYFRDGDLVTFSGIEGMVELNDCDPRSIHVREDGSLEIGDTTTFSRY
+LRGGAITEVK
+>P41226_PF16191_259 <unknown description>
+PKTVRHKSLDTALLQPHVVAQSSQEVHHAHCLHQAFCALHKFQHLHGRPPQPWDPVDAET
+VVGLARDL
+>P41226_PF00899_415 <unknown description>
+YDGQIAVFGAGFQEKLRRQHYLLVGAGAIGCELLKVFALVGLGAGNSGGLTVVDMDHIER
+SNLSRQFLFRSQDVGRPKAEVAAAAARGLNPDLQVIPLTYPLDPTTEHIYGDNFFSRVDG
+VAAALDSFQARRYVAARCTHYLKPLLEAGTSGTWGSATVFMPHVTEAYRAPASAAASEDA
+PYPVCTVRYFPSTAEHTLQWARHEFEELFRLSAETINHHQQAHTSLADMDEPQTLTLLKP
+VLGVLRVRPQNWQDCVAWALGHWKLCFHYGIKQLLRHFPPNKVLEDGTPFWSGPKQCPQP
+LEFDTNQDTHLLYVLAAANLYAQMHGLPGSQDWTALRELLKLLPQPDPQQMAPIFASNLE
+LASASAEFGPEQQKELNKALEVWSVGPPLKPLMFEKDDDSNFHVDFVVAAASLRCQNYGI
+PPVNRAQSKRIVGQIIPAIATTTAAVAGLLGLELYKVVSGPRPRSAFRHSYLHLAENYLI
+RYMP
+>P41226_PF10585_605 <unknown description>
+PSTAEHTLQWARHEFEELFRLSAETINHHQQAHTSLADMDEPQTLTLLKPVLGVLRVRPQ
+NWQDCVAWALGHWKLCFHYGIKQLLRHFPPNKVLEDGTPFWSGPKQCPQPLEFDTNQDTH
+LLYVLAAANLYAQMHGLPGSQDWTALRELLKLLPQPDPQQMAPIFASNLELASASAEFGP
+EQQKELNKALEVWSVGPPLKPLMFEKDDDSNFHVDFVVAAASLRCQNYGIPPVNRAQSK
+>P41226_PF09358_914 <unknown description>
+WDRLKVPAGQPERTLESLLAHLQEQHGLRVRILLHGSALLYAAGWSPEKQAQHLPLRVTE
+LVQQLTGQAPAPGQRVLVLELSCEGDDEDTAFPP
+>Q9BSL1_PF00627_193 <unknown description>
+DEAALRQLTEMGFPENRATKALQLNHMSVPQAMEWL
+>Q9BSL1_PF00627_290 <unknown description>
+DARAVISLMEMGFDEKEVIDALRVNNNQQNAACEWL
+>Q8NBM4_PF00627_306 <unknown description>
+SEEQVARLMEMGFSRGDALEALRASNNDLNVATNFL
+>Q8TB05_PF14555_13 <unknown description>
+MINQFVLTAGCAADQAKQLLQAAHWQFETALSAFFQE
+>Q8IYN6_PF14555_13 <unknown description>
+MINQFVLAAGCAADQAKQLLQAAHWQFETALSTFFQE
+>Q5T6F2_PF12478_512 <unknown description>
+PASKIPASAVEMPGSADVTGLNVQFGALEFGSE
+>P0CG47_PF00240_3 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG47_PF00240_79 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG47_PF00240_155 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P61081_PF00179_33 <unknown description>
+RIQKDINELNLPKTCDISFSDPDDLLNFKLVICPDEGFYKSGKFVFSFKVGQGYPHDPPK
+VKCETMVYHPNIDLEGNVCLNILREDWKPVLTINSIIYGLQYLFLEPNPEDPLNKEAAEV
+LQNNRRLFEQNVQRS
+>P63279_PF00179_8 <unknown description>
+RLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKLRMLFKDD
+YPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELLNEPNIQD
+PAQAEAYTIYCQNRVEYEKRVRA
+>Q8WVY7_PF00240_5 <unknown description>
+IIVKWGGQEYSVTTLSEDDTVLDLKQFLKTLTGVLPERQKLLGLKVKGKPAENDVKLGAL
+KLKPNTKIMMMGT
+>Q8WVY7_PF03031_138 <unknown description>
+KLLVLDVDYTLFDHRSCAETGVELMRPYLHEFLTSAYEDYDIVIWSATNMKWIEAKMKEL
+GVSTNANYKITFMLDSAAMITVHTPRRGLIDVKPLGVIWGKFSEFYSKKNTIMFDDIGRN
+FLMNPQNGLKIRPFMKAHLNRDKDKELLKLTQYLKEIAKLDDF
+>P0CG48_PF00240_3 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_79 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_155 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_231 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_307 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_383 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_459 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_535 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>P0CG48_PF00240_611 <unknown description>
+IFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQ
+KESTLHLVLRLR
+>O15205_PF00240_19 <unknown description>
+LMTFDANPYDSVKKIKEHVRSKTKVPVQDQVLLLGSKILKPRRSLSSYGIDKEKTIHLTL
+K
+>O15205_PF00240_98 <unknown description>
+EAKRHLLQVRRSSSVAQVKAMIETKTGIIPETQIVTCNGKRLEDGKMMADYGIRKGNLLF
+LA
+>P49459_PF00179_8 <unknown description>
+RLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFTEEYPNKP
+PTVRFVSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAA
+QLYQENKREYEKRVSA
+>P63146_PF00179_8 <unknown description>
+RLMRDFKRLQEDPPVGVSGAPSENNIMQWNAVIFGPEGTPFEDGTFKLVIEFSEEYPNKP
+PTVRFLSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAA
+QLYQENKREYEKRVSA
+>O00762_PF00179_34 <unknown description>
+RLQQELMTLMMSGDKGISAFPESDNLFKWVGTIHGAAGTVYEDLRYKLSLEFPSGYPYNA
+PTVKFLTPCYHPNVDTQGNICLDILKEKWSALYDVRTILLSIQSLLGEPNIDSPLNTHAA
+ELWKNPTAFKKYLQE
+>Q969M7_PF00179_37 <unknown description>
+LVKEVAELEANLPCTCKVHFPDPNKLHCFQLTVTPDEGYYQGGKFQFETEVPDAYNMVPP
+KVKCLTKIWHPNITETGEICLSLLREHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDP
+LNIEAAEHHLRDKEDFRNKVDDY
+>P62256_PF00179_30 <unknown description>
+GLNEFVVKFYGPQGTPYEGGVWKVRVDLPDKYPFKSPSIGFMNKIFHPNIDEASGTVCLD
+VINQTWTALYDLTNIFESFLPQLLAYPNPIDPLNGDAAAMYLHRPEEYKQKIKEY
+>P61086_PF00179_8 <unknown description>
+RIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEIKIPETYP
+FNPPKVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLLSLQALLAAAEPDDPQD
+AVVANQYKQNPEMFKQTARL
+>P61086_PF00627_162 <unknown description>
+YTKKIENLCAMGFDRNAVIVALSSKSWDVETATELL
+>P61088_PF00179_7 <unknown description>
+RIIKETQRLLAEPVPGIKAEPDESNARYFHVVIAGPQDSPFEGGTFKLELFLPEEYPMAA
+PKVRFMTKIYHPNVDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLANDVA
+EQWKTNEAQAIETARA
+>Q9C0C9_PF00179_958 <unknown description>
+VRKEMALLATSLPEGIMVKTFEDRMDLFSALIKGPTRTPYEDGLYLFDIQLPNIYPAVPP
+HFCYLSQCSGRLNPNLYDNGKVCVSLLGTWIGKGTERWTSKSSLLQVLISIQGLILVNEP
+YYNEAGFDSDRGLQEGYENSRCYNEM
+>Q16763_PF00179_16 <unknown description>
+VYKEVTTLTADPPDGIKVFPNEEDLTDLQVTIEGPEGTPYAGGLFRMKLLLGKDFPASPP
+KGYFLTKIFHPNVGANGEICVNVLKRDWTAELGIRHVLLTIKCLLIHPNPESALNEEAGR
+LLLENYEEYAARAR
+>Q9NPD8_PF00179_6 <unknown description>
+RLKRELHMLATEPPPGITCWQDKDQMDDLRAQILGGANTPYEKGVFKLEVIIPERYPFEP
+PQIRFLTPIYHPNIDSAGRICLDVLKLPPKGAWRPSLNIATVLTSIQLLMSEPNPDDPLM
+ADISSEFKYNKPAFLKNARQW
+>Q96B02_PF00179_7 <unknown description>
+RLQKELLALQNDPPPGMTLNEKSVQNSITQWIVDMEGAPGTLYEGEKFQLLFKFSSRYPF
+DSPQVMFTGENIPVHPHVYSNGHICLSILTEDWSPALSVQSVCLSIISMLSSCKEKRRPP
+DNSFYVRTCNKNPK
+>Q9H832_PF00179_103 <unknown description>
+RIKRDIMSIYKEPPPGMFVVPDTVDMTKIHALITGPFDTPYEGGFFLFVFRCPPDYPIHP
+PRVKLMTTGNNTVRFNPNFYRNGKVCLSILGTWTGPAWSPAQSISSVLISIQSLMTENPY
+HNEPGFEQE
+>Q05086_PF16558_27 <unknown description>
+KHLIERYYHQLTEGCGNEACTNEFCASCPTFLRMDNNAAAIKALELYKINAKLC
+>Q05086_PF00632_574 <unknown description>
+LVVEEIFNPDIGMFTYDESTKLFWFNPSSFETEGQFTLIGIVLGLAIYNNCILDVHFPMV
+VYRKLMGKKGTFRDLGDSHPVLYQSLKDLLEYEGNVEDDMMITFQISQTDLFGNPMMYDL
+KENGDKIPITNENRKEFVNLYSDYILNKSVEKQFKAFRRGFHMVTNESPLKYLFRPEEIE
+LLICGSRNLDFQALEETTEYDGGYTRDSVLIREFWEIVHSFTDEQKRLFLQFTTGTDRAP
+VGGLGKLKMIIAKNGPDTERLPTSHTCFNVLLLPEYSSKEKLKERLLKAITYAKGFGM
+>Q7Z3V4_PF00632_738 <unknown description>
+IIKRVFDPALNLFKTTSGDERLYPSPTSYIHENYLQLFEFVGKMLGKAVYEGIVVDVPFA
+SFFLSQLLGHHHSVFYSSVDELPSLDSEFYKNLTSIKRYDGDITDLGLTLSYDEDVMGQL
+VCHELIPGGKTIPVTNENKISYIHLMAHFRMHTQIKNQTAALISGFRSIIKPEWIRMFST
+PELQRLISGDNAEIDLEDLKKHTVYYGGFHGSHRVIIWLWDILASDFTPDERAMFLKFVT
+SCSRPPLLGFAYLKPPFSIRCVEVSDDQDTGDTLGSVLRGFFTIRKREPGGRLPTSSTCF
+NLLKLPNYSKKSVLREKLRYAISMNTGFELS
+>Q15386_PF00632_780 <unknown description>
+LLKSGFNPNQGFFKTTNEGLLYPNPAAQMLVGDSFARHYYFLGRMLGKALYENMLVELPF
+AGFFLSKLLGTSADVDIHHLASLDPEVYKNLLFLKSYEDDVEELGLNFTVVNNDLGEAQV
+VELKFGGKDIPVTSANRIAYIHLVADYRLNRQIRQHCLAFRQGLANVVSLEWLRMFDQQE
+IQVLISGAQVPISLEDLKSFTNYSGGYSADHPVIKVFWRVVEGFTDEEKRKLLKFVTSCS
+RPPLLGFKELYPAFCIHNGGSDLERLPTASTCMNLLKLPEFYDETLLRSKLLYAIECAAG
+FELS
+>Q7Z6J8_PF09814_13 <unknown description>
+EVRGQLQSALLILGEPKEGGMPMNISIMPSSLQMKTPEGCTEIQLPAEVRLVPSSCRGLQ
+FVVGDGLHLRLQTQAKLGTKLISMFNQSSQTQECCTFYCQSCGEVIIKDRKLLRVLPLPS
+ENWGALVGEWCCHPDPFANKSLHPQENDCFIGDSFFLVNLRTSLWQQRPELSPVEMCCVS
+SDNHCKLEPKANTKVICKRCKVMLGETVSSETTKFYMTEIIIQSSERSFPIIPRSWFVQS
+VIAQCLVQLSSARSTFRFTIQGQDDKVYILLWLLNSDSLVIESLRNSKYIKKFPLLENTF
+KADSSSAWSAVKVLYQPCIKSRNEKLVSLWESDISVHPLTLPSATCLELLLILSKSNANL
+PSSLRRVNSFQV
+>Q14139_PF10408_330 <unknown description>
+LGVILSISCLLKTPGVVENHGYFLNPSRSSPQEIKVQEANIHQFMAQFHEKIYQMLKNLL
+QLSPETKHCILSWLGNCLHANAGRTKIWANQMPEIFFQMYASDAFFLNLGAALLKLCQPF
+CKPRSSRLLTFNPTYCALKELNDEERKIKNVHMRGLDKETCLIPAVQEPKFPQNYNLVTE
+NLALTEYTLYLGFHRLHDQMVKINQNLHRLQVAWRDAQQSSSPAADNLREQFERLMTIYL
+STKTAMTEPQMLQNCLNLQVSMAVLLVQLAIGNEGSQPIELTFPLPDGYSSLAYVPEFFA
+DNLGDFLIFLRRFADDILETSADSLEHVLHFITIFTGSIERMKNPHLRAKLAEVLEAVMP
+HLDQTPNPLVSSVFHRKRVFCNFQYAPQLAEALIKVFVDIEFTGDPHQFEQKFNYRRPMY
+PILRYMWGTDTYRESIKDLADYASKNLEAMNPPLFLRFLNLLMNDAIFLLDEAIQYLSKI
+KIQQIEKDRGEWDSLTPEARREKEAGLQMFGQLARFHNIMSNETIGTLAFLTSEIKSLFV
+HPFLAERIISMLNYFLQHLVGPKMGALKVKDFSEFDFKPQQLVSDICTIYLNLGDEENFC
+ATVPKDGRSYSPTLFAQTVRVLKKINKPGNMIMAFSNLAERI
+>Q14139_PF04564_988 <unknown description>
+ACDEFLDPIMSTLMCDPVVLPSSRVTVDRSTIARHLLSDQTDPFNRSPLTMDQIRPNTEL
+KEKIQRWLAERK
+>O95155_PF10408_591 <unknown description>
+LGAFFSFSVFAEDDVKVVEKYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLN
+GETREAALSYMAAVVNANMKKAQMQTDDRLVSTDGFMLNFLWVLQQLSTKIKLETVDPTY
+IFHPRCRITLPNDETRVNATMEDVNDWLTELYGDQPPFSEPKFPTECFFLTLHAHHLSIL
+PSCRRYIRRLRAIRELNRTVEDLKNNESQWKDSPLATRHREMLKRCKTQLKKLVRCKACA
+DAGLLDESFLRRCLNFYGLLIQLLLRILDPAYPDITLPLNSDVPKVFAALPEFYVEDVAE
+FLFFIVQYSPQALYEPCTQDIVMFLVVMLCNQNYIRNPYLVAKLVEVMFMTNPAVQPRTQ
+KFFEMIENHPLSTKLLVPSLMKFYTDVEHTGATSEFYDKFTIRYHISTIFKSLWQNIAHH
+GTFMEEFNSGKQFVRYINMLINDTTFLLDESLESLKRIHEVQEEMKNKEQWDQLPRDQQQ
+ARQSQLAQDERVSRSYLALATETVDMFHILTKQVQKPFLRPELGPRLAAMLNFNLQQLCG
+PKCRDLKVENPEKYGFEPKKLLDQLTDIYLQLDCARFAKAIADDQRSYSKELFEEVISKM
+RKAGIKSTIAIEKFKLLAEKVE
+>O95155_PF04564_1228 <unknown description>
+APDEFRDPLMDTLMTDPVRLPSGTIMDRSIILRHLLNSPTDPFNRQTLTESMLEPVPELK
+EQIQAWMREKQN
+>P17480_PF00505_112 <unknown description>
+PKKPLTPYFRFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQE
+FERNLARF
+>P17480_PF00505_197 <unknown description>
+EKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDKKRLKWIHKALEQRKEY
+EEIMRDY
+>P17480_PF09011_299 <unknown description>
+KPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVEL
+>P17480_PF00505_407 <unknown description>
+PKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQ
+SER
+>P17480_PF14887_479 <unknown description>
+GKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQ
+KRYERELSEMRAPPAATNSSKKMKF
+>O14562_PF00240_90 <unknown description>
+IIWNKTKHDVKFPLDSTGSELKQKIHSITGLPPAMQKVMYKGLVPEDKTLREIKVTSGAK
+IMVVGST
+>P0CB47_PF00505_100 <unknown description>
+PKRPLTAYNRFFKESWPQYSQMYPGMRSQELTKILSKKYRELPEQMKQKYIQDFRKEKQE
+FEEKLARF
+>Q9Y5Z9_PF01040_62 <unknown description>
+LTPVALGSALAYRSHGVLDPRLLVGCAVAVLAVHGAGNLVNTYYDFSKGIDHKKSDDRTL
+VDRILEPQDVVRFGVFLYTLGCVCAACLYYLSPLKLEHLALIYFGGLSGSFLYTGGIGFK
+YVALGDLIILITFGPLAVMFAYAIQVGSLAIFPLVYAIPLALSTEAILHSNNTRDMESDR
+EAGIVTLAILIGPTFSYILYNTLLFLPYLVFSILATHCTISLALPLLTIPMAFSLERQFR
+SQAFNKLPQRTAKLN
+>Q9NZI7_PF04516_53 <unknown description>
+LPLDGETEHPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDMPEINGKL
+VKSIIRVVFHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEF
+LWDPAKRTSAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQI
+KVFKPKGADRKQKTDREKMEKRT
+>Q9NZI7_PF18016_362 <unknown description>
+EQIQPSATIQETQQWLLKNRFSSYTRLFSNFSGADLLKLTKEDLVQICGAADGIRLYNSL
+K
+>O95164_PF13881_8 <unknown description>
+DMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRLIYQGRFL
+HGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCC
+>P11441_PF00240_3 <unknown description>
+LTVKALQGRECSLQVPEDELVSTLKQLVSEKLNVPVRQQRLLFKGKALADGKRLSDYSIG
+PNSKLNLVVKP
+>P11441_PF17840_96 <unknown description>
+WQLISKVLARHFSAADASRVLEQLQRDYERSLSRLTLDDIERLASRF
+>Q8N7F7_PF00240_3 <unknown description>
+LTVKLLLGQRCSLKVSGQESVATLKRLVSRRLKVPEEQQHLLFRGQLLEDDKHLSDYCIG
+PNASINVI
+>Q8N7F7_PF17840_91 <unknown description>
+WHQLGLVLAKHFEPQDAKAVLQLLRQEHEERLQKISLEHLEQLAQYL
+>Q9BZL1_PF00240_11 <unknown description>
+GKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDYEIHDGMNLEL
+>Q96S82_PF00240_40 <unknown description>
+ISFLKQLIAGKLQESVPDPELIDLIYCGRKLKDDQTLDFYGIQPGSTVHVLRK
+>Q9NPG3_PF08729_118 <unknown description>
+RKDRIQDLIDMGYGYDESDSFIDNSEAYDELVPASLTTKYGGFYINSGTL
+>Q9NPG3_PF14075_353 <unknown description>
+LPEGLPAPLEKRVKELAQAARAAEGESRQKFFTQDINGILLDIEAQTRELSSQVRSGVYA
+YLASFLPCSKDALLKRARKLHLYEQGGRLKEPLQKLKEAIGRAMPEQMAKYQDECQAHTQ
+AKVAKMLEEEKDKEQRDRICSDEEEDEEKGGRRIMGPRKKFQWNDEIRELLCQVVKIKLE
+SQDLERNNKAQAWEDCVKGFLDAEVKPLWPKGWMQARTLFK
+>Q6ZU65_PF08729_195 <unknown description>
+RKDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYINTGTL
+>Q6ZU65_PF14075_448 <unknown description>
+LPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFFTQDMNNILLDIELQLQELGPVIRSGVYS
+HLEAFVPCNKETLVKRLKKLHLNVQDDRLREPLQKLKLAVSNVMPEQLFKYQEDCQARSQ
+AKCAKLQTDEEREKNGSEEDDDEKPGKRVIGPRKKFHWDDTIRTLLCNLVEIKLGCYELE
+PNKSQSAEDYLKSFMETEVKPLWPKGWMQARMLFK
+>Q14694_PF07145_79 <unknown description>
+ISSTLNPQAPEFILGC
+>Q14694_PF00443_416 <unknown description>
+GLINKGNWCYINATLQALVACPPMYHLMKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNM
+PVPPKPRQALGDKIVRDIRPGAAFEPTYIYRLLTVNKSSLSEKGRQEDAEEYLGFILNGL
+HEEMLNLKKLLSPSNEKLTISNGPKNHSVNEEEQEEQGEGSEDEWEQVGPRNKTSVTRQA
+DFVQTPITGIFGGHIRSVVYQQSSKESATLQPFFTLQLDIQSDKIRTVQDALESLVARES
+VQGYTTKTKQEVEISRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLIKNIEYPVDLEISKE
+LLSPGVKNKNFKCHRTYRLFAVVYHHGNSATGGHYTTDVFQIGLNGWLRIDDQTVKVINQ
+YQVVKPTAERTAYLLYY
+>O75317_PF00443_39 <unknown description>
+FGLVNFGNTCYCNSVLQALYFCRPFREKVLAYKSQPRKKESLLTCLADLFHSIATQKKKV
+GVIPPKKFITRLRKENELFDNYMQQDAHEFLNYLLNTIADILQEERKQEKQNGRLPNGNI
+DNENNNSTPDPTWVHEIFQGTLTNETRCLTCETISSKDEDFLDLSVDVEQNTSITHCLRG
+FSNTETLCSEYKYYCEECRSKQEAHKRMKVKKLPMILALHLKRFKYMDQLHRYTKLSYRV
+VFPLELRLFNTSGDATNPDRMYDLVAVVVHCGSGPNRGHYIAIVKSHDFWLLFDDDIVEK
+IDAQAIEEFYGLTSDISKNSESGYILFY
+>Q92995_PF17807_35 <unknown description>
+IRVPRSGDRVYKNECAFSYDSPNSEGGLYVCMNTFLAFGREHVERHFRKTGQSVYMHLKR
+HVR
+>Q92995_PF02148_211 <unknown description>
+CARCDLRENLWLNLTDGSVLCGKWFFDSSGGNGHALEHYRDMGYPLAVKLGTITPDGADV
+YSFQEEEPVLDPH
+>Q92995_PF00443_336 <unknown description>
+TGLKNLGNSCYLSSVMQAIFSIPEFQRAYVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLL
+SGQYSKPPVKSELIEQVMKEEHKPQQNGISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHL
+VNLVERNRIGSENPSDVFRFLVEERIQCCQTRKVRYTERVDYLMQLPVAMEAATNKDELI
+AYELTRREAEANRRPLPELVRAKIPFSACLQAFSEPENVDDFWSSALQAKSAGVKTSRFA
+SFPEYLVVQIKKFTFGLDWVPKKFDVSIDMPDLLDINHLRARGLQPGEEELPDISPPIVI
+PDDSKDRLMNQLIDPSDIDESSVMQLAEMGFPLEACRKAVYFTGNMGAEVAFNWIIVHME
+EPDFAEPLTMPGYGGAASAGASVFGASGLDNQPPEEIVAIITSMGFQRNQAIQALRATNN
+NLERALDWIFSHPEFEEDSDFVIEMENNANANIISEAKPEGPRVKDGSGTYELFAFISHM
+GTSTMSGHYICHIKKEGRWVIYNDHKVCASERPPKDLGYMYFY
+>Q92995_PF00627_653 <unknown description>
+IDESSVMQLAEMGFPLEACRKAVYFTGNMGAEVAFNWI
+>Q92995_PF00627_729 <unknown description>
+PEEIVAIITSMGFQRNQAIQALRATNNNLERALDWI
+>P54578_PF00443_105 <unknown description>
+CGLTNLGNTCYMNATVQCIRSVPELKDALKRYAGALRASGEMASAQYITAALRDLFDSMD
+KTSSSIPPIILLQFLHMAFPQFAEKGEQGQYLQQDANECWIQMMRVLQQKLEAIEDDSVK
+ETDSSSASAATPSKKKSLIDQFFGVEFETTMKCTESEEEEVTKGKENQLQLSCFINQEVK
+YLFTGLKLRLQEEITKQSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKEKESVNAKVL
+KDVKFPLMLDMYELCTPELQEKMVSFRSKFKDLEDKKVNQQPNTSDKKSSPQKEVKYEPF
+SFADDIGSNNCGYYDLQAVLTHQGRSSSSGHYVSWVKRKQDEWIKFDDDKVSIVTPEDIL
+RLSGGGDWHIAYVLLY
+>Q9Y4E8_PF06337_27 <unknown description>
+GDTWYLVDSRWFKQWKKYVGFDSWDKYQMGDQNVYPGPIDNSGLLKDGDAQSLKEHLIDE
+LDYILLPTEGWNKLVSWYTLMEGQEPIARKVV
+>Q9Y4E8_PF14836_136 <unknown description>
+LKLCENGNMNNVVTRRFSKADTIDTIEKEIRKIFSIPDEKETRLWNKYMSNTFEPLNKPD
+STIQDAGLYQGQVLVIEQKNEDGTWPR
+>Q9Y4E8_PF00443_289 <unknown description>
+CGLSNLGNTCFMNSAIQCLSNTPPLTEYFLNDKYQEELNFDNPLGMRGEIAKSYAELIKQ
+MWSGKFSYVTPRAFKTQVGRFAPQFSGYQQQDCQELLAFLLDGLHEDLNRIRKKPYIQLK
+DADGRPDKVVAEEAWENHLKRNDSIIVDIFHGLFKSTLVCPECAKISVTFDPFCYLTLPL
+PMKKERTLEVYLVRMDPLTKPMQYKVVVPKIGNILDLCTALSALSGIPADKMIVTDIYNH
+RFHRIFAMDENLSSIMERDDIYVFEININRTEDTEHVIIPVCLREKFRHSSYTHHTGSSL
+FGQPFLMAVPRNNTEDKLYNLLLLRMCRYVKISTETEETEGSLHCCKDQNINGNGPNGIH
+EEGSPSEMETDEPDDESSQDQELPSENENSQSEDSVGGDNDSENGLCTEDTCKGQLTGHK
+KRLFTFQFNNLGNTDINYIKDDTRHIRFDDRQLRLDERSFLALDWDPDLKKRYFDENAAE
+DFEKHESVEYKPPKKPFVKLKDCIELFTTKEKLGAEDPWYCPNCKEHQQATKKLDLWSLP
+PVLVVHLKRFSYSRYMRDKLDTLVDFPINDLDMSEFLINPNAGPCRYNLIAVSNHYGGMG
+GGHYTAFAKNKDDGKWYYFDDSSVSTASEDQIVSKAAYVLFY
+>Q9Y4E8_PF14533_472 <unknown description>
+KERTLEVYLVRMDPLTKPMQYKVVVPKIGNILDLCTALSALSGIPADKMIVTDIYNHRFH
+RIFAMDENLSSIMERDDIYVFEININRTEDTEHVIIPVCLREKFRHSSYTHHTGSSLFGQ
+PFLMAVPRN
+>Q9Y5T5_PF02148_48 <unknown description>
+CQDCKTDNKVKDKAEEETEEKPSVWLCLKCGHQGCGRNSQEQHALKHYLTPRSEPHCLVL
+SLDNWSVWCYVCDNEVQY
+>Q9Y5T5_PF00443_197 <unknown description>
+GLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDLALTEPLEINLEPPGP
+LTLAMSQFLNEMQETKKGVVTPKELFSQVCKKAVRFKGYQQQDSQELLRYLLDGMRAEEH
+QRVSKGILKAFGNSTEKLDEELKNKVKDYEKKKSMPSFVDRIFGGELTSMIMCDQCRTVS
+LVHESFLDLSLPVLDDQSGKKSVNDKNLKKTVEDEDQDSEEEKDNDSYIKERSDIPSGTS
+KHLQKKAKKQAKKQAKNQRRQQKIQGKVLHLNDICTIDHPEDSEYEAEMSLQGEVNIKSN
+HISQEGVMHKEYCVNQKDLNGQAKMIESVTDNQKSTEEVDMKNINMDNDLEVLTSSPTRN
+LNGAYLTEGSNGEVDISNGFKNLNLNAALHPDEINIEILNDSHTPGTKVYEVVNEDPETA
+FCTLANREVFNTDECSIQHCLYQFTRNEKLRDANKLLCEVCTRRQCNGPKANIKGERKHV
+YTNAKKQMLISLAPPVLTLHLKRFQQAGFNLRKVNKHIKFPEILDLAPFCTLKCKNVAEE
+NTRVLYSLYGVVEHSGTMRSGHYTAYAKARTANSHLSNLVLHGDIPQDFEMESKGQWFHI
+SDTHVQAVPTTKVLNSQAYLLFY
+>Q9UMW8_PF00443_55 <unknown description>
+VGLHNIGQTCCLNSLIQVFVMNVDFTRILKRITVPRGADEQRRSVPFQMLLLLEKMQDSR
+QKAVRPLELAYCLQKCNVPLFVQHDAAQLYLKLWNLIKDQITDVHLVERLQALYTIRVKD
+SLICVDCAMESSRNSSMLTLPLSLFDVDSKPLKTLEDALHCFFQPRELSSKSKCFCENCG
+KKTRGKQVLKLTHLPQTLTIHLMRFSIRNSQTRKICHSLYFPQSLDFSQILPMKRESCDA
+EEQSGGQYELFAVIAHVGMADSGHYCVYIRNAVDGKWFCFNDSNICLVSWEDIQCTYGNP
+NYHWQETAYLLVY
+>O94966_PF04969_119 <unknown description>
+DWRQSAEEVIVKLRVGVGPLQLEDVDAAFTDTDCVVRFAGGQQWGGVFYAEIKSSCAKVQ
+TRKGSLLHLTLPK
+>O94966_PF04969_386 <unknown description>
+VKNDSYEKGPDSVVVHVYVKEICRDTSRVLFREQDFTLIFQTRDGNFLRLHPGCGPHTTF
+RWQVKLRNLIEPEQCTFCFTASRIDICLRK
+>O94966_PF16602_476 <unknown description>
+RQSQRWGGLEAPAARGAVGGAKVAVPTGPTPLDSTPPGGAPHPLTGQEEARAVEKDKSKA
+RSEDTGLDSVATRTPMEHVTPKPETHLASPKPTCMVPPMPHSPVSGDSVEEEEEEEKKVC
+LPG
+>O94966_PF00443_600 <unknown description>
+TGLVNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRA
+LWKGTHHAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETV
+DSDGRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPL
+PQKQKVLPVFYFAREPHSKPIKFLVSVSKENSTASEVLDSLSQSVHVKPENLRLAEVIKN
+RFHRVFLPSHSLDTVSPSDTLLCFELLSSELAKERVVVLEVQQRPQVPSVPISKCAACQR
+KQQSEDEKLKRCTRCYRVGYCNQLCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARL
+AQLLEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTGSLEAGDSERDPIQPPELQLV
+TPMAEGDTGLPRVWAAPDRGPVPSTSGISSEMLASGPIEVGSLPAGERVSRPEAAVPGYQ
+HPSEAMNAHTPQFFIYKIDSSNREQRLEDKGDTPLELGDDCSLALVWRNNERLQEFVLVA
+SKELECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLL
+WRLPNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVIN
+HYGGMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFY
+>O94966_PF01753_894 <unknown description>
+CAACQRKQQSEDEKLKRCTRCYRVGYCNQLCQKTHWPDHKGLC
+>O94782_PF00443_81 <unknown description>
+VGLNNLGNTCYLNSILQVLYFCPGFKSGVKHLFNIISRKKEALKDEANQKDKGNCKEDSL
+ASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRRLLNTLRELNPMYEGYLQHDA
+QEVLQCILGNIQETCQLLKKEEVKNVAELPTKVEEIPHPKEEMNGINSIEMDSMRHSEDF
+KE
+>O94782_PF00443_416 <unknown description>
+KGEEQIGFELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEESSEIS
+PEPKTEMKTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCF
+AASGLEFDCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYT
+ASVKV
+>Q9Y2K6_PF02148_30 <unknown description>
+CQSCGVTGPNLWACLQVACPYVGCGESFADHSTIHAQAKKHNLTVNLTTFRLWCYACEKE
+VF
+>Q9Y2K6_PF00443_145 <unknown description>
+TGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLVRTDKKPALCKSYQKLVSEVWHKKR
+PSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLMDQLHEELKEPVVATVALT
+>Q9Y2K6_PF00443_430 <unknown description>
+SAGSRRRKEQRYRSVISDIFDGSILSLVQCLTCDRVSTTVETFQDLSLPIPGKEDLAKLH
+SAIYQNVPAKPGACGDSYAAQGWLAFIVEYIRRFVVSCTPSWFWGPVVTLEDCLAAFFAA
+DELKGDNMYSCERCKKLRNGVKYCKVLRLPEILCIHLKRFRHEVMYSFKINSHVSFPLEG
+LDLRPFLAKECTSQITTYDLLSVICHHGTAGSGHYIAYCQNVINGQWYEFDDQYVTEVHE
+TVVQNAEGYVLFY
+>Q9Y2K6_PF06337_710 <unknown description>
+FYVSREWLNKFNTFAEPGPITNQTFLCSHGGIPPHKYHYIDDLVVILPQNVWEHLYNRFG
+GGPAVNHL
+>Q9Y2K6_PF06337_817 <unknown description>
+IYCISMQWFREWEAFVKGKDNEPPGPIDNSRIAQVKGSGHVQLKQGADYGQISEETWTYL
+NSLYGGGPEIAI
+>Q9UK80_PF00443_212 <unknown description>
+VGLRNLGNTCFLNAVLQCLSSTRPLRDFCLRRDFRQEVPGGGRAQELTEAFADVIGALWH
+PDSCEAVNPTRFRAVFQKYVPSFSGYSQQDAQEFLKLLMERLHLEINRRGRRAPPILANG
+PVPSPPRRGGALLEEPELSDDDRANLMWKRYLEREDSKIVDLFVGQLKSCLKCQACGYRS
+TTFEVFCDLSLPIPKKGFAGGKVSLRDCFNLFTKEEELESENAPVCDRCRQKTRSTKKLT
+VQRFPRILVLHLNRFSASRGSIKKSSVGVDFPLQRLSLGDFASDKAGSPVYQLYALCNHS
+GSVHYGHYTALCRCQTGWHVYNDSRVSPVSENQVASSEGYVLFY
+>Q9UPT9_PF02148_63 <unknown description>
+CHVCGVHLNRLHSCLYCVFFGCFTKKHIHEHAKAKRHNLAIDLMYGGIYCFLCQDYIYDK
+D
+>Q9UPT9_PF00443_177 <unknown description>
+GLINLGNTCFMNCIVQALTHTPLLRDFFLSDRHRCEMQSPSSCLVCEMSSLFQEFYSGHR
+SPHIPYKLLHLVWTHARHLAGYEQQDAHEFLIAALDVLHRHCKGDDNGKKANNPNHCNCI
+IDQIFTGGLQSDVTCQVCHGVSTTIDPFWDISLDLPGSSTPFWPLSPGSEGNVVNGESHV
+SGTTTLTDCLRRFTRPEHLGSSAKIKCSGCHSYQESTKQLTMKKLPIVACFHLKRFEHSA
+KLRRKITTYVSFPLELDMTPFMASSKESRMNGQYQQPTDSLNNDNKYSLFAVVNHQGTLE
+SGHYTSFIRQHKDQWFKCDDAIITKASIKDVLDSEGYLLFY
+>Q9UPU5_PF00443_1689 <unknown description>
+VGLRNGGATCYMNAVFQQLYMQPGLPESLLSVDDDTDNPDDSVFYQVQSLFGHLMESKLQ
+YYVPENFWKIFKMWNKELYVREQQDAYEFFTSLIDQMDEYLKKMGRDQIFKNTFQGIYSD
+QKICKDCPHRYEREEAFMALNLGVTSCQSLEISLDQFVRGEVLEGSNAYYCEKCKEKRIT
+VKRTCIKSLPSVLVIHLMRFGFDWESGRSIKYDEQIRFPWMLNMEPYTVSGMARQDSSSE
+VGENGRSVDQGGGGSPRKKVALTENYELVGVIVHSGQAHAGHYYSFIKDRRGCGKGKWYK
+FNDTVIEEFDLNDETLEYECFGGEYRPKVYDQTNPYTDVRRRYWNAYMLFY
+>Q9UPU5_PF12030_2223 <unknown description>
+KIFLLECNVREVRVAVATILEKTLDSALFYQDKLKSLHQLLEVLLALLDKDVPENCKNCA
+QYFFLFNTFVQKQGIRAGDLLLRHSALRHMISFLLGASRQNNQIRRWSSAQAREFGNLHN
+TVALLVLHSDVSSQRNVAPGIFKQRPPISIAPSSPLLPLHEEVEALLFMSEGKPYLLEVM
+FALRELTGSLLALIEMVVYCCFCNEHFSFTMLHFIKNQLETAPPHELKNTFQLLHEILVI
+EDPIQVERVKFVFETENGLLALMHHSNHVDSSRCYQCVKFLVTLAQKCPAAKEYFKENSH
+HWSWAVQWLQKKMS
+>Q9UHP3_PF02809_97 <unknown description>
+DDKDDLQRAIALSLAES
+>Q9UHP3_PF02809_124 <unknown description>
+DEEQAISRVLEASIAEN
+>Q9UHP3_PF00443_169 <unknown description>
+VGLKNVGNTCWFSAVIQSLFNLLEFRRLVLNYKPPSNAQDLPRNQKEHRNLPFMRELRYL
+FALLVGTKRKYVDPSRAVEILKDAFKSNDSQQQDVSEFTHKLLDWLEDAFQMKAEEETDE
+EKPKNPMVELFYGRFLAVGVLEGKKFENTEMFGQYPLQVNGFKDLHECLEAAMIEGEIES
+LHSENSGKSGQEHWFTELPPVLTFELSRFEFNQALGRPEKIHNKLEFPQVLYLDRYMHRN
+REITRIKREEIKRLKDYLTVLQQRLERYLSYGSGPKRFPLVDVLQYALEFASSKPVCTSP
+VDDIDASSPPSGSIPSQTLPSTTEQQGALSSELPSTSPSSVAAISSRSVIHKPFTQSRIP
+PDLPMHPAPRHITEEELSVLESCLHRWRTEIENDTRDLQESISRIHRTIELMYSDKSMIQ
+VPYRLHAVLVHEGQANAGHYWAYIFDHRESRWMKYNDIAVTKSSWEELVRDSFGGYRNAS
+AYCLMY
+>Q9BXU7_PF16674_1 <unknown description>
+MAALFLRGFVQIGNCKTGISKSKEAFIEAVERKKKDRLVLYFKSGKYSTFRLSDNIQNVV
+LKSYRGNQNHLHLTLQNNNGLFIEGLSSTDAEQLKIFLDRVHQN
+>Q9BXU7_PF00443_296 <unknown description>
+GLPNLGNTCYMNAVLQSLLSIPSFADDLLNQSFPWGKIPLNALTMCLARLLFFKDTYNIE
+IKEMLLLNLKKAISAAAEIFHGNAQNDAHEFLAHCLDQLKDNMEKLNTIWKPKSEFGEDN
+FPKQVFADDPDTSGFSCPVITNFELELLHSIACKACGQVILKTELNNYLSINLPQRIKAH
+PSSIQSTFDLFFGAEELEYKCAKCEHKTSVGVHSFSRLPRILIVHLKRYSLNEFCALKKN
+DQEVIISKYLKVSSHCNEGTRPPLPLSEDGEITDFQLLKVIRKMTSGNISVSWPATKESK
+DILAPHIGSDKESEQKKGQTVFKGASRRQQQKYLGKNSKPNELESVYSGDRAFIEKEPLA
+HLMTYLEDTSLCQFHKAGGKPASSPGTPLSKVDFQTVPENPKRKKYVKTSKFVAFDRIIN
+PTKDLYEDKNIRIPERFQKVSEQTQQCDGMRICEQAPQQALPQSFPKPGTQGHTKNLLRP
+TKLNLQKSNRNSLLALGSNKNPRNKDILDKIKSKAKETKRNDDKGDHTYRLISVVSHLGK
+TLKSGHYICDAYDFEKQIWFTYDDMRVLGIQEAQMQEDRRCTGYIFFY
+>A6NNY8_PF00443_79 <unknown description>
+GLINLGNTCFMNCIVQALTHTPILRDFFLSDRHRCEMPSPELCLVCEMSSLFRELYSGNP
+SPHVPYKLLHLVWIHARHLAGYRQQDAHEFLIAALDVLHRHCKGDDVGKAANNPNHCNCI
+IDQIFTGGLQSDVTCQACHGVSTTIDPCWDISLDLPGSCTSFWPMSPGRESSVNGESHIP
+GITTLTDCLRRFTRPEHLGSSAKIKCGSCQSYQESTKQLTMNKLPVVACFHFKRFEHSAK
+QRRKITTYISFPLELDMTPFMASSKESRMNGQLQLPTNSGNNENKYSLFAVVNHQGTLES
+GHYTSFIRHHKDQWFKCDDAVITKASIKDVLDSEGYLLFY
+>Q9HBJ7_PF16674_1 <unknown description>
+MISLKVCGFIQIWSQKTGMTKLKEALIETVQRQKEIKLVVTFKSGKFIRIFQLSNNIRSV
+VLRHCKKRQSHLRLTLKNNVFLFIDKLSYRDAKQLNMFLDIIHQN
+>Q9HBJ7_PF00443_285 <unknown description>
+QGFPNLGNTCYMNAVLQSLFAIPSFADDLLTQGVPWEYIPFEALIMTLTQLLALKDFCST
+KIKRELLGNVKKVISAVAEIFSGNMQNDAHEFLGQCLDQLKEDMEKLNATLNTGKECGDE
+NSSPQMHVGSAATKVFVCPVVANFEFELQLSLICKACGHAVLKVEPNNYLSINLHQETKP
+LPLSIQNSLDLFFKEEELEYNCQMCKQKSCVARHTFSRLSRVLIIHLKRYSFNNAWLLVK
+NNEQVYIPKSLSLSSYCNESTKPPLPLSSSAPVGKCEVLEVSQEMISEINSPLTPSMKLT
+SESSDSLVLPVEPDKNADLQRFQRDCGDASQEQHQRDLENGSALESELVHFRDRAIGEKE
+LPVADSLMDQGDISLPVMYEDGGKLISSPDTRLVEVHLQEVPQHPELQKYEKTNTFVEFN
+FDSVTESTNGFYDCKENRIPEGSQGMAEQLQQCIEESIIDEFLQQAPPPGVRKLDAQEHT
+EETLNQSTELRLQKADLNHLGALGSDNPGNKNILDAENTRGEAKELTRNVKMGDPLQAYR
+LISVVSHIGSSPNSGHYISDVYDFQKQAWFTYNDLCVSEISETKMQEARLHSGYIFFY
+>Q14157_PF12478_496 <unknown description>
+SKIPALAVEMPGSADISGLNLQFGALQFGSE
+>O75604_PF00443_268 <unknown description>
+GLRNLGNTCFMNSILQCLSNTRELRDYCLQRLYMRDLHHGSNAHTALVEEFAKLIQTIWT
+SSPNDVVSPSEFKTQIQRYAPRFVGYNQQDAQEFLRFLLDGLHNEVNRVTLRPKSNPENL
+DHLPDDEKGRQMWRKYLEREDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAK
+RGYPEVTLMDCMRLFTKEDVLDGDEKPTCCRCRGRKRCIKKFSIQRFPKILVLHLKRFSE
+SRIRTSKLTTFVNFPLRDLDLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPGT
+GEWHTFNDSSVTPMSSSQVRTSDAYLLFY
+>Q70CQ3_PF00443_69 <unknown description>
+GLVNLGNTCFMNSLLQGLSACPAFIRWLEEFTSQYSRDQKEPPSHQYLSLTLLHLLKALS
+CQEVTDDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQPRVTHLFD
+VHSLEQQSEITPKQITCRTRGSPHPTSNHWKSQHPFHGRLTSNMVCKHCEHQSPVRFDTF
+DSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEAKGTLNGEKVEHQRTTF
+VKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDIYKYHLLGHKPSQHNP
+KLNKNPGPTLELQDGPGAPTPVLNQPGAPKTQIFMNGACSPSLLPTLSAPMPFPLPVVPD
+YSSSTYLFRLMAVVVHHGDMHSGHFVTYRRSPPSARNPLSTSNQWLWVSDDTVRKASLQE
+VLSSSAYLLFY
+>Q70CQ4_PF00443_129 <unknown description>
+GLRNHGNTCFMNATLQCLSNTELFAEYLALGQYRAGRPEPSPDPEQPAGRGAQGQGEVTE
+QLAHLVRALWTLEYTPQHSRDFKTIVSKNALQYRGNSQHDAQEFLLWLLDRVHEDLNHSV
+KQSGQPPLKPPSETDMMPEGPSFPVCSTFVQELFQAQYRSSLTCPHCQKQSNTFDPFLCI
+SLPIPLPHTRPLYVTVVYQGKCSHCMRIGVAVPLSGTVARLREAVSMETKIPTDQIVLTE
+MYYDGFHRSFCDTDDLETVHESDCIFAFETPEIFRPEGILSQRGIHLNNNLNHLKFGLDY
+HRLSSPTQTAAKQGKMDSPTSRAGSDKIVLLVCNRACTGQQGKRFGLPFVLHLEKTIAWD
+LLQKEILEKMKYFLRPTVCIQVCPFSLRVVSVVGITYLLPQEEQPLCHPIVERALKSCGP
+GGTAHVKLVVEWDKETRDFLFVNTEDEYIPDAESVRLQRERHHQPQTCTLSQCFQLYTKE
+ERLAPDDAWRCPHCKQLQQGSITLSLWTLPDVLIIHLKRFRQEGDRRMKLQNMVKFPLTG
+LDMTPHVVKRSQSSWSLPSHWSPWRRPYGLGRDPEDYIYDLYAVCNHHGTMQGGHYTAYC
+KNSVDGLWYCFDDSDVQQLSEDEVCTQTAYILFY
+>Q8NFA0_PF13202_236 <unknown description>
+LFNAFDENRDNHIDFKE
+>Q8NFA0_PF13202_272 <unknown description>
+CFKVFDVDRDGVLSRVELRDMV
+>Q8NFA0_PF06337_393 <unknown description>
+GHNWFIISMQWWQQWKEYVKYDANPVVIEPSSVLNGGKYSFGTAAHPMEQVEDRIGSSLS
+YVNTTEEKFSDNISTASEASETAGSGFLYSATPGADVCFARQHNTSDNNNQCLLGANGNI
+LLHLNPQKPGAIDNQPLVTQEPVKATSLTLEGGRLKRTPQLIHGRDYEMVPEPVWRALYH
+WYGANLALPRPVI
+>Q8NFA0_PF00443_734 <unknown description>
+TGLSNLGNTCFMNSSIQCVSNTQPLTQYFISGRHLYELNRTNPIGMKGHMAKCYGDLVQE
+LWSGTQKNVAPLKLRWTIAKYAPRFNGFQQQDSQELLAFLLDGLHEDLNRVHEKPYVELK
+DSDGRPDWEVAAEAWDNHLRRNRSIVVDLFHGQLRSQVKCKTCGHISVRFDPFNFLSLPL
+PMDSYMHLEITVIKLDGTTPVRYGLRLNMDEKYTGLKKQLSDLCGLNSEQILLAEVHGSN
+IKNFPQDNQKVRLSVSGFLCAFEIPVPVSPISASSPTQTDFSSSPSTNEMFTLTTNGDLP
+RPIFIPNGMPNTVVPCGTEKNFTNGMVNGHMPSLPDSPFTGYIIAVHRKMMRTELYFLSS
+QKNRPSLFGMPLIVPCTVHTRKKDLYDAVWIQVSRLASPLPPQEASNHAQDCDDSMGYQY
+PFTLRVVQKDGNSCAWCPWYRFCRGCKIDCGEDRAFIGNAYIAVDWDPTALHLRYQTSQE
+RVVDEHESVEQSRRAQAEPINLDSCLRAFTSEEELGENEMYYCSKCKTHCLATKKLDLWR
+LPPILIIHLKRFQFVNGRWIKSQKIVKFPRESFDPSAFLVPRDPALCQHKPLTPQGDELS
+EPRILAREVKKVDAQSSAGEEDVLLSKSPSSLSANIISSPKGSPSSSRKSGTSCPSSKNS
+SPNSSPRTLGRSKGRLRLPQIGSKNKLSSSKENLDASKENGAGQICELADALSRGHVLGG
+SQPELVTPQDHEVALANGFLYEHEACGNGYSNGQLGNHSEEDSTDDQREDTRIKPIYNLY
+AISCHSGILGGGHYVTYAKNPNCKWYCYNDSSCKELHPDEIDTDSAYILFY
+>Q8TEY7_PF02148_30 <unknown description>
+CQDCKVQGPNLWACLENRCSYVGCGESQVDHSTIHSQETKHYLTVNLTTLRVWCYACSKE
+VF
+>Q8TEY7_PF00443_154 <unknown description>
+TGLKNIGNTCYMNAALQALSNCPPLTQFFLDCGGLARTDKKPAICKSYLKLMTELWHKSR
+PGSVVPTTLFQGIKTVNPTFRGYSQQDAQEFLRCLMDLLHEELKEQVMEVEEDPQTITTE
+ETMEEDKSQSDVDFQSCESCSNSDRAENENGSRCFSEDNNETTMLIQDDENNSEMSKDWQ
+KEKMCNKINKVNSEGEFDKDRDSISETVDLNNQETVKVQIHSRASEYITDVHSNDLSTPQ
+ILPSNEGVNPRLSASPPKSGNLWPGLAPPHKKAQSASPKRKKQHKKYRSVISDIFDGTII
+SSVQCLTCDRVSVTLETFQDLSLPIPGKEDLAKLHSSSHPTSIVKAGSCGEAYAPQGWIA
+FFMEYVKRFVVSCVPSWFWGPVVTLQDCLAAFFARDELKGDNMYSCEKCKKLRNGVKFCK
+VQNFPEILCIHLKRFRHELMFSTKISTHVSFPLEGLDLQPFLAKDSPAQIVTYDLLSVIC
+HHGTASSGHYIAYCRNNLNNLWYEFDDQSVTEVSESTVQNAEAYVLFY
+>Q8TEY7_PF06337_709 <unknown description>
+FYISRQWLNKFKTFAEPGPISNNDFLCIHGGVPPRKAGYIEDLVLMLPQNIWDNLYSRYG
+GGPAVNHLYI
+>Q8TEY7_PF06337_816 <unknown description>
+FYCISMQWFREWESFVKGKDGDPPGPIDNTKIAVTKCGNVMLRQGADSGQISEETWNFLQ
+SIYGGGPEVI
+>Q70CQ2_PF00443_1894 <unknown description>
+VGLTNLGATCYLASTIQQLYMIPEARQAVFTAKYSEDMKHKTTLLELQKMFTYLMESECK
+AYNPRPFCKTYTMDKQPLNTGEQKDMTEFFTDLITKIEEMSPELKNTVKSLFGGVITNNV
+VSLDCEHVSQTAEEFYTVRCQVADMKNIYESLDEVTIKDTLEGDNMYTCSHCGKKVRAEK
+RACFKKLPRILSFNTMRYTFNMVTMMKEKVNTHFSFPLRLDMTPYTEDFLMGKSERKEGF
+KEVSDHSKDSESYEYDLIGVTVHTGTADGGHYYSFIRDIVNPHAYKNNKWYLFNDAEVKP
+FDSAQLASECFGGEMTTKTYDSVTDKFMDFSFEKTHSAYMLFY
+>Q70CQ2_PF12030_2359 <unknown description>
+QILIKCPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMEDGSDDMDTSVEDIGGRSCVT
+RFVRTLLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQFLLSLQAISTMVHFYMGTKG
+PENPQVEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIALVALLVEQSRSERHLTLSQ
+TDMAALTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNRLAEHIVSMLFTSIAKLTPE
+AANPFFKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNPSQCLDWLAVQTPRNKLAHS
+WVLQNMENWVERFLLAHNYPRVRTSAAYLLVS
+>Q9P2H5_PF00443_441 <unknown description>
+IGLINLGNTCYVNSILQALFMASDFRHCVLRLTENNSQPLMTKLQWLFGFLEHSQRPAIS
+PENFLSASWTPWFSPGTQQDCSEYLKYLLDRLHEEEKTGTRICQKLKQSSSPSPPEEPPA
+PSSTSVEKMFGGKIVTRICCLCCLNVSSREEAFTDLSLAFPPPERCRRRRLGSVMRPTED
+ITARELPPPTSAQGPGRVGPRRQRKHCITEDTPPTSLYIEGLDSKEAGGQSSQEERIERE
+EEGKEERTEKEEVGEEEESTRGEGEREKEEEVEEEEEKVEKETEKEAEQEKEEDSLGAGT
+HPDAAIPSGERTCGSEGSRSVLDLVNYFLSPEKLTAENRYYCESCASLQDAEKVVELSQG
+PCYLILTLLRFSFDLRTMRRRKILDDVSIPLLLRLPLAGGRGQAYDLCSVVVHSGVSSES
+GHYYCYAREGAARPAASLGTADRPEPENQWYLFNDTRVSFSSFESVSNVTSFFPKDTAYV
+LFY
+>Q9P275_PF00443_123 <unknown description>
+GLHNLGNTCFLNATIQCLTYTPPLANYLLSKEHARSCHQGSFCMLCVMQNHIVQAFANSG
+NAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACLNGCAKLDRQTQATTLVH
+QIFGGYLRSRVKCSVCKSVSDTYDPYLDVALEIRQAANIVRALELFVKADVLSGENAYMC
+AKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGYPEFLNIRPYMSQNNGDP
+VMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSSNVKVVLNQQAYVLFY
+>Q86T82_PF16674_1 <unknown description>
+MSPLKIHGPIRIRSMQTGITKWKEGSFEIVEKENKVSLVVHYNTGGIPRIFQLSHNIKNV
+VLRPSGAKQSRLMLTLQDNSFLSIDKVPSKDAEEMRLFLDAVHQN
+>Q86T82_PF00443_341 <unknown description>
+QGFSNLGNTCYMNAILQSLFSLQSFANDLLKQGIPWKKIPLNALIRRFAHLLVKKDICNS
+ETKKDLLKKVKNAISATAERFSGYMQNDAHEFLSQCLDQLKEDMEKLNKTWKTEPVSGEE
+NSPDISATRAYTCPVITNLEFEVQHSIICKACGEIIPKREQFNDLSIDLPRRKKPLPPRS
+IQDSLDLFFRAEELEYSCEKCGGKCALVRHKFNRLPRVLILHLKRYSFNVALSLNNKIGQ
+QVIIPRYLTLSSHCTENTKPPFTLGWSAHMAISRPLKASQMVNSCITSPSTPSKKFTFKS
+KSSLALCLDSDSEDELKRSVALSQRLCEMLGNEQQQEDLEKDSKLCPIEPDKSELENSGF
+DRMSEEELLAAVLEISKRDASPSLSHEDDDKPTSSPDTGFAEDDIQEMPENPDTMETEKP
+KTITELDPASFTEITKDCDENKENKTPEGSQGEVDWLQQYDMEREREEQELQQALAQSLQ
+EQEAWEQKEDDDLKRATELSLQEFNNSFVDALGSDEDSGNEDVFDMEYTEAEAEELKRNA
+ETGNLPHSYRLISVVSHIGSTSSSGHYISDVYDIKKQAWFTYNDLEVSKIQEAAVQSDRD
+RSGYIFFY
+>Q86T82_PF02809_704 <unknown description>
+SEEELLAAVLEISKR
+>Q86T82_PF02809_807 <unknown description>
+EEQELQQALAQSLQEQ
+>Q86T82_PF02809_829 <unknown description>
+EDDDLKRATELSLQEF
+>Q8NB14_PF00443_445 <unknown description>
+TGLINLGNTCYMNSVIQALFMATDFRRQVLSLNLNGCNSLMKKLQHLFAFLAHTQREAYA
+PRIFFEASRPPWFTPRSQQDCSEYLRFLLDRLHEEEKILKVQASHKPSEILECSETSLQE
+VASKAAVLTETPRTSDGEKTLIEKMFGGKLRTHIRCLNCRSTSQKVEAFTDLSLAFCPSS
+SLENMSVQDPASSPSIQDGGLMQASVPGPSEEPVVYNPTTAAFICDSLVNEKTIGSPPNE
+FYCSENTSVPNESNKILVNKDVPQKPGGETTPSVTDLLNYFLAPEILTGDNQYYCENCAS
+LQNAEKTMQITEEPEYLILTLLRFSYDQKYHVRRKILDNVSLPLVLELPVKRITSFSSLS
+ESWSVDVDFTDLSENLAKKLKPSGTDEASCTKLVPYLLSSVVVHSGISSESGHYYSYARN
+ITSTDSSYQMYHQSEALALASSQSHLLGRDSPSAVFEQDLENKEMSKEWFLFNDSRVTFT
+SFQSVQKITSRFPKDTAYVLLY
+>Q9Y6I4_PF02148_29 <unknown description>
+CSVCRSNKSPWVCLTCSSVHCGRYVNGHAKKHYEDAQVPLTNHKKSEKQDKVQHTVCMDC
+SSYSTYCYRCDDFVVNDT
+>Q9Y6I4_PF00443_159 <unknown description>
+TGLRNLGNTCFMNAILQSLSNIEQFCCYFKELPAVELRNGKTAGRRTYHTRSQGDNNVSL
+VEEFRKTLCALWQGSQTAFSPESLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQG
+GFNGVSRSAILQENSTLSASNKCCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFL
+DLSLDIPSQFRSKRSKNQENGPVCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKF
+WIQKLPKVLCLHLKRFHWTAYLRNKVDTYVEFPLRGLDMKCYLLEPENSGPESCLYDLAA
+VVVHHGSGVGSGHYTAYATHEGRWFHFNDSTVTLTDEETVVKAKAYILFY
+>Q9H9J4_PF00443_112 <unknown description>
+GLQNLGNTCFANAALQCLTYTPPLANYMLSHEHSKTCHAEGFCMMCTMQAHITQALSNPG
+DVIKPMFVINEMRRIARHFRFGNQEDAHEFLQYTVDAMQKACLNGSNKLDRHTQATTLVC
+QIFGGYLRSRVKCLNCKGVSDTFDPYLDITLEIKAAQSVNKALEQFVKPEQLDGENSYKC
+SKCKKMVPASKRFTIHRSSNVLTLSLKRFANFTGGKIAKDVKYPEYLDIRPYMSQPNGEP
+IVYVLYAVLVHTGFNCHAGHYFCYIKASNGLWYQMNDSIVSTSDIRSVLSQQAYVLFY
+>Q70EL4_PF00443_101 <unknown description>
+QGLKNHGNTCFMNAVVQCLSNTDLLAEFLALGRYRAAPGRAEVTEQLAALVRALWTREYT
+PQLSAEFKNAVSKYGSQFQGNSQHDALEFLLWLLDRVHEDLEGSSRGPVSEKLPPEATKT
+SENCLSPSAQLPLGQSFVQSHFQAQYRSSLTCPHCLKQSNTFDPFLCVSLPIPLRQTRFL
+SVTLVFPSKSQRFLRVGLAVPILSTVAALRKMVAEEGGVPADEVILVELYPSGFQRSFFD
+EEDLNTIAEGDNVYAFQVPPSPSQGTLSAHPLGLSASPRLAAREGQRFSLSLHSESKVLI
+LFCNLVGSGQQASRFGPPFLIREDRAVSWAQLQQSILSKVRHLMKSEAPVQNLGSLFSIR
+VVGLSVACSYLSPKDSRPLCHWAVDRVLHLRRPGGPPHVKLAVEWDSSVKERLFGSLQEE
+RAQDADSVWQQQQAHQQHSCTLDECFQFYTKEEQLAQDDAWKCPHCQVLQQGMVKLSLWT
+LPDILIIHLKRFCQVGERRNKLSTLVKFPLSGLNMAPHVAQRSTSPEAGLGPWPSWKQPD
+CLPTSYPLDFLYDLYAVCNHHGNLQGGHYTAYCRNSLDGQWYSYDDSTVEPLREDEVNTR
+GAYILFY
+>Q9H0E7_PF02148_29 <unknown description>
+CVDCNTTESIWACLSCSHVACGRYIEEHALKHFQESSHPVALEVNEMYVFCYLCDDYVLN
+DN
+>Q9H0E7_PF00443_273 <unknown description>
+TGLRNLGNTCYMNSVLQVLSHLLIFRQCFLKLDLNQWLAMTASEKTRSCKHPPVTDTVVY
+QMNECQEKDTGFVCSRQSSLSSGLSGGASKGRKMELIQPKEPTSQYISLCHELHTLFQVM
+WSGKWALVSPFAMLHSVWRLIPAFRGYAQQDAQEFLCELLDKIQRELETTGTSLPALIPT
+SQRKLIKQVLNVVNNIFHGQLLSQVTCLACDNKSNTIEPFWDLSLEFPERYQCSGKDIAS
+QPCLVTEMLAKFTETEALEGKIYVCDQCNSKRRRFSSKPVVLTEAQKQLMICHLPQVLRL
+HLKRFRWSGRNNREKIGVHVGFEEILNMEPYCCRETLKSLRPECFIYDLSAVVMHHGKGF
+GSGHYTAYCYNSEGGFWVHCNDSKLSMCTMDEVCKAQAYILFY
+>Q70EL2_PF02148_62 <unknown description>
+CSECLKERRFYDGQLVLTSDIWLCLKCGFQGCGKNSESQHSLKHFKSSRTEPHCIIINLS
+TWIIWCYECDEKLSTH
+>Q70EL2_PF00443_191 <unknown description>
+GITNLGNTCFFNAVMQNLAQTYTLTDLMNEIKESSTKLKIFPSSDSQLDPLVVELSRPGP
+LTSALFLFLHSMKETEKGPLSPKVLFNQLCQKAPRFKDFQQQDSQELLHYLLDAVRTEET
+KRIQASILKAFNNPTTKTADDETRKKVKAYGKEGVKMNFIDRIFIGELTSTVMCEECANI
+STVKDPFIDISLPIIEERVSKPLLWGRMNKYRSLRETDHDRYSGNVTIENIHQPRAAKKH
+SSSKDKSQLIHDRKCIRKLSSGETVTYQKNENLEMNGDSLMFASLMNSESRLNESPTDDS
+EKEASHSESNVDADSEPSESESASKQTGLFRSSSGSGVQPDGPLYPLSAGKLLYTKETDS
+GDKEMAEAISELRLSSTVTGDQDFDRENQPLNISNNLCFLEGKHLRSYSPQNAFQTLSQS
+YITTSKECSIQSCLYQFTSMELLMGNNKLLCENCTKNKQKYQEETSFAEKKVEGVYTNAR
+KQLLISAVPAVLILHLKRFHQAGLSLRKVNRHVDFPLMLDLAPFCSATCKNASVGDKVLY
+GLYGIVEHSGSMREGHYTAYVKVRTPSRKLSEHNTKKKNVPGLKAADNESAGQWVHVSDT
+YLQVVPESRALSAQAYLLFY
+>P62068_PF00443_35 <unknown description>
+FGLVNFGNTCYCNSVLQALYFCRPFRENVLAYKAQQKKKENLLTCLADLFHSIATQKKKV
+GVIPPKKFISRLRKENDLFDNYMQQDAHEFLNYLLNTIADILQEEKKQEKQNGKLKNGNM
+NEPAENNKPELTWVHEIFQGTLTNETRCLNCETVSSKDEDFLDLSVDVEQNTSITHCLRD
+FSNTETLCSEQKYYCETCCSKQEAQKRMRVKKLPMILALHLKRFKYMEQLHRYTKLSYRV
+VFPLELRLFNTSSDAVNLDRMYDLVAVVVHCGSGPNRGHYITIVKSHGFWLLFDDDIVEK
+IDAQAIEEFYGLTSDISKNSESGYILFY
+>Q96K76_PF00443_168 <unknown description>
+VGLVNQAMTCYLNSLLQTLFMTPEFRNALYKWEFEESEEDPVTSIPYQLQRLFVLLQTSK
+KRAIETTDVTRSFGWDSSEAWQQHDVQELCRVMFDALEQKWKQTEQADLINELYQGKLKD
+YVRCLECGYEGWRIDTYLDIPLVIRPYGSSQAFASVEEALHAFIQPEILDGPNQYFCERC
+KKKCDARKGLRFLHFPYLLTLQLKRFDFDYTTMHRIKLNDRMTFPEELDMSTFIDVEDEK
+SPQTESCTDSGAENEGSCHSDQMSNDFSNDDGVDEGICLETNSGTEKISKSGLEKNSLIY
+ELFSVMVHSGSAAGGHYYACIKSFSDEQWYSFNDQHVSRITQEDIKKTHGGSSGSRGYYS
+SAFASSTNAYMLIY
+>Q96K76_PF19718_1104 <unknown description>
+RVKVYQLLVNEQEPCKFLLDAVFAKGMTVRQSKEELIPQLREQCGLELSIDRFRLRKKTW
+KNPGTVFLDYHIYEEDINISSNWEVFLEVLDGVEKMKSMSQLAVLSRRWKPSEMKLDPFQ
+EVVLESSSVDELREKLSEISGIPLDDIEFAKGRGTFPCDISVLDIHQDLDWNPKVSTLNV
+WPLYICDDGAVIFYRDKTEELMELTDEQRNELMKKESSRLQKTGHRVTYSPRKEKALKIY
+>Q86UV5_PF00443_89 <unknown description>
+VGLTNLGATCYVNTFLQVWFLNLELRQALYLCPSTCSDYMLGDGIQEEKDYEPQTICEHL
+QYLFALLQNSNRRYIDPSGFVKALGLDTGQQQDAQEFSKLFMSLLEDTLSKQKNPDVRNI
+VQQQFCGEYAYVTVCNQCGRESKLLSKFYELELNIQGHKQLTDCISEFLKEEKLEGDNRY
+FCENCQSKQNATRKIRLLSLPCTLNLQLMRFVFDRQTGHKKKLNTYIGFSEILDMEPYVE
+HKGGSYVYELSAVLIHRGVSAYSGHYIAHVKDPQSGEWYKFNDEDIEKMEGKKLQLGIEE
+DLAEPSKSQTRKPKCGKGTHCSRNAYMLVY
+>Q70CQ1_PF02148_26 <unknown description>
+CLECATTESVWACLKCSHVACGRYIEDHALKHFEETGHPLAMEVRDLYVFCYLCKDYVLN
+DN
+>Q70CQ1_PF00443_253 <unknown description>
+TGLRNLGNTCYMNSILQVLSHLQKFRECFLNLDPSKTEHLFPKATNGKTQLSGKPTNSSA
+TELSLRNDRAEACEREGFCWNGRASISRSLELIQNKEPSSKHISLCRELHTLFRVMWSGK
+WALVSPFAMLHSVWSLIPAFRGYDQQDAQEFLCELLHKVQQELESEGTTRRILIPFSQRK
+LTKQVLKVVNTIFHGQLLSQVTCISCNYKSNTIEPFWDLSLEFPERYHCIEKGFVPLNQT
+ECLLTEMLAKFTETEALEGRIYACDQCNSKRRKSNPKPLVLSEARKQLMIYRLPQVLRLH
+LKRFRWSGRNHREKIGVHVVFDQVLTMEPYCCRDMLSSLDKETFAYDLSAVVMHHGKGFG
+SGHYTAYCYNTEGGFWVHCNDSKLNVCSVEEVCKTQAYILFY
+>Q13107_PF06337_31 <unknown description>
+GAQWYLIDSRWFKQWKKYVGFDSWDMYNVGEHNLFPGPIDNSGLFSDPESQTLKEHLIDE
+LDYVLVPTEAWNKLLNWYGCVEGQQPIVRKVV
+>Q13107_PF14836_140 <unknown description>
+LKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERETRLWNKYMSNTYEQLSKLD
+NTVQDAGLYQGQVLVIEPQNEDGTWPR
+>Q13107_PF00443_302 <unknown description>
+CGLGNLGNTCFMNSALQCLSNTAPLTDYFLKDEYEAEINRDNPLGMKGEIAEAYAELIKQ
+MWSGRDAHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFLLDGLHEDLNRVKKKPYLELK
+DANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLPL
+PLKKDRVMEVFLVPADPHCRPTQYRVTVPLMGAVSDLCEALSRLSGIAAENMVVADVYNH
+RFHKIFQMDEGLNHIMPRDDIFVYEVCSTSVDGSECVTLPVYFRERKSRPSSTSSASALY
+GQPLLLSVPKHKLTLESLYQAVCDRISRYVKQPLPDEFGSSPLEPGACNGSRNSCEGEDE
+EEMEHQEEGKEQLSETEGSGEDEPGNDPSETTQKKIKGQPCPKRLFTFSLVNSYGTADIN
+SLAADGKLLKLNSRSTLAMDWDSETRRLYYDEQESEAYEKHVSMLQPQKKKKTTVALRDC
+IELFTTMETLGEHDPWYCPNCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTV
+VEFPIRGLNMSEFVCNLSARPYVYDLIAVSNHYGAMGVGHYTAYAKNKLNGKWYYFDDSN
+VSLASEDQIVTKAAYVLFY
+>Q70EL3_PF00443_44 <unknown description>
+TGLWNLGNTCCVNAISQCLCSILPLVEYFLTGKYITALQNDCSEVATAFAYLMTDMWLGD
+SDCVSPEIFWSALGNLYPAFTKKMQQDAQEFLICVLNELHEALKKYHYSRRRSYEKGSTQ
+RCCRKWITTETSIITQLFEEQLNYSIVCLKCEKCTYKNEVFTVFSLPIPSKYECSLRDCL
+QCFFQQDALTWNNEIHCSFCETKQETAVRASISKAPKIIIFHLKRFDIQGTTKRKLRTDI
+HYPLTNLDLTPYICSIFRKYPKYNLCAVVNHFGDLDGGHYTAFCKN
+>Q70EK9_PF02148_236 <unknown description>
+CHVCSTHMNRLHSCLSCVFFGCFTEKHIHKHAETKQHHLAVDLYHGVIYCFMCKDYVYDK
+D
+>Q70EK9_PF00443_364 <unknown description>
+GLINLGNTCFMNCIVQALTHIPLLKDFFLSDKHKCIMTSPSLCLVCEMSSLFHAMYSGSR
+TPHIPYKLLHLIWIHAEHLAGYRQQDAHEFLIAILDVLHRHSKDDSGGQEANNPNCCNCI
+IDQIFTGGLQSDVTCQACHSVSTTIDPCWDISLDLPGSCATFDSQNPERADSTVSRDDHI
+PGIPSLTDCLQWFTRPEHLGSSAKIKCNSCQSYQESTKQLTMKKLPIVACFHLKRFEHVG
+KQRRKINTFISFPLELDMTPFLASTKESRMKEGQPPTDCVPNENKYSLFAVINHHGTLES
+GHYTSFIRQQKDQWFSCDDAIITKATIEDLLYSEGYLLFY
+>Q70EL1_PF00443_32 <unknown description>
+GLSNEPGQNSCFLNSALQVLWHLDIFRRSFRQLTTHKCMGDSCIFCALKGIFNQFQCSSE
+KVLPSDTLRSALAKTFQDEQRFQLGIMDDAAECFENLLMRIHFHIADETKEDICTAQHCI
+SHQKFAMTLFEQCVCTSCGATSDPLPFIQMVHYISTTSLCNQAICMLERREKPSPSMFGE
+LLQNASTMGDLRNCPSNCGERIRIRRVLMNAPQIITIGLVWDSDHSDLAEDVIHSLGTCL
+KLGDLFFRVTDDRAKQSELYLVGMICYYGKHYSTFFFQTKIRKWMYFDDAHVKEIGPK
+>P45974_PF17807_16 <unknown description>
+IRVPKAGDRVHKDECAFSFDTPESEGGLYICMNTFLGFGKQYVERHFNKTGQRVYLHLRR
+TRR
+>P45974_PF02148_199 <unknown description>
+CSKCDMRENLWLNLTDGSILCGRRYFDGSGGNNHAVEHYRETGYPLAVKLGTITPDGADV
+YSYDEDDMVLDPS
+>P45974_PF00443_326 <unknown description>
+TGIRNLGNSCYLNSVVQVLFSIPDFQRKYVDKLEKIFQNAPTDPTQDFSTQVAKLGHGLL
+SGEYSKPVPESGDGERVPEQKEVQDGIAPRMFKALIGKGHPEFSTNRQQDAQEFFLHLIN
+MVERNCRSSENPNEVFRFLVEEKIKCLATEKVKYTQRVDYIMQLPVPMDAALNKEELLEY
+EEKKRQAEEEKMALPELVRAQVPFSSCLEAYGAPEQVDDFWSTALQAKSVAVKTTRFASF
+PDYLVIQIKKFTFGLDWVPKKLDVSIEMPEELDISQLRGTGLQPGEEELPDIAPPLVTPD
+EPKGSLGFYGNEDEDSFCSPHFSSPTSPMLDESVIIQLVEMGFPMDACRKAVYYTGNSGA
+EAAMNWVMSHMDDPDFANPLILPGSSGPGSTSAAADPPPEDCVTTIVSMGFSRDQALKAL
+RATNNSLERAVDWIFSHIDDLDAEAAMDISEGRSAADSISESVPVGPKVRDGPGKYQLFA
+FISHMGTSTMCGHYVCHIKKEGRWVIYNDQKVCASEKPPKDLGYIYFY
+>P45974_PF00627_656 <unknown description>
+DESVIIQLVEMGFPMDACRKAVYYTGNSGAEAAMNW
+>P45974_PF00627_725 <unknown description>
+EDCVTTIVSMGFSRDQALKALRATNNSLERAVDWI
+>P35125_PF00566_104 <unknown description>
+NIRGPVWSVLLNIQEIKLKNPGRYQIMKERGKRSSEHIHHIDLDVRTTLRNHVFFRDRYG
+AKQRELFYILLAYSEYNPEVGYCRDLSHITALFLLYLPEEDAFWALVQLLASERHSLPGF
+HSPNGGTVQGLQDQQEHVVPKSQPKTMWHQDKEGLCGQCASLGCLLRNLIDGISLGLTLR
+LWDVYLVEGEQVLMPITSIALKVQQKRLM
+>P35125_PF00443_532 <unknown description>
+TGLSNLGNTCFMNSSIQCVSNTQPLTQYFISGRHLYELNRTNPIGMKGHMAKCYGDLVQE
+LWSGTQKSVAPLKLRRTIAKYAPKFDGFQQQDSQELLAFLLDGLHEDLNRVHEKPYVELK
+DSDGRPDWEVAAEAWDNHLRRNRSIIVDLFHGQLRSQVKCKTCGHISVRFDPFNFLSLPL
+PMDSYMDLEITVIKLDGTTPVRYGLRLNMDEKYTGLKKQLRDLCGLNSEQILLAEVHDSN
+IKNFPQDNQKVQLSVSGFLCAFEIPVPSSPISASSPTQIDFSSSPSTNGMFTLTTNGDLP
+KPIFIPNGMPNTVVPCGTEKNFTNGMVNGHMPSLPDSPFTGYIIAVHRKMMRTELYFLSP
+QENRPSLFGMPLIVPCTVHTRKKDLYDAVWIQVSWLARPLPPQEASIHAQDRDNCMGYQY
+PFTLRVVQKDGNSCAWCPQYRFCRGCKIDCGEDRAFIGNAYIAVDWHPTALHLRYQTSQE
+RVVDKHESVEQSRRAQAEPINLDSCLRAFTSEEELGESEMYYCSKCKTHCLATKKLDLWR
+LPPFLIIHLKRFQFVNDQWIKSQKIVRFLRESFDPSAFLVPRDPALCQHKPLTPQGDELS
+KPRILAREVKKVDAQSSAGKEDMLLSKSPSSLSANISSSPKGSPSSSRKSGTSCPSSKNS
+SPNSSPRTLGRSKGRLRLPQIGSKNKPSSSKKNLDASKENGAGQICELADALSRGHMRGG
+SQPELVTPQDHEVALANGFLYEHEACGNGCGDGYSNGQLGNHSEEDSTDDQREDTHIKPI
+YNLYAISCHSGILSGGHYITYAKNPNCKWYCYNDSSCEELHPDEIDTDSAYILFY
+>Q93009_PF00917_76 <unknown description>
+ERFSRLSESVLSPPCFVRNLPWKIMVMPRFYPDRPHQKSVGFFLQCNAESDSTSWSCHAQ
+AVLKIINYRDDEKSFSRRISHLFFHKENDWGFSNFMAWSEVTDPEKGFIDDDKVTFEVFV
+>Q93009_PF00443_214 <unknown description>
+VGLKNQGATCYMNSLLQTLFFTNQLRKAVYMMPTEGDDSSKSVPLALQRVFYELQHSDKP
+VGTKKLTKSFGWETLDSFMQHDVQELCRVLLDNVENKMKGTCVEGTIPKLFRGKMVSYIQ
+CKEVDYRSDRREDYYDIQLSIKGKKNIFESFVDYVAVEQLDGDNKYDAGEHGLQEAEKGV
+KFLTLPPVLHLQLMRFMYDPQTDQNIKINDRFEFPEQLPLDEFLQKTDPKDPANYILHAV
+LVHSGDNHGGHYVVYLNPKGDGKWCKFDDDVVSRCTKEEAIEHNYGGHDDDLSVRHCTNA
+YMLVY
+>Q93009_PF12436_620 <unknown description>
+IRLWPMQARSNGTKRPAMLDNEADGNKTMIELSDNENPWTIFLETVDPELAASGATLPKF
+DKDHDVMLFLKMYDPKTRSLNYCGHIYTPISCKIRDLLPVMCDRAGFIQDTSLILYEEVK
+PNLTERIQDYDVSLDKALDELMDGDIIVFQKDDPENDNSELPTAKEYFRDLYHRVDVIFC
+DKTIPNDPGFVVTLSNRMNYFQVAKTVAQRLNTDPMLLQFFKSQGYRDGPGNPLRHNYEG
+TLRDLL
+>Q93009_PF14533_875 <unknown description>
+KLYYQQLKMKITDFENRRSFKCIWLNSQFREEEITLYPDKHGCVRDLLEECKKAVELGEK
+ASGKLRLLEIVSYKIIGVHQEDELLECLSPATSRTFRIEEIPLDQVDIDKENEMLVTVAH
+FHKEVFGTFGIPFLLRIHQGEHFREVMKRIQSLLDIQEKEFEKFKFAIVMMGRHQYINED
+EYEVNLKDFEPQPGNMSHPRPWLGLDHFNKAP
+>P40818_PF08969_8 <unknown description>
+PKELYLSSSLKDLNKKTEVKPEKISTKSYVHSALKIFKTAEECRLDRDEERAYVLYMKYV
+TVYNLIKKRPDFKQQQDYFHSILGPGNIKKAVEEAERLSESLKLRYEE
+>P40818_PF00581_184 <unknown description>
+TAKELYTMMTDKNISLIIMDARRMQDYQDSCILHSLSVPEEAISPGVTASWIEAHLPDDS
+KDTWKKRGNVEYVVLLDWFSSAKDLQIGTTLRSLKDALFKWESKTVLRNEPLVLEGGYEN
+WL
+>P40818_PF00443_777 <unknown description>
+TGLRNLGNTCYMNSILQCLCNAPHLADYFNRNCYQDDINRSNLLGHKGEVAEEFGIIMKA
+LWTGQYRYISPKDFKITIGKINDQFAGYSQQDSQELLLFLMDGLHEDLNKADNRKRYKEE
+NNDHLDDFKAAEHAWQKHKQLNESIIVALFQGQFKSTVQCLTCHKKSRTFEAFMYLSLPL
+ASTSKCTLQDCLRLFSKEEKLTDNNRFYCSHCRARRDSLKKIEIWKLPPVLLVHLKRFSY
+DGRWKQKLQTSVDFPLENLDLSQYVIGPKNNLKKYNLFSVSNHYGGLDGGHYTAYCKNAA
+RQRWFKFDDHEVSDISVSSVKSSAAYILFY
+>Q9UMX0_PF00240_39 <unknown description>
+VTVKTPKEKEEFAVPENSSVQQFKEEISKRFKSHTDQLVLIFAGKILKDQDTLSQHGIHD
+GLTVHLVIKT
+>Q9UMX0_PF00627_548 <unknown description>
+QQQLEQLSAMGFLNREANLQALIATGGDINAAIERL
+>Q9UHD9_PF00240_35 <unknown description>
+VTVKTPKEKEEFAVPENSSVQQFKEAISKRFKSQTDQLVLIFAGKILKDQDTLIQHGIHD
+GLTVHLVIKS
+>Q9UHD9_PF00627_583 <unknown description>
+QQQLEQLNAMGFLNREANLQALIATGGDINAAIERL
+>Q9H347_PF00240_24 <unknown description>
+VTVKTPKDKEDFSVTDTCTIQQLKEEISQRFKAHPDQLVLIFAGKILKDPDSLAQCGVRD
+GLTVHLVIKR
+>Q9H347_PF00627_619 <unknown description>
+QLEQLRSMGFLNREANLQALIATGGDVDAAVEKL
+>Q9NRR5_PF00240_15 <unknown description>
+VTVKTPKDKEEIVICDRASVKEFKEEISRRFKAQQDQLVLIFAGKILKDGDTLNQHGIKD
+GLTVHLVIKT
+>Q9NRR5_PF00627_560 <unknown description>
+QQQLEQLNSMGFINREANLQALIATGGDINAAIERL
+>Q8IYU4_PF00240_34 <unknown description>
+IVKTAGNQKDFMVADDISVRQFKEMLLAHFQCQMDQLVLVFMGCLLKDHDTLSQRGIMDG
+HTIYLVIKS
+>Q8IWV7_PF02207_99 <unknown description>
+CGRVFKSGETTYSCRDCAIDPTCVLCMDCFQDSVHKNHRYKMHTSTGGGFCDCGDTEAWK
+TGPFCVNH
+>Q8IWV7_PF02617_222 <unknown description>
+KNERYYCVLFNDEHHSYDHVIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGAYAACQE
+AKEDIKSHSENVSQHPLHV
+>Q8IWV7_PF18995_1300 <unknown description>
+TIYRIGLKVPPDERDPRVPMLTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALM
+QFAVAQRITCPQVLIQKHLVRLLSVVLPNIKSEDTPCLLSIDLFHVLVGAVLAFPSLYWD
+DPVDLQPSSVSSSYNHLYLFHLITMAHMLQILLTVDTGLPLAQVQEDSEEAHSASSFFAE
+ISQYTSGSIGCDIPGWYLWVSLKNGITPYLRCAALFFHYLLGVTPPEELHTNSAEGEYSA
+LCSYLSLPTNLFLLFQEYWDTVRPLLQRWCADPALLNCLKQKNTVVRYPRKRNSLIELPD
+DYSCLLNQASHFRCPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACIFHALH
+CGAGVCIFLKIRECRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLH
+LVWQ
+>Q8IWV8_PF02207_99 <unknown description>
+CGRVFKVGEPTYSCRDCAVDPTCVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWK
+EGPYCQKH
+>Q8IWV8_PF02617_223 <unknown description>
+KSDTYYCMLFNDEVHTYEQVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGDFQYCEQ
+AKSVIVRNTSRQTKPLKV
+>Q8IWV8_PF18995_1311 <unknown description>
+ATYKVGLKVHPNEEDPRVPIMCWGSCAYTIQSIERILSDEDKPLFGPLPCRLDDCLRSLT
+RFAAAHWTVASVSVVQGHFCKLFASLVPNDSHEELPCILDIDMFHLLVGLVLAFPALQCQ
+DFSGISLGTGDLHIFHLVTMAHIIQILLTSCTEENGMDQENPPCEEESAVLALYKTLHQY
+TGSALKEIPSGWHLWRSVRAGIMPFLKCSALFFHYLNGVPSPPDIQVPGTSHFEHLCSYL
+SLPNNLICLFQENSEIMNSLIESWCRNSEVKRYLEGERDAIRYPRESNKLINLPEDYSSL
+INQASNFSCPKSGGDKSRAPTLCLVCGSLLCSQSYCCQTELEGEDVGACTAHTYSCGSGV
+GIFLRVRECQVLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCKERFKKIQKLWH
+>Q6ZT12_PF02207_120 <unknown description>
+CGLVWTANFVAYRCRTCGISPCMSLCAECFHQGDHTGHDFNMFRSQAGGACDCGDSNVMR
+ESGFCKRH
+>Q6ZT12_PF18995_1429 <unknown description>
+TTQKKYRDYSKTPGSPDNDFLFMYSVARTNLELELIHRGGNLCSGGASTAGKRSCLNQLF
+HVLALHMRLYSIDSEYNPWRKLTQLEEMNPQLGYEEQQPEVPILYHDVTSLLLIQILMMP
+QPLRKDHFTCIVKVLFTLLYTQALAALSVKCSEEDRSAWKHAGALKKSTCDAEKSYEVLL
+SFVISELFKGKLYHEEGTQECAMVNPIAWSPESMEKCLQDFCLPFLRITSLLQHHLFGED
+LPSCQEEEEFSVLASCLGLLPTFYQTEHPFISASCLDWPVPAFDIITQWCFEIKSFTERH
+AEQGKALLIQESKWKLPHLLQLPENYNTIFQYYHRKTCSVCTKVPKDPAVCLVCGTFVCL
+KGLCCKQQSYCECVLHSQNCGAGTGIFLLINASVIIIIRGHRFCLWGSVYLDAHGEEDRD
+LRRGKPLYICKERYKVLEQQWIS
+>Q5T4S7_PF19423_69 <unknown description>
+QYEPFYSSFVALSTHYITTVCSLIPRNQLQSVAAACKVLIEFSLLRLENPDEACAVSQKH
+LILLIKGLCTGCSRLDRTEIITFTAMMKSAKLPQTVKTLSDVEDQKELASPVSPELRQKE
+VQMNFLNQLTSVFNPRTVASQPISTQTLVEGENDEQSSTDQASAIKTKNVFIAQNVASLQ
+ELGGSEKLLRVCLNLPYFLRYINRFQDAVLANSFFIMPATVADATAVRNGFHSLVIDVTM
+ALDTLSLPVLEPLNPSRLQDVTVLSLSCLYAGVSVATCMAILHVGSAQQVRTGSTSSKED
+DYESDAATIVQKCLEIYDMIGQAISSSRRAGGEHYQNFQLLGAWCLLNSLFLILNLSPTA
+LADKGKEKDPLAALRVRDILSRTKEGVGSPKLGPGKGHQGFGVLSVILANHAIKLLTSLF
+QDLQVEALHKGWETDGPPAALSIMAQSTSIQRIQRLIDSVPLMNLLLTLLSTSYRKACVL
+QRQRKGSMSSDASASTDSNTYYEDDFSSTEEDSSQDDDSEPILGQWFEETISPSKEKAAP
+PPPPPPPPLESSPRVKSPSKQAPGEKGNILASRKDPELFLGLASNILNFITSSMLNSRNN
+FIRNYLSVSLSEHHMATLASIIKEVDKDGLKGSSDEEFAAALYHFNHSLVTSDLQSPNLQ
+NTLLQQLGVAPFSEGPWPLYIHPQSLSVLSRLLLIWQHKASAQGDPDVPECLKVWDRFLS
+TMKQNALQGVVPSETEDLNVEHLQMLLLIFHNFTETGRRAILSLFVQIIQELSVNMDAQM
+RFVPLILARLLLIFDYLLHQYSKAPVYLFEQVQHNLLSPPFGWASGSQDSNSRRATTPLY
+HGFKEVEENWSKHFSSDAVPHPRFYCVLSPEASEDDLNRLDSVACDVLFSKLVKYDELYA
+ALTALLAAGSQLDTVRRKENKNVTALEACALQYYFLILWRILGILPPSKTYINQLSMNSP
+EMSECDILHTLRWSSRLRISSYVNWIKDHLIKQGMKAEHASSLLELASTTKCSSVKYDVE
+IVEEYFARQISSFCSIDCTTILQLHEIPSLQSIYTLDAAISKVQVSLDEHFSKMAAETDP
+HKSSEITKNLLPATLQLIDTYASFTRAYLLQNFNEEGTTEKPSKEKLQGFAAVLAIGSSR
+CKANTLGPTLVQNLPSSVQTVCESWNNINTNEFPNIGSWRNAFANDTIPSESYISAVQAA
+HLGTLCSQSLPLAASLKHTLLSLVRLTGDLIVWSDEMNPPQVIRTLLPLLLESSTESVAE
+ISSNSLERILGPAESDEFLARVYEKLITGCYNILANHADPNSGLDESILEECLQYLEKQL
+ESSQARKAMEEFFSDSGELVQIMMATANENLSAKFCNRVLKFFTKLFQLTEKSPNPSLLH
+LCGSLAQLACVEPVRLQAWLTRMTTSPPKDSDQLDVIQENRQLLQLLTTYIVRENSQVGE
+GVCAVLLGTLTPMATEMLANGDGTGFPELMVVMATLASAGQGAGHLQLHNAAVDWLSRCK
+KYLSQKNVVEKLNANVMHGKHVMILECTCHIMSYLADVTNALSQSNGQGPSHLSVDGEER
+AIEVDSDWVEELAVEEEDSQAE
+>Q5T4S7_PF02207_1662 <unknown description>
+CTFTITQKEFMNQHWYHCHTCKMVDGVGVCTVCAKVCHKDHEISYAKYGSFFCDCGAKE
+>Q5T4S7_PF13764_4367 <unknown description>
+MPGNPYSSNEPGIGPLMRDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVAEVYK
+KVWCTTNEGEPMRIVYRMRGLLGDATEEFIESLDSTTDEEEDEEEVYKMAGVMAQCGGLE
+CMLNRLAGIRDFKQGRHLLTVLLKLFSYCVKVKVNRQQLVKLEMNTLNVMLGTLNLALVA
+EQESKDSGGAAVAEQVLSIMEIILDESNAEPLSEDKGNLLLTGDKDQLVMLLDQINSTFV
+RSNPSVLQGLLRIIPYLSFGEVEKMQILVERFKPYCNFDKYDEDHSGDDKVFLDCFCKIA
+AGIKNNSNGHQLKDLILQKGITQNALDYMKKHIPSAKNLDADIWKKFLSRPALPFILRLL
+RGLAIQHPGTQVLIGTDSIPNLHKLEQVSSDEGIGTLAENLLEALREHPDVNKKIDAARR
+ETRAEKKRMAMAMRQKALGTLGMTTNEKGQVVTKTALLKQMEELIEEPGLTCCICREGYK
+FQPTKVLGIYTFTKRVALEEMENKPRKQQGYSTVSHFNIVHYDCHLAAVRLARGREEWES
+AALQNANTKCNGLLPVWGPHVPESAFATCLARHNTYLQECTGQREPTYQLNIHDIKLLFL
+RFAMEQSFSADTGGGGRESNIHLIPYIIHTVLYVLNTTRATSREEKNLQGFLEQPKEKWV
+ESAFEVDGPYYFTVLALHILPPEQWRATRVEILRRLLVTSQARAVAPGGATRLTDKAVKD
+YSAYRSSLLFWALVDLIYNMFKKVPTSNTEGGWSCSLAEYIRHNDMPIYEAADKALKTFQ
+EEFMPVETFSEFLDVAG
+>O95071_PF11547_180 <unknown description>
+IPASVIPEELISQAQVVLQGKSRSVIIRELQRTNLDVNLAVNNLLSRDDED
+>O95071_PF00658_2391 <unknown description>
+PAHRQALGERLYPRVQAMQPAFASKITGMLLELSPAQLLLLLASEDSLRARVDEAMELI
+>O95071_PF00632_2501 <unknown description>
+NAPLFYQPGKRGFYTPRPGKNTEARLNCFRNIGRILGLCLLQNELCPITLNRHVIKVLLG
+RKVNWHDFAFFDPVMYESLRQLILASQSSDADAVFSAMDLAFAIDLCKEEGGGQVELIPN
+GVNIPVTPQNVYEYVRKYAEHRMLVVAEQPLHAMRKGLLDVLPKNSLEDLTAEDFRLLVN
+GCGEVNVQMLISFTSFNDESGENAEKLLQFKRWFWSIVEKMSMTERQDLVYFWTSSPSLP
+ASEEGFQPMPSITIRPPDDQHLPTANTCISRLYVPLYSSKQILKQKLLLAIKTKNFGF
+>Q8N806_PF02207_46 <unknown description>
+CSYSQGSVKRQALYACSTCTPEGEEPAGICLACSYECHGSHKLFELYTKRNFRCDCGNSK
+FKNLECK
+>P57075_PF14604_283 <unknown description>
+ALFQYKPQNVDELTLSPGDYIFVDPTQQDEASEGWVIGISQRTGCRGFLPENYT
+>P57075_PF00300_448 <unknown description>
+ENDPPLSSCGIFQSRIAGDALLDSGIRISSVFASPALRCVQTAKLILEELKLEKKIKIRV
+EPGIFEWTKWEAGKTTPTLMSLEELKEANFNIDTDYRPAFPLSALMPAESYQEYMDRCTA
+SMVQIVNTCPQDTGVILIVSHGSTLDSCTRPLLGLPPRECGDFAQ
+>Q8TF42_PF00627_44 <unknown description>
+LLSMGFPRARAQKALASTGGRSVQAACDWL
+>Q8TF42_PF14604_261 <unknown description>
+VIYPYTPQNDDELELVPGDFIFMSPMEQTSTSEGWIYGTSLTTGCSGLLPENYIT
+>Q8TF42_PF00300_436 <unknown description>
+EKDAPITVFGCMQARLVGEALLESNTIIDHVYCSPSLRCVQTAHNILKGLQQENHLKIRV
+EPGLFEWTKWVAGSTLPAWIPPSELAAANLSVDTTYRPHIPISKLVVSESYDTYISRSFQ
+VTKEIISECKSKGNNILIVAHASSLEACTCQLQGLSPQNSKDF
+>Q9HAC8_PF16455_26 <unknown description>
+NEPLKKERLKWKSDYPMTDGQLRSKRDEFWDTAPAFEGRKEIWDALKAAAYAAEANDHEL
+AQAILDGASITLPHGTLCECYDELGNRYQLPIYCLSPPVNLL
+>Q9HAC8_PF00240_151 <unknown description>
+LKVRLSTGKDVRLSASLPDTVGQLKRQLHAQEGIEPSWQRWFFSGKLLTDRTRLQETKIQ
+KDFVIQVIINQ
+>Q8WUN7_PF16455_29 <unknown description>
+NQPLKKEKPKWKSDYPMTDGQLRSKRDEFWDTAPAFEGRKEIWDALKAAAHAFESNDHEL
+AQAIIDGANITLPHGALTECYDELGNRYQLPVYCLAPPINMIEE
+>Q8WUN7_PF00240_156 <unknown description>
+LRLSTGKDLKLVVRSTDTVFHMKRRLHAAEGVEPGSQRWFFSGRPLTDKMKFEELKIPKD
+YVVQVIVSQ
+>Q96LJ8_PF00789_195 <unknown description>
+QEPRLLLAVRSPTGQRFVRHFRPTDDLQTIVAVAEQKNKTSYRHCSIETMEVPRRRFSDL
+TKSLQECRIPHKSV
+>Q5T124_PF08059_235 <unknown description>
+LKLYRNGIMMFDGPFQPFYDPSTQRCLRDILDGFFPSELQRLYPNGVPFKVSDLRNQVYL
+EDGLDPFPGEGR
+>Q5T124_PF00789_397 <unknown description>
+SMLRIKSENGEQAFLLMMQPDNTIGDVRALLAQARVMDASAFEIFSTFPPTLYQDDTLTL
+QAAGLVPKAALLLR
+>P68543_PF08059_65 <unknown description>
+IKLWKNGFTVNDDFRSYSDGASQQFLNSIKKGELPSELQGIFDKEEVDVKVEDKKNEICL
+STKPVFQPFSGQGH
+>P68543_PF00789_171 <unknown description>
+EPITNIQIWLANGKRIVQKFNITHRVSHIKDFIEKYQGSQRSPPFSLATALPVLRLLDET
+LTLEEADLQNAVIIQR
+>Q14CS0_PF08059_146 <unknown description>
+LKLWSNGFSLDDGELRPYNEPTNAQFLESVKRGEIPLELQRLVHGGQVNLDMEDHQDQEY
+IKPRLRFKAFSGEGQ
+>Q14CS0_PF00789_255 <unknown description>
+PTTKIQIRLADGSRLIQRFNSTHRILDVRNFIVQSRPEFAALDFILVTSFPNKELTDESL
+TLLEADILNTVLLQQ
+>Q04323_PF00627_6 <unknown description>
+ALESLIEMGFPRGRAEKALALTGNQGIEAAMDWL
+>Q04323_PF00789_209 <unknown description>
+REYDQCRIQVRLPDGTSLTQTFRAREQLAAVRLYVELHRGEELGGGQDPVQLLSGFPRRA
+FSEADMERPLQELGLVPSAVLIVA
+>Q92575_PF00789_315 <unknown description>
+ERSTVARIQFRLPDGSSFTNQFPSDAPLEEARQFAAQTVGNTYGNFSLATMFPRREFTKE
+DYKKKLLDLELAPSASVVL
+>Q9BZV1_PF09409_169 <unknown description>
+DRVKLGVDTIAKYLDNIHLHPEEEKYRKIKLQNKVFQERINCLEGTHEFFEAIGFQKVLL
+PAQDQEDPEEFYVLSETTLAQPQSL
+>Q9BZV1_PF00789_333 <unknown description>
+KYNYTLLRVRLPDGCLLQGTFYARERLGAVYGFVREALQSDWLPFELLASGGQKLSEDEN
+LALNECGLVPSALL
+>O94888_PF14555_16 <unknown description>
+LIQQFTTITGASESVGKHMLEACNNNLEMAVTMFLDGG
+>O94888_PF13899_153 <unknown description>
+SFETAKECGQMQNKWLMINIQNVQDFACQCLNRDVWSNEAVKNIIREHFIFWQVYHDSEE
+GQRYIQFYKLGDFPYVSILDP
+>O94888_PF00789_410 <unknown description>
+GPKAQLMLRYPDGKREQITLPEQAKLLALVKHVQSKGYPNERFELLTNFPRRKLSHLDYD
+ITLQEAGLCPQETVFVQ
+>P09936_PF01088_5 <unknown description>
+PMEINPEMLNKVLSRLGVAGQWRFVDVLGLEEESLGSVPAPACALLLLFPLTAQHENFRK
+KQIEELKGQEVSPKVYFMKQTIGNSCGTIGLIHAVANNQDKLGFEDGSVLKQFLSETEKM
+SPEDRAKCFEKNEAIQAAHDAVAQEGQCRVDDKVNFHFILFNNVDGHLYELDGRMPFPVN
+HGASSEDTLLKDAAKVCREF
+>P15374_PF01088_6 <unknown description>
+WLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMDPELLSMVPRPVCAVLLLFPITEKYEVF
+RTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLKKFLEE
+SVSMSPEERARYLENYDAIRVTHETSAHEGQTEAPSIDEKVDLHFIALVHVDGHLYELDG
+RKPFPINHGETSDETLLEDAIEVCKKFME
+>Q9Y5K5_PF01088_8 <unknown description>
+WCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPENFEKLKPVHGLIFLFKWQPGEEPAGS
+VVQDSRLDTIFFAKQVINNACATQAIVSVLLNCTHQDVHLGETLSEFKEFSQSFDAAMKG
+LALSNSDVIRQVHNSFARQQMFEFDTKTSAKEEDAFHFVSYVPVNGRLYELDGLREGPID
+LGACNQDDWISAVRPVIEKRIQ
+>Q9Y5K5_PF18031_264 <unknown description>
+AKNQMLIEEEVQKLKRYKIENIRRKHNYLPFIMELLKTLAEHQQL
+>Q9HA47_PF00485_25 <unknown description>
+LIGVSGGTASGKSTVCEKIMELLGQNEVEQRQRKVVILSQDRFYKVLTAEQKAKALKGQY
+NFDHPDAFDNDLMHRTLKNIVEGKTVEVPTYDFVTHSRLPETTVVYPADVVLFEGILVFY
+SQEIRDMFHLRLFVDTDSDVRLSRRVLRDVRRGRDLEQILTQYTTFVKPAFEEFCLPTKK
+YADVIIPRGVDNMV
+>Q9BZX2_PF00485_22 <unknown description>
+LIGVSGGTASGKSSVCAKIVQLLGQNEVDYRQKQVVILSQDSFYRVLTSEQKAKALKGQF
+NFDHPDAFDNELILKTLKEITEGKTVQIPVYDFVSHSRKEETVTVYPADVVLFEGILAFY
+SQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQILSQYITFVKPAFEEFCLPTK
+KYADVIIPRGADNLV
+>Q9NWZ5_PF00485_101 <unknown description>
+IGLGGGSASGKTTVARMIIEALDVPWVVLLSMDSFYKVLTEQQQEQAAHNNFNFDHPDAF
+DFDLIISTLKKLKQGKSVKVPIYDFTTHSRKKDWKTLYGANVIIFEGIMAFADKTLLELL
+DMKIFVDTDSDIRLVRRLRRDISERGRDIEGVIKQYNKFVKPSFDQYIQPTMRLADIVVP
+RGSGNTV
+>Q9NWZ5_PF14681_329 <unknown description>
+STPQVRGMHTIIRDKETSRDEFIFYSKRLMRLLIEHALSFLPFQDCVVQTPQGQDYAGKC
+YAGKQITGVSILRAGETMEPALRAVCKDVRIGTILIQTNQLTGEPELHYLRLPKDISDDH
+VILMDCTVSTGAAAMMAVRVLLDHDVPEDKIFLLSLLMAEMGVHSVAYAFPRVRIITTAV
+DKRVNDLFRIIPGIGNFGDRYFGT
+>Q8WVF2_PF17085_1 <unknown description>
+MTWRQAVLLSCFSAVVLLSMLREGTSVSVGTMQMAGEEASEDAKQKIFMQESDASNFLKR
+RGKRSPKSRDEVNVENRQKLRVDELRREYYEEQRNEFENFVEEQNDEQEERSREAVEQWR
+QWHYDGLHPSYLYN
+>P55089_PF00473_85 <unknown description>
+PSLSIDLTFHLLRTLLELARTQSQRERAEQNRIIFDSV
+>Q96RP3_PF00473_73 <unknown description>
+VLSLDVPIGLLQILLEQARARAAREQATTNARILARV
+>Q969E3_PF00473_120 <unknown description>
+FTLSLDVPTNIMNLLFNIAKAKNLRAQAAANAHLMAQI
+>P25874_PF00153_14 <unknown description>
+GVQLFSAGIAACLADVITFPLDTAKVRLQVQGECPTSSVIRYKGVLGTITAVVKTEGRMK
+LYSGLPAGLQRQISSASLRIGLYDTVQEFLT
+>P25874_PF00153_113 <unknown description>
+LGSKILAGLTTGGVAVFIGQPTEVVKVRLQAQSHLHGIKPRYTGTYNAYRIIATTEGLTG
+LWKGTTPNLMRSVIINCTELVTYDLMKEAFVKNN
+>P25874_PF00153_214 <unknown description>
+CHLVSALIAGFCATAMSSPVDVVKTRFINSPPGQYKSVPNCAMKVFTNEGPTAFFKGLVP
+SFLRLGSWNVIMFVCFEQLKRELSKS
+>P55851_PF00153_13 <unknown description>
+ATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGPVRATASAQYRGVMGTILTMVRT
+EGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTK
+>P55851_PF00153_115 <unknown description>
+SIGSRLLAGSTTGALAVAVAQPTDVVKVRFQAQARAGGGRRYQSTVNAYKTIAREEGFRG
+LWKGTSPNVARNAIVNCAELVTYDLIKDALLKA
+>P55851_PF00153_216 <unknown description>
+CHFTSAFGAGFCTTVIASPVDVVKTRYMNSALGQYSSAGHCALTMLQKEGPRAFYKGFMP
+SFLRLGSWNVVMFVTYEQLKRALM
+>P55916_PF00153_12 <unknown description>
+TMAVKFLGAGTAACFADLVTFPLDTAKVRLQIQGENQAVQTARLVQYRGVLGTILTMVRT
+EGPCSPYNGLVAGLQRQMSFASIRIGLYDSVKQVYT
+>P55916_PF00153_115 <unknown description>
+SLTTRILAGCTTGAMAVTCAQPTDVVKVRFQASIHLGPSRSDRKYSGTMDAYRTIAREEG
+VRGLWKGTLPNIMRNAIVNCAEVVTYDILKEKLLDY
+>P55916_PF00153_216 <unknown description>
+NFPCHFVSAFGAGFCATVVASPVDVVKTRYMNSPPGQYFSPLDCMIKMVAQEGPTAFYKG
+FTPSFLRLGSWNVVMFVTYEQLKRALMK
+>O95847_PF00153_20 <unknown description>
+ASKFLLSGCAATVAELATFPLDLTKTRLQMQGEAALARLGDGARESAPYRGMVRTALGII
+EEEGFLKLWQGVTPAIYRHVVYSGGRMVTYEHLREVVFG
+>O95847_PF00153_125 <unknown description>
+YPLWKSVIGGMMAGVIGQFLANPTDLVKVQMQMEGKRKLEGKPLRFRGVHHAFAKILAEG
+GIRGLWAGWVPNIQRAALVNMGDLTTYDTVKHYLV
+>O95847_PF00153_227 <unknown description>
+NIMTHGLSSLCSGLVASILGTPADVIKSRIMNQPRDKQGRGLLYKSSTDCLIQAVQGEGF
+MSLYKGFLPSWLRMTPWSMVFWLTYEKIREM
+>O95258_PF00153_41 <unknown description>
+WKPFVYGGLASIVAEFGTFPVDLTKTRLQVQGQSIDARFKEIKYRGMFHALFRICKEEGV
+LALYSGIAPALLRQASYGTIKIGIYQSLKRLFVE
+>O95258_PF00153_140 <unknown description>
+TLLINMICGVVSGVISSTIANPTDVLKIRMQAQGSLFQGSMIGSFIDIYQQEGTRGLWRG
+VVPTAQRAAIVVGVELPVYDITKKHLI
+>O95258_PF00153_235 <unknown description>
+ILTHFVSSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTVDGILKMWKHEGFFA
+LYKGFWPNWLRLGPWNIIFFITYEQLKRL
+>P47985_PF09165_2 <unknown description>
+LSVASRSGPFAPVLSATSRGVAGALRPLVQATVPATPEQPVLDLKRPFLSRESLSGQAVR
+RPLVASVGLNVPASV
+>P47985_PF02921_80 <unknown description>
+HTDIKVPDFSEYRRLEVLDSTKSSRESSEARKGFSYLVTGVTTVGVAYAAKNAVTQFVSS
+MSASAD
+>P47985_PF00355_157 <unknown description>
+SDIPEGKNMAFKWRGKPLFVRHRTQKEIEQEAAVELSQLRDPQHDLDRVKKPEWVILIGV
+CTHLGCVPIANAGDFGGYYCPCHGSHYDASGRIRLGPAPLNLE
+>Q9HAW8_PF00201_26 <unknown description>
+GKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLERSLNCTVKTYSTSYTLED
+QNREFMVFAHAQWKAQAQSIFSLLMSSSSGFLDLFFSHCRSLFNDRKLVEYLKESSFDAV
+FLDPFDTCGLIVAKYFSLPSVVFTRGIFCHHLEEGAQCPAPLSYVPNDLLGFSDAMTFKE
+RVWNHIVHLEDHLFCQYLFRNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPV
+MPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGK
+IPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPM
+VMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHK
+DRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFK
+CCAYGYRKCLGKKGRV
+>P22309_PF00201_28 <unknown description>
+GKILLIPVDGSHWLSMLGAIQQLQQRGHEIVVLAPDASLYIRDGAFYTLKTYPVPFQRED
+VKESFVSLGHNVFENDSFLQRVIKTYKKIKKDSAMLLSGCSHLLHNKELMASLAESSFDV
+MLTDPFLPCSPIVAQYLSLPTVFFLHALPCSLEFEATQCPNPFSYVPRPLSSHSDHMTFL
+QRVKNMLIAFSQNFLCDVVYSPYATLASEFLQREVTVQDLLSSASVWLFRSDFVKDYPRP
+IMPNMVFVGGINCLHQNPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALG
+KIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVP
+MVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLH
+KDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITF
+KCCAYGYRKCLGKKGRV
+>P35503_PF00201_29 <unknown description>
+GKVLVVPIDGSHWLSMREVLRELHARGHQAVVLTPEVNMHIKEENFFTLTTYAISWTQDE
+FDRHVLGHTQLYFETEHFLKKFFRSMAMLNNMSLVYHRSCVELLHNEALIRHLNATSFDV
+VLTDPVNLCAAVLAKYLSIPTVFFLRNIPCDLDFKGTQCPNPSSYIPRLLTTNSDHMTFM
+QRVKNMLYPLALSYICHAFSAPYASLASELFQREVSVVDILSHASVWLFRGDFVMDYPRP
+IMPNMVFIGGINCANRKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALG
+KIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVP
+MVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLH
+KDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITF
+KCCAYGYRKCLGKKGRV
+>P22310_PF00201_29 <unknown description>
+GKVLVVPTDGSPWLSMREALRELHARGHQAVVLTPEVNMHIKEEKFFTLTAYAVPWTQKE
+FDRVTLGYTQGFFETEHLLKRYSRSMAIMNNVSLALHRCCVELLHNEALIRHLNATSFDV
+VLTDPVNLCGAVLAKYLSIPAVFFWRYIPCDLDFKGTQCPNPSSYIPKLLTTNSDHMTFL
+QRVKNMLYPLALSYICHTFSAPYASLASELFQREVSVVDLVSYASVWLFRGDFVMDYPRP
+IMPNMVFIGGINCANGKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALG
+KIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVP
+MVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLH
+KDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITF
+KCCAYGYRKCLGKKGRV
+>P35504_PF00201_29 <unknown description>
+GKVLVVPTDGSHWLSMREALRDLHARGHQVVVLTLEVNMYIKEENFFTLTTYAISWTQDE
+FDRLLLGHTQSFFETEHLLMKFSRRMAIMNNMSLIIHRSCVELLHNEALIRHLHATSFDV
+VLTDPFHLCAAVLAKYLSIPAVFFLRNIPCDLDFKGTQCPNPSSYIPRLLTTNSDHMTFL
+QRVKNMLYPLALSYLCHAVSAPYASLASELFQREVSVVDLVSHASVWLFRGDFVMDYPRP
+IMPNMVFIGGINCANGKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALG
+KIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVP
+MVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLH
+KDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITF
+KCCAYGYRKCLGKKGRV
+>P19224_PF00201_27 <unknown description>
+DKLLVVPQDGSHWLSMKDIVEVLSDRGHEIVVVVPEVNLLLKESKYYTRKIYPVPYDQEE
+LKNRYQSFGNNHFAERSFLTAPQTEYRNNMIVIGLYFINCQSLLQDRDTLNFFKESKFDA
+LFTDPALPCGVILAEYLGLPSVYLFRGFPCSLEHTFSRSPDPVSYIPRCYTKFSDHMTFS
+QRVANFLVNLLEPYLFYCLFSKYEELASAVLKRDVDIITLYQKVSVWLLRYDFVLEYPRP
+VMPNMVFIGGINCKKRKDLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALG
+KIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVP
+MVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLH
+KDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITF
+KCCAYGYRKCLGKKGRV
+>Q9HAW7_PF00201_26 <unknown description>
+GKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLED
+QDREFMVFADARWTAPLRSAFSLLTSSSNGIFDLFFSNCRSLFNDRKLVEYLKESCFDAV
+FLDPFDACGLIVAKYFSLPSVVFARGIFCHYLEEGAQCPAPLSYVPRLLLGFSDAMTFKE
+RVWNHIMHLEEHLFCPYFFKNVLEIASEILQTPVTAYDLYSHTSIWLLRTDFVLEYPKPV
+MPNMIFIGGINCHQGKPVPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGK
+IPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPM
+VMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHK
+DRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFK
+CCAYGYRKCLGKKGRV
+>Q9HAW9_PF00201_26 <unknown description>
+GKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGKSLNCTVKTYSTSYTLED
+LDREFMDFADAQWKAQVRSLFSLFLSSSNGFFNLFFSHCRSLFNDRKLVEYLKESSFDAV
+FLDPFDACGLIVAKYFSLPSVVFARGIACHYLEEGAQCPAPLSYVPRILLGFSDAMTFKE
+RVRNHIMHLEEHLFCQYFSKNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPV
+MPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGK
+IPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPM
+VMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHK
+DRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFK
+CCAYGYRKCLGKKGRV
+>O60656_PF00201_26 <unknown description>
+GKLLVVPMDGSHWFTMRSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLED
+LDREFKAFAHAQWKAQVRSIYSLLMGSYNDIFDLFFSNCRSLFKDKKLVEYLKESSFDAV
+FLDPFDNCGLIVAKYFSLPSVVFARGILCHYLEEGAQCPAPLSYVPRILLGFSDAMTFKE
+RVRNHIMHLEEHLLCHRFFKNALEIASEILQTPVTEYDLYSHTSIWLLRTDFVLDYPKPV
+MPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGK
+IPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPM
+VMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHK
+DRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFK
+CCAYGYRKCLGKKGRV
+>P0DTE4_PF00201_21 <unknown description>
+GNVLIWPMEGSHWLNVKIIIDELIKKEHNVTVLVASGALFITPTSNPSLTFEIYKVPFGK
+ERIEGVIKDFVLTWLENRPSPSTIWRFYQEMAKVIKDFHMVSQEICDGVLKNQQLMAKLK
+KSKFEVLVSDPVFPCGDIVALKLGIPFMYSLRFSPASTVEKHCGKVPYPPSYVPAVLSEL
+TDQMSFTDRIRNFISYHLQDYMFETLWKSWDSYYSKALG
+>P0DTE4_PF00201_332 <unknown description>
+KVLWRYKGKKPATLGNNTQLFDWIPQNDLLGHPKTKAFITHGGTNGIYEAIYHGVPMVGV
+PMFADQPDNIAHMKAKGAAVEVNLNTMTSVDLLSALRTVINEPSYKENAMRLSRIHHDQP
+VKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVIGFLLVCVTTAIFLVIQCCL
+FSCQKFGKIGKKK
+>P0DTE5_PF00201_30 <unknown description>
+GNVLIWPTDGSHWLNIKIILEELIQRNHNVTVLASSATLFINSNPDSPVNFEVIPVSYKK
+SNIDSLIEHMIMLWIDHRPTPLTIWAFYKELGKLLDTFFQINIQLCDGVLKNPKLMARLQ
+KGGFDVLVADPVTICGDLVALKLGIPFMYTLRFSPASTVERHCGKIPAPVSYVPAALSEL
+TDQMTFGERIKNTISYSLQDYIFQSYWGEWNSYYSKILGRPTTLCETMGKAEIWLIRTYW
+DFEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFIQSSGKNGVVVFSLGSMVKNLTEEKAN
+LIASALAQIPQKVLWRYKGKKPATLGNNTQLFDWIPQNDLLGHPKTKAFITHGGTNGIYE
+AIYHGVPMVGVPMFADQPDNIAHMKAKGAAVEVNLNTMTSVDLLSALRTVINEPSYKENA
+MRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVIGFLLVCVT
+TAIFLVIQCCLFSCQKFGKIGKKK
+>Q6UWM9_PF00201_24 <unknown description>
+GKVLVWPCDMSHWLNVKVILEELIVRGHEVTVLTHSKPSLIDYRKPSALKFEVVHMPQDR
+TEENEIFVDLALNVLPGLSTWQSVIKLNDFFVEIRGTLKMMCESFIYNQTLMKKLQETNY
+DVMLIDPVIPCGDLMAELLAVPFVLTLRISVGGNMERSCGKLPAPLSYVPVPMTGLTDRM
+TFLERVKNSMLSVLFHFWIQDYDYHFWEEFYSKALGRPTTLCETVGKAEIWLIRTYWDFE
+FPQPYQPNFEFVGGLHCKPAKALPKEMENFVQSSGEDGIVVFSLGSLFQNVTEEKANIIA
+SALAQIPQKVLWRYKGKKPSTLGANTRLYDWIPQNDLLGHPKTKAFITHGGMNGIYEAIY
+HGVPMVGVPIFGDQLDNIAHMKAKGAAVEINFKTMTSEDLLRALRTVITDSSYKENAMRL
+SRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRSAAHDLTWFQHYSIDVIGFLLACVATAI
+FLFTKCFLFSCQKFNKTR
+>P06133_PF00201_24 <unknown description>
+GKVLVWPTEFSHWMNIKTILDELVQRGHEVTVLASSASISFDPNSPSTLKFEVYPVSLTK
+TEFEDIIKQLVKRWAELPKDTFWSYFSQVQEIMWTFNDILRKFCKDIVSNKKLMKKLQES
+RFDVVLADAVFPFGELLAELLKIPFVYSLRFSPGYAIEKHSGGLLFPPSYVPVVMSELSD
+QMTFIERVKNMIYVLYFEFWFQIFDMKKWDQFYSEVLGRPTTLSETMAKADIWLIRNYWD
+FQFPHPLLPNVEFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSMVSNTSEERANV
+IASALAKIPQKVLWRFDGNKPDTLGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEA
+IYHGIPMVGVPLFADQPDNIAHMKAKGAAVSLDFHTMSSTDLLNALKTVINDPLYKENAM
+KLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVTGFLLACVAT
+VIFIITKCLFCVWKFVRTGKK
+>P16662_PF00201_24 <unknown description>
+GKVLVWAAEYSHWMNIKTILDELIQRGHEVTVLASSASILFDPNNSSALKIEIYPTSLTK
+TELENFIMQQIKRWSDLPKDTFWLYFSQVQEIMSIFGDITRKFCKDVVSNKKFMKKVQES
+RFDVIFADAIFPCSELLAELFNIPFVYSLSFSPGYTFEKHSGGFIFPPSYVPVVMSELTD
+QMTFMERVKNMIYVLYFDFWFEIFDMKKWDQFYSEVLGRPTTLSETMGKADVWLIRNSWN
+FQFPYPLLPNVDFVGGLHCKPAKPLPKEMEDFVQSSGENGVVVFSLGSMVSNMTEERANV
+IASALAQIPQKVLWRFDGNKPDTLGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEA
+IYHGIPMVGIPLFADQPDNIAHMKARGAAVRVDFNTMSSTDLLNALKRVINDPSYKENVM
+KLSRIQHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVIGFLLVCVAT
+VIFIVTKCCLFCFWKFARKAKK
+>Q6NUS8_PF00201_24 <unknown description>
+KILTISTLGGSHYLLLDRVSQILQEHGHNVTMLHQSGKFLIPDIKEEEKSYQVIRWFSPE
+DHQKRIKKHFDSYIETALDGRKESEALVKLMEIFGTQCSYLLSRKDIMDSLKNENYDLVF
+VEAFDFCSFLIAEKLVKPFVAILPTTFGSLDFGLPSPLSYVPVFPSLLTDHMDFWGRVKN
+FLMFFSFSRSQWDMQSTFDNTIKEHFPEGSRPVLSHLLLKAELWFVNSDFAFDFARPLLP
+NTVYIGGLMEKPIKPVPQDLDNFIANFGDAGFVLVAFGSMLNTHQSQEVLKKMHNAFAHL
+PQGVIWTCQSSHWPRDVHLATNVKIVDWLPQSDLLAHPSIRLFVTHGGQNSVMEAIRHGV
+PMVGLPVNGDQHGNMVRVVAKNYGVSIRLNQVTADTLTLTMKQVIEDKRYKSAVVAASVI
+LHSQPLSPAQRLVGWIDHILQTGGATHLKPYAFQQPWHEQYLIDVFVFLLGLTLGTMWLC
+GKLLGVVAR
+>Q3SY77_PF00201_24 <unknown description>
+KILTISTVGGSHYLLMDRVSQILQDHGHNVTMLNHKRGPFMPDFKKEEKSYQVISWLAPE
+DHQREFKKSFDFFLEETLGGRGKFENLLNVLEYLALQCSHFLNRKDIMDSLKNENFDMVI
+VETFDYCPFLIAEKLGKPFVAILSTSFGSLEFGLPIPLSYVPVFRSLLTDHMDFWGRVKN
+FLMFFSFCRRQQHMQSTFDNTIKEHFTEGSRPVLSHLLLKAELWFINSDFAFDFARPLLP
+NTVYVGGLMEKPIKPVPQDLENFIAKFGDSGFVLVTLGSMVNTCQNPEIFKEMNNAFAHL
+PQGVIWKCQCSHWPKDVHLAANVKIVDWLPQSDLLAHPSIRLFVTHGGQNSIMEAIQHGV
+PMVGIPLFGDQPENMVRVEAKKFGVSIQLKKLKAETLALKMKQIMEDKRYKSAAVAASVI
+LRSHPLSPTQRLVGWIDHVLQTGGATHLKPYVFQQPWHEQYLLDVFVFLLGLTLGTLWLC
+GKLLG
+>P36537_PF00201_23 <unknown description>
+GKVLVWAAEYSLWMNMKTILKELVQRGHEVTVLASSASILFDPNDSSTLKLEVYPTSLTK
+TEFENIIMQLVKRLSEIQKDTFWLPFSQEQEILWAINDIIRNFCKDVVSNKKLMKKLQES
+RFDIVFADAYLPCGELLAELFNIPFVYSHSFSPGYSFERHSGGFIFPPSYVPVVMSKLSD
+QMTFMERVKNMLYVLYFDFWFQIFNMKKWDQFYSEVLGRPTTLSETMRKADIWLMRNSWN
+FKFPHPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSMVSNMTEERANV
+IATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEA
+IYHGIPMVGIPLFFDQPDNIAHMKAKGAAVRVDFNTMSSTDLLNALKTVINDPSYKENIM
+KLSRIQHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWFQYHSLDVIGFLLACVAT
+VLFIITKCCLFCFWKFARKGKK
+>O75310_PF00201_24 <unknown description>
+GKVLVWAAEYSHWMNMKTILKELVQRGHEVTVLASSASILFDPNDASTLKFEVYPTSLTK
+TEFENIIMQQVKRWSDIRKDSFWLYFSQEQEILWELYDIFRNFCKDVVSNKKVMKKLQES
+RFDIVFADAVFPCGELLAALLNIRFVYSLRFTPGYTIERHSGGLIFPPSYIPIVMSKLSD
+QMTFMERVKNMIYVLYFDFWFQMSDMKKWDQFYSEVLGRPTTLFETMGKADIWLMRNSWS
+FQFPHPFLPNVDFVGGFHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSVISNMTAERANV
+IATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEA
+IYHGIPMVGIPLFFDQPDNIAHMKAKGAAVRLDFNTMSSTDLLNALKTVINDPLYKENIM
+KLSRIQHDQPVKPLDRAVFWIEFVMPHKGAKHLRVAAHDLTWFQYHSLDVIGFLLACVAT
+VIFIITKFCLFCFWKFARKGKK
+>P54855_PF00201_24 <unknown description>
+GKVLVWPTEYSHWINMKTILEELVQRGHEVTVLTSSASTLVNASKSSAIKLEVYPTSLTK
+NYLEDSLLKILDRWIYGVSKNTFWSYFSQLQELCWEYYDYSNKLCKDAVLNKKLMMKLQE
+SKFDVILADALNPCGELLAELFNIPFLYSLRFSVGYTFEKNGGGFLFPPSYVPVVMSELS
+DQMIFMERIKNMIHMLYFDFWFQIYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYW
+DFEFPRPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGIVVFSLGSMISNMSEESAN
+MIASALAQIPQKVLWRFDGKKPNTLGSNTRLYKWLPQNDLLGHPKTKAFITHGGTNGIYE
+AIYHGIPMVGIPLFADQHDNIAHMKAKGAALSVDIRTMSSRDLLNALKSVINDPVYKENV
+MKLSRIHHDQPMKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWIQYHSLDVIAFLLACVA
+TVIFIITKFCLFCFRKLAKKGKKK
+>O75795_PF00201_24 <unknown description>
+GKVLVWPTEYSHWINMKTILEELVQRGHEVIVLTSSASILVNASKSSAIKLEVYPTSLTK
+NDLEDFFMKMFDRWTYSISKNTFWSYFSQLQELCWEYSDYNIKLCEDAVLNKKLMRKLQE
+SKFDVLLADAVNPCGELLAELLNIPFLYSLRFSVGYTVEKNGGGFLFPPSYVPVVMSELS
+DQMIFMERIKNMIYMLYFDFWFQAYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYW
+DFEFPRPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGIVVFSLGSMISNMSEESAN
+MIASALAQIPQKVLWRFDGKKPNTLGSNTRLYKWLPQNDLLGHPKTKAFITHGGTNGIYE
+AIYHGIPMVGIPLFADQHDNIAHMKAKGAALSVDIRTMSSRDLLNALKSVINDPIYKENI
+MKLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWIQYHSLDVIAFLLACVA
+TMIFMITKCCLFCFRKLAKTGKKK
+>Q9BY64_PF00201_24 <unknown description>
+GKVLVWTGEYSHWMNMKTILKELVQRGHEVTVLASSASILFDPNDAFTLKLEVYPTSLTK
+TEFENIIMQQVKRWSDIQKDSFWLYFSQEQEILWEFHDIFRNFCKDVVSNKKVMKKLQES
+RFDIIFADAFFPCGELLAALLNIPFVYSLCFTPGYTIERHSGGLIFPPSYIPVVMSKLSD
+QMTFMERVKNMIYVLYFDFWFQMCDMKKWDQFYSEVLGRPTTLFETMGKADIWLMRNSWS
+FQFPHPFLPNIDFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSVISNMTAERANV
+IATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGLPKTRAFITHGGANGIYEA
+IYHGIPMVGIPLFWDQPDNIAHMKAKGAAVRLDFHTMSSTDLLNALKTVINDPSYKENVM
+KLSIIQHDQPVKPLHRAVFWIEFVMCHKGAKHLRVAARDLTWFQYHSLDVIGFLLACVAT
+VIFVVTKFCLFCFWKFARKGKK
+>Q8IX04_PF05743_21 <unknown description>
+TVEELRNVNVFFPHFKYSMDTYVFKDSSQKDLLNFTGTIPVMYQGNTYNIPIRFWILDSH
+PFAPPICFLKPTANMGILVGKHVDAQGRIYLPYLQNWSHPKSVIVGLIKEMIAKFQEELP
+M
+>Q8IX04_PF00056_184 <unknown description>
+KITVVGGGELGIACTLAISAKGIADRLVLLDLSEGTKGATMDLEIFNLPNVEISKDLSAS
+AHSKVVIFTVNSLGSSQSYLDVVQSNVDMFRALVPALGHYSQHSVLLVASQPVEIMTYVT
+WKLSTFPANRVIG
+>Q8IX04_PF02866_320 <unknown description>
+NLDSQRLQYIITNVLKAQTSGKEVWVIGEQGEDKVLTWSGQEEVVSHTSQVQLSNRAMEL
+LRVKGQRSWSVGLSVADMVDSIVNNKKKVHSVSALAKGYYDINSEVFLSLPCILGTNGVS
+EVIKTTLKEDTVTEKLQSSASSI
+>Q9Y3C8_PF08694_7 <unknown description>
+RRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNADNDWFRLESNKEGTRWF
+GKCWYIHDLLKYEFDIEFDIPITYPTTAPEIAVPELDGKTAKMYRGGKICLTDHFKPLWA
+RNVPKFGLAHLMALGLGPWLAVEIPDLIQKGVIQ
+>Q92890_PF03152_19 <unknown description>
+FSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLSRLNITYPMLFKLTNKNSDRMT
+HCGVLEFVADEGICYLPHWMMQNLLLEEGGLVQVESVNLQVATYSKFQPQSPDFLDITNP
+KAVLENALRNFACLTTGDVIAINYNEKIYELRVMETKPDKAVSIIECDMNVDFDAP
+>O94874_PF09743_7 <unknown description>
+EIRRLAADFQRAQFAEATQRLSERNCIEIVNKLIAQKQLEVVHTLDGKEYITPAQISKEM
+RDELHVRGGRVNIVDLQQVINVDLIHIENRIGDIIKSEKHVQLVLGQLIDENYLDRLAEE
+VNDKLQESGQVTISELCKTYDLPGNFLTQALTQRLGRIISGHIDLDNRGVIFTEAFVARH
+KARIRGLFSAITRPTAVNSLISKYGFQEQLLYSVLEELVNSGRLRGTVVGGRQDKAVFVP
+DIYSRTQSTWVDSFFRQNGYLEFDALSRLGIPDAVSY
+>P61960_PF03671_3 <unknown description>
+KVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGIGINPAQT
+AGNVFLKHGSELRII
+>P30530_PF13927_46 <unknown description>
+GARGLTGTLRCQLQVQGEPPEVHWLRDGQILELADSTQTQVPLGEDEQDDWIVVSQLRIT
+SLQLSDTGQYQCLV
+>P30530_PF00041_227 <unknown description>
+QPRNLHLVSRQPTELEVAWTPGLSGIYPLTHCTLQAVLSDDGMGIQAGEPDPPEEPLTSQ
+ASVPPHQLRLGSLHPHTPYHIRVACTSSQGPSS
+>P30530_PF00041_336 <unknown description>
+PPENISATRNGSQAFVHWQEPRAPLQGTLLGYRLAYQGQDTPEVLMDIGLRQEVTLELQG
+DGSVSNLTVCVAAYTAAGDGPWS
+>P30530_PF07714_537 <unknown description>
+ALGKTLGEGEFGAVMEGQLNQDDSILKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPN
+VMRLIGVCFQGSERESFPAPVVILPFMKHGDLHSFLLYSRLGDQPVYLPTQMLVKFMADI
+ASGMEYLSTKRFIHRDLAARNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWI
+AIESLADRVYTSKSDVWSFGVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPADCLD
+GLYALMSRCWELNPQDRPSFTELREDL
+>Q6NVU6_PF07910_7 <unknown description>
+GFRGSRDWIGCVEASLCLAHFGGPQGRLCHVPRGVGLHGELERLYSHFAGGGGPVMVGGD
+ADARSKALLGVCVGSGTEAYVLVLDPHYWGTPKSPSELQAAGWVGWQEVSAAFDPNSFYN
+LC
+>Q9NUQ7_PF07910_278 <unknown description>
+IYVVQGIYGYHHYMQDRIDDNGWGCAYRSLQTICSWFKHQGYTERSIPTHREIQQALVDA
+GDKPATFVGSRQWIGSIEVQLVLNQLIGITSKILFVSQGSEIASQGRELANHFQSEGTPV
+MIGGGVLAHTILGVAWNEITGQIKFLILDPHYTGAEDLQVILEKGWCGWKGPDFWNKDAY
+YNLC
+>O60701_PF03721_6 <unknown description>
+KICCIGAGYVGGPTCSVIAHMCPEIRVTVVDVNESRINAWNSPTLPIYEPGLKEVVESCR
+GKNLFFSTNIDDAIKEADLVFISVNTPTKTYGMGKGRAADLKYIEACARRIVQNSNGYKI
+VTEKSTVPVRAAESIRRIFDANTKPNLNLQVLSNPEFLAEGTAIKDLKNPDRVLIGGDET
+PEGQR
+>O60701_PF00984_214 <unknown description>
+WSSELSKLAANAFLAQRISSINSISALCEATGADVEEVATAIGMDQRIGNKFLKASVGFG
+GSCFQKDVLNLVYLCEALNLPEVARYWQQVIDMND
+>O60701_PF03720_332 <unknown description>
+AILGFAFKKDTGDTRESSSIYISKYLMDEGAHLHIYDPKVPREQIVVDLSHPGVSEDDQV
+SRLVTISKDPYEACDGAHAVVICTEWDMFKELDYERIHKKMLKPAFIFDGRRVLD
+>Q9NYU2_PF18400_57 <unknown description>
+STPLLLEASEFLAEDSQEKFWNFVEASQNIGSSDHDGTDYSYYHAILEAAFQFLSPLQQN
+LFKFCLSLRSYSATIQAFQQIAADEPPPEGCNSFFSVHGKKTCESDTLEALLLTASERPK
+PLLFKGDHRYPSSNPESPVVIFYSEIGSEEFSNFHRQLISKSNAGKINYVFRHYIFNPR
+>Q9NYU2_PF18401_312 <unknown description>
+TNEMAPLKVWQLQDLSFQTAARILASPVELALVVMKDLSQNFPTKARAITKTAVSSELRT
+EVEENQKYFKGTLGLQPGDSALFINGLHMDLDTQDIFSLFDVLRNEARVMEGLHRLGIEG
+LSLHNVLKLN
+>Q9NYU2_PF18402_451 <unknown description>
+VDIRSPAISWVNNLEVDSRYNSWPSSLQELLRPTFPGVIRQIRKNLHNMVFIVDPAHETT
+AELMNTAEMFLSNHIPLRIGFIFVVNDSEDVDGMQDAGVAVLRAYNYVAQEVDDYHAFQT
+LTHIYNKVRTGEKVKVEHVVSVLEKKYPYVEVNSILGIDSAYDRNRKEARGYYEQTGVGP
+LPVVLFNGMPFEREQLDPDELETITMHKILETTTFFQRAVYLGELPHDQDVVEYIMNQPN
+VVPRINSRI
+>Q9NYU2_PF18403_727 <unknown description>
+DSQGKTAAVANSMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYDAIKHQK
+SSNNVRISMINNPAKEISYENTQISRAIWAALQTQTSNAAKNFITKMAKEGAAEALAAGA
+DIAEFSVGGMDFSLFKEVFESSKMDFILSHAVYCRDVLKLKKGQRAVISNGRIIGPLEDS
+ELFNQDDFHLLENIILKTSGQKIKSHIQQLRVEEDVASDLVMKVDALLS
+>Q9NYU2_PF06427_1115 <unknown description>
+EGHCYDITTGQPPRGLQFTLGTSANPVIVDTIVMANLGYFQLKANPGAWILRLRKGRSED
+IYRIYSHDGTDSPPDADEVVIVLNNFKSKIIKVKVQKKADMVNEDLL
+>Q9NYU2_PF18404_1256 <unknown description>
+INIFSVASGHLYERFLRIMMLSVLKNTKTPVKFWFLKNYLSPTFKEFIPYMANEYNFQYE
+LVQYKWPRWLHQQTEKQRIIWGYKILFLDVLFPLVVDKFLFVDADQIVRTDLKELRDFNL
+DGAPYGYTPFCDSRREMDGYRFWKSGYWASHLAGRKYHISALYVVDLKKFRKIAAGDRLR
+GQYQGLSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEWLWCETWCDDASKKRAKTIDLCNN
+PMTKEPKLEAAVRIVPEWQDYDQEIKQL
+>Q9NYU1_PF18400_45 <unknown description>
+ETPLLLEASEFMAEESNEKFWQFLETVQELAIYKQTESDYSYYNLILKKAGQFLDNLHIN
+LLKFAFSIRAYSPAIQMFQQIAADEPPPDGCNAFVVIHKKHTCKINEIKKLLKKAASRTR
+PYLFKGDHKFPTNKENLPVVILYAEMGTRTFSAFHKVLSEKAQNEEILYVLRHYIQKPSS
+RK
+>Q9NYU1_PF18401_297 <unknown description>
+SNKQMMPLKVWELQDLSFQAASQIMSAPVYDSIKLMKDISQNFPIKARSLTRIAVNQHMR
+EEIKENQKDLQVRFKIQPGDARLFINGLRVDMDVYDAFSILDMLKLEGKMMNGLRNLGIN
+GEDMSKFLK
+>Q9NYU1_PF18402_438 <unknown description>
+DIRHSSIMWINDLENDDLYITWPTSCQKLLKPVFPGSVPSIRRNFHNLVLFIDPAQEYTL
+DFIKLADVFYSHEVPLRIGFVFILNTDDEVDGANDAGVALWRAFNYIAEEFDISEAFISI
+VHMYQKVKKDQNILTVDNVKSVLQNTFPHANIWDILGIHSKYDEERKAGASFYKMTGLGP
+LPQALYNGEPFKHEEMNIKELKMAVLQRMMDASVYLQREVFLGTLNDRTNAIDFLMDRNN
+VVPRINTLI
+>Q9NYU1_PF18403_713 <unknown description>
+FLDSQDKSAVIAKNMYYLTQDDESIISAVTLWIIADFDKPSGRKLLFNALKHMKTSVHSR
+LGIIYNPTSKINEENTAISRGILAAFLTQKNMFLRSFLGQLAKEEIATAIYSGDKIKTFL
+IEGMDKNAFEKKYNTVGVNIFRTHQLFCQDVLKLRPGEMGIVSNGRFLGPLDEDFYAEDF
+YLLEKITFSNLGEKIKGIVENMGINANNMSDFIMKVDALMS
+>Q9NYU1_PF06427_1093 <unknown description>
+EGQCFDKVTEQPPRGLQFTLGTKNKPAVVDTIVMAHHGYFQLKANPGAWILRLHQGKSED
+IYQIVGHEGTDSQADLEDIIVVLNSFKSKILKVKVKKETDKIKEDIL
+>Q9NYU1_PF18404_1231 <unknown description>
+LNIFSVASGHLYERFLRIMMLSVLRNTKTPVKFWLLKNYLSPTFKEVIPHMAKEYGFRYE
+LVQYRWPRWLRQQTERQRIIWGYKILFLDVLFPLAVDKIIFVDADQIVRHDLKELRDFDL
+DGAPYGYTPFCDSRREMDGYRFWKTGYWASHLLRRKYHISALYVVDLKKFRRIGAGDRLR
+SQYQALSQDPNSLSNLDQDLPNNMIYQVAIKSLPQDWLWCETWCDDESKQRAKTIDLCNN
+PKTKESKLKAAARIVPEWVEYDAEIRQL
+>Q16851_PF01704_56 <unknown description>
+DGFRKLFHRFLQEKGPSVDWGKIQRPPEDSIQPYEKIKARGLPDNISSVLNKLVVVKLNG
+GLGTSMGCKGPKSLIGVRNENTFLDLTVQQIEHLNKTYNTDVPLVLMNSFNTDEDTKKIL
+QKYNHCRVKIYTFNQSRYPRINKESLLPVAKDVSYSGENTEAWYPPGHGDIYASFYNSGL
+LDTFIGEGKEYIFVSNIDNLGATVDLYILNHLMNPPNGKRCEFVMEVTNKTRADVKGGTL
+TQYEGKLRLVEIAQVPKAHVDEFKSVSKFKIFNTNNLWISLAAVKRLQEQNAIDMEIIVN
+AKTLDGGLNVIQLETAVGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGS
+LTMSEKREFPTVPLVKLGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLK
+>Q8TAS1_PF00069_24 <unknown description>
+QVQSRLGSGSSASVYRVRCCGNPGSPPGALKQFLPPGTTGAAASAAEYGFRKERAALEQL
+QGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSELLLYSSHQGCSMWMIQHCARDVL
+EALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGLSFKEGNQDVKYIQTDGYRAPEAE
+LQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMKLKHTVRSQEWKANSSAIIDHIFA
+SKAVVNAAIPAYHLRDLIKSMLHDDPSRRIPAEMALCSPFF
+>Q8TAS1_PF00076_345 <unknown description>
+EDVKEECQKYGPVVSLLVPKENPGRGQVFVEYANAGDSKAAQKLLTGRMFDGKF
+>Q96T88_PF00240_3 <unknown description>
+IQVRTMDGRQTHTVDSLSRLTKVEELRRKIQELFHVEPGLQRLFYRGKQMEDGHTLFDYE
+VRLNDTIQLLVRQ
+>Q96T88_PF12148_133 <unknown description>
+GLYKVNEYVDARDTNMGAWFEAQVVRVTRKAPSRDEPCSSTSRPALEEDVIYHVKYDDYP
+ENGVVQMNSRDVRARARTIIKWQDLEVGQVVMLNYNPDNPKERGFWYDAEISRKRETRTA
+RELYANVVLGDDSLNDCRIIFVDEVFKIERPG
+>Q96T88_PF00628_318 <unknown description>
+CHLCGGRQDPDKQLMCDECDMAFHIYCLDPPLSSVPSEDEWYCPECRN
+>Q96T88_PF02182_417 <unknown description>
+HYGPIPGIPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDHGNFF
+TYTGSGGRDLSGNKRTAEQSCDQKLTNTNRALALNCFAPINDQEGAEAKDWRSGKPVRVV
+RNVKGGKNSKYAPAEGNRYDGIYKVVKYWPEKGKSGFLVWRYLLRRDDD
+>Q96PU4_PF00240_3 <unknown description>
+IQVRTIDGSKTCTIEDVSRKATIEELRERVWALFDVRPECQRLFYRGKQLENGYTLFDYD
+VGLNDIIQLLVRP
+>Q96PU4_PF12148_125 <unknown description>
+GIYKVNELVDARDVGLGAWFEAHIHSVTRASDGQSRGKTPLKNGSSCKRTNGNIKHKSKE
+NTNKLDSVPSTSNSDCVAADEDVIYHIQYDEYPESGTLEMNVKDLRPRARTILKWNELNV
+GDVVMVNYNVESPGQRGFWFDAEITTLKTISRTKKELRVKIFLGGSEGTLNDCKIISVDE
+IFKIERPG
+>Q96PU4_PF00628_347 <unknown description>
+CRVCGGKHEPNMQLLCDECNVAYHIYCLNPPLDKVPEEEYWYCPSCKT
+>Q96PU4_PF02182_446 <unknown description>
+HYGPIPGIPVGSTWRFRVQVSEAGVHRPHVGGIHGRSNDGAYSLVLAGGFADEVDRGDEF
+TYTGSGGKNLAGNKRIGAPSADQTLTNMNRALALNCDAPLDDKIGAESRNWRAGKPVRVI
+RSFKGRKISKYAPEEGNRYDGIYKVVKYWPEISSSHGFLVWRYLLRRDD
+>Q96QD9_PF07078_13 <unknown description>
+ATSSPPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLLQQSGAQQFRMRVRW
+GIQQNSGFGKTSLNRRGRVMPGKRRPNGVITGLAARKTTGIRKGISPMNRPPLSDKNIEQ
+YFPVLKRKANLLRQNEGQRKPVAVLKRPSQLSRKNNIPANFTRSGNKLNHQKDTRQATFL
+FRRGLKVQAQLNTEQLLDDVVAKRTRQWRTSTTNGGILTVSIDNPGAVQCPVTQKPRLTR
+TAVPSFLTKREQSDVKKVPKGVPLQFDINSVGKQTGMTLNERFGILKEQRATLTYNKGGS
+RFVTVG
+>Q96RL1_PF18282_72 <unknown description>
+AKRKIAQMTEEEQFALALKMSEQEAREVNSQEEEEEELLRKAIAESLNSCRPSDASA
+>C9JPN9_PF00443_81 <unknown description>
+GLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPG
+HVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGY
+WRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQR
+APASKMLTLLTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLY
+AVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFY
+>C9JPN9_PF04774_415 <unknown description>
+LQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKV
+>Q13564_PF00899_13 <unknown description>
+YDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTIIDGNQVSGEDAGN
+NFFLQRSSIGKNRAEAAMEFLQELNSDVSGSFVEESPENLLDNDPSFFCRFTVVVATQLP
+ESTSLRLADVLWNSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNALEDLRLDKPFPEL
+REHFQSYDLDHMEKKDHSHTPWIVIIAKYLAQWYSETNGRIPKTYKEKEDFRDLIRQGIL
+KNENGAPEDEENFEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQTPSFWILARALK
+EFVAKEGQGNLPVRGTIPDMIADSGKYIKLQNVYREKAKKDAAAVGNHVAKLLQSIGQAP
+ESISEKELKLLCSNSAFLRVVRCRSLAEEYGLDTINKDEIISSMDNPDNEIVLYLMLRAV
+DRFHKQQGRYPGVSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVHEFCRYGAAEPHT
+IAAFLGGAAAQEVIKIITKQFVIFNNTYIYS
+>Q9BZM6_PF00129_39 <unknown description>
+ITPKSRPEPQWCEVQGLVDERPFLHYDCVNHKAKAFASLGKKVNVTKTWEEQTETLRDVV
+DFLKGQLLDIQVENLIPIEPLTLQARMSCEHEAHGHGRGSWQFLFNGQKFLLFDSNNRKW
+TALHPGAKKMTEKWEKNRDVTMFFQKISLGDCKMWLEEFLMYWEQ
+>Q9BZM5_PF00129_30 <unknown description>
+PHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGNKTVTPVSPLGKKLNVTTAWKA
+QNPVLREVVDILTEQLRDIQLENYTPKEPLTLQARMSCEQKAEGHSSGSWQFSFDGQIFL
+LFDSEKRMWTTVHPGARKMKEKWENDKVVAMSFHYFSMGDCIGWLEDFLM
+>Q9BZM4_PF00129_31 <unknown description>
+AHSLWYNFTIIHLPRHGQQWCEVQSQVDQKNFLSYDCGSDKVLSMGHLEEQLYATDAWGK
+QLEMLREVGQRLRLELADTELEDFTPSGPLTLQVRMSCECEADGYIRGSWQFSFDGRKFL
+LFDSNNRKWTVVHAGARRMKEKWEKDSGLTTFFKMVSMRDCKSWLRDFLMHRKKRL
+>Q6H3X3_PF00129_30 <unknown description>
+PHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGSKTVTPVSPLGKKLNVTTAWKA
+QNPVLREVVDILTEQLLDIQLENYIPKEPLTLQARMSCEQKAEGHGSGSWQLSFDGQIFL
+LFDSENRMWTTVHPGARKMKEKWENDKDMTMSFHYISMGDCTGWLEDFLM
+>Q5VY80_PF00129_30 <unknown description>
+PHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGNKTVTPVSPLGKKLNVTMAWKA
+QNPVLREVVDILTEQLLDIQLENYTPKEPLTLQARMSCEQKAEGHSSGSWQFSIDGQTFL
+LFDSEKRMWTTVHPGARKMKEKWENDKDVAMSFHYISMGDCIGWLEDFLM
+>O75385_PF00069_17 <unknown description>
+SRKDLIGHGAFAVVFKGRHREKHDLEVAVKCINKKNLAKSQTLLGKEIKILKELKHENIV
+ALYDFQEMANSVYLVMEYCNGGDLADYLHAMRTLSEDTIRLFLQQIAGAMRLLHSKGIIH
+RDLKPQNILLSNPAGRRANPNSIRVKIADFGFARYLQSNMMAATLCGSPMYMAPEVIMSQ
+HYDGKADLWSIGTIVYQCLTGKAPFQASSPQDLRLFYEKNKTLVPTIPRETSAPLRQLLL
+ALLQRNHKDRMDFDEFFHHPFL
+>O75385_PF12063_873 <unknown description>
+GPEYQLQESVVADQISLLSREWGFAEQLVLYLKVAELLSSGLQSAIDQIRAGKLCLSSTV
+KQVVRRLNELYKASVVSCQGLSLRLQRFFLDKQRLLDRIHSITAERLIFSHAVQMVQSAA
+LDEMFQHREGCVPRYHKALLLLEGLQHMLSDQADIENVTKCKLCIERRLSAL
+>Q8IYT8_PF00069_9 <unknown description>
+YSKRDLVGHGAFAVVFRGRHRQKTDWEVAIKSINKKNLSKSQILLGKEIKILKELQHENI
+VALYDVQELPNSVFLVMEYCNGGDLADYLQAKGTLSEDTIRVFLHQIAAAMRILHSKGII
+HRDLKPQNILLSYANRRKSSVSGIRIKIADFGFARYLHSNMMAATLCGSPMYMAPEVIMS
+QHYDAKADLWSIGTVIYQCLVGKPPFQANSPQDLRMFYEKNRSLMPSIPRETSPYLANLL
+LGLLQRNQKDRMDFEAFFSHPFL
+>Q8IYT8_PF12063_862 <unknown description>
+QIQESVVVDQISQLSKDWGRVEQLVLYMKAAQLLAASLHLAKAQIKSGKLSPSTAVKQVV
+KNLNERYKFCITMCKKLTEKLNRFFSDKQRFIDEINSVTAEKLIYNCAVEMVQSAALDEM
+FQQTEDIVYRYHKAALLLEGLSRILQDPADIENVHKYKCSIERRLSAL
+>Q6PHR2_PF00069_17 <unknown description>
+TERLGSGTYATVYKAYAKKDTREVVAIKCVAKKSLNKASVENLLTEIEILKGIRHPHIVQ
+LKDFQWDSDNIYLIMEFCAGGDLSRFIHTRRILPEKVARVFMQQLASALQFLHERNISHL
+DLKPQNILLSSLEKPHLKLADFGFAQHMSPWDEKHVLRGSPLYMAPEMVCQRQYDARVDL
+WSMGVILYEALFGQPPFASRSFSELEEKIRSNRVIELPLRPLLSRDCRDLLQRLLERDPS
+RRISFQDFFAHPWV
+>Q6PHR2_PF04212_281 <unknown description>
+LGRATALVVQAVKKDQEGDSAAALSLYCKALDFFVPALHYEVDAQRKEAIKAKVGQYVSR
+AEELK
+>Q6PHR2_PF04212_376 <unknown description>
+LLAALEVASAAMAKEEAAGGEQDALDLYQHSLGELLLLLAAEPPGRRRELLHTEVQNLMA
+RAEYLKE
+>Q96C45_PF00069_7 <unknown description>
+YEEIGRGSKTVVYKGRRKGTINFVAILCTDKCKRPEITNWVRLTREIKHKNIVTFHEWYE
+TSNHLWLVVELCTGGSLKTVIAQDENLPEDVVREFGIDLISGLHHLHKLGILFCDISPRK
+ILLEGPGTLKFSNFCLAKVEGENLEEFFALVAAEEGGGDNGENVLKKSMKSRVKGSPVYT
+APEVVRGADFSISSDLWSLGCLLYEMFSGKPPFFSESISELTEKILCEDPLPPIPKDSSR
+PKASSDFINLLDGLLQRDPQKRLTWTRLLQHSF
+>P11172_PF00156_46 <unknown description>
+RPRLLSQVADILFQTAQNAGISFDTVCGVPYTALPLATVICSTNQIPMLIRRKETKDYGT
+KRLVEGTINPGETCLIIEDVVTSGSSVLETVEVLQKEGLKVTDAIVLLDREQGGKDK
+>P11172_PF00215_252 <unknown description>
+TNLCLSADVSLARELLQLADALGPSICMLKTHVDILNDFTLDVMKELITLAKCHEFLIFE
+DRKFADIGNTVKKQYEGGIFKIASWADLVNAHVVPGSGVVKGLQEVGLPLHRGCLLIAEM
+SSTGSLATGDYTRAAVRMAEEHSEFVVGFISGSRVSMKPEFLHLTPGVQLEAGGDNLGQQ
+YNSPQEVIGKRGSDIIIVGRGIISAADRLEAAEMY
+>Q9UPW8_PF00168_5 <unknown description>
+CVGVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGLTVEV
+WNKGLIWDTMVGTVWIPLRTIRQSNEE
+>Q9UPW8_PF00130_554 <unknown description>
+HNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEKCQDLLNADC
+>Q9UPW8_PF00168_677 <unknown description>
+KISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIYGNLNPVWEENFHFECHNSSD
+RIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRTLSGEMDVWYNL
+>Q9UPW8_PF06292_994 <unknown description>
+QVVKDCVKACLNSTYEYIFNNCHELYSREYQTDPAKKGEVLPEEQGPSIKNLDFWSKLIT
+LIVSIIEEDKNSYTPCLNQFPQELNVGKISAEVMWNLFAQDMKYAMEEHDKHRLCKSADY
+MNLHFKVKWLYNEYVTELPAFKDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKK
+DGFQQTSEHALFSCSVVDVFSQLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYA
+DIISKDFASYCSKEKEKVPCILMNNTQQLRVQLEKMFEAMGGKELDAEASDILKELQVKL
+NNVLDELSRVFATSFQPHIEECVKQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMD
+LLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEKTIVLPPLTDQTMIGNLLRKHGKGLE
+KGRVKLPSHSDGTQMIFNAAKELGQLSKLKDHMVREEAKSLTPKQCAVVELALDTIKQYF
+HAGGVGLKKTFLEKSPDLQSLRYALSLYTQATDLLIKTF
+>Q9UPW8_PF00168_1546 <unknown description>
+KVTVKVVAANDLKWQTSGIFRPFIEVNIIGPQLSDKKRKFATKSKNNSWAPKYNESFQFT
+LSADAGPECYELQVCVKDYCFAREDRTVGLAVLQLRELAQRGSAACWLPL
+>Q8NB66_PF00130_1098 <unknown description>
+HNFEVWTATTPTYCYECEGLLWGIARQGMKCLECGVKCHEKCQDLLNADC
+>Q8NB66_PF00168_1221 <unknown description>
+KITITVVSAQGLQAKDKTGSSDPYVTVQVGKNKRRTKTIFGNLNPVWDEKFYFECHNSTD
+RIKVRVWDEDDDIKSRVKQHFKKESDDFLGQTIVEVRTLSGEMDVWYNL
+>Q8NB66_PF06292_1541 <unknown description>
+VVKDCVRACLDSTYKYIFDNCHELYSQLTDPSKKQDIPREDQGPTTKNLDFWPQLITLMV
+TIIDEDKTAYTPVLNQFPQELNMGKISAEIMWTLFALDMKYALEEHENQRLCKSTDYMNL
+HFKVKWFYNEYVRELPAFKDAVPEYSLWFEPFVMQWLDENEDVSMEFLHGALGRDKKDGF
+QQTSEHALFSCSVVDVFAQLNQSFEIIKKLECPNPEALSHLMRRFAKTINKVLLQYAAIV
+SSDFSSHCDKENVPCILMNNIQQLRVQLEKMFESMGGKELDSEASTILKELQVKLSGVLD
+ELSVTYGESFQVIIEECIKQMSFELNQMRANGNTTSNKNSAAMDAEIVLRSLMDFLDKTL
+SLSAKICEKTVLKRVLKELWKLVLNKIEKQIVLPPLTDQTGPQMIFIAAKDLGQLSKLKE
+HMIREDARGLTPRQCAIMEVVLATIKQYFHAGGNGLKKNFLEKSPDLQSLRYALSLYTQT
+TDALIKKF
+>Q8NB66_PF00168_2062 <unknown description>
+KVTVKVIAINDLNWQTTAMFRPFVEVCILGPNLGDKKRKQGTKTKSNTWSPKYNETFQFI
+LGKENRPGAYELHLSVKDYCFAREDRIIGMTVIQLQNIAEKGSYGAWYPL
+>Q70J99_PF00168_113 <unknown description>
+LKATVKQAKGILGKDVSGFSDPYCLLGIEQGVGVPGGSPGSRHRQKAVVRHTIPEEETHR
+TQVITQTLNPVWDETFILEFEDITNASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKE
+ARKDKGQDDFLGNVVLRLQDLRCREDQWYPL
+>Q70J99_PF06292_569 <unknown description>
+LRMSSSERDGVLALDNFHRWFQPAIPSWLQKTYNEALARVQRAVQMDELVPLGELTKHST
+SAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFVEDTCRLALVYCSLIKARARELSSGQ
+KDQGQAANMLCVVVNDMEQLRLVIGKLPAQLAWEALEQRVGAVLEQGQLQNTLHAQLQSA
+LAGLGHEIRTGVRTLAEQLEVGIAKHIQKLVGVRESVLPEDAILPLMKFLEVELCYMNTN
+LVQENFSSLLTLLWTHTLTVLVEAAASQRSSSLASNRLKIALQNLEICFHAEGCGLPPKA
+LHTATFQALQRDLELQAASSRELIR
+>Q70J99_PF00168_926 <unknown description>
+KLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFPELAARETQKHKKDLHPLFDETFEF
+LVPAEPCRKAGACLLLTVLDYDTLGADDLEGEAFLPLREVPG
+>Q9H3U1_PF13432_26 <unknown description>
+KEGNELFKCGDYGGALAAYTQALGLDATPQDQAVLHRNRAACHLKLEDYDKAETEASKA
+>Q9H3U1_PF13181_93 <unknown description>
+KALYRRSQALEKLGRLDQAVLDLQRCVSLEPKN
+>Q9H3U1_PF11701_325 <unknown description>
+RKSLKDPNNSLTLWVIDQGLKKILEVGGSLQDPPGELAVTANSRMSASILLSKLFDDLKC
+DAERENFHRLCENYIKSWFEGQGLAGKLRAIQTVSCLLQGPCDAGNRALELSGVMESVIA
+LCASEQEEEQLVAVEALIHAAGKAKRASFITANGVSLLKDLYKCSEKDSIRIRALVGLCK
+L
+>Q8IWX7_PF11701_300 <unknown description>
+LNLLNKNVPRKDLAIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILI
+NKLYDDLRCDPERDHFRKICEEYITGKFDPQDMDKNLNAIQTVSGILQGPFDLGNQLLGL
+KGVMEMMVALCGSERETDQLVAVEALIHASTKLSRATFIITNGVSLLKQIYKTTKNEKIK
+IRTLVGLCKL
+>Q8IV45_PF00791_108 <unknown description>
+VDHRGGCLMLQDTGISLLIPPGAVAVGRQERVSLILVWDLSDAPSLSQAQGLVSPVVACG
+PHGASFLKPCTLTFKHCAEQPSHA
+>Q8IV45_PF17217_243 <unknown description>
+ARKWLQLAVFCSPLVPGQSHLQLRIYFLNNTPCALQWALTNEQPHGGRLRGPCQLFDFNG
+ARGDQCLKLTYISEGWENVDDSSCQLVPHLHIWHGKCPFRSFCFR
+>Q8IV45_PF00531_414 <unknown description>
+EQLRMLLEPNSITGNDWRRLASHLGLCGMKIRFLSCQRSPAAAILELFEEQNGSLQELHY
+LMTVMERLDCASAIQNYL
+>Q86WB7_PF05978_14 <unknown description>
+GFLLLFTAYGGLQSLQSSLYSEEGLGVTALSTLYGGMLLSSMFLPPLLIERLGCKGTIIL
+SMCGYVAFSVGNFFASWYTLIPTSILLGLGAAPLWSAQCTYLTITGNTHAEKAGKRGKDM
+VNQYFGIFFLIFQSSGVWGNLISSLVFGQTPSQ
+>Q9H1C4_PF05978_122 <unknown description>
+ALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYYTLVPSAVALGMAIVPLWASMGN
+YITRMAQK
+>A6NJT0_PF00046_106 <unknown description>
+RRTRTNFTGWQLEELEKAFNESHYPDVFMREALALRLDLVESRVQVWFQNRRAKWRK
+>Q53HI1_PF05216_34 <unknown description>
+YLRRLFRFRQMDFEFAAWQMLYLFTSPQRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLC
+VSTIGFGFVLDMGFFETIKLLLWVVLIDCVGVGLLIATLMWFISNKYLVKRQSRDYDVEW
+GYAFDVHLNAFYPLLVILHFIQLFFINHVILTDTFIGYLVGNTLWLVAVGYYIYVTFLGY
+SALPFLKNTVILLYPFAPLILLYGLSLALGWNFTHTLCSFY
+>Q6ZN44_PF07679_150 <unknown description>
+NFEQEPLAKEVSLEQGIVLPCRPPEGIPPAEVEWLRNEDLVDPSLDPNVYITREHSLVVR
+QARLADTANYTCVAKNIVARRRSASAAVI
+>Q6ZN44_PF00791_444 <unknown description>
+YGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIYEIYLTLHKPEDVRLPLAGCQTLLSPIV
+SCGPPGVLLTRPVILAMDHCGEPSPDSWSLRLKKQ
+>Q6ZN44_PF17217_589 <unknown description>
+AAKRLKLLLFAPVACTSLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDS
+YHNLRLSIHDVPSSLWKSKLLVSYQEIPFYHIWNGTQRYLHCTFTLERVSPSTSDLACKL
+WVWQVEGDGQSFSINFNIT
+>Q6ZN44_PF00531_761 <unknown description>
+QKIISSLDPPCRRGADWRTLAQKLHLDSHLSFFASKPSPTAMILNLWEARHFPNGNLSQL
+AAAVAGLGQPDA
+>Q8IZJ1_PF07679_154 <unknown description>
+NFDQEPLGKEVPLDHEVLLQCRPPEGVPVAEVEWLKNEDVIDPTQDTNFLLTIDHNLIIR
+QARLSDTANYTCVAKNIVAKRRSTTATVIV
+>Q8IZJ1_PF00090_250 <unknown description>
+SSWAEWSPCSNRCGRGWQKRTRTCTNPAPLNGGAFCEGQAFQKTACT
+>Q8IZJ1_PF00090_307 <unknown description>
+EWSKWSACSTECAHWRSRECMAPPPQNGGRDCSGTLLDSKNCTDGLC
+>Q8IZJ1_PF00791_545 <unknown description>
+VSGTFGCLGGRLSIPGTGVSLLVPNGAIPQGKFYEMYLLINKAESTLPLSEGTQTVLSPS
+VTCGPTGLLLCRPVILTMPHCAEVSARDWIFQLKTQ
+>Q8IZJ1_PF17217_691 <unknown description>
+AVKRLQLAVFAPALCTSLEYSLRVYCLEDTPVALKEVLELERTLGGYLVEEPKPLMFKDS
+YHNLRLSLHDLPHAHWRSKLLAKYQEIPFYHIWSGSQKALHCTFTLERHSLASTELTCKI
+CVRQVEGEGQIFQLHTTLAE
+>Q8IZJ1_PF00531_863 <unknown description>
+RQKICNSLDAPNSRGNDWRMLAQKLSMDRYLNYFATKASPTGVILDLWEALQQDDGDLNS
+LASALEEMGKSEML
+>O95185_PF07679_168 <unknown description>
+TFEQEPLGKEVSLEQEVLLQCRPPEGIPVAEVEWLKNEDIIDPVEDRNFYITIDHNLIIK
+QARLSDTANYTCVAKNIVAKRKSTTATVIV
+>O95185_PF00090_264 <unknown description>
+STWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQKIACT
+>O95185_PF00090_318 <unknown description>
+RWTPWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGLC
+>O95185_PF00791_534 <unknown description>
+GSFNSLGGHLIVPNSGVSLLIPAGAIPQGRVYEMYVTVHRKETMRPPMDDSQTLLTPVVS
+CGPPGALLTRPVVLTMHHCADPNTEDWKILLKNQ
+>O95185_PF17217_678 <unknown description>
+AAKRLKLAIFGPLCCSSLEYSIRVYCLDDTQDALKEILHLERQMGGQLLEEPKALHFKGS
+THNLRLSIHDIAHSLWKSKLLAKYQEIPFYHVWSGSQRNLHCTFTLERFSLNTVELVCKL
+CVRQVEGEGQIFQLNCTVSE
+>O95185_PF00531_849 <unknown description>
+RQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDLWEAQNFPDGNLSM
+LAAVLEEMGRHETVVSLA
+>Q6UXZ4_PF07679_160 <unknown description>
+NFEQDPQGREVPIEGMIVLHCRPPEGVPAAEVEWLKNEEPIDSEQDENIDTRADHNLIIR
+QARLSDSGNYTCMAANIVAKRRSLSATV
+>Q6UXZ4_PF00090_256 <unknown description>
+SSWTEWSACNVRCGRGWQKRSRTCTNPAPLNGGAFCEGMSVQKITCT
+>Q6UXZ4_PF00090_314 <unknown description>
+WSEWSVCSPECEHLRIRECTAPPPRNGGKFCEGLSQESENCTDGLC
+>Q6UXZ4_PF00791_545 <unknown description>
+TGVFGHLGGRLVMPNTGVSLLIPHGAIPEENSWEIYMSINQGEPSLQSDGSEVLLSPEVT
+CGPPDMIVTTPFALTIPHCADVSSEHWNIHLKKR
+>Q6UXZ4_PF17217_687 <unknown description>
+AVKQLKVAVFGCMSCNSLDYNLRVYCVDNTPCAFQEVVSDERHQGGQLLEEPKLLHFKGN
+TFSLQISVLDIPPFLWRIKPFTACQEVPFSRVWCSNRQPLHCAFSLERYTPTTTQLSCKI
+CIRQLKGHEQILQVQTSILE
+>Q6UXZ4_PF00531_858 <unknown description>
+RQRICATFDTPNAKGKDWQMLAQKNSINRNLSYFATQSSPSAVILNLWEARHQHDGDLDS
+LACALEEIGRTH
+>P13051_PF03167_144 <unknown description>
+KDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIYKELSTDIEDFVHPGHGDLSG
+WAKQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNSNGLVFLLWGSYAQKKGS
+AIDRKRHHVLQTAHPSPLSVYRGFFGCRHFSKTNEL
+>Q9C0B0_PF18384_34 <unknown description>
+YTYLKEFRTEQCPLFVQHKCTQHRPYTCFHWHFVNQRRRR
+>Q9C0B0_PF00642_294 <unknown description>
+YKSTKCNDMQQSGSCPRGPFCAFAHV
+>Q03405_PF00021_25 <unknown description>
+CMQCKTNGDCRVEECALGQDLCRTTIVRLWEEGEELELVEKSCTHSEKTNRTLSYRTGLK
+ITSLTEVVCGLDLCN
+>Q03405_PF00021_216 <unknown description>
+CYSCKGNSTHGCSSEETFLIDCRGPMNQCLVATGTHEPKNQSYMVRGCATASMCQHAHLG
+DAFSMNHIDVSCCTKSGCN
+>O00322_PF00335_19 <unknown description>
+LLVVGNIIILLSGLSLFAETIWVTADQYRVYPLMGVSGKDDVFAGAWIAIFCGFSFFMVA
+SFGVGAALCRRRSMVLTYLVLMLIVYIFECASCITSYTHRDYMVSNPSLITKQMLTFYSA
+DTDQGQELTRLWDRVMIEQECCGTSGPMDWVNFTSAFRAATPEVVFPWPPLCCRRTGNFI
+PLNEEGCRLGHMDYLFTKGCFEHIGHAIDSYTWGISWFGFAILMWTLPVMLIAMYF
+>O75841_PF00335_14 <unknown description>
+LLIFGNVIIGCCGIALTAECIFFVSDQHSLYPLLEATDNDDIYGAAWIGIFVGICLFCLS
+VLGIVGIMKSSRKILLAYFILMFIVYAFEVASCITAATQQDFFTPNLFLKQMLERYQNNS
+PPNNDDQWKNNGVTKTWDRLMLQDNCCGVNGPSDWQKYTSAFRTENNDADYPWPRQCCVM
+NNLKEPLNLEACKLGVPGFYHNQGCYELISGPMNRHAWGVAWFGFAILCWTFWVLL
+>O00526_PF07353_6 <unknown description>
+PIRTLPLILILLALLSPGAADFNISSLSGLLSPALTESLLVALPPCHLTGGNATLMVRRA
+NDSKVVTSSFVVPPCRGRRELVSVVDSGAGFTVTRLSAYQVTNLVPGTKFYISYLVKKGT
+ATESSREIPMSTLPRRNMESIGLGMARTGGMVVITVLLSVAMFLLVLGFIIALALGSRK
+>Q16831_PF01048_54 <unknown description>
+KFVCVGGSPSRMKAFIRCVGAELGLDCPGRDYPNICAGTDRYAMYKVGPVLSVSHGMGIP
+SISIMLHELIKLLYYARCSNVTIIRIGTSGGIGLEPGTVVITEQAVDTCFKAEFEQIVLG
+KRVIRKTDLNKKLVQELLLCSAELSEFTTVVGNTMCTLDFYEGQGRLDGALCSYTEKDKQ
+AYLEAAYAAGVRNIEMESSVFAAMCSACGLQAAVVCVTLLNRLEGDQISSPRNVLSEYQQ
+RPQRLVSYFI
+>O95045_PF01048_60 <unknown description>
+KFVCVGGSPNRMKAFALFMHKELGFEEAEEDIKDICAGTDRYCMYKTGPVLAISHGMGIP
+SISIMLHELIKLLHHARCCDVTIIRIGTSGGIGIAPGTVVITDIAVDSFFKPRFEQVILD
+NIVTRSTELDKELSEELFNCSKEIPNFPTLVGHTMCTYDFYEGQGRLDGALCSFSREKKL
+DYLKRAFKAGVRNIEMESTVFAAMCGLCGLKAAVVCVTLLDRLDCDQINLPHDVLVEYQQ
+RPQLLISNFI
+>Q96BW1_PF14681_116 <unknown description>
+NDQIRELQTIIRDKTASRGDFMFSADRLIRLVVEEGLNQLPYKECMVTTPTGYKYEGVKF
+EKGNCGVSIMRSGEAMEQGLRDCCRSIRIGKILIQSDEETQRAKVYYAKFPPDIYRRKVL
+LMYPILSTGNTVIEAVKVLIEHGVQPSVIILLSLFSTPHGAKSIIQEFPEITILTTEVH
+>Q9NVA1_PF03981_136 <unknown description>
+RCQMPDTFNSWFLITLLHVWMCLVRMKQEGRSGKYMCRIIVHFMWEDVQQRGRVMGVNPY
+ILKKNMILMTNHFYAAILGYDEGILSDDHGLAAALWRTFFNRKCEDPRHLELLVEYVRKQ
+IQYLDSMNGEDLLLTG
+>Q6UW78_PF15141_1 <unknown description>
+MDSLRKMLISVAMLGAGAGVGYALLVIVTPGERRKQEMLKEMPLQDPRSREEAARTQQLL
+LATLQEAATTQENVAWRKNWMVGGEG
+>Q9UKP6_PF00001_68 <unknown description>
+GNAYTLVVTCRSLRAVASMYVYVVNLALADLLYLLSIPFIVATYVTKEWHFGDVGCRVLF
+GLDFLTMHASIFTLTVMSSERYAAVLRPLDTVQRPKGYRKLLALGTWLLALLLTLPVMLA
+MRLVRRGPKSLCLPAWGPRAHRAYLTLLFATSIAGPGLLIGLLYARLARAYRRSQRASFK
+RARRPGARALRLVLGIVLLFWACFLPFWLWQLLAQYHQAPLAPRTARIVNYLTTCLTYGN
+SCANPFLY
+>A6NGE7_PF09349_7 <unknown description>
+NSMDLGEFVDVFGNATERCPLIAAAVWSQRPFSDLEDLEKHFFAFIDALAQSGQEGILRC
+HPDLAGSELQRGTLTAESQREQSGAGLRSLGADERLRLAELNAQYRARFGFPFVLAARFS
+DRTAVPRELARRLLCPSAQELRTALGEVKKIGSLRLA
+>Q14146_PF10441_1322 <unknown description>
+VLDVLAALLRQGEEAIGNPHHVSLAFSILLTVPLDHLKPLEYGSVFPRLHNVLFSILQCH
+PKVMLKAIPSFLNSFNRLVFSVMREGRQKDKGSIDDLPTVLKCARLVERMYSHIAARAEE
+FAVFSPFMVAQYVLEVQKVTLYPAVKSLLQEGIYLILDLCIEPDVQFLRASLQPGMRDIF
+KELYNDYLKYHKAK
+>Q9BTM9_PF09138_7 <unknown description>
+VEVEFGGGAELLFDGIKKHRVTLPGQEEPWDIRNLLIWIKKNLLKERPELFIQGDSVRPG
+ILVLINDADWELLGELDYQLQDQDSVLFISTLHGG
+>P00749_PF00051_70 <unknown description>
+CYEGNGHFYRGKASTDTMGRPCLPWNSATVLQQTYHAHRSDALQLGLGKHNYCRNPDNRR
+RPWCYVQVGLKLLVQECMVHDC
+>P00749_PF00089_179 <unknown description>
+IIGGEFTTIENQPWFAAIYRRHRGGSVTYVCGGSLISPCWVISATHCFIDYPKKEDYIVY
+LGRSRLNSNTQGEMKFEVENLILHKDYSADTLAHHNDIALLKIRSKEGRCAQPSRTIQTI
+CLPSMYNDPQFGTSCEITGFGKENSTDYLYPEQLKMTVVKLISHRECQQPHYYGSEVTTK
+MLCAADPQWKTDSCQGDSGGPLVCSLQGRMTLTGIVSWGRGCALKDKPGVYTRVSHFLPW
+I
+>Q5DID0_PF00095_117 <unknown description>
+RPGACPAEGPEPSTSPCSLDIDCPGLEKCCPWSGGRYCMAP
+>Q5DID0_PF07645_264 <unknown description>
+DVNECFYEELNACSGRELCANLEGSYWCVCHQ
+>Q5DID0_PF01390_398 <unknown description>
+QVFEVTIKIVNHNLTEKLLNRSSVEYQDFSRQLLHEVESSFPPVVSDLYRSGKLRMQIVS
+LQAGSVVVRLKLTVQDP
+>Q5DID0_PF07645_507 <unknown description>
+DWDECVDSAEHDCSPAAWCINLEGSYTCQCRT
+>Q5DID0_PF07645_897 <unknown description>
+DYDECERKEDDCVPGTSCRNTLGSFTCSCEGG
+>Q5DID0_PF00100_993 <unknown description>
+CEIEKVVVAIQKRFLQQESIPESSLYLSHPSCNVSHSNGTHVLLEAGWSECGTLMQSNMT
+NTVVRTTLRNDLSQEGIIHHLKILSPIYCAFQNDLLTSSGFTLEWGVYTIIEDLHGAGNF
+VTEMQLFIGDSPIPQNYSVSASDDVRIEVGLYRQKSNLKVVLTECWATPSSNARDPITFS
+FINNSCPVPNTYTNVIENGNSNKAQFKLRIFSFINDSIVYLHCKLRVCMESPGATCKINC
+N
+>P07911_PF12947_34 <unknown description>
+ECHSNATCTEDEAVTTCTCQEGFTGDGLTC
+>P07911_PF07645_65 <unknown description>
+DLDECAIPGAHNCSANSSCVNTPGSFSCVCPEGFR
+>P07911_PF12947_118 <unknown description>
+SHCHALATCVNVVGSYLCVCPAGYRGDGWHC
+>P07911_PF00100_335 <unknown description>
+CGANDMKVSLGKCQLKSLGFDKVFMYLSDSRCSGFNDRDNRDWVSVVTPARDGPCGTVLT
+RNETHATYSNTLYLADEIIIRDLNIKINFACSYPLDMKVSLKTALQPMVSALNIRVGGTG
+MFTVRMALFQTPSYTQPYQGSSVTLSTEAFLYVGTMLDGGDLSRFALLMTNCYATPSSNA
+TDPLKYFIIQDRCPHTRDSTIQVVENGESSQGRFSVQMFRFAGNYDLVYLHCEVYLCDTM
+NEKCKPTCS
+>Q86UX7_PF18124_11 <unknown description>
+YIDSSWELRVFVGEEDPEAESVTLRVTGESHIGGVLLKIVEQINRKQDWSDHAIWWEQKR
+QWLLQTHWTLDKYGILADARLFFGPQHR
+>Q86UX7_PF00373_258 <unknown description>
+DLDPKTDPVRLTQLYEQARWDLLLEEIDCTEEEMMVFAALQYHINKLSQSGEVGEPAGTD
+PGLDDLDVALSNLEVKLEGSAPTDVLDSLTTIPELKDHLRIFRPRKLTLKGYRQHWVVFK
+ETTLSYYKSQDEAPGDPIQQLNLKGCEVVPDVNVSGQKFCIKLLVPSPEGMSEIYLRCQD
+EQQYARWMAGCRLASKGRTMADSSYTSEVQAILAFLSLQRTGSGGPGNHPHGPDASAEGL
+NPYGLVAPRFQRKFKAKQLTPRILEAHQNVAQLSLAEAQLRFIQAWQSLPDFGISYV
+>Q86UX7_PF00169_368 <unknown description>
+GYRQHWVVFKETTLSYYKSQDEAPGDPIQQLNLKGCEVVPDVNVSGQKFCIKLLVPSPEG
+MSEIYLRCQDEQQYARWMAGCR
+>Q92738_PF00566_104 <unknown description>
+QLRGEVWALLLEIPKMKEETRDLYSKLKHRARGCSPDIRQIDLDVNRTFRDHIMFRDRYG
+VKQQSLFHVLAAYSIYNTEVGYCQGMSQITALLLMYMNEEDAFWALVKLFSGPKHAMHGF
+FVQGFPKLLRFQEHHEKILNKFLSKLKQHLDSQEIYTSFYTMKWFFQCFLDRTPFTLNLR
+IWDIYIFEGERVLTAMSYTILKLHKKHLM
+>Q9BQ65_PF09749_44 <unknown description>
+PVPDSVLNMFPGTEEGPEDDSTKHGGRVRTFPHERGNWATHVYVPYEAKEEFLDLLDVLL
+PHAQTYVPRLVRMKVFHLSLSQSVVLRHHWILPFVQALKARMTSFHRFFFTANQVKIYTN
+QEKTRTFIGLEVTSGHAQFLDLVSEVDRVMEEFNLTTFYQDPSFHLSLAWCVGDARLQLE
+GQCLQELQAIVDGFEDAEVLLRVHTEQVRCKSGNKFFSMP
+>Q8N6Y0_PF10506_299 <unknown description>
+QLRGSIEKLKCFNRLLSAVLQGYKGRCEGLSMQLGQREAEATALHLALQYSEHCEEAYRV
+LLAL
+>Q9NZ43_PF09753_5 <unknown description>
+RLELNLVRLLSRCEAMAAEKRDPDEWRLEKYVGALEDMLQALKVHASKPASEVINEYSWK
+VDFLKGMLQAEKLTSSSEKALANQFLAPGRVPTTARERVPATKTVHLQSRARYTSEMRSE
+LLGTDSAEPEMDVRKRTGVAGSQPVSEKQLAAELDLVLQRHQNLQEKLAEEMLGLARSLK
+TNTLAAQSVIKKDNQTLSHSLKMADQNLEKLKTESERLEQHTQKSVNWLLWAMLIIVCFI
+FISMILFIRIM
+>P22415_PF00010_200 <unknown description>
+RRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSKGGILSKACDYIQELR
+>Q15853_PF00010_236 <unknown description>
+RRAQHNEVERRRRDKINNWIVQLSKIIPDCNADNSKTGASKGGILSKACDYIRELR
+>Q68DE3_PF00010_20 <unknown description>
+RETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILDQAFKYITEL
+>Q9Y6N9_PF00595_90 <unknown description>
+LDRLHPEGLGLSVRGGLEFGCGLFISHLIKGGQADSVGLQVGDEIVRINGYSISSCTHEE
+VINLIRTKKTVSIKV
+>Q9Y6N9_PF00595_217 <unknown description>
+VGSRGLGCSISSGPIQKPGIFISHVKPGSLSAEVGLEIGDQIVEVNGVDFSNLDHKEAVN
+VLKSSRSLTI
+>Q9Y6N9_PF00595_760 <unknown description>
+KEGSLDLALEGGVDSPIGKVVVSAVYERGAAERHGGIVKGDEIMAINGKIVTDYTLAEAE
+AALQKA
+>Q495M9_PF12796_38 <unknown description>
+WAAYHGNLESLRLIVSRGGDPDKCDIWGNTPLHLAASNGHLHCLSFLVSFGANIWCLDND
+YHTPLDMAAMKGHMECVRYL
+>Q495M9_PF00536_394 <unknown description>
+TFLASLHMEDFAALLRQEKIDLEALMLCSDLDLRSISVPLGPRKKILGAVRR
+>O75445_PF13385_145 <unknown description>
+MASFTLAVWLKPEQQGVMCVIEKTVDGQIVFKLTISEKETMFYYRTVNGLQPPIKVMTLG
+RILVKKWIHLSVQVHQTKISFFINGVEKDHTPFNARTLSGSITDFASGTVQIGQSLNGLE
+QFVGRMQDFRLYQVALTNREI
+>O75445_PF00055_315 <unknown description>
+AQRYCIPNDAGDTADNRVSRLNPEAHPLSFVNDNDVGTSWVSNVFTNITQLNQGVTISVD
+LENGQYQVFYIIIQFFSPQPTEIRIQRKKENSLDWEDWQYFARNCGAFGMKNNGDLEKPD
+SVNCLQLSNFTPYSRGNVTFSILTPGPNYRPGYNNFYNTPSLQEFVKATQIRFHFHGQYY
+TTETAVNLRHRYYAVDEITISG
+>O75445_PF00053_518 <unknown description>
+CQCHGHADNCDTTSQPYRCLCSQESFTEGLHCDRCLPLYNDKP
+>O75445_PF00053_575 <unknown description>
+CQCNSHSKSCHYNISVDPFPFEHFRGGGGVCDDCEHNTTGRNCELCKDYFFRQ
+>O75445_PF00053_641 <unknown description>
+CDCDTVGTRNGSILCDQIGGQCNCKRHVSGRQCNQCQNGFYNLQELDPDGC
+>O75445_PF00053_694 <unknown description>
+CNCNTSGTVDGDITCHQNSGQCKCKANVIGLRCDHCNFGFKFLRSFNDVGC
+>O75445_PF00053_747 <unknown description>
+CQCNLHGSVNKFCNPHSGQCECKKEAKGLQCDTCRENFYGLDV
+>O75445_PF00053_795 <unknown description>
+CDCDTAGSLPGTVCNAKTGQCICKPNVEGRQCNKCLEGNFYLRQNNS
+>O75445_PF00053_847 <unknown description>
+CNCDKTGTINGSLLCNKSTGQCPCKLGVTGLRCNQCEPHRYNLTIDNFQHC
+>O75445_PF00053_900 <unknown description>
+CECDSLGTLPGTICDPISGQCLCVPNRQGRRCNQCQPGFYISPGNATGC
+>O75445_PF00053_951 <unknown description>
+CSCHTTGAVNHICNSLTGQCVCQDASIAGQRCDQCKDHYFGFDPQTG
+>O75445_PF00053_1002 <unknown description>
+CNCHLSGALNETCHLVTGQCFCKQFVTGSKCDACVPSASHL
+>O75445_PF00041_1156 <unknown description>
+YIIPIGSDSVTLTWTTLSNQSGPIEKYILSCAPLAGGQPCVSYEGHETSATIWNLVPFAK
+YDFSVQACTSGGC
+>O75445_PF00041_1248 <unknown description>
+PPKMQKISSTELHVEWSPPAELNGIIIRYELYMRRLRSTKETTSEESRVFQSSGWLSPHS
+FVESANENALKPPQTMTTITGLEPYTKYEFRVLAVNMAG
+>O75445_PF00041_1372 <unknown description>
+PLSSYSLNISWEKPADNVTRGKVVGYDINMLSEQSPQQSIPMAFSQLLHTAKSQELSYTV
+EGLKPYRIYEFTITLCNSVG
+>O75445_PF02210_1548 <unknown description>
+FRTKVPEGLIVFAASPGNQEEYFALQLKKGRLYFLFDPQGSPVEVTTTNDHGKQYSDGKW
+HEIIAIRHQAFGQITLDGIYTGSSAILNGSTVIGDNTGVFLGGLPRSYTILRKDPEIIQK
+GFVGCLKDVHF
+>O75445_PF02210_1744 <unknown description>
+FRTDQLNGLLLFVYNKDGPDFLAMELKSGILTFRLNTSLAFTQVDLLLGLSYCNGKWNKV
+IIKKEGSFISASVNGLMKHASESGDQPLVVNSPVYVGGIPQELLNSYQHLCLEQGFGGCM
+KDVKF
+>O75445_PF00041_1958 <unknown description>
+PTPSRVRSLNGYSIEVTWDEPVVRGVIEKYILKAYSEDSTRPPRMPSASAEFVNTSNLTG
+ILTGLLPFKNYAVTLTACTLAGCTES
+>O75445_PF00041_2441 <unknown description>
+PRLSSATPTSLQVVWSTPARNNAPGSPRYQLQMRSGDSTHGFLELFSNPSASLSYEVSDL
+QPYTEYMFRLVASNGFGSA
+>O75445_PF00041_2542 <unknown description>
+LDVKSRMMLVTWQHPRKSNGVITHYNIYLHGRLYLRTPGNVTNCTVMHLHPYTAYKFQVE
+ACTSKGCS
+>O75445_PF00041_2634 <unknown description>
+SDTPTSVIISWQPPTHPNGLVENFTIERRVKGKEEVTTLVTLPRSHSMRFIDKTSALSPW
+TKYEYRVLMST
+>O75445_PF00041_2729 <unknown description>
+PPVVTVLEPDAVQVTWKPPLIQNGDILSYEIHMPDPHITLTNVTSAVLSQKVTHLIPFTN
+YSVTIVACSGG
+>O75445_PF00041_2930 <unknown description>
+NLTASVLNHTAIDVRWAKPTVQDLQGEVEYYTLFWSSATSNDSLKILPDVNSHVIGHLKP
+NTEYWIFISVFNGV
+>O75445_PF00041_3596 <unknown description>
+PSITALSAVALHLSWSVPEKSNGVIKEYQIRQVGKGLIHTDTTDRRQHTVTGLQPYTNYS
+FTLTACTSAGC
+>O75445_PF00041_3781 <unknown description>
+ITVIGPYSIFVAWIPPGILIPEIPVEYNVLLNDGSVTPLAFSVGHHQSTLLENLTPFTQY
+EIRIQACQNGSCGVSS
+>O75445_PF00041_3974 <unknown description>
+QATSAHSVLLNWTKPESPNGIISHYRVVYQERPDDPTFNSPTVHAFTVKGTSHQAHLYGL
+EPFTTYRIGVVAANHAG
+>O75445_PF00041_4161 <unknown description>
+PTVHSVKSTSVELSWSEPVNPNGKIIRYEVIRRCFEGKAWGNQTIQADEKIVFTEYNTER
+NTFMYNDTGLQPWTQCEYKIYTWNSAG
+>O75445_PF00041_4359 <unknown description>
+VSPPDLWAVSATQMNVCWSPPTVQNGKITKYLVRYDNKESLAGQGLCLLVSHLQPYSQYN
+FSLVACTNGGC
+>O75445_PF00041_4538 <unknown description>
+PKLQARGPQEILVNWDPPVRTNGDIINYTLFIRELFERETKIIHINTTHNSFGMQSYIVN
+QLKPFHRYEIRIQACTTLG
+>O75445_PF00041_4840 <unknown description>
+LASRTASFRWSPPMFPNGVIHSYELQFHVACPPDSALPCTPSQIETKYTGLGQKASLGGL
+QPYTTYKLRVVAHNEVG
+>O60763_PF18770_270 <unknown description>
+SGWSAQKVTNLHLMLQLVRVLVSPTNPPGATSSCQKAMFQCGLLQQLCTILMATGVPADI
+>O60763_PF04869_347 <unknown description>
+NQDYFASVNAPSNPPRPAIVVLLMSMVNERQPFVLRCAVLYCFQCFLYKNQKGQGEIVST
+LLPSTIDATGNSVSAGQLLCGGLFSTDSLSNWCAAVALAHALQENATQKEQLLRVQLATS
+IGNPPVSLLQQCTNILSQGSKIQTRVGLLMLLCTWLSNCPIAVTHFLHNSANVPFLTGQI
+AENLGEEEQLVQGLCALLLGISIYFNDNSLESYMKEKLKQLIEKRIGKENFIEKLGFISK
+HELYSRASQKPQPNFPSPEYMIFDHEFTKLVKELEGVITKAI
+>O60763_PF04871_786 <unknown description>
+QVAELKQELATLKSQLNSQSVEITKLQTEKQELLQKTEAFAKSVEVQGETETIIATKTTD
+VEGRLSALLQETKELKNEIKALSEERTAIKEQLDSSNSTIAILQTEKDKLELEITDSKKE
+QDDLLVLLADQDQKILSLKNKLKDLGHPVEEEDELESGDQEDEDDE
+>Q93008_PF00443_1557 <unknown description>
+VGLKNAGATCYMNSVIQQLYMIPSIRNGILAIEGTGSDVDDDMSGDEKQDNESNVDPRDD
+VFGYPQQFEDKPALSKTEDRKEYNIGVLRHLQVIFGHLAASRLQYYVPRGFWKQFRLWGE
+PVNLREQHDALEFFNSLVDSLDEALKALGHPAMLSKVLGGSFADQKICQGCPHRYECEES
+FTTLNVDIRNHQNLLDSLEQYVKGDLLEGANAYHCEKCNKKVDTVKRLLIKKLPPVLAIQ
+LKRFDYDWERECAIKFNDYFEFPRELDMEPYTVAGVAKLEGDNVNPESQLIQQSEQSESE
+TAGSTKYRLVGVLVHSGQASGGHYYSYIIQRNGGDGERNRWYKFDDGDVTECKMDDDEEM
+KNQCFGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFY
+>Q93008_PF12030_2098 <unknown description>
+NRFSEYLLECPSAEVRGAFAKLIVFIAHFSLQDGPCPSPFASPGPSSQAYDNLSLSDHLL
+RAVLNLLRREVSEHGRHLQQYFNLFVMYANLGVAEKTQLLKLSVPATFMLVSLDEGPGPP
+IKYQYAELGKLYSVVSQLIRCCNVSSRMQSSINGNPPLPNPFGDPNLSQPIMPIQQNVAD
+ILFVRTSYVKKIIEDCSNSEETVKLLRFCCWENPQFSSTVLSELLWQVAYSYTYELRPYL
+DLLLQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSN
+CPVAYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYTYNNWSPPVQSNETSNGYFL
+E
+>O00507_PF00443_1559 <unknown description>
+VGLKNAGATCYMNSVIQQLYMIPSIRNSILAIEGTGSDLHDDMFGDEKQDSESNVDPRDD
+VFGYPHQFEDKPALSKTEDRKEYNIGVLRHLQVIFGHLAASQLQYYVPRGFWKQFRLWGE
+PVNLREQHDALEFFNSLVDSLDEALKALGHPAILSKVLGGSFADQKICQGCPHRYECEES
+FTTLNVDIRNHQNLLDSLEQYIKGDLLEGANAYHCEKCDKKVDTVKRLLIKKLPRVLAIQ
+LKRFDYDWERECAIKFNDYFEFPRELDMGPYTVAGVANLERDNVNSENELIEQKEQSDNE
+TAGGTKYRLVGVLVHSGQASGGHYYSYIIQRNGKDDQTDHWYKFDDGDVTECKMDDDEEM
+KNQCFGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFY
+>O00507_PF12030_2099 <unknown description>
+NRFSEYLLECPSAEVRGAFAKLIVFIAHFSLQDGSCPSPFASPGPSSQACDNLSLSDHLL
+RATLNLLRREVSEHGHHLQQYFNLFVMYANLGVAEKTQLLKLNVPATFMLVSLDEGPGPP
+IKYQYAELGKLYSVVSQLIRCCNVSSTMQSSINGNPPLPNPFGDLNLSQPIMPIQQNVLD
+ILFVRTSYVKKIIEDCSNSEDTIKLLRFCSWENPQFSSTVLSELLWQVAYSYTYELRPYL
+DLLFQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSS
+CPVAYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYSYNNWSPPVQSNETANGYF
+>Q5W0Q7_PF15499_226 <unknown description>
+CVQWKNAYALCWLDCILSALVHSEELKNTVTGLCSKEESIFWRLLTKYNQANTLLYTSQL
+SGVKDGDCKKLTSEIFAEIETCLNEVRDEIFISLQPQLRCTLGDMESPVFAFPLLLKLET
+HIEKLFLYSFSWDFECSQCGHQYQNRHMKSLVTFTNVIPEWHPLNAAHFGPCNNCNSKSQ
+IRKMVLEKVSPIFMLHFVEGLPQNDLQHYAFHFEGCLYQITSVIQYRANNHFITWILDAD
+GSWLECDDLKGPCSERHKKFEVPASEIHIVIWE
+>Q5W0Q7_PF15509_572 <unknown description>
+LLSGPKGLVDNILPLTLEETIQKTASVSQLNSEAFLLENKPVAENTGILKTNTLLSQESL
+MASSVSAPCNEKLIQDQFVDISFPSQVVNTNMQSVQLNTEDTVNTKSVNNTDATGLIQGV
+KSVEIEKDAQLKQFLTPKTEQLKPERVTSQVSNLKKKETTADSQTTTSKSLQNQSLKENQ
+KKPFVGSWVKGLISRGASFMPLCVSAHNRNTITDLQPSVKGVNNFGGFKTKGINQKASHV
+SKKARKSASKPPPISKPPAGPPSSNGTAAHPHAHAASEVLEKSGSTSCGAQLNHSSYGNG
+ISSANHEDLVEGQIHKLRLKLRKKLKAEKKKLAALMSSPQSRTVRSENLEQVPQDGSPND
+CESIEDLLNELPYPIDIASESACTTVPGVSLYSSQTHEEILAELLSPTPVSTELSENGEG
+DFRYLGMGDSHIPPPVPSEFNDVSQNTHLRQDHNYCSPTKKNPCEVQPDSLTNNACVRTL
+NLESPMKTDIFDEFFSSSALNALANDTLDLPHFDEYLFE
+>Q9Y2C2_PF03567_104 <unknown description>
+QVVYNRVGKCGSRTVVLLLRILSEKHGFNLVTSDIHNKTRLTKNEQMELIKNISTAEQPY
+LFTRHVHFLNFSRFGGDQPVYINIIRDPVNRFLSNYFFRRFGDWRGEQNHMIRTPSMRQE
+ERYLDINECILENYPECSNPRLFYIIPYFCGQHPRCREPGEWALERAKLNVNENFLLVGI
+LEELEDVLLLLERFLPHYFKGVLSIYKDPEHRKLGNMTVTVKKTVPSPEAVQILYQRMRY
+EYEFYHY
+>Q9BVJ6_PF04615_44 <unknown description>
+QKLLEAISSLDGKNRRKLAERSEASLKVSEFNVSSEGSGEKLVLADLLEPVKTSSSLATV
+KKQLSRVKSKKTVELPLNKEEIERIHREVAFNKTAQVLSKWDPVVLKNRQAEQLVFPLEK
+EEPAIAPIEHVLSGWKARTPLEQEIFNLLHKNKQPVTDPLLTPVEKASLRAMSLEEAKMR
+RAELQRARALQSYYEAKARREKKIKSKKYHKVVKKGKAKKALKEFEQLRKVNPAAALEEL
+EKIEKARMMERMSLKHQNSGKWAKSKAIMAKYDLEARQAMQEQLSKNKELTQKLQVASES
+EEEEGGTEDVEELLVPDVVNEVQMNADGPNPWMLRSCTSDTKEAATQEDPEQLPELEAHG
+VSESEGEERPVAEEEILLREFEERRSLRKRSELSQDAEPAGSQETKDSGSQEVLSELRVL
+SQKLKENHQSRKQKASSEGTIPQVQREEPAPEEEEPLLLQRPERVQTLEELEELGKEECF
+QNKELPRPVLEGQQSERTPNNRPDAPKEKKKKEQMIDLQNLLTTQSPSVKSLAVPTIEEL
+EDEEERNHRQMIKEAFAGDDVIRDFLKEKREAVEASKPKDVDLTLPGWGEWGGVGLKPSA
+KKRRRFLIKAPEGPPRKDKNLPNVIINEKRNIHAAAHQVRVLPYPFTHHWQFERTIQTPI
+GSTWNTQRAFQKLTTPKVVTKPGHIINPIKA
+>Q5TAP6_PF04615_43 <unknown description>
+QKLLEAIISLDGKNRRKLAERSEASLKVSEFSVSSEGSGEKLGLADLLEPVKTSSSLATV
+KKQLNRVKSKKVVELPLNKEKIEQIHREVAFSKTSQVLSKWDPIILKNQQAEQLVFPLGK
+EQPAIAPIEHALSGWKARTPLEQEIFNLLHKNKQPVTDPLLTPMEKASLQAMSLEEAKMH
+RAELQRARALQSYYEAKARKEKKIKSKKYHKVVKKGKAKKALKEFEQLQKVNPTVALEEM
+EKIENARMMERMSLKHQNSGKWAKSKAIMAKYDLEARQAMQEQLAKNKELTQKLQVASES
+EEEEGGTEVEELLVPHVANEVQMNVDGPNPWMFRSCTSDTKEAATQEDPEQVPELAAHEV
+SASEAEERPVAEEEILLREFEERQSLRKRSELNQDAEPASSQETKDSSSQEVLSELRALS
+QKLKEKHQSRKQKASSEGTVPQVQREEPAPEEAEPLLLQRSERVQTLEELEELGKEDCFQ
+NKELPRPVLEGQQSERTPNNRPDAPKEKKEKEQLINLQNFLTTQSPSVRSLAVPTIIEEL
+EDEEERDQRQMIKEAFAGDDVIRDFLKEKREAVEASKPKDVDLTLPGWGEWGGVGLKPSA
+KKRRQFLIKAPEGPPRKDKNLPNVIISEKRNIHAAAHQVQVLPYPFTHHRQFERTIQTPI
+GSTWNTQRAFQKLTTPKVVTKPGHIIKPIKA
+>Q13336_PF03253_59 <unknown description>
+IDWILRGISQVVFVNNPVSGILILVGLLVQNPWWALTGWLGTVVSTLMALLLSQDRSLIA
+SGLYGYNATLVGVLMAVFSDKGDYFWWLLLPVCAMSMTCPIFSSALNSMLSKWDLPVFTL
+PFNMALSMYLSATGHYNPFFPAKLVIPITTAPNISWSDLSALELLKSIPVGVGQIYGCDN
+PWTGGIFLGAILLSSPLMCLHAAIGSLLGIAAGLSLSAPFEDIYFGLWGFNSSLACIAMG
+GMFMALTWQTHLLALGCALFTAYLGVGMANFMAEVGLPACTWPFCLATLLFLIMT
+>Q15849_PF03253_119 <unknown description>
+IDWVLRGTAQVMFINNPLSGLIIFIGLLIQNPWWTITGGLGTVVSTLTALALGQDRSAIA
+SGLHGYNGMLVGLLMAVFSEKLDYYWWLLFPVTFTAMSCPVLSSALNSIFSKWDLPVFTL
+PFNIAVTLYLAATGHYNLFFPTTLVEPVSSVPNITWTEMEMPLLLQAIPVGVGQVYGCDN
+PWTGGVFLVALFISSPLICLHAAIGSIVGLLAALSVATPFETIYTGLWSYNCVLSCIAIG
+GMFYALTWQTHLLALICALFCAYMEAAISNIMSVVGVPPGTWAFCLATIIFLLLTT
+>Q15849_PF03253_581 <unknown description>
+FDWVLRGTSQVMFVNNPLSGILIILGLFIQNPWWAISGCLGTIMSTLTALILSQDKSAIA
+AGFHGYNGVLVGLLMAVFSDKGDYYWWLLLPVIIMSMSCPILSSALGTIFSKWDLPVFTL
+PFNITVTLYLAATGHYNLFFPTTLLQPASAMPNITWSEVQVPLLLRAIPVGIGQVYGCDN
+PWTGGIFLIALFISSPLICLHAAIGSTMGMLAALTIATPFDSIYFGLCGFNSTLACIAIG
+GMFYVITWQTHLLAIACALFAAYLGAALANMLSVFGLPPCTWPFCLSALTFLLLTT
+>P11684_PF01099_1 <unknown description>
+MKLAVTLTLVTLALCCSSASAEICPSFQRVIETLLMDTPSSYEAAMELFSPDQDMREAGA
+QLKKLVDTLPQKPRESIIKLMEKIAQSSLC
+>Q5T230_PF13837_61 <unknown description>
+TPWSARETELLLGTLLQPAVWRALLLDRRQALPTYRRVSAALAQQQVRRTPAQCRRRYKF
+LKDKFREAHG
+>Q9Y3A2_PF03998_13 <unknown description>
+QREHRERSQPGFRKHLGLLEKKKDYKLRADDYRKKQEYLKALRKKALEKNPDEFYYKMTR
+VKLQDGVHIIKETKEEVTPEQLKLMRTQDVKYIEMKRVAEAKKIERLKSELHLLDFQGKQ
+QNKHVFFFDTKKEVEQFDVATHLQTAPELVDRVFNRPRIETLQKEKVKGVTNQTGLKRIA
+KERQKQYNCLTQRIEREKKLFVIAQKIQTRKDLMDKTQKVKVKKETVNSPAIYKFQSRRK
+R
+>Q8TED0_PF00400_113 <unknown description>
+APLRQFEGHTKAVHTVDFTADKYHVVSGADDYTVKLWD
+>Q8TED0_PF00400_156 <unknown description>
+EILTFKEHSDYVRCGCASKLNPDLFITGSYDHTVKMFD
+>Q8TED0_PF00400_204 <unknown description>
+EHGQPVESVLLFPSGGLLVSAGGRYVKVWD
+>Q8TED0_PF00400_238 <unknown description>
+GQLLVSLKNHHKTVTCLCLSSSGQRLLSGSLDRKVKVY
+>Q8TED0_PF09384_343 <unknown description>
+NYMKQRDDILINRPAKKHLELYDRDLKHFRISKALDRVLDPTCTIKTPEITVSIIKELNR
+RGVLANALAGRDEKEISHVLNFLIRNLSQPRFAPVLINAAEIIIDIYLPVIGQSPVVDKK
+FLLLQGLVEKEIDYQRELLETLGMMDML
+>O75691_PF07539_910 <unknown description>
+AAAKQLIAHLQVFSKFSNPRALYLESKLYELYLQLLLHQDQMVQKITLDCIMTYKHPHVL
+PYRENLQRLLEDRSFKEEIVHFSISEDNAVVKTAHRADLFPILMRILYGRMKNKTGSKTQ
+GKSASGTRMAIVLRFLAGTQPEEIQIFLDLLFEPVRHFKNGECHSAVIQAVEDLDLSKVL
+PLGRQHGILNSLEIVLKNISHLISAYLPKILQILLCMTATVSHILDQREKIQLRFINPLK
+NLRRLGIKMVTDIFLDWESYQFRTEEIDAVFHGAVWPQISRLGSESQYSPTPLLKLISIW
+SRNARYFPLLAKQKPGHPECDILTNVFAILSAKNLSDATASIVMDIVDDLLNLPDFEPTE
+TVLNLLVTGCVYPGIAENIGESITIGGRLILPHVPAILQYLSKTTISAEKVKKKKNRAQV
+SKELGILSKISKFMKDKEQSSVLITLLLPFLHRGNIAEDTEVDILVTVQNLLKHCVDPTS
+FLKPIAKLFSVIKNKLSRKLLCTVFETLSDFESGLKYITDVVKLNAFDQRHLDDINFDVR
+FETFQTITSYIKEMQIVDVNYLIPVMHNCFYNLELGDMSLSDNASMCLMSIIKKLAALNV
+TEKDYREIIHRSLLEKLRKGLKSQ
+>Q9BRU9_PF04900_51 <unknown description>
+RYLMGETQLCTTRCVLKELETLGKDLYGAKLIAQKCQVRNCPHFKNAVSGSECLLSMVEE
+GNPHHYFVATQDQNLSVKVKKKPGVPLMFIIQNTMVLDK
+>Q68CQ4_PF06862_276 <unknown description>
+TPLQKELFLIMNSYRDLFYPERTALKNGEEIRHVYCLHVINHILKANAQVLGNNSRRRSQ
+KFGVGDDDDFRDQGLTRPKVLIVVPFREAALRVVQLFISLLEGDSKKKIIVSNKKRFQGE
+YGSDPEERPPNLKRPEDYEAVFVGNIDDHFRIGVAILQRSIRLYAPFYSSDILIASPLGL
+RTIIGGEGEKKRDFDFLSSIELLIIDQADIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSR
+VRMWSLNNWSKYYRQTLLFGALQDAQINSVFNKYCVNMQGQVAVRNVPMTGSISHVLVQL
+PHVFQRMEAENLASVIDARFNFFVNKILPQYRDAVMSHTLIYIPSYFDFVRLRNYFKKEE
+LNFTHICEYTQKSGVSRARHFFLQGEKQFLLFTERFHFYKRYTIKGIRNLIFYELPTYPH
+FYSEICNMLRATNRGEEATWTCTVLYSKYDAQRLAAVVGVERAAQMLQSNKNVHLF
+>Q969X6_PF00400_103 <unknown description>
+PIWSMAASPSGSQLLVGCEDGSVKLF
+>Q9NYH9_PF08640_9 <unknown description>
+IEDRLPELEQLERIGLFSHAEIKAIIKKASDLEYKIQRRTLFKEDFINYVQYEINLLELI
+QRRRTRIGYSFKKDEIENSIVHR
+>P46939_PF00307_32 <unknown description>
+VQKKTFTKWINARFSKSGKPPINDMFTDLKDGRKLLDLLEGLTGTSLPKERGSTRVHALN
+NVNRVLQVLHQNNVELVNIGGTDIVDGNHKLTLGLLWSIILHWQ
+>P46939_PF00307_152 <unknown description>
+SEKILLSWVRQTTRPYSQVNVLNFTTSWTDGLAFNAVLHRHKPDLFSWDKVVKMSPIERL
+EHAFSKAQTYLGIEKLLDPEDVAVQLPDKKSIIMYLTSLFEV
+>P46939_PF00435_311 <unknown description>
+LDSYQIALEEVLTWLLSAEDTFQEQDDISDDVEEVKDQFATHEAFMMELTAHQSSVGSVL
+QAGNQLITQGTLSDEEEFEIQEQMTLLNARWEALRVESMDRQSRLHD
+>P46939_PF00435_420 <unknown description>
+MELQKKQLQQLSAWLTLTEERIQKMETCPLDDDVKSLQKLLEEHKSLQSDLEAEQVKVNS
+LTHMVVIVDENSGESATAILEDQLQKLGERWTAVCRWTEERWNRLQE
+>P46939_PF00435_1018 <unknown description>
+LRAFEADSTVIEKWMDGVKDFLMKQQAAQGDDAGLQRQLDQCSAFVNEIETIESSLKNMK
+EIETNLRSGPVAGIKTWVQTRLGDYQTQLEKLSKEIATQKSRLSE
+>P46939_PF00435_1129 <unknown description>
+NLKKDLAEMQEWMTQAEEEYLERDFEYKSPEELESAVEEMKRAKEDVLQKEVRVKILKDN
+IKLLAAKVPSGGQELTSELNVVLENYQLLCNRIRGKCHTLEE
+>P46939_PF00435_1545 <unknown description>
+LARKMKKEAASLSEWLSATETELVQKSTSEGLLGDLDTEISWAKNVLKDLEKRKADLNTI
+TESSAALQNLIEGSEPILEERLCVLNAGWSRVRTWTEDWCNTL
+>P46939_PF00435_1653 <unknown description>
+QLEIFDGNVAHISTWLYQAEALLDEIEKKPTSKQEEIVKRLVSELDDANLQVENVRDQAL
+ILMNARGSSSRELVEPKLAELNRNFEKVSQHIKSA
+>P46939_PF00435_1977 <unknown description>
+EWRQFHCDLNDLTQWITEAEELLVDTCAPGGSLDLEKARIHQQELEVGISSHQPSFAALN
+RTGDGIVQKLSQADGSFLKEKLAGLNQRWDAIVAEVKDRQPRL
+>P46939_PF00435_2234 <unknown description>
+TITELADWLVLIDQMLKSNIVTVGDVEEINKTVSRMKITKADLEQRHPQLDYVFTLAQNL
+KNKASSSDMRTAITEKLERVKNQWDGTQHGVELRQQQLED
+>P46939_PF00435_2449 <unknown description>
+RRDLENFLKWIQEAETTVNVLVDASHRENALQDSILARELKQQMQDIQAEIDAHNDIFKS
+IDGNRQKMVKALGNSEEATMLQHRLDDMNQRWNDLKAKSASIRAHLE
+>P46939_PF00435_2692 <unknown description>
+KLRDLQGAMDDLDADMKEAESVRNGWKPVGDLLIDSLQDHIEKIMAFREEIAPINFKVKT
+VNDLSSQLSPLDLHPSLKMSRQLDDLNMRWKLLQVSVDDRLKQLQE
+>P46939_PF00397_2817 <unknown description>
+PWQRSISHNKVPYYINHQTQTTCWDHP
+>P46939_PF09068_2846 <unknown description>
+TELFQSLADLNNVRFSAYRTAIKIRRLQKALCLDLLELSTTNEIFKQHKLNQNDQLLSVP
+DVINCLTTTYDGLEQMHKDLVNVPLCVDMCLNWLLNVYDTGRTGKIRVQSLKIGLMSLS
+>P46939_PF09069_2968 <unknown description>
+LEEKYRYLFKEVAGPTEMCDQRQLGLLLHDAIQIPRQLGEVAAFGGSNIEPSVRSCFQQN
+NNKPEISVKEFIDWMHLEPQSMVWLPVLHRVA
+>P46939_PF00569_3065 <unknown description>
+KHQAKCNICKECPIVGFRYRSLKHFNYDVCQSCFFSGRTAKGHK
+>O95399_PF02083_113 <unknown description>
+RETPDCFWKYCV
+>Q9P2Y5_PF10186_185 <unknown description>
+NCVRNSYDVFSLLRLHRAQCAIKQTQVTVQKIGKEIEEKLRLTSTSNELKKKSECLQLKI
+LVLQNELERQKKALGREVALLHKQQIALQDKGSAFSAEHLKLQLQKESLNELRKECTAKR
+ELFLKTNAQLTIRCRQLLSELSYIYPIDLNEHKDYFVCGVKLPNSEDFQAKDDGSIAVAL
+GYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQFDY
+GVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFME
+>Q2YD98_PF09740_498 <unknown description>
+RAPVVPYGVDLHYWGQELPTAGKIVKSDSQHRFWKPSEVEEEVVNADISEMLRSRHITFA
+GKFEPVQHWCRAPRPDGRLCERQDRLKCPFHGKIVPRDDEGRPLD
+>Q8NBZ7_PF11803_5 <unknown description>
+ALLRLVSAVNRRRMKLLLGIALLAYVASVWGNFVNMSFLLNRSIQENGELKIESKIEEMV
+EPLREKIRDLEKSFTQKYP
+>Q8NBZ7_PF16363_97 <unknown description>
+LITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVEHWIGHENFELINHDVVEPLYI
+EVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGARLLLASTSEVYGDPEV
+HPQSEDYWGHVNPIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRMHMNDG
+RVVSNFILQALQGEPLTVYGSGSQTRAFQYVSDLVNGLVALMNSNVSSPVNLGNPEEHTI
+LEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNK
+>Q9UBK9_PF02996_41 <unknown description>
+QRDLRKVLDHRDKVYEQLAKYLQLRNVIERLQEAKHSELYMQVDLGCNFFVDTVVPDTSR
+IYVALGYGFFLELTLAEALKFIDRKSSLLTELSNSLTKDSMNIKAHIHMLLEGLRELQ
+>P37288_PF00001_68 <unknown description>
+GNSSVLLALHRTPRKTSRMHLFIRHLSLADLAVAFFQVLPQMCWDITYRFRGPDWLCRVV
+KHLQVFGMFASAYMLVVMTADRYIAVCHPLKTLQQPARRSRLMIAAAWVLSFVLSTPQYF
+VFSMIEVNNVTKARDCWATFIQPWGSRAYVTWMTGGIFVAPVVILGTCYGFICYNIWCNV
+RGKTASRQSKGAEQAGVAFQKGFLLAPCVSSVKSISRAKIRTVKMTFVIVTAYIVCWAPF
+FIIQMWSVWDPMSVWTESENPTITITALLGSLNSCCNPWIY
+>P37288_PF08983_372 <unknown description>
+KFNKEDTDSMSRRQTFYSNNRSPTNSTGMWKDSPKSSKSIKFIPVST
+>P47901_PF00001_51 <unknown description>
+GNLAVLLTLGQLGRKRSRMHLFVLHLALTDLAVALFQVLPQLLWDITYRFQGPDLLCRAV
+KYLQVLSMFASTYMLLAMTLDRYLAVCHPLRSLQQPGQSTYLLIAAPWLLAAIFSLPQVF
+IFSLREVIQGSGVLDCWADFGFPWGPRAYLTWTTLAIFVLPVTMLTACYSLICHEICKNL
+KVKTQAWRVGGGGWRTWDRPSPSTLAATTRGLPSRVSSINTISRAKIRTVKMTFVIVLAY
+IACWAPFFSVQMWSVWDKNAPDEDSTNVAFTISMLLGNLNSCCNPWIY
+>P30518_PF00001_55 <unknown description>
+NGLVLAALARRGRRGHWAPIHVFIGHLCLADLAVALFQVLPQLAWKATDRFRGPDALCRA
+VKYLQMVGMYASSYMILAMTLDRHRAICRPMLAYRHGSGAHWNRPVLVAWAFSLLLSLPQ
+LFIFAQRNVEGGSGVTDCWACFAEPWGRRTYVTWIALMVFVAPTLGIAACQVLIFREIHA
+SLVPGPSERPGGRRRGRRTGSPGEGAHVSAAVAKTVRMTLVIVVVYVLCWAPFFLVQLWA
+AWDPEAPLEGAPFVLLMLLASLNSCTNPWIY
+>P61421_PF01992_16 <unknown description>
+LEGLVRGLKAGVLSQADYLNLVQCETLEDLKLHLQSTDYGNFLANEASPLTVSVIDDRLK
+EKMVVEFRHMRNHAYEPLASFLDFITYSYMIDNVILLITGTLHQRSIAELVPKCHPLGSF
+EQMEAVNIAQTPAELYNAILVDTPLAAFFQDCISEQDLDEMNIEIIRNTLYKAYLESFYK
+FCTLLGGTTADAMCPILEFEADRRAFIITINSFGTELSKEDRAKLFPHCGRLYPEGLAQL
+ARADDYEQVKNVADYYPEYKLLFEGAGSNPGDKTLEDRFFEHEVKLNKLAFLNQFHFGVF
+YAFVKLKEQECRNIVWIAECIAQRHRAKIDNY
+>Q8N8Y2_PF01992_16 <unknown description>
+LEGLVRGCKASLLTQQDYINLVQCETLEDLKIHLQTTDYGNFLANHTNPLTVSKIDTEMR
+KRLCGEFEYFRNHSLEPLSTFLTYMTCSYMIDNVILLMNGALQKKSVKEILGKCHPLGRF
+TEMEAVNIAETPSDLFNAILIETPLAPFFQDCMSENALDELNIELLRNKLYKSYLEAFYK
+FCKNHGDVTAEVMCPILEFEADRRAFIITLNSFGTELSKEDRETLYPTFGKLYPEGLRLL
+AQAEDFDQMKNVADHYGVYKPLFEAVGGSGGKTLEDVFYEREVQMNVLAFNRQFHYGVFY
+AYVKLKEQEIRNIVWIAECISQRHRTKINSY
+>O15342_PF05493_10 <unknown description>
+LIVMSVFWGFVGFLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQLNPLFGPQLK
+>Q8NHE4_PF05493_9 <unknown description>
+PVIIFTTFWGLVGIAGPWFVPKGPNRGVIITMLVATAVCCYLFWLIAILAQLNPLFGPQL
+K
+>Q08AM6_PF12755_68 <unknown description>
+SRKGGLIGLAACSIALGKDSGLYLKELIEPVLTCFNDADSRLRYYACEALYNIVKVARGA
+VLPHFNVLFDGLSKLAADPDPNVKSGSELLDRLLKD
+>Q08AM6_PF11916_542 <unknown description>
+RKLLEVRGPFIIRQLCLLLNAENIFHSMADILLREEDLKFASTMVHALNTILLTSTELFQ
+LRNQLKDLKTLESQNLFCCLYRSWCHNPVTTVSLCFLTQNYRHAYDLIQKFGDLEVTVDF
+LAEVDKLVQLIECPIFTYLRLQLLDVKNNPYLIKALYGLLMLLPQSSAFQLLSHRLQCV
+>Q16572_PF07690_94 <unknown description>
+TANTSASPTAAWPAGSALRPRYPTESEDVKIGVLFASKAILQLLVNPLSGPFIDRMSYDV
+PLLIGLGVMFASTVLFAFAEDYATLFAARSLQGLGSAFADTSGIAMIADKYPEEPERSRA
+LGVALAFISFGSLVAPPFGGILYEFAGKRVPFLVLAAVSLFDALLLLAVAKPFSAAARAR
+ANLPVGTPIHRLMLDPYIAVVAGALTTCNIPLAFLEPTIATWMKHTMAASEWEMGMAWLP
+AFVPHVLGVYLTVRLAARYPHLQWLYGALGLAVIGASSCIVPACRSFAPLVVSLCGLCFG
+IALVD
+>P23763_PF00957_30 <unknown description>
+SNRRLQQTQAQVEEVVDIIRVNVDKVLERDQKLSELDDRADALQAGASQFESSAAKLKRK
+YWWKNCKMMIMLGAICAIIVVVIVIYFF
+>P63027_PF00957_28 <unknown description>
+SNRRLQQTQAQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAGASQFETSAAKLKRK
+YWWKNLKMMIILGVICAIILIIIIVYF
+>Q15836_PF00957_11 <unknown description>
+SNRRLQQTQNQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAGASQFETSAAKLKRK
+YWWKNCKMWAIGITVLVIFIIIIIVWVV
+>O75379_PF00957_49 <unknown description>
+RNDKIKHVQNQVDEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQ
+MWWRGCKIKAIMALVAAILLLVIIILIV
+>O95183_PF00957_6 <unknown description>
+LERCQQQANEVTEIMRNNFGKVLERGVKLAELQQRSDQLLDMSSTFNKTTQNLAQKKCWE
+NIRYRICVGLVVVGVLLIILIVL
+>P51809_PF13774_30 <unknown description>
+EQILAKIPSENNKLTYSHGNYLFHYICQDRIVYLCITDDDFERSRAFNFLNEIKKRFQTT
+YGSRAQTALPYAMNSEFS
+>P51809_PF00957_124 <unknown description>
+DKVMETQAQVDELKGIMVRNIDLVAQRGERLELLIDKTENLVDSSVTFKTTSRNLARAMC
+MKNLKLTIIIIIVSIVFIYIIVSPLCG
+>Q9BV40_PF00957_10 <unknown description>
+NDRVRNLQSEVEGVKNIMTQNVERILARGENLEHLRNKTEDLEATSEHFKTTSQKVARKF
+WWKNVKMIVLICVIVFIIILFIVLFAT
+>Q8TAA9_PF06638_26 <unknown description>
+RTRERHKSPRNKDGRGSEKSVTIQPPTGEPLLGNDSTRTEEVQDDNWGETTTAITGTSEH
+SISQEDIARISKDMEDSVGLDCKRYLGLTVASFLGLLVFLTPIAFILLPPILWRDELEPC
+GTICEGLFISMAFKLLILLIGTWALFFRKRRADMPRVFVFRALLLVLIFLFVVSYWLFYG
+VRILDSRDRNYQGIVQYAVSLVDALLFIHYLAIVLLELRQLQPMFTLQVVRSTDGESRFY
+SLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKFRAAKHMAGLKVYNVDGPSNNATGQS
+RAMIAAAARRRDSSHNELYYEEAEHERRVKKRKARLVVAVEEAFIHIQRLQAEEQQKAPG
+EVMDPREAAQAIFPSMARALQKYLRITRQQNYHSMESILQHLAFCITNGMTPKAFLERYL
+SAGPTLQYDKDRWLSTQWRLVSDEAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIILSEE
+FIDPKSHKFVLRLQSETSV
+>Q9ULK5_PF06638_25 <unknown description>
+DRRDRHRSKSRDGGRGDKSVTIQAPGEPLLDNESTRGDERDDNWGETTTVVTGTSEHSIS
+HDDLTRIAKDMEDSVPLDCSRHLGVAAGATLALLSFLTPLAFLLLPPLLWREELEPCGTA
+CEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFVLRALLMVLVFLLVVSYWLFYGVRI
+LDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELRQLQPQFTLKVVRSTDGASRFYNVG
+HLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAKKVSGFKVYSLGEENSTNNSTGQSR
+AVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLVVAVEEAFTHIKRLQEEEQKNPREV
+MDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESILQHLEFCITHDMTPKAFLERYLAA
+GPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLLKRQDFSLVVSTKKVPFFKLSEEFV
+DPKSHKFVMRLQSETSV
+>Q9P0L0_PF00635_15 <unknown description>
+LVLDPPTDLKFKGPFTDVVTTNLKLRNPSDRKVCFKVKTTAPRRYCVRPNSGIIDPGSTV
+TVSVMLQPFDYDPNEKSKHKFMVQTIFAPPNTSDMEAVWKEAK
+>O95292_PF00635_8 <unknown description>
+LSLEPQHELKFRGPFTDVVTTNLKLGNPTDRNVCFKVKTTAPRRYCVRPNSGIIDAGASI
+NVSVMLQPFDYDPNEKSKHKFMVQSMFAPTDTSDMEAVWKEAK
+>Q15904_PF05827_322 <unknown description>
+TFKFILANRLYPVSARHWFTMERLEVHSNGSVAYFNASQVTGPSIYSFHCEYVSSLSKKG
+SLLVARTQPSPWQMMLQDFQIQAFNVMGEQFSYASDCASFFSPGIWMGLLTSLFMLFIFT
+YGLHMILSLKTMDRFDDHKGPTISLTQ
+>Q7L8A9_PF14822_58 <unknown description>
+VPFFVNRGGLPVDEATWERMWKHVAKIHPDGEKVAQRIRGATDLPKIPIPSVPTFQPSTP
+VPERLEAVQRYIRELQYNHTGTQFFEIKKSRPLTGLMDLAKEMTKEALPIKCLEAVILGI
+YLTNSMPTLERFPISFKTYFSGNYFRHIVLGVNFAGRYGALGMSRREDLMYKPPAFRTLS
+ELVLDFEAAYGRCWHVLKKVKLGQSVSHDPHSVEQIEWKHSVLDVERLGRDDFRKELERH
+ARDMR
+>Q86V25_PF14822_48 <unknown description>
+LFHVNKSGFPIDSHTWERMWMHVAKVHPKGGEMVGAIRNAAFLAKPSIPQVPNYRLSMTI
+PDWLQAIQNYMKTLQYNHTGTQFFEIRKMRPLSGLMETAKEMTRESLPIKCLEAVILGIY
+LTNGQPSIERFPISFKTYFSGNYFHHVVLGIYCNGRYGSLGMSRRAELMDKPLTFRTLSD
+LIFDFEDSYKKYLHTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELEKYA
+RDMR
+>Q6EMK4_PF13855_101 <unknown description>
+NLSNLDLTANRLHEITNETFRGLRRLERLYLGKNRIRHIQPGAFDTLDRLLELKLQDNEL
+>Q6EMK4_PF13855_193 <unknown description>
+NVEALRLAGLGLQQLDEGLFSRLRNLHDLDVSDNQLERVPPVIRGLRGLTRLRLAGN
+>Q6EMK4_PF00008_409 <unknown description>
+CPPSTCLNGGTCHLGTRHHLACLCPEGFTGL
+>P50552_PF00568_4 <unknown description>
+TVICSSRATVMLYDDGNKRWLPAGTGPQAFSRVQIYHNPTANSFRVVGRKMQPDQQVVIN
+CAIVRGVKYNQATPNFHQWRDARQVWGLNFGSKEDAAQFAAGMASA
+>P50552_PF08776_342 <unknown description>
+SDLQRVKQELLEEVKKELQKVKEEIIEAFVQELRK
+>Q9HCJ6_PF08240_69 <unknown description>
+GELKIRVKACGLNFIDLMVRQGNIDNPPKTPLVPGFECSGIVEALGDSVKGYEIGDRVMA
+FVN
+>Q9HCJ6_PF13602_234 <unknown description>
+DYVQEVKRISAEGVDIVLDCLCGDNTGKGLSLLKPLGTYILYGSSNMVTGETKSFFSFAK
+SWWQVEKVNPIKLYEENKVIAGFSLLNLLFKQGRAGLIRGVVEKLIGLYNQKKIKPVVDS
+LWALEEVKEAMQRIHDRGNIGKLIL
+>Q99536_PF08240_77 <unknown description>
+GQLTLRLRACGLNFADLMARQGLYDRLPPLPVTPGMEGAGVVIAVGEGVSDRKAGDRVMV
+>Q99536_PF13602_233 <unknown description>
+GVTHPIDYHTTDYVDEIKKISPKGVDIVMDPLGGSDTAKGYNLLKPMGKVVTYGMANLLT
+GPKRNLMALARTWWNQFSVTALQLLQANRAVCGFHLGYLDGEVELVSGVVARLLALYNQG
+HIKPHIDSVWPFEKVADAMKQMQEKKNVGKVLL
+>P38606_PF02874_23 <unknown description>
+GVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGDMATIQVYEETSGVSVGDPVLRT
+G
+>P38606_PF16886_99 <unknown description>
+FDGIQRPLSDISSQTQSIYIPRGVNVSALSRDIKWDFTPCKNLRVGSHITGGDIYGIVSE
+NSLIKHKIMLPPRNRGTVTYIAPPGNYDTSDVVLELEFEGVKEKFTMVQVWPVRQVRPVT
+EKL
+>P38606_PF00006_230 <unknown description>
+GQRVLDALFPCVQGGTTAIPGAFGCGKTVISQSLSKYSNSDVIIYVGCGERGNEMSEVLR
+DFPELTMEVDGKVESIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDMGYHVSMMAD
+STSRWAEALREISGRLAEMPADSGYPAYLGARLASFYERAGRVKCLGNPEREGSVSIVGA
+VSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYS
+>P15313_PF02874_44 <unknown description>
+VCSVNGPLVVLDRVKFAQYAEIVHFTLPDGTQRSGQVLEVAGTKAIVQVFEGTSGIDARK
+TTCEFTG
+>P15313_PF00006_167 <unknown description>
+GISPIDVMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKAVLDYHDDNFAIVFA
+AMGVNMETARFFKSDFEQNGTMGNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKH
+VLVILTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRGGSITQIP
+ILTMPNDDITHPIPDLTGFITEGQIYVDRQLHNRQIYPPINVLPSLS
+>P21281_PF02874_50 <unknown description>
+VSGVNGPLVILDHVKFPRYAEIVHLTLPDGTKRSGQVLEVSGSKAVVQVFEGTSGIDAKK
+TSCEFTG
+>P21281_PF00006_173 <unknown description>
+GISAIDGMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKDVVDYSEENFAIVFA
+AMGVNMETARFFKSDFEENGSMDNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKH
+VLVILTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRNGSITQIP
+ILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRQIYPPINVLPSLS
+>P21283_PF03223_4 <unknown description>
+FWLISAPGEKTCQQTWEKLHAATSKNNNLAVTSKFNIPDLKVGTLDVLVGLSDELAKLDA
+FVEGVVKKVAQYMADVLEDSKDKVQENLLANGVDLVTYITRFQWDMAKYPIKQSLKNISE
+IIAKGVTQIDNDLKSRASAYNNLKGNLQNLERKNAGSLLTRSLAEIVKKDDFVLDSEYLV
+TLLVVVPKLNHNDWIKQYETLAEMVVPRSSNVLSEDQDSYLCNVTLFRKAVDDFRHKARE
+NKFIVRDFQYNEEEMKADKEEMNRLSTDKKKQFGPLVRWLKVNFSEAFIAWIHVKALRVF
+VESVLRYGLPVNFQAMLLQPNKKTLKKLREVLHELYKHLDSSAAAIIDAPMDIPGLNLSQ
+QEYYPYV
+>Q8NEY4_PF03223_4 <unknown description>
+FWLISAPGDKENLQALERMNTVTSKSNLSYNTKFAIPDFKVGTLDSLVGLSDELGKLDTF
+AESLIRRMAQSVVEVMEDSKGKVQEHLLANGVDLTSFVTHFEWDMAKYPVKQPLVSVVDT
+IAKQLAQIEMDLKSRTAAYNTLKTNLENLEKKSMGNLFTRTLSDIVSKEDFVLDSEYLVT
+LLVIVPKPNYSQWQKTYESLSDMVVPRSTKLITEDKEGGLFTVTLFRKVIEDFKTKAKEN
+KFTVREFYYDEKEIEREREEMARLLSDKKQQYQTSCVALKKGSSTFPDHKVKVTPLGNPD
+RPAAGQTDRERESEGEGEGPLLRWLKVNFSEAFIAWIHIKALRVFVESVLRYGLPVNFQA
+VLLQPHKKSSTKRLREVLNSVFRHLDEVAATSILDASVEIPGLQLNNQDYFPYV
+>Q9Y5K8_PF01813_17 <unknown description>
+TIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGEVMREAAFSLAEAKFTA
+GDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYELTGLARGGEQLAKLKR
+NYAKAVELLVELASLQTSFVTLDEAIKITNRRVNAIEHVIIPRIERTLAYIITELDERER
+EEFYRLKKIQE
+>P36543_PF01991_18 <unknown description>
+FIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQMSNLMN
+QARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLVLQGLYQLLEPRMIVRCR
+KQDFPLVKAAVQKAIPMYKIATKNDVDVQIDQESYLPEDIAGGVEIYNGDRKIKVSNTLE
+SRLDLIAQQMMPEVRGALF
+>Q96A05_PF01991_18 <unknown description>
+FIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKILMSTMRN
+QARLKVLRARNDLISDLLSEAKLRLSRIVEDPEVYQGLLDKLVLQGLLRLLEPVMIVRCR
+PQDLLLVEAAVQKAIPEYMTISQKHVEVQIDKEAYLAVNAAGGVEVYSGNQRIKVSNTLE
+SRLDLSAKQKMPEIRMALF
+>Q16864_PF01990_8 <unknown description>
+IAVIGDEDTVTGFLLGGIGELNKNRHPNFLVVEKDTTINEIEDTFRQFLNRDDIGIILIN
+QYIAEMVRHALDAHQQSIPAVLEIPSKEHPYDAAKDSILRR
+>O75348_PF03179_3 <unknown description>
+SQSQGIQQLLQAEKRAAEKVSEARKRKNRRLKQAKEEAQAEIEQYRLQREKEFKAKEAAA
+LGSRGSCSTEVEKETQEKMTILQTYFRQNRDEVLDNLLAFVCDI
+>O95670_PF03179_3 <unknown description>
+SQSQGIQQLLQAEKRAAEKVADARKRKARRLKQAKEEAQMEVEQYRREREHEFQSKQQAA
+MGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLGMVCDV
+>Q96LB4_PF03179_3 <unknown description>
+SQSQGIHQLLQAEKRAKDKLEEAKKRKGKRLKQAKEEAMVEIDQYRMQRDKEFRLKQSKI
+MGSQNNLSDEIEEQTLGKIQELNGHYNKYMESVMNQLLSMVCDMK
+>Q9UI12_PF03224_19 <unknown description>
+IAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSPEEKQEMLQTEGSQCAKTFI
+NLMTHICKEQTVQYILTMVDDMLQENHQRVSIFFDYARCSKNTAWPYFLPMLNRQDPFTV
+HMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGSGVAVETGTVSSSDSSQYV
+QCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQYQMIFSIWLLAFSPQMCE
+HLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSTERETRQEYALAMIQCKVLKQL
+ENLEQQKYDDEDISEDIKFLLEKL
+>Q9UI12_PF11698_349 <unknown description>
+LSSFDEYSSELKSGRLEWSPVHKSEKFWRENAVRLNEKNYELLKILTKLLEVSDDPQVLA
+VAAHDVGEYVRHYPRGKRVIEQLGGKQLVMNHMHHEDQQVRYNALLAVQKLMVHNW
+>P27449_PF00137_17 <unknown description>
+MGASAAMVFSALGAAYGTAKSGTGIAAMSVMRPEQIMKSIIPVVMAGIIAIYGLVVAVLI
+>P27449_PF00137_93 <unknown description>
+LGAGLSVGLSGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALIL
+>Q99437_PF00137_52 <unknown description>
+LGIGLAISLSVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIMAIVI
+>Q99437_PF00137_138 <unknown description>
+FGAGLTVGLSNLFCGVCVGIVGSGAALADAQNPSLFVKILIVEIFGSAIGLFGVIVAIL
+>P52735_PF11971_19 <unknown description>
+PNHRVVWPSAVVFDLAQALRDGVLLCQLLHNLSPGSIDLKDINFRPQMSQFLCLKNIRTF
+LKVCHDKFGLRNSELFDPFDLFDVR
+>P52735_PF00621_202 <unknown description>
+CLLEIQETEAKYYRTLEDIEKNYMSPLRLVLSPADMAAVFINLEDLIKVHHSFLRAIDVS
+VMVGGSTLAKVFLDFKERLLIYGEYCSHMEHAQNTLNQLLASREDFRQKVEECTLKVQDG
+KFKLQDLLVVPMQRVLKYHLLLKELLSHSAERPERQQLKEALEAMQDLAMYIN
+>P52735_PF00169_407 <unknown description>
+KIDGELKVRSIVNHTKQDRYLFLFDKVVIVCKRKGYSYELKEIIELLFHKMTDDPMNNKD
+VKKSHGKMWSYGFYLIHLQGKQGFQFFCKTEDMKRKWMEQFEMAM
+>P52735_PF00130_524 <unknown description>
+HSFQMYTFDKTTNCKACKMFLRGTFYQGYMCTKCGVGAHKECLEVIPP
+>P52735_PF07653_591 <unknown description>
+MVAMQNYHGNPAPPGKPVLTFQTGDVLELLRGDPESPWWEGRLVQTRKSGYFPSSSVKPC
+>P52735_PF00017_673 <unknown description>
+WFAGNMERQQTDNLLKSHASGTYLIRERPAEAERFAISIKFNDEVKHIKVVEKDNWIHIT
+EAKKFDSLLELVEYY
+>P52735_PF07653_825 <unknown description>
+YNFAARDMRELSLREGDVVRIYSRIGGDQGWWKGETNGRIGWFPSTYVEE
+>Q9UKW4_PF11971_20 <unknown description>
+NHRVTWDSAQVFDLAQTLRDGVLLCQLLNNLRAHSINLKEINLRPQMSQFLCLKNIRTFL
+TACCETFGMRKSELFEAFDLFDVR
+>Q9UKW4_PF00621_196 <unknown description>
+CLAEIKQTEEKYTETLESIEKYFMAPLKRFLTAAEFDSVFINIPELVKLHRNLMQEIHDS
+IVNKNDQNLYQVFINYKERLVIYGQYCSGVESAISSLDYISKTKEDVKLKLEECSKRANN
+GKFTLRDLLVVPMQRVLKYHLLLQELVKHTTDPTEKANLKLALDAMKDLAQYVN
+>Q9UKW4_PF00169_415 <unknown description>
+HTKQERHIFLFDLAVIVCKRKGDNYEMKEIIDLQQYKIANNPTTDKENKKWSYGFYLIHT
+QGQNGLEFYCKTKDLKKKWLEQFEMAL
+>Q9UKW4_PF00130_514 <unknown description>
+HDFKMHTFTRVTSCKVCQMLLRGTFYQGYLCFKCGARAHKECLGRVDNC
+>Q9UKW4_PF07653_597 <unknown description>
+MQVIRNYSGTPPPALHEGPPLQLQAGDTVELLKGDAHSLFWQGRNLASGEVGFFPSDAVK
+PC
+>Q9UKW4_PF00017_672 <unknown description>
+WYAGAMERLQAETELINRVNSTYLVRHRTKESGEYAISIKYNNEAKHIKILTRDGFFHIA
+ENRKFKSLMELVEYY
+>Q9UKW4_PF07653_798 <unknown description>
+DFCARDMRELSLLKGDVVKIYTKMSANGWWRGEVNGRVGWFPSTYVEE
+>P15498_PF11971_19 <unknown description>
+PSHRVTWDGAQVCELAQALRDGVLLCQLLNNLLPHAINLREVNLRPQMSQFLCLKNIRTF
+LSTCCEKFGLKRSELFEAFDLFDV
+>P15498_PF00621_198 <unknown description>
+CLREIQQTEEKYTDTLGSIQQHFLKPLQRFLKPQDIEIIFINIEDLLRVHTHFLKEMKEA
+LGTPGAANLYQVFIKYKERFLVYGRYCSQVESASKHLDRVAAAREDVQMKLEECSQRANN
+GRFTLRDLLMVPMQRVLKYHLLLQELVKHTQEAMEKENLRLALDAMRDLAQCVN
+>P15498_PF00169_406 <unknown description>
+DGELKITSVERRSKMDRYAFLLDKALLICKRRGDSYDLKDFVNLHSFQVRDDSSGDRDNK
+KWSHMFLLIEDQGAQGYELFFKTRELKKKWMEQFEMAI
+>P15498_PF00130_516 <unknown description>
+HDFQMFSFEETTSCKACQMLLRGTFYQGYRCHRCRASAHKECLGRVPPCG
+>P15498_PF00018_617 <unknown description>
+LRLNPGDIVELTKAEAEQNWWEGRNTSTNEIGWFPC
+>P15498_PF00017_671 <unknown description>
+WYAGPMERAGAESILANRSDGTFLVRQRVKDAAEFAISIKYNVEVKHIKIMTAEGLYRIT
+EKKAFRGLTELVEFY
+>P15498_PF00018_788 <unknown description>
+KARYDFCARDRSELSLKEGDIIKILNKKGQQGWWRGEIYGRVGWFPA
+>Q5SQQ9_PF00046_101 <unknown description>
+KRTRTSFTAEQLYRLEMEFQRCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKK
+>Q9UIW0_PF00046_103 <unknown description>
+KRTRTSFTAEQLYRLEMEFQRCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKK
+>P19320_PF07679_28 <unknown description>
+ETTPESRYLAQIGDSVSLTCSTTGCESPFFSWRTQIDSPLNGKVTNEGTTSTLTMNPVSF
+GNEHSYLCTATCESRKLEKGIQVEI
+>P19320_PF05790_133 <unknown description>
+ITVKCSVADVYPFDRLEIDLLKGDHLMKSQEFLEDADRKSLETKSLEVTFTPVIEDIGKV
+LVCRAKLHIDEMDSVPTVRQAVKELQVYI
+>P19320_PF00047_230 <unknown description>
+VNPSTKLQEGGSVTMTCSSEGLPAPEIFWSKKLDNGNLQHLSGNATLTLIAMRMEDSGIY
+VCEGVNLIGKNRKEV
+>P19320_PF07679_321 <unknown description>
+PRIAAQIGDSVMLTCSVMGCESPSFSWRTQIDSPLSGKVRSEGTNSTLTLSPVSFENEHS
+YLCTVTCGHKKLEKGIQVE
+>P19320_PF05790_421 <unknown description>
+VTVSCKVPSVYPLDRLEIELLKGETILENIEFLEDTDMKSLENKSLEMTFIPTIEDTGKA
+LVCQAKLHIDDMEFEPKQRQSTQTLYVNV
+>P19320_PF13927_517 <unknown description>
+LVSPSSILEEGSSVNMTCLSQGFPAPKILWSRQLPNGELQPLSENATLTLISTKMEDSGV
+YLCEGIN
+>P19320_PF07679_612 <unknown description>
+SVKEGDTVIISCTCGNVPETWIILKKKAETGDTVLKSIDGAYTIRKAQLKDAGVYECESK
+NKVGSQLRSLTLDV
+>Q96JH7_PF19437_38 <unknown description>
+KRRDRRILSGSCPDPKCQARLFFPASGSVSIECTECGQRHEQQQLLGVEEVTDPDVVLHN
+LLRNALLGVTGAPKKNTELVKVMGLSNYHCKLLSPILARYGMDKQTGRAKLLRDMNQGEL
+FDCALLGDRAFLIEPEHVNTVGYGKDRSGSLLYLHDTLEDIKRANK
+>Q96JH7_PF02338_215 <unknown description>
+GDGHCLVHAVSRALVGRELFWHALRENLKQHFQQHLARYQALFHDFIDAAEWEDIINECD
+PLFVPPEGVPLGLRNIHIFGLANVLHRPIILLDSLSGMRSSGDYSATFLPGLIPAEKCTG
+KDGHLNKPICIAWSSSGRNH
+>Q9H320_PF15231_1 <unknown description>
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMA
+AVTAPEAESAPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQEAELEEPLSQESEV
+EEPLSQESQ
+>Q9H322_PF15231_1 <unknown description>
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMA
+AVTAPEAESAPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESEVEEPLSQESEV
+EEPLT
+>Q9H321_PF15231_1 <unknown description>
+MSPKPRASGPPAKAKEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMA
+AVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSQESEV
+EEPLSQESQ
+>Q9H321_PF15231_127 <unknown description>
+ESQVEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQES
+>Q9H321_PF15231_150 <unknown description>
+VEEPLSQESEVEEPLSQESEVEEPLSQESQVEEPLSQESE
+>Q9NNX9_PF15231_1 <unknown description>
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMA
+AVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSQESEV
+EEPLSQESQ
+>Q9NNX9_PF15231_121 <unknown description>
+EEPLSQESQVEEPLSQESEVEEPLSQESQ
+>O14598_PF15231_1 <unknown description>
+MSPKPRASGPPAKAKETGKRKSSSQPSPSGPKKKTTKVAEKGEAVRGGRRGKKGAATKMA
+AVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSKGRPS
+TPLSP
+>P21796_PF01459_4 <unknown description>
+PPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETTKVTGSLETKYR
+WTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNAKIKTGYKREHI
+NLGCDMDFDIAGPSIRGALVLGYEGWLAGYQMNFETAKSRVTQSNFAVGYKTDEFQLHTN
+VNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQIDPDACFSAKVNNSSLI
+GLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLG
+>P45880_PF01459_15 <unknown description>
+PPSYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNTDTGKVTGTLETKYK
+WCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGKKSGKIKSSYKRECI
+NLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRNNFAVGYRTGDFQLHTN
+VNDGTEFGGSIYQKVCEDLDTSVNLAWTSGTNCTRFGIAAKYQLDPTASISAKVNNSSLI
+GVGYTQTLRPGVKLTLSALVDGKSINAGGHKVG
+>Q9Y277_PF01459_3 <unknown description>
+NTPTYCDLGKAAKDVFNKGYGFGMVKIDLKTKSCSGVEFSTSGHAYTDTGKASGNLETKY
+KVCNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYKRDC
+FSVGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQLHT
+HVNDGTEFGGSIYQKVNEKIETSINLAWTAGSNNTRFGIAAKYMLDCRTSLSAKVNNASL
+IGLGYTQTLRPGVKLTLSALIDGKNFSAGGHKVG
+>P11473_PF00105_23 <unknown description>
+ICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTCPFNGDCRITKDNRRHCQACRLK
+RCVDIGMMK
+>P11473_PF00104_228 <unknown description>
+PHLADLVSYSIQKVIGFAKMIPGFRDLTSEDQIVLLKSSAIEVIMLRSNESFTMDDMSWT
+CGNQDYKYRVSDVTKAGHSLELIEPLIKFQVGLKKLNLHEEEHVLLMAICIVSPDRPGVQ
+DAALIEAIQDRLSNTLQTYIRCRHPPPGSHLLYAKMIQKLADLRSLNEEHSKQYR
+>P15692_PF00341_232 <unknown description>
+CHPIETLVDIFQEYPDEIEYIFKPSCVPLMRCGGCCNDEGLECVPTEESNITMQIMRIKP
+HQGQHIGEMSFLQHNKCEC
+>P15692_PF14554_345 <unknown description>
+VPCGPCSERRKHLFVQDPQTCKCSCKNTDSRCKARQLELNERTCRCDKPRR
+>P49765_PF00341_47 <unknown description>
+CQPREVVVPLTVELMGTVAKQLVPSCVTVQRCGGCCPDDGLECVPTGQHQVRMQILMIRY
+PSSQLGEMSLEEHSQCEC
+>P49767_PF00341_131 <unknown description>
+CMPREVCIDVGKEFGVATNTFFKPPCVSVYRCGGCCNSEGLQCMNTSTSYLSKTLFEITV
+PLSQGPKPVTISFANHTSCRC
+>P49767_PF03128_283 <unknown description>
+NKELDEETCQCVC
+>P49767_PF03128_307 <unknown description>
+HKELDRNSCQCVC
+>P49767_PF03128_331 <unknown description>
+NREFDENTCQCVC
+>O43915_PF00341_111 <unknown description>
+CSPRETCVEVASELGKSTNTFFKPPCVNVFRCGGCCNEESLICMNTSTSYISKQLFEISV
+PLTSVPELVPVKVANHTGCKC
+>O43915_PF03128_261 <unknown description>
+HMMFDEDRCECVC
+>O95231_PF00046_93 <unknown description>
+RVRTAFTMEQVRTLEGVFQHHQYLSPLERKRLAREMQLSEVQIKTWFQNRRMKHKR
+>Q8TAG6_PF15505_43 <unknown description>
+VVIESDLYTHQPLELLPHRGDRRDPGDRRRFGRLQTARPPTAHPAKASARPVGISEPKTS
+NLCGNRAYGKSLIPPV
+>Q9HBM0_PF12632_150 <unknown description>
+LFAFISLLVMLPTWWIVSSWLVWGVILFVYLVIRALRLWRTAKLQVTLKKYSVHLEDMAT
+NSRAFTNLVRKALRLIQETEVISRGFTLVSAACPFNKAGQHPSQHLIGLRKAVYRTLRAN
+FQAARLATLYMLKNYPLNSESDNVTNYICVVPFKELGLGLSEEQISEEEAHNFTDGFSLP
+ALKVLFQLWVAQSSEFFRRLALLLSTANSPPGPLLTPALLPHRILSDVTQGLPHAHSACL
+EELKRSYEFYRYFETQHQSVPQCLSKTQQKSRELNNVHTAVRSLQLHLK
+>Q14119_PF00096_74 <unknown description>
+FVCTYCSKAFRDSYHLRRHE
+>Q14119_PF00096_174 <unknown description>
+HACEMCGKAFRDVYHLNRHKLSH
+>Q14119_PF13894_202 <unknown description>
+FECPICNQRFKRKDRMTYHVRSH
+>Q14119_PF00096_261 <unknown description>
+FKCQTCTAAFATKDRLRTHMVRH
+>P17948_PF00047_236 <unknown description>
+TPRPVKLLRGHTLVLNCTATTPLNTRVQMTWSYPDEKNKRASVRRRIDQSNSHANIFYSV
+LTIDKMQNKDKGLYTCRVRSGPSFKSVNTS
+>P17948_PF07679_351 <unknown description>
+RSYRLSMKVKAFPSPEVVWLKDGLPATEKSARYLTRGYSLIIKDVTEEDAGNYTILLSIK
+>P17948_PF13927_568 <unknown description>
+TEGEDLKLSCTVNKFLYRDVTWILLRTVNNRTMHYSISKQKMAITKEHSITLNLTIMNVS
+LQDSGTYACRARN
+>P17948_PF07679_662 <unknown description>
+YLLRNLSDHTVAISSSTTLDCHANGVPEPQITWFKNNHKIQQEPGIILGPGSSTLFIERV
+TEEDEGVYHCKATNQKGSVESSAYLTV
+>P17948_PF17988_753 <unknown description>
+DKSNLELITLTCTCVAATLFWLLLTLFIRKMKRSS
+>P17948_PF07714_827 <unknown description>
+LKLGKSLGRGAFGKVVQASAFGIKKSPTCRTVAVKMLKEGATASEYKALMTELKILTHIG
+HHLNVVNLLGACTKQGGPLMVIVEYCKYGNLSNYLKSKRDLFFLNKDAALHMEPKKEKME
+PGLEQGKKPRLDSVTSSESFASSGFQEDKSLSDVEEEEDSDGFYKEPITMEDLISYSFQV
+ARGMEFLSSRKCIHRDLAARNILLSENNVVKICDFGLARDIYKNPDYVRKGDTRLPLKWM
+APESIFDKIYSTKSDVWSYGVLLWEIFSLGGSPYPGVQMDEDFCSRLREGMRMRAPEYST
+PEIYQIMLDCWHRDPKERPRFAELVEKL
+>P35968_PF13927_229 <unknown description>
+SPSHGIELSVGEKLVLNCTARTELNVGIDFNWEYPSSKHQHKKLVNRDLKTQSGSEMKKF
+LSTLTIDGVTRSDQGLYTCAAS
+>P35968_PF07679_340 <unknown description>
+VEATVGERVRIPAKYLGYPPPEIKWYKNGIPLESNHTIKAGHVLTIMEVSERDTGNYTVI
+LTNPISKEKQS
+>P35968_PF13927_551 <unknown description>
+PEITLQPDMQPTEQESVSLWCTADRSTFENLTWYKLGPQPLPIHVGELPTPVCKNLDTLW
+KLNATMFSNSTNDILIMELKNASLQDQGDYVCLAQ
+>P35968_PF07679_667 <unknown description>
+PTITGNLENQTTSIGESIEVSCTASGNPPPQIMWFKDNETLVEDSGIVLKDGNRNLTIRR
+VRKEDEGLYTCQACSVLGCAKVEA
+>P35968_PF17988_759 <unknown description>
+EKTNLEIIILVGTAVIAMFFWLLLVIILRTVKRAN
+>P35968_PF07714_834 <unknown description>
+LKLGKPLGRGAFGQVIEADAFGIDKTATCRTVAVKMLKEGATHSEHRALMSELKILIHIG
+HHLNVVNLLGACTKPGGPLMVIVEFCKFGNLSTYLRSKRNEFVPYKTKGARFRQGKDYVG
+AIPVDLKRRLDSITSSQSSASSGFVEEKSLSDVEEEEAPEDLYKDFLTLEHLICYSFQVA
+KGMEFLASRKCIHRDLAARNILLSEKNVVKICDFGLARDIYKDPDYVRKGDARLPLKWMA
+PETIFDRVYTIQSDVWSFGVLLWEIFSLGASPYPGVKIDEEFCRRLKEGTRMRAPDYTTP
+EMYQTMLDCWHGEPSQRPTFSELVEHL
+>P35916_PF13927_237 <unknown description>
+RKSLELLVGEKLVLNCTVWAEFNSGVTFDWDYPGKQAERGKWVPERRSQQTHTELSSILT
+IHNVSQHDLGSYVCKANN
+>P35916_PF13927_560 <unknown description>
+IESKPSEELLEGQPVLLSCQADSYKYEHLRWYRLNLSTLHDAHGNPLLLDCKNVHLFATP
+LAASLEEVAPGARHATLSLSIPRVAPEHEGHYVCEV
+>P35916_PF07679_678 <unknown description>
+PRLTQNLTDLLVNVSDSLEMQCLVAGAHAPSIVWYKDERLLEEKSGVDLADSNQKLSIQR
+VREEDAGRYLCSVCNAKGCVNSSASVAV
+>P35916_PF17988_770 <unknown description>
+DKGSMEIVILVGTGVIAVFFWVLLLLIFCNMRRPA
+>P35916_PF07714_845 <unknown description>
+LHLGRVLGYGAFGKVVEASAFGIHKGSSCDTVAVKMLKEGATASEHRALMSELKILIHIG
+NHLNVVNLLGACTKPQGPLMVIVEFCKYGNLSNFLRAKRDAFSPCAEKSPEQRGRFRAMV
+ELARLDRRRPGSSDRVLFARFSKTEGGARRASPDQEAEDLWLSPLTMEDLVCYSFQVARG
+MEFLASRKCIHRDLAARNILLSESDVVKICDFGLARDIYKDPDYVRKGSARLPLKWMAPE
+SIFDKVYTTQSDVWSFGVLLWEIFSLGASPYPGVQINEEFCQRLRDGTRMRAPELATPAI
+RRIMLNCWSGDPKARPAFSELVEIL
+>Q99990_PF07545_21 <unknown description>
+TEWNSRCVLFTYFQGDISSVVDEHFSRALSN
+>Q8N8G2_PF07545_79 <unknown description>
+EYINSRCVLFTYFQGDISSVVDEHFSRALSQ
+>A8MV65_PF07545_82 <unknown description>
+EYLNSRCVLFTYFQGDIGSVVDEHFSRALG
+>Q9P2U7_PF07690_72 <unknown description>
+GFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFSWDPETVGLIHGSFFWGYIVTQ
+IPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACH
+GIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYVYGSFGIFWYLF
+WLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPLTKFSTPWRRFFTSMPVYAIIVAN
+FCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQIADFLRSRRIM
+STTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAISGFNVNHLDIAP
+RYASILMGISNGVGTLSGMVC
+>Q9P2U8_PF07690_80 <unknown description>
+GFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWDPETVGMIHGSFFWGYIITQ
+IPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACH
+GIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYTGWSSVFYVYGSFGMVWYMF
+WLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFKTPWRKFFTSMPVYAIIVAN
+FCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMTIIVPIGGQIADFLRSKQIL
+STTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAVGFSGFAISGFNVNHLDIAP
+RYASILMGISNGVGTLSGMVC
+>Q8NDX2_PF07690_86 <unknown description>
+FCISFGIRCNLGVAIVEMVNNSTVYVDGKPEIQTAQFNWDPETVGLIHGSFFWGYIMTQI
+PGGFISNKFAANRVFGAAIFLTSTLNMFIPSAARVHYGCVMCVRILQGLVEGVTYPACHG
+MWSKWAPPLERSRLATTSFCGSYAGAVVAMPLAGVLVQYIGWSSVFYIYGMFGIIWYMFW
+LLQAYECPAAHPTISNEEKTYIETSIGEGANVVSLSKFSTPWKRFFTSLPVYAIIVANFC
+RSWTFYLLLISQPAYFEEVFGFAISKVGLLSAVPHMVMTIVVPIGGQLADYLRSRQILTT
+TAVRKIMNCGGFGMEATLLLVVGFSHTKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRY
+ASILMGISNGVGTLSGMVC
+>Q6RSH7_PF01847_45 <unknown description>
+LRSVNSRELSRIIICNHSPRIVLPVWLNYYGKLLPYLTLLPGRDFRIHNFRSHPWLFRDA
+RTHDKLLVNQTELFVPSSNVNG
+>P40337_PF01847_63 <unknown description>
+LRSVNSREPSQVIFCNRSPRVVLPVWLNFDGEPQPYPTLPPGTGRRIHSYRGHLWLFRDA
+GTHDGLLVNQTELFVPSLNVD
+>P40337_PF17211_156 <unknown description>
+YTLKERCLQVVRSLVKPENYRRLDIVRSLYEDLEDHPNVQKDLERLTQE
+>Q9H598_PF01490_115 <unknown description>
+KITAWEAGWNVTNAIQGMFVLGLPYAILHGGYLGLFLIIFAAVVCCYTGKILIACLYEEN
+EDGEVVRVRDSYVAIANACCAPRFPTLGGRVVNVAQIIELVMTCILYVVVSGNLMYNSFP
+GLPVSQKSWSIIATAVLLPCAFLKNLKAVSKFSLLCTLAHFVINILVIAYCLSRARDWAW
+EKVKFYIDVKKFPISIGIIVFSYTSQIFLPSLEGNMQQPSEFHCMMNWTHIAACVLKGLF
+ALVAYLTWADETKEVITDNLPGSIRAVVNIFLVAKALLSYPLPFFAAVEVLEKSLFQEGS
+RAFFPACYSGDGRLKSWGLTLRCALVVFTLLMAIYVPHFALLMGLTGSLTGAGLCFLLPS
+LFHLRLLWRKLLWHQVFFDVAIFVIGGICSVSGFVH
+>P09327_PF00626_28 <unknown description>
+QMVPVPSSTFGSFFDGDCYIILAIHKTASSLSYDIHYWIGQDSSLDEQGAAAIYTTQMDD
+FLKGRAVQHREVQGNESEAFR
+>P09327_PF00626_148 <unknown description>
+VVAGEVEMSWKSFNRGDVFLLDLGKLIIQWNGPESTRMERLRGMTLAKEIRDQERGGRTY
+VGVVDGENELA
+>P09327_PF00626_269 <unknown description>
+EVATRPLTQDLLSHEDCYILDQGGLKIYVWKGKKANEQEKKGAMSHALNFIKAKQYPPST
+QVEVQNDGAESAVF
+>P09327_PF00626_409 <unknown description>
+ELVPVDSKWLGHFYGGDCYLLLYTYLIGEKQHYLLYVWQGSQASQDEITASAYQAVILDQ
+KYNGEPVQIRVPMGKEPPHLM
+>P09327_PF00626_530 <unknown description>
+AFEVPARANFLNSNDVFVLKTQSCCYLWCGKGCSGDEREMAKMVADTISRTEKQVVVEGQ
+EPANFW
+>P09327_PF00626_634 <unknown description>
+TEIPDFNQDDLEEDDVFLLDVWDQVFFWIGKHANEEEKKAAATTAQEYLKTHPSGRDPET
+PIIVVKQGHEPPTF
+>P09327_PF02209_792 <unknown description>
+HLSIEDFTQAFGMTPAAFSALPRWKQQNLKKEKGLF
+>O15195_PF00626_23 <unknown description>
+KMVPVPEGAYGNFFEEHCYVILHVPQSPKATQGASSDLHYWVGKQAGAEAQGAAEAFQQR
+LQDELGGQTVLHREAQGHESDCF
+>O15195_PF00626_147 <unknown description>
+SATEVELSWNSFNKGDIFLLDLGKMMIQWNGPKTSISEKARGLALTYSLRDRERGGGRAQ
+IGVVDDEAKAPD
+>O15195_PF00626_270 <unknown description>
+TPPLTQDLLQEEDFYILDQGGFKIYVWQGRMSSLQERKAAFSRAVGFIQAKGYPTYTNVE
+VVNDGAESAAF
+>O15195_PF00626_403 <unknown description>
+RQPVDPKRHGQLCAGNCYLVLYTYQRLGRVQYILYLWQGHQATADEIEALNSNAEELDVM
+YGGVLVQEHVTMGSEPPHFL
+>O15195_PF00626_521 <unknown description>
+TRTMEVPARASSLNSSDIFLLVTASVCYLWFGKGCNGDQREMARVVVTVISRKNEETVLE
+GQEPPHFW
+>O15195_PF00626_627 <unknown description>
+AEVGFFSQEDLDKYDIMLLDTWQEIFLWLGEAASEWKEAVAWGQEYLKTHPAGRSPATPI
+VLVKQGHEPPTFI
+>O15195_PF02209_821 <unknown description>
+YLSDSDFQDIFGKSKEEFYSMATWRQRQEKKQLGFF
+>P08670_PF04732_7 <unknown description>
+SSSSYRRMFGGPGTASRPSSSRSYVTTSTRTYSLGSALRPSTSRSLYASSPGGVYATRSS
+AVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRT
+>P08670_PF00038_102 <unknown description>
+NEKVELQELNDRFANYIDKVRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQV
+DQLTNDKARVEVERDNLAEDIMRLREKLQEEMLQREEAENTLQSFRQDVDNASLARLDLE
+RKVESLQEEIAFLKKLHEEEIQELQAQIQEQHVQIDVDVSKPDLTAALRDVRQQYESVAA
+KNLQEAEEWYKSKFADLSEAANRNNDALRQAKQESTEYRRQVQSLTCEVDALKGTNESLE
+RQMREMEENFAVEAANYQDTIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYR
+KLLEGEESR
+>P18206_PF01044_481 <unknown description>
+VANSRPAKAAVHLEGKIEQAQRWIDNPTVDDRGVGQAAIRGLVAEGHRLANVMMGPYRQD
+LLAKCDRVDQLTAQLADLAARGEGESPQARALASQLQDSLKDLKARMQEAMTQEVSDVFS
+DTTTPIKLLAVAATAPPDAPNREEVFDERAANFENHSGKLGATAEKAAAVGTANKSTVEG
+IQASVKTARELTPQVVSAARILLRNPGNQAAYEHFETMKNQWIDNVEKMTGLVDEAIDTK
+SLLDASEEAIKKDLDKCKVAMANIQPQMLVAGATSIARRANRILLVAKREVENSEDPKFR
+EAVKAASDELSKTISPMVMDAKAVAGNISDPGLQKSFLDSGYRILGAVAKVREAFQPQEP
+DFPPPPPDLEQLRLTDELAPPKPPLPEGEVPPPRPPPPEEKDEEFPEQKAGEVINQPMMM
+AARQLHDEARKWSSK
+>P18206_PF01044_931 <unknown description>
+ADAADAAGFPVPPDMEDDYEPELLLMPSNQPVNQPILAAAQSLHREATKWSSKGNDIIAA
+AKRMALLMAEMSRLVRGGSGTKRALIQCAKDIAKASDEVTRLAKEVAKQCTDKRIRTNLL
+QVCERIPTISTQLKILSTVKATMLGRTNISDEESEQATEMLVHNAQNLMQSVKETVREAE
+AASIKIRTDAGFTLRWVRKTPWYQ
+>O60504_PF02208_120 <unknown description>
+KYEGIGPVDESGMPIAPRSSVDRPRDWYRRMFQQIHRK
+>O60504_PF14604_388 <unknown description>
+KFDFQAQSPKELTLQKGDIVYIHKEVDKNWLEGEHHGRLGIFPANYVE
+>O60504_PF00018_460 <unknown description>
+VAQYTFKGDLEVELSFRKGEHICLIRKVNENWYEGRITGTGRQGIFPA
+>O60504_PF14604_619 <unknown description>
+AMYQYRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQKFGTFPGNYVA
+>Q6PFW1_PF18086_55 <unknown description>
+IIVGICAMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSK
+GFPLDKAVAYSKLRNPFLINDLAMQYYIQD
+>Q6PFW1_PF00328_390 <unknown description>
+ELRCVIAIIRHGDRTPKQKMKMEVKHPRFFALFEKHGGYKTGKLKLKRPEQLQEVLDITR
+LLLAELEKEPGGEIEEKTGKLEQLKSVLEMYGHFSGINRKVQLTYYPHGVKASNEGQDPQ
+RETLAPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTFR
+HDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDGDSLSSCQH
+RVKARLHHILQQDAPFGPEDYDQLAPTRSTSLLNSMTIIQNPVKVCDQVFALIENLTHQI
+RERMQDPRSVDLQLYHSETLELMLQRWSKLERDFRQKSGRYDISKIPDIYDCVKYDVQHN
+GSLGLQGTAELLRLSKALADVVIPQEYGISREEKLEIAVGFCLPLLRKILLDLQRTHEDE
+SVNKLHPLYSRGVLSPGRHVRTRLYFTSESHVHSLLSVFRYGGLLDETQDAQWQRALDYL
+SAISELNYMTQIVIMLYEDNTQDPLSEERFHVELHFS
+>O43314_PF18086_44 <unknown description>
+IVVGICSMAKKSKSKPMKEILERISLFKYITVVVFEEEVILNEPVENWPLCDCLISFHSK
+GFPLDKAVAYAKLRNPFVINDLNMQYLIQD
+>O43314_PF00328_379 <unknown description>
+ELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKCDGYKSGKLKLKKPKQLQEVLDIAR
+QLLMELGQNNDSEIEENKPKLEQLKTVLEMYGHFSGINRKVQLTYLPHGCPKTSSEEEDS
+RREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTYRH
+DLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDSDSLSSCQQR
+VKARLHEILQKDRDFTAEDYEKLTPSGSISLIKSMHLIKNPVKTCDKVYSLIQSLTSQIR
+HRMEDPKSSDIQLYHSETLELMLRRWSKLEKDFKTKNGRYDISKIPDIYDCIKYDVQHNG
+SLKLENTMELYRLSKALADIVIPQEYGITKAEKLEIAKGYCTPLVRKIRSDLQRTQDDDT
+VNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLSILRYGALCNESKDEQWKRAMDYLN
+VVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFS
+>P32241_PF02793_62 <unknown description>
+GCSKMWDNLTCWPATPRGQVVVLACPLIFKLFSSIQGRNVSRSCTDEGWTHLEPGPYPIA
+CG
+>P32241_PF00002_141 <unknown description>
+SVKTGYTIGYGLSLATLLVATAILSLFRKLHCTRNYIHMHLFISFILRAAAVFIKDLALF
+DSGESDQCSEGSVGCKAAMVFFQYCVMANFFWLLVEGLYLYTLLAVSFFSERKYFWGYIL
+IGWGVPSTFTMVWTIARIHFEDYGCWDTINSSLWWIIKGPILTSILVNFILFICIIRILL
+QKLRPPDIRKSDSSPYSRLARSTLLLIPLFGVHYIMFAFFPDNFKPEVKMVFELVVGSFQ
+GFVV
+>P41587_PF02793_50 <unknown description>
+KACSGVWDNITCWRPANVGETVTVPCPKVFSNFYSKAGNISKNCTSDGWSETFPDFVDAC
+GY
+>P41587_PF00002_125 <unknown description>
+LVKAIYTLGYSVSLMSLATGSIILCLFRKLHCTRNYIHLNLFLSFILRAISVLVKDDVLY
+SSSGTLHCPDQPSSWVGCKLSLVFLQYCIMANFFWLLVEGLYLHTLLVAMLPPRRCFLAY
+LLIGWGLPTVCIGAWTAARLYLEDTGCWDTNDHSVPWWVIRIPILISIIVNFVLFISIIR
+ILLQKLTSPDVGGNDQSQYKRLAKSTLLLIPLFGVHYMVFAVFPISISSKYQILFELCLG
+SFQGLVV
+>P01282_PF00123_81 <unknown description>
+HADGVFTSDFSKLLGQLSAKKYLESLMG
+>P01282_PF00123_125 <unknown description>
+HSDAVFTDNYTRLRKQMAVKKYLNSILN
+>Q69YN4_PF15912_6 <unknown description>
+AMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSSLPDNRAYGETSPH
+TFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCLTLAIY
+GSVDRVISHDRDSPPPPPPPPPPPQPQPSLKRNPKHADGEKEDQFNGSPPRPQPRGPRTP
+PGPPPPDDDEDDPVPLPVSGDKEEDAPHREDYFEPISPDRNSVPQEGQYSDEGEVEEEQQ
+EEGEEDEDDVDVEEEEDEDE
+>P62760_PF00036_66 <unknown description>
+QHAFRTFDKNGDGTIDFREFICALS
+>P62760_PF13499_98 <unknown description>
+EQKLNWAFNMYDLDGDGKITRVEMLEIIEAIYKMVGTVIMMKMNEDGLTPEQRVDKIFSK
+MDKNKDDQITLDEFKEAA
+>Q9H7M9_PF07686_45 <unknown description>
+PEGQNVTLTCRLLGPVDKGHDVTFYKTWYRSSRGEVQTCSERRPIRNLTFQDLHLHHGGH
+QAANTSHDLAQRHGLESASDHHGNFSITMRNLTLLDSGLYCCLVVEIRHHHSEHRVHGAM
+ELQV
+>Q6UXI7_PF03815_52 <unknown description>
+KIIDPEFIVKCPAGCQDPKYHVYGTDVYASYSSVCGAAVHSGVLDNSGGKILVRKVAGQS
+GYKGSYSNGVQSLSLPRWRESF
+>Q6UXI7_PF00092_308 <unknown description>
+DLSFLIDGSTSIGKRRFRIQKQLLADVAQALDIGPAGPLMGVVQYGDNPATHFNLKTHTN
+SRDLKTAIEKITQRGGLSNVGRAISFVTKNFFSKANGNRSGAPNVVVVMVDGWPTDKVEE
+ASRLARESGINIFFITIEGAAENEKQYVVEPN
+>Q6UXI7_PF00092_510 <unknown description>
+DIGFVIDGSSSVGTGNFRTVLQFVTNLTKEFEISDTDTRIGAVQYTYEQRLEFGFDKYSS
+KPDILNAIKRVGYWSGGTSTGAAINFALEQLFKKSKPNKRKLMILITDGRSYDDVRIPAM
+AAHLKGVITYAIGVAWAAQEELEVIATHPARDHSFFVDEFDNLHQY
+>P38435_PF05090_66 <unknown description>
+VFRFLFGFLMVLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLG
+ALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYWSVDGL
+LNAHRRNAHVPLWNYAVLRGQIFIVYFIAGVKKLDADWVEGYSMEYLSRHWLFSPFKLLL
+SEELTSLLVVHWGGLLLDLSAGFLLFFDVSRSIGLFFVSYFHCMNSQLFSIGMFSYVMLA
+SSPLFCSPEWPRKLVSYCPRRLQQLLPLKAAPQPSVSCVYKRSRGKSGQKPGLRHQLGAA
+FTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGY
+LNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPR
+VDIVQAAWSPFQRTSWVQP
+>Q9BQB6_PF07884_10 <unknown description>
+WVRLALCLTGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRWGRGFGLVEHV
+LGQDSILNQSNSIFGCIFYTLQLLLGCLRTRWASVLMLLSSLVSLAGSVYLAWILFFVLY
+DFCIVCITTYAINVSLMWLS
+>Q8N0U8_PF07884_20 <unknown description>
+YAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSAALASRWGRGFGLLGSIFGK
+DGVLNQPNSVFGLIFYILQLLLGMTASAVAALILMTSSIMSVVGSLYLAYILYFVLKEFC
+IICIVTYVLNFLLLIIN
+>P98155_PF00057_32 <unknown description>
+KCEPSQFQCTNGRCITLLWKCDGDEDCVDGSDEKNC
+>P98155_PF00057_70 <unknown description>
+KTCAESDFVCNNGQCVPSRWKCDGDPDCEDGSDESPEQC
+>P98155_PF00057_111 <unknown description>
+RTCRIHEISCGAHSTQCIPVSWRCDGENDCDSGEDEENC
+>P98155_PF00057_153 <unknown description>
+TCSPDEFTCSSGRCISRNFVCNGQDDCSDGSDELDC
+>P98155_PF00057_192 <unknown description>
+TCGAHEFQCSTSSCIPISWVCDDDADCSDQSDESLEQC
+>P98155_PF00057_237 <unknown description>
+TKCPASEIQCGSGECIHKKWRCDGDPDCKDGSDEVNC
+>P98155_PF00057_276 <unknown description>
+RTCRPDQFECEDGSCIHGSRQCNGIRDCVDGSDEVNC
+>P98155_PF00057_317 <unknown description>
+QCLGPGKFKCRSGECIDISKVCNQEQDCRDWSDEPLKEC
+>P98155_PF14670_360 <unknown description>
+CLVNNGGCSHICKDLVIGYECDCAAGFELIDRKTC
+>P98155_PF07645_396 <unknown description>
+DIDECQNPGICSQICINLKGGYKCECSRGYQMDLAT
+>P98155_PF00058_481 <unknown description>
+QKLFWADLSQKAIFSASIDDKVGRHVKMIDNVYNPAAIAVDW
+>P98155_PF00058_526 <unknown description>
+TIYWTDAASKTISVATLDGTKRKFLFNSDLREPASIAVDP
+>P98155_PF00058_568 <unknown description>
+GFVYWSDWGEPAKIEKAGMNGFDRRPLVTADIQWPNGITLD
+>P98155_PF00058_613 <unknown description>
+RLYWLDSKLHMLSSVDLNGQDRRIVLKSLEFLAHPLALTIF
+>P98155_PF00058_655 <unknown description>
+DRVYWIDGENEAVYGANKFTGSELATLVNNLNDAQDIIVYH
+>P98155_PF14670_706 <unknown description>
+CEEDMENGGCEYLCLPAPQINDHSPKYTCSCPSGYNVEENGRDC
+>Q3ZAQ7_PF09446_26 <unknown description>
+TLKTLLFFTALMITVPIGLYFTTKSYIFEGALGMSNRDSYFYAAIVAVVAVHVVLALFVY
+VAW
+>O00534_PF08487_17 <unknown description>
+LKSISVSVNIYEFVAGVSATLNYENEEKVPLEAFFVFPMDEDSAVYSFEALVDGKKIVAE
+LQDKMKARTNYEKAISQGHQAFLLEGDSSSRDVFSCNVGNLQPGSKAAVTLKY
+>O00534_PF13768_299 <unknown description>
+QDTSQLRIQAAKETLILLLKSLPIGCYFNIYGFGSSYEACFPESVKYTQQTMEEALGRVK
+LMQADLGGTEILAPLQNIYRGPSIPGHPLQLFVFTDGEVTDTFSVIKEVRINRQKHRCFS
+FGIGEGTSTSLIKGIARASGGTSEF
+>P54219_PF07690_135 <unknown description>
+EITRVGVLFASKAVMQLLVNPFVGPLTNRIGYHIPMFAGFVIMFLSTVMFAFSGTYTLLF
+VARTLQGIGSSFSSVAGLGMLASVYTDDHERGRAMGTALGGLALGLLVGAPFGSVMYEFV
+GKSAPFLILAFLALLDGALQLCILQPSKVSPESAKGTPLFMLLKDPYILVAAGSICFANM
+GVAILEPTLPIWMMQTMCSPKWQLGLAFLPASVSYLIGTNLFGVLANKMGRWLCSLIGML
+VVGTSLLCVPLAHNIFGLIGPNAGLGLAIGMVDSSMMPIMGHLVDLRHTSVYGSVYA
+>Q05940_PF07690_101 <unknown description>
+TATQHMVTNASAVPSDCPSEDKDLLNENVQVGLLFASKATVQLITNPFIGLLTNRIGYPI
+PIFAGFCIMFVSTIMFAFSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNV
+MGIALGGLAMGVLVGPPFGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQ
+KGTPLTTLLKDPYILIAAGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASIS
+YLIGTNIFGILAHKMGRWLCALLGMIIVGVSILCIPFAKNIYGLIAPNFGVGFAIGMVDS
+SMMPIMGYLVDLRHVSVY
+>Q7Z5L0_PF03762_36 <unknown description>
+IEVTSGGPWGDWAWPEMCPDGFFASGFSLKVEPPQGIPGDDTALNGIRLHCARGNVLGNT
+HVVESQSGSWGEWSEPLWCRGGAYLVAFSLRVEAPTTLGDNTAANNVRFRCSDGEELQGP
+GLSWGDFGDWSDHCPKGACGLQTKIQGPRGLGDDTALNDARLFCC
+>Q9GZP7_PF03402_74 <unknown description>
+LFTGHKLRPTDLILSQLALANSMVLFFKGIPQTMAAFGLKYLLNDTGCKFVFYYHRVGTR
+VSLSTICLLNGFQAIKLNPSICRWMEIKIRSPRFIDFCCLLCWAPHVLMNASVLLLVNGP
+LNSKNSSAKNNYGYCSYKASKRFSSLHAVLYFSPDFMSLGFMVWASGSMVFFLYRHKQQV
+QHNHSNRLSCRPSQEARATHTIMVLVSSFFVFYSVHSFLTIWTTVVANPGQWIVTNSVLV
+ASCFPARSPFVLIMSDTHISQF
+>Q8NFZ6_PF03402_118 <unknown description>
+GYKPRSTDLILRHLTVADSLVILSKRIPETMATFGLKHFDNYFGCKFLLYAHRVGRGVSI
+GSTCLLSVFQVITINPRNSRWAEMKVKAPTYIGLSNILCWAFHMLVNAIFPIYTTGKWSN
+NNITKKGDLGYCSAPLSDEVTKSVYAALTSFHDVLCLGLMLWASSSIVLVLYRHKQQVQH
+ICRNNLYPNSSPGNRAIQSILALVSTFALCYALSFITYVYLALFDNSSWWLVNTAALIIA
+CFPTISPFVLMCR
+>Q7Z5H5_PF03402_37 <unknown description>
+CRLRSTDLIVKHLIVANFLALRCKGVPQTMAAFGVRYFLNALGCKLVFYLHRVGRGVSIG
+TTCLLSVFQVITVSSRKSRWAKLKEKAPKHVGFSVLLCWIVCMLVNIIFPMYVTGKWNYT
+NITVNEDLGYCSGGGNNKIAQTLRAMLLSFPDVLCLGLMLWVSSSMVCILHRHKQRVQHI
+DRSNLSPRASPENRATQSILILVSTFVSSYTLSCLFQVCMALLDNPNSLLVNTSALMSVC
+FPTLSPFVLMSCD
+>O95497_PF00795_55 <unknown description>
+RNLDILEGAITSAADQGAHIIVTPEDAIYGWNFNRDSLYPYLEDIPDPEVNWIPCNNRNR
+FGQTPVQERLSCLAKNNSIYVVANIGDKKPCDTSDPQCPPDGRYQYNTDVVFDSQGKLVA
+RYHKQNLFMGENQFNVPKEPEIVTFNTTFGSFGIFTCFDILFHDPAVTLVKDFHVDTIVF
+PTAW
+>O95497_PF19018_333 <unknown description>
+FKGTVFFDEFTFVKLTGVAGNYTVCQKDLCCHLSYKMSENIPNEVYALGAFDGLHTVEGR
+YYLQICTLLKCKTTNLNTCGDSAETASTRFEMFSLSGTFGTQYVFPEVLLSENQLAPGEF
+QVSTDGRLFSLKPTSGPVLTVTLFGRLYEKD
+>O95498_PF00795_56 <unknown description>
+ENIDILETAIKQAAEQGARIIVTPEDALYGWKFTRETVFPYLEDIPDPQVNWIPCQDPHR
+FGHTPVQARLSCLAKDNSIYVLANLGDKKPCNSRDSTCPPNGYFQYNTNVVYNTEGKLVA
+RYHKYHLYSEPQFNVPEKPELVTFNTAFGRFGIFTCFDIFFY
+>O95498_PF19018_344 <unknown description>
+DGFNFTELFENAGNLTVCQKELCCHLSYRMLQKEENEVYVLGAFTGLHGRRRREYWQVCT
+LLKCKTTNLTTCGRPVETASTRFEMFSLSGTFGTEYVFPEVLLTEIHLSPGKFEVLKDGR
+LVNKNGSSGPILTVSLFGRWYTKD
+>Q96RL7_PF12624_3 <unknown description>
+FESVVVDVLNRFLGDYVVDLDTSQLSLGIWKGAVALKNLQIKENALSQLDVPFKVKVGHI
+GNLKLIIPWKNLYTQPVEAVLEEIYLLIVPSSRIKYDPLKEEKQLMEAKQQELK
+>Q96RL7_PF16908_139 <unknown description>
+FAEKLVTQIIKNLQVKISSIHIRYEDDITNRDKPLSFGISLQNLSMQTTDQYWVPCLHDE
+TEKLVRKLIRLDNLFAYWNVKSQMFYLSDYDNSLDDLKNGIVNENIVPEGYDFVFRPISA
+NAKLVMNRRSDFDFSAPKINLEIELHNIAIEFNKPQYFSIMELLESVDMMAQNLPYRKFK
+PDVPLHHHAREWWAYAIHGVLEVNVCPRLWMWSWKHIRKHRQKVKQYKELYKK
+>Q96RL7_PF16910_569 <unknown description>
+FEINPLDETVSQRCIIEAEPLEIIYDARTVNSIVEFFRPPKEVHLAQLTAATLTKLEEFR
+SKTATGLLYIIETQKVLDLKINLKASYIIVPQDGIFSPTSNLLLLDLGHLKVTSKSRSEL
+PDVKQGEANLKEIMDRAYDSFDIQLTSVQLLYSRVGDNWREARKLSVSTQHILVPMHFNL
+ELSKAMVFMDVRMPKFKIYGKLPLISLRISDKKLQGIMELIESIP
+>Q96RL7_PF16910_1146 <unknown description>
+DIQVNLIVGCIEVVFVTKFLYSILAFIDNFQAAKQALAEATVQAAGMAATGVKELAQRSS
+RMALDINIKAPVVVIPQSPVSENVFVADFGLITMTNT
+>Q96RL7_PF06650_2209 <unknown description>
+VAFHSPYWMVNKTGRMLQYKADGIHRKHPPNYKKPVLFSFQPNHFFNNNKVQLMVTDSEL
+SNQFSIDTVGSHGAVKCKGLKMDYQVGVTIDLSSFNITRIVTFTPFYMIKNKSKYHISVA
+EEGNDKWLSLDLEQCIPFWPEYASSKLLIQVERSEDPPKRIYFNKQENCILLRLDNELGG
+IIAEVNLAEHSTVITFLDYHDGAATFLLINHTKNELVQYNQSSLSEIEDSLPPGKAVFYT
+WADPVG
+>Q96RL7_PF16909_2766 <unknown description>
+YEYFHISPIKLHLSVSLSSGREEAKDSKQNGGLIPVHSLNLLLKSIGATLTDVQDVVFKL
+AFFELNYQFHTTSDLQSEVIRHYSKQAIKQMYVLILGLDVLGNPFGLIREFSEGVEAFFY
+EPYQGAIQGPEEFVEGMALGLKALVGGAVGGLAGAASKITGAMAKGVAAMTMDEDYQQ
+>Q96RL7_PF09333_2948 <unknown description>
+AMNKQPAGFREGITRGGKGLVSGFVSGITGIVTKPIKGAQKGGAAGFFKGVGKGLVGAVA
+RPTGGIIDMASSTFQGIKRATETSEV
+>Q7Z7G8_PF12624_2 <unknown description>
+LESYVTPILMSYVNRYIKNLKPSDLQLSLWGGDVVLSKLELKLDVLEQELKLPFTFLSGH
+IHELRIHVPWTKLGSEPVVITINTMECILKLKDGIQDDHES
+>Q7Z7G8_PF06650_2606 <unknown description>
+HFVICNDTQETLRFGQVDTDENILLASLHSHQYSWRSHKSPQLLHICIEGWGNWRWSEPF
+SVDHAGTFIRTIQYRGRTASLIIKVQ
+>Q7Z7G8_PF16909_3583 <unknown description>
+ASDHTPLSFSVFERGPIFTTARQLVHALAMHYAAGALFRAGWVVGSLDILGSPASLVRSI
+GNGVADFFRLPYEGLTRGPGAFVSGVSRGTTSFVKHISKGTLTSITNLATSLARNMDRLS
+LDEEH
+>Q709C8_PF12624_3 <unknown description>
+LESVVADLLNRFLGDYVENLNKSQLKLGIWGGNVALDNLQIKENALSELDVPFKVKAGQI
+DKLTLKIPWKNLYGEAVVATLEGLYLLVVPGASIKYDAVKEEKSLQDVKQKELS
+>Q709C8_PF16908_182 <unknown description>
+FVEKLATQVIKNVQVKITDIHIKYEDDVTDPKRPLSFGVTLGELSLLTANEHWTPCILNE
+ADKIIYKLIRLDSLSAYWNVNCSMSYQRSREQILDQLKNEILTSGNIPPNYQYIFQPISA
+SAKLYMNPYAESELKTPKLDCNIEIQNIAIELTKPQYLSMIDLLESVDYMVRNAPYRKYK
+PYLPLHTNGRRWWKYAIDSVLEVHIRRYTQMWSWSNIKKHRQLLKSYKIAYK
+>Q709C8_PF16910_612 <unknown description>
+FETNPEDSPADQTLIVQSQPVEVIYDAKTVNAVVEFFQSNKGLDLEQITSATLMKLEEIK
+ERTATGLTHIIETRKVLDLRINLKPSYLVVPQTGFHHEKSDLLILDFGTFQLNSKDQGLQ
+KTTNSSLEEIMDKAYDKFDVEIKNVQLLFARAEETWKKCRFQHPSTMHILQPMDIHVELA
+KAMVEKDIRMARFKVSGGLPLMHVRISDQKMKDVLYLMNSIP
+>Q709C8_PF16910_1176 <unknown description>
+KVDGVLSLNVGCIQIVYLHKFLMSLLNFLNNFQTAKESLSAATAQAAERAATSVKDLAQR
+SFRVSINIDLKAPVIVIPQSSISTNAVVVDLGLIRVHNQFSLVSDEDYLNPPVIDRMDVQ
+LTKLTLYRTVIQPGIYHPDIQLLHPINLEFLVNRNLAASWYHKVPVVEIKGHLDSMNVSL
+NQEDLNLLFRILT
+>Q709C8_PF16910_1691 <unknown description>
+KVDGKLSFKVGCIQIVYVHKFFMSLLNFLNNFQTAKEALSTATVQAAERAASSMKDLAQK
+SFRLLMDINLKAPVIIIPQSSVSPNAVIADLGLIRVENKFSLVPMEHYSLPPVIDKMNIE
+LTQLKLSRTILQASLPQNDIEILKPVNMLLSIQRNLAAAWYVQIPGMEIKGKLKPMQVAL
+SEDDLTVLMKIL
+>Q709C8_PF06650_2766 <unknown description>
+LSVFSPYWLINKTTRVLQYRSEDIHVKHPADFRDIILFSFKKKNIFTKNKVQLKISTSAW
+SSSFSLDTVGSYGCVKCPANNMEYLVGVSIKMSSFNLSRIVTLTPFCTIANKSSLELEVG
+EIASDGSMPTNKWNYIASSECLPFWPESLSGKLCVRVVGCEGSSKPFFYNRQDNGTLLSL
+EDLNGGILVDVNTAEHSTVITFSDYHEGSAPALIMNHTPWDILTYKQSGSPEEMVLLPRQ
+ARLFAWADPTG
+>Q709C8_PF16909_3324 <unknown description>
+FFEHFHISPVKLHLSLSLGSGGEESDKEKQEMFAVHSVNLLLKSIGATLTDVDDLIFKLA
+YYEIRYQFYKRDQLIWSVVRHYSEQFLKQMYVLVLGLDVLGNPFGLIRGLSEGVEALFYE
+PFQGAVQGPEEFAEGLVIGVRSLFGHTVGGAAGVVSRITGSVGKGLAAITMDKEYQQ
+>Q709C8_PF09333_3506 <unknown description>
+LSRQPRDFGDSLARGGKGFLRGVVGGVTGIITKPVEGAKKEGAAGFFKGIGKGLVGAVAR
+PTGGIVDMASSTFQGIQRAAEST
+>Q5THJ4_PF12624_2 <unknown description>
+LEGLVAWVLNTYLGKYVNNLNTDQLSVALLKGAVELENLPLKKDALKELELPFEVKAGFI
+GKVTLQIPFYRPHVDPWVISISSLHLIGAPEKIQDFNDEKEKLLERERKKALLQ
+>Q5THJ4_PF16908_137 <unknown description>
+TASVVTRIVENIELKIQDVHLRFEDGVTNPSHPFAFGICIKNVSMQNAVNEPVQKLMRKK
+QLDVAEFSIYWDVDCTLLGDLPQMELQEAMARSMESRSHHYVLEPVFASALLKRNCSKKP
+LRSRHSPRIDCDIQLETIPLKLSQLQYRQIMEFLKELERKERQVKFRRWKPKVAISKNCR
+EWWYFALNANLYEIREQRKRCTWDFMLHRARDAVSYTDKY
+>Q5THJ4_PF16910_613 <unknown description>
+YERNPAHSHFERRLNVSTRPLNIIYNPQAIKKVADFFYKGKVHTSGFGYQSELELRVAEA
+ARRQYNKLKMQTKAEIRQTLDRLLVGDFIEESKRWTVRLDISAPQVIFPDDFKFKNPVLV
+VVDLGRMLLTNTQDNSRRKSRDGSASEETQFSDDEYK
+>Q5THJ4_PF16910_802 <unknown description>
+EQLQAHLMSTKMYERYSLSFMDLQIMVGRVKDNWKHVQDIDVGPTHVVEKFNVHLQLERR
+LIYTSDPKYPGAVLSGNLPDLKIHINEDKISAL
+>Q5THJ4_PF00627_2639 <unknown description>
+ELQLARLQELGFSMDDCRKALLACQGQLKKAASWL
+>Q5THJ4_PF06650_3276 <unknown description>
+LKIFISAPYWLINKTGLPLIFRQDNAKTDAAGQFEEHELARSLSPLLFCYADKEQPNLCT
+MRIGRGIHPEGMPGWCQGFSLDGGSGVRALKVIQQGNRPGLIYNIGIDVKKGRGRYIDTC
+MVIFAPRYLLDNKSSHKLAFAQREFARGQGTANPEGYISTLPGSSVVFHWPRNDYDQLLC
+VRLMDVPNCIWSGGFEVNKNNSFHINMRDTLGKCFFLRVEITLRGATYRISFSDTDQLPP
+PFRIDNFSKVPVVFTQHGVAEPRLRTEVKPMTSLDYAWDEPTL
+>Q5THJ4_PF16909_3983 <unknown description>
+YYFENLKISIPQIKLSVFTSNKLPLDLKALKSTLGFPLIRFEDAVINLDPFTRVHPYETK
+EFIINDILKHFQEELLSQAARILGSVDFLGNPMGLLNDVSEGVTGLIKYGNVGGLIRNVT
+HGVSNSAAKFAGTLSDGLGKTMDNRHQ
+>O75436_PF03643_8 <unknown description>
+FGPICEIDIVLNDGETRKMAEMKTEDGKVEKHYLFYDGESVSGKVNLAFKQPGKRLEHQG
+IRIEFVGQIELFNDKSNTHEFVNLVKELALPGELTQSRSYDFEFMQVEKPYESYIGANVR
+LRYFLKVTIVRRLTDLVKEYDLIVHQLATYPDVNNSIKMEVGIEDCLHIEFEYNKSKYHL
+KDVIVGKIYFLLVRIKIQHMELQLIKKEITGIGPSTTTETETIAKYEIMDGAPVKGESIP
+IRLFLAGYDPTPTMRDVNKKFSVRYFLNLVLVDEED
+>Q4G0F5_PF03643_6 <unknown description>
+FGQSVEVEILLNDAESRKRAEHKTEDGKKEKYFLFYDGETVSGKVSLALKNPNKRLEHQG
+IKIEFIGQIELYYDRGNHHEFVSLVKDLARPGEITQSQAFDFEFTHVEKPYESYTGQNVK
+LRYFLRATISRRLNDVVKEMDIVVHTLSTYPELNSSIKMEVGIEDCLHIEFEYNKSKYHL
+KDVIVGKIYFLLVRIKIKHMEIDIIKRETTGTGPNVYHENDTIAKYEIMDGAPVRGESIP
+IRLFLAGYELTPTMRDINKKFSVRYYLNLVLIDEEE
+>O14972_PF03643_10 <unknown description>
+KRANKVYHAGEVLSGVVVISSKDSVQHQGVSLTMEGTVNLQLSAKSVGVFEAFYNSVKPI
+QIINSTIEMVKPGKFPSGKTEIPFEFPLHLKGNKVLYETYHGVFVNIQYTLRCDMKRSLL
+AKDLTKTCEFIVHSAPQKGKFTPSPVDFTITPETLQNVKERALLPKFLLRGHLNSTNCVI
+TQPLTGELVVESSEAAIRSVELQLVRVETCGCAEGYARDATEIQNIQIADGDVCRGLSVP
+IYMVFPRLFTCPTLETTNFKVEFEVNIVVLLHP
+>Q96AX1_PF00995_34 <unknown description>
+KAIVWDEYLTGPFGLIAQYSLLKEHEVEKMFTLKGNRLPAADVKNIIFFVRPRLELMDII
+AENVLSEDRRGPTRDFHILFVPRRSLLCEQRLKDLGVLGSFIHREEYSLDLIPFDGDLLS
+MESEGAFKECYLEGDQTSLYHAAKGLMTLQALYGTIPQIFGKGECARQVANMMIRMKREF
+TGSQNSIFPVFDNLLLLDRNVDLLTPLATQLTYEGLIDEIYGIQNSYVKLPPEKFAPKKQ
+GDGGKDLPTEAKKLQLNSAEELYAEIRDKNFNAVGSVLSKKAKIISAAFEERHNAKTVGE
+IKQFVSQLPHMQAARGSLANHTSIAELIKDVTTSEDFFDKLTVEQEFMSGIDTDKVNNYI
+EDCIAQKHSLIKVLRLVCLQSVCNSGLKQKVLDYYKREILQTYGYEHILTLHNLEKAGLL
+KPQTGGRNNYPTIRKTLRLWMDDVNEQNPTDISYVYSGYAPLSVRLAQLLSRPGWRSIEE
+VLRILPGPHFEERQPLPTGLQKKRQPGENRVTLIFFLGGVTFAEIAALRFLSQLEDGGTE
+YVIATTKLMNGTSWIE
+>Q9H267_PF00995_37 <unknown description>
+KDLFIEADLMSPLDRIANVSILKQHEVDKLYKVENKPALSSNEQLCFLVRPRIKNMRYIA
+SLVNADKLAGRTRKYKVIFSPQKFYACEMVLEEEGIYGDVSCDEWAFSLLPLDVDLLSME
+LPEFFRDYFLEGDQRWINTVAQALHLLSTLYGPFPNCYGIGRCAKMAYELWRNLEEEEDG
+ETKGRRPEIGHIFLLDRDVDFVTALCSQVVYEGLVDDTFRIKCGSVDFGPEVTSSDKSLK
+VLLNAEDKVFNEIRNEHFSNVFGFLSQKARNLQAQYDRRRGMDIKQMKNFVSQELKGLKQ
+EHRLLSLHIGACESIMKKKTKQDFQELIKTEHALLEGFNIRESTSYIEEHIDRQVSPIES
+LRLMCLLSITENGLIPKDYRSLKTQYLQSYGPEHLLTFSNLRRAGLLTEQAPGDTLTAVE
+SKVSKLVTDKAAGKITDAFSSLAKRSNFRAISKKLNLIPRVDGEYDLKVPRDMAYVFGGA
+YVPLSCRIIEQVLERRSWQGLDEVVRLLNCSDFAFTDMTKEDKASSESLRLILVVFLGGC
+TFSEISALRFLGREKGYRFIFLTTAVTNSARLME
+>Q8NEZ2_PF07200_236 <unknown description>
+ELSVSQLTDMNEQEEVLLEQFLTLPQLKQIITDKDDLVKSIEELARKNLLLEPSLEAKRQ
+TVLDKYELLTQMKSTFEKKMQRQHELSESCSASALQARLKVAAHEAEEESDNIAEDFLEG
+KMEIDDFLSSFMEKRTICHCRRAKE
+>Q9H9H4_PF07200_12 <unknown description>
+GLSLVQLNELLEDEGQLTEMVQKMEETQNVQLNKEMTLASNRSLAEGNLLYQPQLDTLKA
+RLTQKYQELQVLFEAYQIKKTKLDRQSSSASLETLLALLQAEGAKIEEDTENMAEKFLDG
+ELPLDSFIDVYQSKRKLAHMRRVK
+>A5D8V6_PF07200_5 <unknown description>
+KDKTLQELEELQNDSEAIDQLALESPEVQDLQLEREMALATNRSLAERNLEFQGPLEISR
+SNLSDRYQELRKLVERCQEQKAKLEKFSSALQPGTLLDLLQVEGMKIEEESEAMAEKFLE
+GEVPLETFLENFSSMRMLSHLRRVR
+>Q86XT2_PF07200_22 <unknown description>
+LSTGQLRDLLQDEPKLDRIVRLSRKFQGLQLEREACLASNYALAKENLALRPRLEMGRAA
+LAIKYQELREVAENCADKLQRLEESMHRWSPHCALGWLQAELEEAEQEAEEQMEQLLLGE
+QSLEAFLPAFQRGRALAHLRRTQ
+>Q9Y2B5_PF02204_511 <unknown description>
+AAAQELGLLVLESCPQKKLECIVRTLRIICVCAEDYCPTPEATPQAGPPPIAAAAIGADD
+LLPILSFVVLRSGLPQLVSECAALEEFIHEGYLIGEEGYCLTSLQSALSYVE
+>Q93050_PF01496_27 <unknown description>
+SELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEEMDRKLRFVEKEIRKANIPIMDTGENP
+EVPFPRDMIDLEANFEKIENELKEINTNQEALKRNFLELTELKFILRKTQQFFDEMADPD
+LLEESSSLLEPSEMGRGTPLRLGFVAGVINRERIPTFERMLWRVCRGNVFLRQAEIENPL
+EDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGFRASLYPCPETPQERKEMASGVNTRID
+DLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKMKAIYHTLNLCNIDVTQKCLIAEVWCP
+VTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQTPPTYNKTNKFTYGFQNIVDAYGIGTY
+REINPAPYTIITFPFLFAVMFGDFGHGILMTLFAVWMVLRESRILSQKNENEMFSTVFSG
+RYIILLMGVFSMYTGLIYNDCFSKSLNIFGSSWSVRPMFTYNWTEETLRGNPVLQLNPAL
+PGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKMSVILGIIHMLFGVSLSLFNHIYFKKPL
+NIYFGFIPEIIFMTSLFGYLVILIFYKWTAYDAHTSENAPSLLIHFINMFLFSYPESGYS
+MLYSGQKGIQCFLVVVALLCVPWMLLFKPLVLRRQYLRRKHLGTLNFGGIRVGNGPTEED
+AEIIQHDQLSTHSEDADEPSEDEVFDFGDTMVHQAIHTIEYCLGCISNTASYLRLWALSL
+AHAQLSEVLWTMVIHIGLSVKSLAGGLVLFFFFTAFATLTVAILLIMEGLSAFLHALRLH
+WVEFQNKFYSGTGFKFLPFSF
+>Q9Y487_PF01496_28 <unknown description>
+ALGEKGLVQFRDLNQNVSSFQRKFVGEVKRCEELERILVYLVQEINRADIPLPEGEASPP
+APPLKQVLEMQEQLQKLEVELREVTKNKEKLRKNLLELIEYTHMLRVTKTFVKRNVEFEP
+TYEEFPSLESDSLLDYSCMQRLGAKLGFVSGLINQGKVEAFEKMLWRVCKGYTIVSYAEL
+DESLEDPETGEVIKWYVFLISFWGEQIGHKVKKICDCYHCHVYPYPNTAEERREIQEGLN
+TRIQDLYTVLHKTEDYLRQVLCKAAESVYSRVIQVKKMKAIYHMLNMCSFDVTNKCLIAE
+VWCPEADLQDLRRALEEGSRESGATIPSFMNIIPTKETPPTRIRTNKFTEGFQNIVDAYG
+VGSYREVNPALFTIITFPFLFAVMFGDFGHGFVMFLFALLLVLNENHPRLNQSQEIMRMF
+FNGRYILLLMGLFSVYTGLIYNDCFSKSVNLFGSGWNVSAMYSSSHPPAEHKKMVLWNDS
+VVRHNSILQLDPSIPGVFRGPYPLGIDPIWNLATNRLTFLNSFKMKMSVILGIIHMTFGV
+ILGIFNHLHFRKKFNIYLVSIPELLFMLCIFGYLIFMIFYKWLVFSAETSRVAPSILIEF
+INMFLFPASKTSGLYTGQEYVQRVLLVVTALSVPVLFLGKPLFLLWLHNGRSCFGVNRSG
+YTLIRKDSEEEVSLLGSQDIEEGNHQVEDGCREMACEEFNFGEILMTQVIHSIEYCLGCI
+SNTASYLRLWALSLAHAQLSDVLWAMLMRVGLRVDTTYGVLLLLPVIALFAVLTIFILLI
+MEGLSAFLHAIRLHWVEFQNKFYVGAGTKFVPFSF
+>Q13488_PF01496_28 <unknown description>
+RLGELGLVEFRDLNASVSAFQRRFVVDVRRCEELEKTFTFLQEEVRRAGLVLPPPKGRLP
+APPPRDLLRIQEETERLAQELRDVRGNQQALRAQLHQLQLHAAVLRQGHEPQLAAAHTDG
+ASERTPLLQAPGGPHQDLRVNFVAGAVEPHKAPALERLLWRACRGFLIASFRELEQPLEH
+PVTGEPATWMTFLISYWGEQIGQKIRKITDCFHCHVFPFLQQEEARLGALQQLQQQSQEL
+QEVLGETERFLSQVLGRVLQLLPPGQVQVHKMKAVYLALNQCSVSTTHKCLIAEAWCSVR
+DLPALQEALRDSSMEEGVSAVAHRIPCRDMPPTLIRTNRFTASFQGIVDAYGVGRYQEVN
+PAPYTIITFPFLFAVMFGDVGHGLLMFLFALAMVLAENRPAVKAAQNEIWQTFFRGRYLL
+LLMGLFSIYTGFIYNECFSRATSIFPSGWSVAAMANQSGWSDAFLAQHTMLTLDPNVTGV
+FLGPYPFGIDPIWSLAANHLSFLNSFKMKMSVILGVVHMAFGVVLGVFNHVHFGQRHRLL
+LETLPELTFLLGLFGYLVFLVIYKWLCVWAARAASAPSILIHFINMFLFSHSPSNRLLYP
+RQEVVQATLVVLALAMVPILLLGTPLHLLHRHRRRLRRRPADRQEENKAGLLDLPDASVN
+GWSSDEEKAGGLDDEEEAELVPSEVLMHQAIHTIEFCLGCVSNTASYLRLWALSLAHAQL
+SEVLWAMVMRIGLGLGREVGVAAVVLVPIFAAFAVMTVAILLVMEGLSAFLHALRLHWVE
+FQNKFYSGTGYKLSPFTF
+>Q9HBG4_PF01496_28 <unknown description>
+ELGELGLVQFKDLNMNVNSFQRKFVNEVRRCESLERILRFLEDEMQNEIVVQLLEKSPLT
+PLPREMITLETVLEKLEGELQEANQNQQALKQSFLELTELKYLLKKTQDFFETETNLADD
+FFTEDTSGLLELKAVPAYMTGKLGFIAGVINRERMASFERLLWRICRGNVYLKFSEMDAP
+LEDPVTKEEIQKNIFIIFYQGEQLRQKIKKICDGFRATVYPCPEPAVERREMLESVNVRL
+EDLITVITQTESHRQRLLQEAAANWHSWLIKVQKMKAVYHILNMCNIDVTQQCVIAEIWF
+PVADATRIKRALEQGMELSGSSMAPIMTTVQSKTAPPTFNRTNKFTAGFQNIVDAYGVGS
+YREINPAPYTIITFPFLFAVMFGDCGHGTVMLLAALWMILNERRLLSQKTDNEIWNTFFH
+GRYLILLMGIFSIYTGLIYNDCFSKSLNIFGSSWSVQPMFRNGTWNTHVMEESLYLQLDP
+AIPGVYFGNPYPFGIDPIWNLASNKLTFLNSYKMKMSVILGIVQMVFGVILSLFNHIYFR
+RTLNIILQFIPEMIFILCLFGYLVFMIIFKWCCFDVHVSQHAPSILIHFINMFLFNYSDS
+SNAPLYKHQQEVQSFFVVMALISVPWMLLIKPFILRASHRKSQLQASRIQEDATENIEGD
+SSSPSSRSGQRTSADTHGALDDHGEEFNFGDVFVHQAIHTIEYCLGCISNTASYLRLWAL
+SLAHAQLSEVLWTMVMNSGLQTRGWGGIVGVFIIFAVFAVLTVAILLIMEGLSAFLHALR
+LHWVEFQNKFYVGDGYKFSPFSF
+>Q9UKI3_PF07686_29 <unknown description>
+LVFPGQVAQLSCTLSPQHVTIRDYGVSWYQQRAGSAPRYLLYYRSEEDHHRPADIPDRFS
+AAKDEAHNACVLTISPVQPEDDADYYCSVG
+>P12018_PF07686_25 <unknown description>
+QPPAMSSALGTTIRLTCTLRNDHDIGVYSVYWYQQRPGHPPRFLLRYFSQSDKSQGPQVP
+PRFSGSKDVARNRGYLSISELQPEDEAMYYCAMGARSSEKEER
+>Q9H269_PF04841_4 <unknown description>
+YTANWNPLGDSAFYRKYELYSMDWDLKEELRDCLVAAAPYGGPIALLRNPWRKEKAASVR
+PVLDIYSASGMPLASLLWKSGPVVSLGWSAEEELLCVQEDGAVLVYGLHGDFRRHFSMGN
+EVLQNRVLDARIFHTEFGSGVAILTGAHRFTLSANVGDLKLRRMPEVPGLQSAPSCWTVL
+CQDRVAHILLAVGPDLYLLDHAACSAVTPPGLAPGVSSFLQMAVSFTYRHLALFTDTGYI
+WMGTASLKEKLCEFNCNIRAPPKQMVWCSRPRSKERAVVVAWERRLMVVGDAPESIQFVL
+DEDSYLVPELDGVRIFSRSTHEFLHEVPAASEEIFKIASMAPGALLLEAQKEYEKESQKA
+DEYLREIQELGQLTQAVQQCIEAAGHEHQPDMQKSLLRAASFGKCFLDRFPPDSFVH
+>Q9H269_PF04840_517 <unknown description>
+VSYSDIAARAYGCGRTELAIKLLEYEPRSGEQVPLLLKMKRSKLALSKAIESGDTDLVFT
+VLLHLKNELNRGDFFMTLRNQPMALSLYRQFCKHQELETLKDLYNQDDNHQELGSFHIRA
+SYAAEERIEGRVAALQTAADAFYKAKNEFAAKATEDQMRLLRLQRRLEDELGGQFLDLSL
+HDTVTTLILGGHNKRAEQLARDFRIPDKRLWWLKLTALADLEDWEELEKFSKSKKSPIGY
+LPFVEICMKQHNKYEAKKYASRVGPEQKVKALLLVGDVAQAADVAIEHRNEAELSLVLSH
+CTGATDGATADKIQRARAQ
+>Q9P253_PF05131_291 <unknown description>
+GVLYGALDCGRPDSLLSEERVWEYPEGVGPGASPPLAIVLTQFHFLLLLADRVEAVCTLT
+GQVVLRDHFLEKFGPLKHMVKDSSTGQLWAYTERAVFRYHVQREARDVWRTYLDMNRFDL
+AKEYCRERPDCLDTVLAREADFCF
+>Q9P253_PF00637_639 <unknown description>
+VQQVSQAIRYMEFCVNVLGETEQAIHNYLLSLYARGRPDSLLAYLEQAGASPHRVHYDLK
+YALRLCAEHGHHRACVHVYKVLELYEEAVDLALQVDVDLAKQCADLPEEDEELRKKLWLK
+IARHVVQEEE
+>Q9BRG1_PF05871_10 <unknown description>
+QYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLFNNVKLQRKL
+PVESIQIVLEELRKKGNLEWLDKSKSSFLIMWRRPEEWGKLIYQWVSRSGQNNSVFTLYE
+LTNGEDTEDEEFHGLD
+>Q9UK41_PF03997_39 <unknown description>
+MAELFAVVKTMQALEKAYIKDCVSPSEYTAACSRLLVQYKAAFRQVQGSEISSIDEFCRK
+FRLDCPLAMERIKEDRPITIKDDKGNLNRCIADVVSLFITVMDKLRLEIRAMDEIQPDLR
+ELMETMHRMSHLPPDFEGRQTVSQWLQTLSGMSASDELDDSQVRQMLFDLESAYNAFNRF
+L
+>Q9UBQ0_PF12850_1 <unknown description>
+MLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDVHIVR
+GDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHTHKFE
+AFEHENKFYINPGSATGAYNALETNIIPSFVLMDIQ
+>Q96QK1_PF03635_15 <unknown description>
+LLDEAIQAVKVQSFQMKRCLDKNKLMDALKHASNMLGELRTSMLSPKSYYELYMAISDEL
+HYLEVYLTDEFAKGRKVADLYELVQYAGNIIPRLYLLITVGVVYVKSFPQSRKDILKDLV
+EMCRGVQHPLRGLFLRNYLLQCTRNILPDEGEPTDEETTGDISDSMDFVLLNFAEMNKLW
+VRMQHQGHSRDREKRERERQELRILVGTNLVRLSQLEGVNVERYKQIVLTGILEQVVNCR
+DALAQEYLMECIIQVFPDEFHLQTLNPFLRACAELHQNVNVKNIIIALIDRLALFAHRED
+GPGIPADIKLFDIFSQQVATVIQSRQDMPSEDVVSLQVSLINLAMKCYPDRVDYVDKVLE
+TTVEIFNKLNLEHIATSSAVSKELTRLLKIPVDTYNNILTVLKLKHFHPLFEYFDYESRK
+SMSCYVLSNVLDYNTEIVSQDQVDSIMNLVSTLIQDQPDQPVEDPDPEDFADEQSLVGRF
+IHLLRSEDPDQQYLILNTARKHFGAGGNQRIRFTLPPLVFAAYQLAFRYKENSKVDDKWE
+KKCQKIFSFAHQTISALIKAELAELPLRLFLQGALAAGEIGFENHETVAYEFMSQAFSLY
+EDEISDSKAQLAAITLIIGTFERMKCFSEENHEPLRTQCALAASKLLKKPDQGRAVSTCA
+HLFWSGRNTDKNGEELHGGKRVMECLKKALKIANQCMDPSLQVQLFIEILNRYIYFYEKE
+NDAVTIQVLNQLIQKIRE
+>Q86VN1_PF11605_6 <unknown description>
+WTSGLLEINETLVIQQRGVRIYDGEEKIKFDAGTLLLSTHRLIWRDQKNHECCMAILLSQ
+IVFIEEQAAGIGKSAKIVVHLH
+>Q86VN1_PF04157_154 <unknown description>
+VGIVGIERKLEEKRKETDKNISEAFEDLSKLMIKAKEMVELSKSIANKIKDKQGDITEDE
+TIRFKSYLLSMGIANPVTRETYGSGTQYHMQLAKQLAGILQVPLEERGGIMSLTEVYCLV
+NRARGMELLSPEDLVNACKMLEALKLPLRLRVFDSGVMVIELQSHKEEEMVASALETVSE
+KGSLTSEEFAKLVGMSVLLAKERLLLAEKMGHLCRDD
+>Q96JC1_PF00780_24 <unknown description>
+WEEWLLVGTKQGHLLLYRIRKDVGCNRFEVTLEKSNKNFSKKIQQIHVVSQFKILVSLLE
+NNIYVHDLLTFQQITTVSKAKGASLFTCDLQHTETGEEVLRMCVAVKKKLQLYFWKDREF
+HELQGDFSVPDVPKSMAWCENSICVGFKRDYYLIRVDGKGSIKELFPTGKQLEPLVAPLA
+DGKVAVGQDDLTVVLNEEGICTQKCALNWTDIPVAMEHQPPYIIAVLPRYVEIRTFEPRL
+LVQSIELQRPRFITS
+>Q96JC1_PF10366_449 <unknown description>
+IDTTLLKCYLHTNVALVAPLLRLENNHCHIEESEHVLKKAHKYSELIILYEKKGLHEKAL
+QVLVDQSKKANSPLKGHERTVQYLQHLGTENLHLIFSYSVWVL
+>Q96JC1_PF10367_761 <unknown description>
+LQVLELHHSKLDTTKALNLLPANTQINDIRIFLEKVLEENAQKKRFNQVLKNLLHAEFLR
+VQEERILHQQVKCIITEEKVCMVCKKKIGNSAFARYPNGVVVHYFCSK
+>P49754_PF00637_573 <unknown description>
+DNEDKISIKKVVEELEDRPELQHVYLHKLFKRDHHKGQRYHEKQISLYAEYDRPNLLPFL
+RDSTHCPLEKALEICQQRNFVEETVYLLSRMGNSRSALKMIMEELHDVDKAIEFAKEQDD
+GELWEDLILYSIDKPPFI
+>Q9NRW7_PF00995_23 <unknown description>
+KVLLMDKETTGIVSMVYTQSEILQKEVYLFERIDSQNREIMKHLKAICFLRPTKENVDYI
+IQELRRPKYTIYFIYFSNVISKSDVKSLAEADEQEVVAEVQEFYGDYIAVNPHLFSLNIL
+GCCQGRNWDPAQLSRTTQGLTALLLSLKKCPMIRYQLSSEAAKRLAECVKQVITKEYELF
+EFRRTEVPPLLLILDRCDDAITPLLNQWTYQAMVHELLGINNNRIDLSRVPGISKDLREV
+VLSAENDEFYANNMYLNFAEIGSNIKNLMEDFQKKKPKEQQKLESIADMKAFVENYPQFK
+KMSGTVSKHVTVVGELSRLVSERNLLEVSEVEQELACQNDHSSALQNIKRLLQNPKVTEF
+DAARLVMLYALHYERHSSNSLPGLMMDLRNKGVSEKYRKLVSAVVEYGGKRVRGSDLFSP
+KDAVAITKQFLKGLKGVENVYTQHQPFLHETLDHLIKGRLKENLYPYLGPSTLRDRPQDI
+IVFVIGGATYEEALTVYNLNRTTPGVRIVLGGTTVHNTKSFLEE
+>Q9UN37_PF04212_6 <unknown description>
+LQKAIDLVTKATEEDKAKNYEEALRLYQHAVEYFLHAIKYEAHSDKAKESIRAKCVQYLD
+RAEKLK
+>Q9UN37_PF00004_163 <unknown description>
+ILLFGPPGTGKSYLAKAVATEANNSTFFSVSSSDLMSKWLGESEKLVKNLFELARQHKPS
+IIFIDEVDSLCGSRNENESEAARRIKTEFLVQMQGVGNNNDGTLVLGATNIPWVLDSAIR
+RRFEKRIYIPL
+>Q9UN37_PF17862_316 <unknown description>
+DANIHELARKTEGYSGADISIIVRDSLMQPVRKVQ
+>Q9UN37_PF09336_374 <unknown description>
+LTPCSPGDPGAMEMTWMDVPGDKLLEPVVCMSDMLRSLATTRPTVNADDLLKVKKFSEDF
+G
+>O75351_PF04212_8 <unknown description>
+LQKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRAKCTEYLD
+RAEKLKE
+>O75351_PF00004_170 <unknown description>
+ILLFGPPGTGKSYLAKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPS
+IIFIDEIDSLCGSRSENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIR
+RRFEKRIYIPL
+>O75351_PF17862_325 <unknown description>
+DFRELGRKTDGYSGADISIIVRDALMQPVRKVQ
+>O75351_PF09336_381 <unknown description>
+LTPCSPGDPGAIEMTWMDVPGDKLLEPVVSMSDMLRSLSNTKPTVNEHDLLKLKKFTEDF
+G
+>Q96JG6_PF10475_54 <unknown description>
+INSIEQVYFSVDSFDIVKYELEKLPPVLNLQELEAYRDKLKQQQAAVSKKVADLILEKQP
+AYVKELERVTSLQTGLQLAAVICTNGRRHLNIAKEGFTQASLGLLANQRKRQLLIGLLKS
+LRTIKTLQRTDVRLSEMLEEEDYPGAIQLCLECQKAASTFKHYSCISELNSKLQDTLEQI
+EEQLDVALSKICKNFDINHYTKVQQAYRLLGKTQTAMDQLHMHFTQAIHNTVFQVVLGYV
+ELCAGNTDTKFQKLQYKDLCTHVTPDSYIPCLADLCKALWEVMLSYYRTMEW
+>Q96JG6_PF10474_723 <unknown description>
+LYGLAERVVATESLVFLAEQFEFLQPHLDAVMPAVKKPFLQQFYSQTVSTASELRKPIYW
+IVAGKALDYEQMLLLMANVKWDVKEIMSQHNIYVDALLKEFEQFNRRLNEVSKRVRIPLP
+VSNILWEHCIRLANRTIVEGYANVKKCSNEGRALMQLDFQQFLMKLEKLTDIRPIPDKEF
+VETYIKAYYLTENDMERWIKEHREYSTKQLTNLVNVCLGSHINKKARQKLLAAID
+>Q9UID3_PF15469_64 <unknown description>
+NGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATDTIR
+KMKNDFRKMEDEMDRLATNMAVITDFSARISATLQDRHERITKLAGVHALLRKLQFLFEL
+PSRLTKCVELGAYGQAVRYQGRAQAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQRFRE
+GGSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEKELRNLE
+>Q8N1B4_PF04129_94 <unknown description>
+IEQKSIRDYIQESENIASLHNQITACDAVLERMEQMLGAFQSDLSSISSEIRTLQEQSGA
+MNIRLRNRQAVRGKLGELVDGLVVPSALVTAILEAPVTEPRFLEQLQELDAKAAAVREQE
+ARGTAACADVRGVLDRLRVKAVTKIREFILQKIYSFRKPMTNYQIPQTALLKYRFFYQFL
+LGNERATAKEIRDEYVETLSKIYLSYYRSYLGRLMKVQYEEVAEKDDLMGVEDTAKKGFF
+SKPSLRSRNTIFTLGTRGSVISPTELEAPILVPHTAQRGEQRYPFEALFRSQHYALLDNS
+CREYLFICEFFVVSGPAAHDLFHAVMGRTLSMTLKHLDSYLADCYDAIAVFLCIHIVLRF
+RNIAAKRDVPALDRYWEQVLALLWPRFELILEMNVQSVRSTDPQRLGGLDTRPHYITRRY
+AEFSSALVSINQTIPNERTMQLLGQLQVEVENFVLRVAAEFSSRKEQLVFLINNYDMMLG
+VLMERAADDSKEVESFQQLLNARTQEFI
+>Q5VIR6_PF04100_41 <unknown description>
+DFNAVEYINTLFPTEQSLANIDEVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEA
+QKAIQQLFGKIKDIKDKAEKSEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVD
+SLEAMTRRRQYGEVANLLQGVMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFE
+EAFPSQGTKRPGGPSNVLRDACLVANILDPRIKQEIIKKFIKQHLSEYLVLFQENQDVAW
+LDKIDRRYAWIKRQLVDYEEKYGRMFPREWCMAERIAVEFCHVTRAELAKIMRTRAKEIE
+VKLLLFAIQRTTNFEGFLAKRFSGCTLTDGTLKKLESPPPSTNPFLEDEPTPEMEELATE
+KGDLDQPKKPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLGELIDRFVADFK
+>Q9P1Q0_PF10475_207 <unknown description>
+EKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHELQDYLRKTSQAVKMLRDKIAQIDKVM
+CEGSLHILRLALTRNNCVKVYNKLKLMATVHQTQPTVQVLLSTSEFVGALDLIATTQEVL
+QQELQGIHSFRHLGSQLCELEKLIDKMMIAE
+>Q9P1Q0_PF07928_737 <unknown description>
+QQYAVVGTVLLLIRIILEYCQCVDNIPSVTTDMLTRLSDLLKYFNSRSCQLVLGAGALQV
+VGLKTITTKNLALSSRCLQLIVHYIPVIRAHFEARLPPKQYSMLRHFDHITKDYHDHIAE
+ISAKLVAIMD
+>Q15906_PF05764_7 <unknown description>
+RAPRKTAGNRLSGLLEAEEEDEFYQTTYGGFTEESGDDEYQGDQSDTEDEVDSDFDIDEG
+DEPSSDGEAEEPRRKRRVVTKAYKEPLKSLRPRKVNTPAGSSQKAREEKALLPLELQDDG
+SDSRKSMRQSTAEHTRQTFLRVQERQGQSRRRKGPHCERPLTQEELLREAKITEELNLRS
+LETYERLEADKKKQVHKKRKCPGPIITYHS
+>Q15906_PF08265_291 <unknown description>
+VCPVTHRPALYRDPVTDIPYATARAFKII
+>Q8N3P4_PF12816_614 <unknown description>
+VFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLMENVEALIVHMDITSLDIQQVVLMC
+WENRLYDAMIYVYNRGMNEFISPMEKLFRVIAPPLNAGKTLTDEQVVMGNKLLVYISCCL
+AGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSAEASPEEEIYPYIRTLLHFDTREFLNVLA
+LTF
+>Q99986_PF00069_42 <unknown description>
+PIGQGGFGCIYLADMNSSESVGSDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIR
+TRKLKYLGVPKYWGSGLHDKNGKSYRFMIMDRFGSDLQKIYEANAKRFSRKTVLQLSLRI
+LDILEYIHEHEYVHGDIKASNLLLNYKNPDQVYLVDYGLAYRYCPEGVHKEYKEDPKRCH
+DGTIEFTSIDAHNGVAPSRRGDLEILGYCMIQWLTGHLPWEDNLKDPKYVRDSKIRYREN
+IASLMD
+>Q86Y07_PF00069_31 <unknown description>
+LGKKIGSGGFGLIYLAFPTNKPEKDARHVVKVEYQENGPLFSELKFYQRVAKKDCIKKWI
+ERKQLDYLGIPLFYGSGLTEFKGRSYRFMVMERLGIDLQKISGQNGTFKKSTVLQLGIRM
+LDVLEYIHENEYVHGDIKAANLLLGYKNPDQVYLADYGLSYRYCPNGNHKQYQENPRKGH
+NGTIEFTSLDAHKGVALSRRSDVEILGYCMLRWLCGKLPWEQNLKDPVAVQT
+>Q8IV63_PF13240_4 <unknown description>
+FCPDCGKSIQAAFKFCPYCGNSL
+>Q8IV63_PF00069_250 <unknown description>
+HQDKYRFLVLPSLGRSLQSALDVSPKHVLSERSVLQVACRLLDALEFLHENEYVHGNVTA
+ENIFVDPEDQSQVTLAGYGFAFRYCPSGKHVAYVEGSRSPHEGDLEFISMDLHKGCGPSR
+RSDLQSLGYCMLKWLYGFLPWTNCLPNTEDIMKQKQKFVD
+>Q86VR7_PF13895_402 <unknown description>
+PTITVSSDRDAAPARFVTAGSNVTLRCAAASRPPADITWSLADPAEAAVPAGSRLLLPAV
+GPGHAGTYACLAANPRTGRRRRSLLNLTV
+>Q8N0Z9_PF07686_31 <unknown description>
+VVIGEVHENVTLHCGNISGLRGQVTWYRNNSEPVFLLSSNSSLRPAEPRFSLVDATSLHI
+ESLSLGDEGIYTCQ
+>Q8N0Z9_PF13927_137 <unknown description>
+PNGTLYAARGSQVDFSCNSSSRPPPVVEWWFQALNSSSESFGHNLTVNFFSLLLISPNLQ
+GNYTCLALN
+>Q8N0Z9_PF13927_311 <unknown description>
+PSLLSEPMKTCFTGGNVTLTCQVSGAYPPAKILWLRNLTQPEVIIQPSSRHLITQDGQNS
+TLTIHNCSQDLDEGYYICRA
+>Q86XK7_PF07686_31 <unknown description>
+VNVTVGSNVTLICIYTTTVASREQLSIQWSFFHKKEMEPISIYFSQGGQAVAIGQFKDRI
+TGSNDPGNASITISHMQPADSGIYICDVNNPPDFLGQNQGILN
+>Q86XK7_PF13927_142 <unknown description>
+KPLCSVQGRPETGHTISLSCLSALGTPSPVYYWHKLEGRDIVPVKENFNPTTGILVIGNL
+TNFEQGYYQCTAIN
+>Q96IQ7_PF07686_31 <unknown description>
+EPLSTPLGKTAELTCTYSTSVGDSFALEWSFVQPGKPISESHPILYFTNGHLYPTGSKSK
+RVSLLQNPPTVGVATLKLTDVHPSDTGTYLCQVNNPPDFYTN
+>Q96IQ7_PF13927_155 <unknown description>
+QTSVGGSTALRCSSSEGAPKPVYNWVRLGTFPTPSPGSMVQDEVSGQLILTNLSLTSSGT
+YRCVATN
+>Q9Y279_PF07686_25 <unknown description>
+VPESVTGPWKGDVNLPCTYDPLQGYTQVLVKWLVQRGSDPVTIFLRDSSGDHIQQAKYQG
+RLHVSHKVPGDVSLQLSTLEMDDRSHYTCEVTWQTPDGNQVVRDKITELRV
+>Q9Y279_PF13927_143 <unknown description>
+PTVTTGSGYGFTVPQGMRISLQCQARGSPPISYIWYKQQTNNQEPIKVATLSTLLFKPAV
+IADSGSYFCTAK
+>P0DPA2_PF07686_32 <unknown description>
+LYLAEGDNVRLGCPYVLDPEDYGPNGLDIEWMQVNSDPAHHRENVFLSYQDKRINHGSLP
+HLQQRVRFAASDPSQYDASINLMNLQVSDTATYECRVKKT
+>P0DPA2_PF13927_157 <unknown description>
+MTYGNDVVLKCYASGGSQPLSYKWAKISGHHYPYRAGSYTSQHSYHSELSYQESFHSSIN
+QGLNNGDLVLKDISRADDGLYQCTVAN
+>Q6UX27_PF13895_31 <unknown description>
+LHAWPSSVVEAESNVTLKCQAHSQNVTFVLRKVNDSGYKQEQSSAENEAEFPFTDLKPKD
+AGRYFCAYKTTASHEWSESSEHLQLV
+>Q8IW00_PF07686_34 <unknown description>
+VDYLEGENATLLCHVSQKRRKDSLLAVRWFFAHSFDSQEALMVKMTKLRVVQYYGNFSRS
+AKRRRLRLLEEQRGALYRLSVLTLQPSDQGHYVCRVQEISR
+>Q9NZR4_PF00046_165 <unknown description>
+RRHRTVFTAHQLEELEKAFSEAHYPDVYAREMLAVKTELPEDRIQVWFQNRRAKWRK
+>P58304_PF00046_149 <unknown description>
+RRHRTIFTSYQLEELEKAFNEAHYPDVYAREMLAMKTELPEDRIQVWFQNRRAKWRK
+>P58304_PF03826_301 <unknown description>
+LRENSIAVLRAKAQEHST
+>Q9NP79_PF04652_16 <unknown description>
+SIQHHLRTAQEHDKRDPVVAYYCRLYAMQTGMKIDSKTPECRKFLSKLMDQLEALKKQLG
+DNEAITQEIVGCAHLENYALKMFLYADNEDRAGRFHKNMIKSFYTASLLIDVITVFGELT
+DENVKHRKYARWKATYIHNCLKN
+>Q9NP79_PF18097_266 <unknown description>
+PEDFARAQKYCKYAGSALQYEDVSTAVQNLQKALKLLT
+>Q7Z7D3_PF07686_47 <unknown description>
+NIGEDGILSCTFEPDIKLSDIVIQWLKEGVLGLVHEFKEGKDELSEQDEMFRGRTAVFAD
+QVIVGNASLRLKNVQLTDAGTYKCYIITSKG
+>P02774_PF00273_28 <unknown description>
+VCKEFSHLGKEDFTSLSLVLYSRKFPSGTFEQVSQLVKEVVSLTEACCAEGADPDCYDTR
+TSALSAKSCESNSPFPVHPGTAECCTKEGLERKLCMAALKHQPQEFPTYVEPTNDEICEA
+FRKDPKEYANQFMWEYSTNYGQAPLSLLVSYTKSYLSMVGSCCTSASPTVCF
+>P02774_PF00273_219 <unknown description>
+VCSQYAAYGEKKSRLSNLIKLAQKVPTADLEDVLPLAEDITNILSKCCESASEDCMAKEL
+PEHTVKLCDNLSTKNSKFEDCCQEKTAMDVFVCTYFMPAAQLPELPDVELPTNKDVCDPG
+NTKVMDKYTFELSRRTHLPEVFLSKVLEPTLKSLGECCDVEDSTTCF
+>P02774_PF09164_405 <unknown description>
+ELCADYSENTFTEYKKKLAERLKAKLPDATPTELAKLVNKHSDFASNCCSINSPPLYCDS
+EIDAE
+>Q96AJ9_PF05008_12 <unknown description>
+FAVLTAEITSKIARVPRLPPDEKKQMVANVEKQLEEAKELLEQMDLEVREIPPQSRGMYS
+NRMRSYKQEMGKLETDFKR
+>Q96AJ9_PF12352_123 <unknown description>
+ERLERSSRRLEAGYQIAVETEQIGQEMLENLSHDREKIQRARERLRETDANLGKSSRILT
+GMLRR
+>Q9UEU0_PF05008_18 <unknown description>
+FRGLHEDLQGVPERLLGTAGTEEKKKLIRDFDEKQQEANETLAEMEEELRYAPLSFRNPM
+MSKLRNYRKDLAKLHREVR
+>Q9UEU0_PF12352_137 <unknown description>
+ESLNRATQSIERSHRIATETDQIGSEIIEELGEQRDQLERTKSRLVNTSENLSKSRKILR
+SMSRKV
+>A6NLU5_PF07686_33 <unknown description>
+VPKDVTVREGDDIEMPCAFRASGATSYSLEIQWWYLKEPPRELLHELALSVPGARSKVTN
+KDATKISTVRVQGNDISHRLRLSAVRLQDEGVYECRVSDYSDDDTQ
+>Q96N03_PF07686_45 <unknown description>
+ETPHDMTARTGEDVEMACSFRGSGSPSYSLEIQWWYVRSHRDWTDKQAWASNQLKASQQE
+DAGKEATKISVVKVVGSNISHKLRLSRVKPTDEGTYECRVIDFSDGK
+>P04004_PF01033_22 <unknown description>
+ESCKGRCTEGFNVDKKCQCDELCSYYQSCCTDYTAECKP
+>P04004_PF00045_161 <unknown description>
+FDAFTDLKNGSLFAFRGQYCYELDEKAVRPGYPKLIRDVWGIE
+>P04004_PF00045_206 <unknown description>
+IDAAFTRINCQGKTYLFKGSQYWRFEDGVLDPDYPRNISDGFDGIP
+>P04004_PF00045_254 <unknown description>
+VDAALALPAHSYSGRERVYFFKGKQYWEYQFQHQPSQEECEGSSLSAVFEH
+>P04004_PF00045_428 <unknown description>
+ATCEPIQSVFFFSGDKYYRVNLRTRRVDTVDPPYPRSIAQYWLGC
+>Q5TIE3_PF13757_2 <unknown description>
+PGLLNWITGAALPLTASDVTSCVSGYALGLTASLTYGNLEAQPFQGLFVYPLDECTTVIG
+FEAVIADRVVTVQIKDK
+>Q5TIE3_PF13768_361 <unknown description>
+EFIFLIDRSSSMSGISMHRVKDAMLVALKSLMPACLFNIIGFGSTFKSLFPSSQTYSEDS
+LAMACDDIQRMKADMGGTNILSPLKWVIRQPVHRGHPRLLFVITDGAVNNTGKVLELVRN
+HAFSTRCYSFGIGPNVCHRLVKGLASVSEGSAE
+>Q8N398_PF13757_2 <unknown description>
+PGLYCPSSWTPLPLTDSWVRACANGPCLSVRARLTYRNPQPQPVDGVFVYPLAEAEVVSG
+FEAEAAGRRVSFQLQSRR
+>Q8N398_PF13768_354 <unknown description>
+ELLFLLDSSSVAHKDAIVLAVKSLPPQTLINLAVFGTLVQPLFPESRPCSDDAVQLICES
+IETLQVPSGPPDVLAALDWAVGQPQHRAYPRQLFLLTAASPMAATTHRTLELMRWHRGTA
+RCFSFGLGPTCHQLLQGLSALSRGQAYF
+>Q6PCB0_PF00092_34 <unknown description>
+DLMFLLDSSASVSHYEFSRVREFVGQLVAPLPLGTGALRASLVHVGSRPYTEFPFGQHSS
+GEAAQDAVRASAQRMGDTHTGLALVYAKEQLFAEASGARPGVPKVLVWVTDGGSSDPVGP
+PMQELKDLGVTVFIVSTGRGNFLELSAAASAPAEKHLHFVD
+>Q6PCB0_PF00041_214 <unknown description>
+RPQQLHATEITSSGFRLAWPPLLTADSGYYVLELVPSAQPGAARRQQLPGNATDWIWAGL
+DPDTDYDVA
+>Q6PCB0_PF00041_335 <unknown description>
+PERIVISHARPRSLRVSWAPALGSAAALGYHVQFGPLRGGEAQRVEVPAGRNCTTLQGLA
+PGTAYLVTVTAAFR
+>Q5GFL6_PF00092_51 <unknown description>
+DIMFLLDGSNSVGKGSFERSKHFAITVCDGLDISPERVRVGAFQFSSTPHLEFPLDSFST
+QQEVKARIKRMVFKGGRTETELALKYLLHRGLPGGRNASVPQILIIVTDGKSQGDVALPS
+KQLKERGVTVFAVGVRFPRWEELHALASEPRGQHVLLAEQVE
+>Q5GFL6_PF00008_299 <unknown description>
+CDSQPCQNGGTCVPEGLDGYQCLCPL
+>Q5GFL6_PF00092_343 <unknown description>
+DLLFLLDSSAGTTLDGFLRAKVFVKRFVRAVLSEDSRARVGVATYSRELLVAVPVGEYQD
+VPDLVWSLDGIPFRGGPTLTGSALRQAAERGFGSATRTGQDRPRRVVVLLTESHSEDEVA
+GPARHARARELLLLGVGSEAVRAELEEITGSPKHVMVYSDPQDL
+>Q5GFL6_PF00092_531 <unknown description>
+DLVFMLDTSASVGPENFAQMQSFVRSCALQFEVNPDVTQVGLVVYGSQVQTAFGLDTKPT
+RAAMLRAISQAPYLGGVGSAGTALLHIYDKVMTVQRGARPGVPKAVVVLTGGRGAEDAAV
+PAQKLRNNGISVLVVGVGPVLSEGLRRLAGPRD
+>Q5GFL6_PF00008_716 <unknown description>
+CKPSPCMNEGSCVLQNGSYRCKCRDGWEGPH
+>A6NCI4_PF13768_170 <unknown description>
+RVSILIDVSAISSGPQKEEFQKDLMSLIDEQLSHKEKLFVLSFGTNAGSLWPDPMEVSAS
+TLQELKLWVKTLQPDGGSNLLQALKKIFTLKGLDSLVAIMRSCPDQPSEILSDYIQQSTM
+GRDLIIHFITYRCDDQMPPAVLKNLAEAVRGYYHC
+>A6NCI4_PF13768_511 <unknown description>
+RVVVLLDISATNSMYIIHIQHSLRLLLEEQLSNKDCFNLIAFGSTIESWRPEMVPVSHNN
+LQSAWRWALNLRCRGSRNVLSALRKAVEVDFKDKDKHQSQGIYLFTGGIPDQDMPTLSAY
+MAEAC
+>A6NCI4_PF13768_959 <unknown description>
+KVCILLDTSGSMGPYLQQVKTELVLLIWEQLRKCCDSFNLLSFAESFQSWQDTLVETTDA
+ACHEAMQWVTHLQAQGSTSILQALLKAFSFHDLEGLYLLTDGKPDTSCSLVLNEVQKLRE
+KRDVKVHTISLNCSDRAAVEFLRKLASFTGGRYHC
+>Q502W6_PF13768_142 <unknown description>
+VTIVLDFGGILEGELDLCREALTMVLQEQVAHITEFNIIRVSQEPVKWQENATPVTEQSI
+ATAISWVEKLTVELTVSEAGRLDALLEAGRDKTIESIYYFV
+>Q502W6_PF13768_509 <unknown description>
+IYILIDTSHSMKSKLDLVKDKIIQFIQEQLKYKSKFNFVKFDGQAVAWREQLAEVNEDNL
+EQAQSWIRDIKIGSSTNTLSALKTAFADKETQAIYLLTDGRPDQPPETVIDQVKRFQEIP
+IYTISFNYNDEIANRFLKEVAALTGGEFHF
+>Q502W6_PF15057_1037 <unknown description>
+GQKVIARCDENGFYFPGVVKKCVSRTQALVGFSYGDTKVVSTSFITPVGGAMPCPLLQVG
+DYVFAKIVIPKGFDFYVPAIVIALPNKHVATEKFYTVLKCNNRREFCPRSALIKISQNKY
+ALSCSHI
+>A3KMH1_PF07728_105 <unknown description>
+DVFLIGPPGPLRRSIAMQYLELTKREVEYIALSRDTTETDLKQRREIRAGTAFYIDQCAV
+RAATEGRTLILEGLEKAERNVLPVLNNLLENREMQLEDGRFLMSAERYDKLLRDHTKKEL
+DSWKIVRVSENFRVIALGLPVPRYSGNPLDPPLRSRF
+>A3KMH1_PF07728_442 <unknown description>
+DICLIGGKGCGKTVIAKNFADTLGYNIEPIMLYQDMTARDLLQQRYTLPNGDTAWRSSPL
+VNAALEGKLVLLDGIHRVNAGTLAVLQRLIHDRELSLYDGSRLLREDRYMRLKEELQLSD
+EQLQKRSIFPIHPSFRIIALAEPPVIGSTAHQWLGPEFL
+>A3KMH1_PF07728_776 <unknown description>
+HLLLVGNQGVGKNKIVDRFLHLLNRPREYIQLHRDTTVQTLTLQPSVKDGLIVYEDSPLV
+KAVKLGHILVVDEADKAPTNVTCILKTLVENGEMILADGRRIVANSANVNGRENVVVIHP
+DFRMIVLANRPGFPFLGND
+>Q2TAL6_PF00093_218 <unknown description>
+CEFRGKTYQTLEEFVVSPCERCRCEANGEVLCTVSACPQTECVDPVYEPDQCCPIC
+>Q96DN2_PF07645_142 <unknown description>
+DIDECVTSSCEGHCVNTEGGFVCECGPGMQLSADRHSC
+>Q96DN2_PF07645_181 <unknown description>
+DTDECLGTPCQQRCKNSIGSYKCSCRTGFHLHGNRHSC
+>Q96DN2_PF07645_220 <unknown description>
+DVNECRRPLERRVCHHSCHNTVGSFLCTCRPGFRLRADRVSC
+>Q96DN2_PF00093_386 <unknown description>
+CWHLGAMHESRSRWTEPGCSQCWCEDGKVTCEKVRCEAACSHPIPSRDGGCCPSC
+>Q96DN2_PF00093_501 <unknown description>
+CYFHGRWYADGAVFSGGGDECTTCVCQNGEVECSFMPCPELACPREEWRLGPGQCCFTC
+>Q96DN2_PF00093_629 <unknown description>
+CTYTGRIFYNNETFPSVLDPCLSCICLLGSVACSPVDCPITCTYPFHPDGECCPVC
+>Q96DN2_PF00093_687 <unknown description>
+CNYEGRKVANGQVFTLDDEPCTRCTCQLGEVSCEKVPCQRACADPALLPGDCCSSC
+>Q8N2E2_PF00094_425 <unknown description>
+CYTFTDPHIITFDGRVYDNFKTGTFVLYKSMSRDFEVHVRQWDCRSLHYPVSCNCGFVAQ
+EGGDIVTFDMCNGQLRESQPYLFIKSQDVTRNIKISESYLGRKVTIWFSSGAFIRADLGE
+WGMSLTIRAPSVDYRNTLGLCGTFDENPENDFHDKNG
+>P04275_PF00094_35 <unknown description>
+CSLFGSDFVNTFDGSMYSFAGYCSYLLAGGCQKRSFSIIGDFQNGKRVSLSVYLGEFFDI
+HLFVNGTVTQGDQRVSMPYASKGLYLETEAGYYKLSGEAYGFVARIDGSGNFQVLLSDRY
+FNKTCGLCGNFNIFAEDDFMTQEGT
+>P04275_PF08742_224 <unknown description>
+QCQLLKSTSVFARCHPLVDPEPFVALCEKTLCECAGGLECACPALLEYARTCAQEGMVLY
+GWTDHSAC
+>P04275_PF01826_295 <unknown description>
+CPAGMEYRQCVSPCARTCQSLHINEMCQERCVDGCSCPEGQLLDEGLCVESTEC
+>P04275_PF00094_388 <unknown description>
+CLVTGQSHFKSFDNRYFTFSGICQYLLARDCQDHSFSIVIETVQCADDRDAVCTRSVTVR
+LPGLHNSLVKLKHGAGVAMDGQDVQLPLLKGDLRIQHTVTASVRLSYGEDLQMDWDGRGR
+LLVKLSPVYAGKTCGLCGNYNGNQGDDFLTPSG
+>P04275_PF08742_584 <unknown description>
+CAVLTSPTFEACHRAVSPLPYLRNCRYDVCSCSDGRECLCGALASYAAACAGRGVRVAWR
+EPGRC
+>P04275_PF01826_652 <unknown description>
+CPKGQVYLQCGTPCNLTCRSLSYPDEECNEACLEGCFCPPGLYMDERGDCVPKAQC
+>P04275_PF01826_787 <unknown description>
+ECTKTCQNYDLECMSMGCVSGCLCPPGMVRHENRCVALERC
+>P04275_PF00094_867 <unknown description>
+CSTIGMAHYLTFDGLKYLFPGECQYVLVQDYCGSNPGTFRILVGNKGCSHPSVKCKKRVT
+ILVEGGEIELFDGEVNVKRPMKDETHFEVVESGRYIILLLGKALSVVWDRHLSISVVLKQ
+TYQEKVCGLCGNFDGIQNNDLTSSN
+>P04275_PF08742_1060 <unknown description>
+CRILTSDVFQDCNKLVDPEPYLDVCIYDTCSCESIGDCACFCDTIAAYAHVCAQHGKVVT
+WRTATLC
+>P04275_PF01826_1145 <unknown description>
+RYNSCAPACQVTCQHPEPLACPVQCVEGCHAHCPPGKILDELLQTCVDPEDC
+>P04275_PF16164_1198 <unknown description>
+VCEVAGRRFASGKKVTLNPSDPEHCQICHCDVVNLTCEACQEPGGLVVPPTDAPVSPTTL
+YVEDISEPPLHDFYCSRLL
+>P04275_PF00092_1277 <unknown description>
+DLVFLLDGSSRLSEAEFEVLKAFVVDMMERLRISQKWVRVAVVEYHDGSHAYIGLKDRKR
+PSELRRIASQVKYAGSQVASTSEVLKYTLFQIFSKIDRPEASRITLLLMASQEPQRMSRN
+FVRYVQGLKKKKVIVIPVGIGPHANLKQIRLIEKQAPENKAFVLSSVDELEQQ
+>P04275_PF00092_1498 <unknown description>
+DVAFVLEGSDKIGEADFNRSKEFMEEVIQRMDVGQDSIHVTVLQYSYMVTVEYPFSEAQS
+KGDILQRVREIRYQGGNRTNTGLALRYLSDHSFLVSQGDREQAPNLVYMVTGNPASDEIK
+RLPGDIQVVPIGVGPNANVQELERIGWP
+>P04275_PF00092_1691 <unknown description>
+DVILLLDGSSSFPASYFDEMKSFAKAFISKANIGPRLTQVSVLQYGSITTIDVPWNVVPE
+KAHLLSLVDVMQREGGPSQIGDALGFAVRYLTSEMHGARPGASKAVVILVTDVSVDSVDA
+AADAARSNRVTVFPIGIGDRYDAAQLRILAGPAGDSNVVKLQRIEDLPT
+>P04275_PF00094_1950 <unknown description>
+CTGSSTRHIVTFDGQNFKLTGSCSYVLFQNKEQDLEVILHNGACSPGARQGCMKSIEVKH
+SALSVELHSDMEVTVNGRLVSVPYVGGNMEVNVYGAIMHEVRFNHLGHIFTFTPQNNEFQ
+LQLSPKTFASKTYGLCGICDENGANDFMLRDGT
+>P04275_PF08742_2138 <unknown description>
+HCQVLLLPLFAECHKVLAPATFYAICQQDSCHQEQVCEVIASYAHLCRTNGVCVDWRTPD
+FC
+>P04275_PF00093_2257 <unknown description>
+CIGEDGVQHQFLEAWVPDHQPCQICTCLSGRKVNCTTQPCPTAKAPTCGLCEVARLRQNA
+DQCCPEYEC
+>P04275_PF00093_2431 <unknown description>
+CVHRSTIYPVGQFWEEGCDVCTCTDMEDAVMGLRVAQCSQKPCEDSCRSGFTYVLHEGEC
+CGRC
+>P04275_PF00093_2582 <unknown description>
+CMLNGTVIGPGKTVMIDVCTTCRCMVQVGVISGFKLECRKTTCNPCPLGYKEENNTGECC
+GRC
+>Q641Q2_PF15255_943 <unknown description>
+APFKTKEPSTRIGKIQANLAINPAALLPTAASQISEVKPVLPELAFPSSEHRRSHGLESV
+PVLPGSGEAGVSFDLPAQADTLHSANKSRVKMRGKRRPQTRAARRLAAQESSETEDMSVP
+RGPIAQWADGAISPNG
+>Q9BTA9_PF00397_133 <unknown description>
+DDWSEHISSSGKKYYYNCRTEVSQWEKP
+>Q7Z5K2_PF07814_638 <unknown description>
+HVKHFNDVVEFGENQEFTDDIEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAH
+GMVAMVFKTLDDSQHHQNLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSA
+KLLNEKDMNKIKEKIRRLCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRL
+LGGLDHIVDKVKECVDHLSRDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKD
+SQLIVSSAKALQHCEELIQQYNRAEDSICLADSKPLPHQNVTNHVGKAVEDCMRAIIGVL
+LNLTNDNEWGSTKTGEQDGLIGTALNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSAR
+N
+>Q9Y3C0_PF10152_30 <unknown description>
+LNQFVVHTVQFLNRFSTVCEEKLADLSLRIQQIETTLNILDAKLSSIPGLDDVTVEVSPL
+NVTSVTNGAHPEATSEQPQQNSTQDSGLQESEVSAENILTVAKDPRYARYLKMVQVGVPV
+MAIRNKMISEGLDPDLLE
+>Q2M389_PF14745_32 <unknown description>
+GKFLEEYTSQLRRIEDALDDSIGDVWDFNLDPIALKLLPYEQSSLLELIKTENKVLNKVI
+TVYAALCCEIKKLKYEAETKFYNGLLFYGEGATDASMVEGDCQIQMGRFISFLQELSCFV
+TRCYEVVMNVVHQLAALYISNKIAPKIIETTGVHFQTMYEHLGELLTVLLTLDEIIDNHI
+TLKDHWTMYKRLLKSVHHNPSKFGIQEEKLKPFEKFLLKLEGQLLDGMIFQACIEQQFDS
+LNGGVSVSKNSTFAEEFAHSIRSIFANVEAKLGEPSEIDQRDKYVGICGLFVLHFQIFRT
+IDKKFYKSLLDICKKVPAITLTANIIWFPDNFLIQKIPAAAKLLDRKSLQAIKIHRDTFL
+QQKAQSLTKDVQSYYVFVSSWMMKMESILSKEQRMDKFAEDLTNRCNVFIQGFLYAYSIS
+TIIKTTMNLYMSMQKPMTKTSVKALCRLVELLKAIEHMFYRRSMVVADSVSHITQHLQHQ
+ALHSISVAKKRVISDKKYSEQRLDVLSALVLAENTLNGPSTKQRRLIVSLALSVGTQMKT
+FKDEELFPLQVVMKKLDLISELRERVQTQCDC
+>Q2M389_PF14744_605 <unknown description>
+FLYWHRAVFPIYLDDVYENAVDAARLHYMFSALRDCVPAMMHARHLESYEILLDCYDKEI
+MEILNEHLLDKLCKEIEKDLRLSVHTHLKLDDRNPFKVGMKDLALFFSLNPIRFFNRFID
+IRAYVTHYLDKTFYNLTTVALHDWATYSEMRNLATQRYGLVMTEAHLPSQTLEQGLDVLE
+IMRNIHIFVSRYLYNLNNQIFIERTSNNKHLNTINIRHIANSIRTHGTGIMNTTVNFTYQ
+FLKKKFYIFSQFMYDEHIKSRLIKDIRFFREIKDQNDHKYPFDRAEKFNRGIRKLGVTPE
+GQSYLDQFRQLISQIGNAMGYVRMIRSGGLHCSSNAIRFVPDLE
+>Q2M389_PF14746_966 <unknown description>
+TLKAARHLDSVLSDHTRNSAEGTEYFKMLVDVFAPEFRRPKNIHLRNFYIIVPPLTLNFV
+EHSISCKEKLNKKNKIGAAFTDDGFAMGVAYILKLLDQYREFDSLHWFQSVREKYLKEIR
+AVAKQQNVQSASQDEKLLQTMNLTQKRLDVYLQEFELLYFSLSSARIFFR
+>Q12768_PF10266_23 <unknown description>
+NAIIAELLRLSEFIPAVFRLKDRADQQKYGDIIFDFSYFKGPELWESKLDAKPELQDLDE
+EFRENNIEIVTRFYLAFQSVHKYIVDLNRYLDDLNEGVYIQQTLETVLLNEDGKQLLCEA
+LYLYGVMLLVIDQKIEGEVRERMLVSYYRYSAARSSADSNMDDICKLLRSTGYSSQPGAK
+RPSNYPESYFQRVPINESFISMVIGRLRSDDIYNQVSAYPLPEHRSTALANQAAMLYVIL
+YFEPSILHTHQAKMREIVDKYFPDNWVISIYMGITVNLVDAWEPYKAAKTALNNTLDLSN
+VREQASRYATVSERVHAQVQQFLKEGYLREEMVLDNIPKLLNCLRDCNVAIRWLMLHTAD
+SACDPNNKRLRQIKDQILTDSRYNPRILFQLLLDTAQFEFILKEMFKQMLSEKQTKWEHY
+KKEGSERMTELADVFSGVKPLTRVEKNENLQAWFREISKQILSLNYDDSTAAGRKTVQLI
+QALEEVQEFHQLESNLQVCQFLADTRKFLHQMIRTINIKEEVLITMQIVGDLSFAWQLID
+SFTSIMQESIRVNPSMVTKLRATFLKLASALDLPLLRINQANSPDLLSVSQYYSGELVSY
+VRKVLQIIPESMFTSLLKIIKLQTHDIIEVPTRLDKDKLRDYAQLGPRYEVAKLTHAISI
+FTEGILMMKTTLVGIIKVDPKQLLEDGIRKELVKRVAFALHRGLIFNPRAKPSELMPKLK
+ELGATMDGFHRSFEYIQDYVNIYGLKIWQEEVSRIINYNVEQECNNFLRTKIQDWQSMYQ
+STHIPIPKFTPVDESVTFIGRLCREILRITDPKMTCHIDQLNTWYDMKTHQEVTSSRLFS
+EIQTTLGTFGLNGLDRLLCFMIVKELQNFLSMFQKIILRDRTVQDTLKTLMNAVSPLKSI
+VANSNKIYFSAIAKTQKIWTAYLEAIMKVGQMQILRQQIANELNYSCRFDSKHLAAALEN
+LNKALLADIEAHYQDPSLPYPKEDNTLLYEITAYLEAAGIHNPLNKIYITTKRLPYFPIV
+NFLFLIAQLPKLQYNKNLGMVCRKPTDPVDWPPLVLGLLTLLKQFHSRYTEQFLALIGQF
+I
+>Q92558_PF02205_495 <unknown description>
+SDARSVLLEAIRKGIQLRKVEEQREQ
+>Q9Y6W5_PF02205_434 <unknown description>
+SDARSDLLSAIRQGFQLRRVEEQREQ
+>Q9UPY6_PF02205_438 <unknown description>
+SDARSDLLAAIRMGIQLKKVQEQREQ
+>A8K0Z3_PF11945_13 <unknown description>
+QTYAVPFIQPDLRREEAVQQMADALQYLQKVSGDIFSRISQQVEQSRSQVQAIGEKVSLA
+QAKIEKIKGSKKAIKVFSSAKYPAPGRLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDE
+RALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPL
+AGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIHVPSYLPD
+LPGIANDLMYSADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDLQ
+>O00401_PF00568_32 <unknown description>
+TFLGKKCVTMSSAVVQLYAADRNCMWSKKCSGVACLVKDNPQRSYFLRIFDIKDGKLLWE
+QELYNNFVYNSPRGYFHTFAGDTCQVALNFANEEEAKKFRKAVTD
+>O00401_PF00786_202 <unknown description>
+DIGTPSNFQHIGHVGWDPNTGFDLNNLDPELKNLFDMCGISEAQLKDRETSKVIYDFIE
+>O00401_PF02205_404 <unknown description>
+GNKAALLDQIREGAQLKKVEQNSR
+>O00401_PF02205_431 <unknown description>
+CSGRDALLDQIRQGIQLKSVA
+>P42768_PF00568_36 <unknown description>
+FEMLGRKCLTLATAVVQLYLALPPGAEHWTKEHCGAVCFVKDNPQKSYFIRLYGLQAGRL
+LWEQELYSQLVYSTPTPFFHTFAGDDCQAGLNFADEDEAQAFRALVQEK
+>P42768_PF00786_237 <unknown description>
+DIGAPSGFKHVSHVGWDPQNGFDVNNLDPDLRSLFSRAGISEAQLTDAETSKLIYDFI
+>P42768_PF02205_427 <unknown description>
+PGGGRGALLDQIRQGIQLNKTPGAPESS
+>Q9Y2W2_PF09429_12 <unknown description>
+GKFMNPTDQARKEARKRELKKNKKQRMMVRAAVLKMKDPKQIIRDMEKLDEMEFNPVQQP
+QLNEKVLKDKRKKLRETFERI
+>Q9NX94_PF11669_43 <unknown description>
+YICDTGHCCGQSQCCNYYYELWWFWLVWTIIIILSCCCVCHHRRAKHRLQAQQRQHEINL
+IAYREAHNYSALPFYFRFLPNYLLPPYEEVVNRPPTPPPPYS
+>Q96G27_PF11669_36 <unknown description>
+YLCESGHCCGETGCCTYYYELWWFWLLWTVLILFSCCCAFRHRRAKLRLQQQQRQREINL
+LAYHGACHGAGPFPTGSLLDLRFLSTFKPPAYEDVVHRPGTPPPPYT
+>Q6ICG8_PF02893_17 <unknown description>
+PNGESLLKRSPNVELSFPQRSEGSNVFSGRKTGTLFLTSYRVIFITSCSISDPMLSFMMP
+FDLMTNLTVEQPVFAANFIKGTIQAAPYGGWEGQATFKLVFRNGDAIEFAQLMVKAAS
+>Q969T9_PF02893_38 <unknown description>
+VPEAFKGTKKGTVYLTPYRVIFLSKGKDAMQSFMMPFYLMKDCEIKQPVFGANYIKGTVK
+AEAGGGWEGSASYKLTFTAGGAIEFGQRMLQVA
+>O75554_PF06220_8 <unknown description>
+QPKKFCDYCKCWIADNRPSVEFHERGKNHKENVAKR
+>O75554_PF00397_127 <unknown description>
+RWVEGITSEGYHYYYDLISGASQWEKP
+>O75554_PF00397_169 <unknown description>
+WVEGLSEDGFTYYYNTETGESRWEKP
+>Q9H6R7_PF15390_2 <unknown description>
+ELGKGKLLRTGLNALHQAVHPIHGLAWTDGNQVVLTDLRLHSGEVKFGDSKVIGQFECVC
+GLSWAPPVADDTPVLLAVQHEKHVTVWQLCPSPMESSKWLTSQTCEIRGSLPILPQGCVW
+HPKCAILTVLTAQDVSIFPNVHSDDSQVKADINTQGRIHCACWTQDGLRLVVAVGSSLHS
+YIWDSAQKTLHRCSSCLVFDVDSHVCSITATVDSQVAIATELPLDKICGLNASETFNIPP
+NSKDMTPYALPVIGEVRSMDKEATDSETNSEVSVSSSYLEPLDLTHIHFNQHKSEGNSLI
+CLRKKDYLTGTGQDSSHLVLVTFKKAVTMTRKVTIPGILVPDLIAFNLKAHVVAVASNTC
+NIILIYSVIPSSVPNIQQIRLENTERPKGICFLTDQLLLILVGKQKLTDTTFLPSSKSDQ
+YAISLIVREIMLEEEPSITSGESQTTYSTFSAPLNKANRKKLIESLSPDFCHQNKGLLLT
+VNTSSQNGRPGRTLIKEIQSPLSSICDGSIALDAEPVTQPASLPRHSSTPDHTSTLEPPR
+LPQRKNLQSEKETYQLSKEVEILSRNLVEMQRCLSELTNRLHNGKKSSSVYPLSQDLPYV
+HIIYQKPYYLGPVVEKRAVLLCDGKLRLSTVQQTFGLSLIEMLHDSHWILLSADSEGFIP
+LTFTATQEIII
+>Q8IWB7_PF00400_17 <unknown description>
+LSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVW
+>Q8IWB7_PF00400_192 <unknown description>
+ITTLKGHEGSVACLWWDPIQRLLFSGASDNSIIMWD
+>Q8IWB7_PF00400_238 <unknown description>
+LQGHHDKVQSLCYLQLTRQLVSCSSDGGIAVWN
+>Q8IWB7_PF01363_283 <unknown description>
+ESDSCQKCEQPFFWNIKQMWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVR
+VCDSCYDSIK
+>Q8IWB7_PF00400_376 <unknown description>
+IARGLMVTCGTDRIVKIWD
+>Q96P53_PF00400_191 <unknown description>
+LVTTFRGHTGGVTALCWDPVQRVLFSGSSDHSVIMWD
+>Q96P53_PF00400_237 <unknown description>
+ELQGHNDRVQALSYAQHTRQLISCGGDGGIVVWN
+>Q96P53_PF01363_284 <unknown description>
+SDSCQKCDQPFFWNFKQMWDSKKIGLRQHHCRKCGKAVCGKCSSKRSSIPLMGFEFEVRV
+CDSCHEAI
+>Q96P53_PF00400_363 <unknown description>
+HDSKHNIVHVHFDATRGWLLTSGTDKVIKLWD
+>Q8IZQ1_PF14844_2601 <unknown description>
+QLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVYQR
+>Q8IZQ1_PF02138_2696 <unknown description>
+QRWERGEISNFQYLMHLNTLAGRSYNDLMQYPVFPWILADYDSEEVDLTNPKTFRNLAKP
+MGAQTDERLAQYKKRYKDWEDPNGETPAYHYGTHYSSAMIVASYLVRMEPFTQIFLRLQG
+GHFDLADRMFHSVREAWYSASKHNMADVKELIPEFFYLPEFLFNSNNFDLGCKQNGTKLG
+DVILPPWAKGDPREFIRVHREALECDYVSAHLHEWIDLIFGYKQQGPAAVEAVNVFHHLF
+YEGQVDIYNINDPLKETATIGFINNFGQIPKQLFKKPHPPK
+>Q8IZQ1_PF01363_3450 <unknown description>
+HWVKDEGGDSCSGCSVRFSLTERRHHCRNCGQLFCQKCSRFQSEIKRLKISSPVRVCQNC
+YYNLQ
+>Q6ZS81_PF14844_2453 <unknown description>
+RSTDHYSCQCHSYADMRELRQARFLLQDIALEIFFHNGYSKFLVFYNNDRSKAFKS
+>Q6ZS81_PF02138_2540 <unknown description>
+QKWQKRDISNFEYLMYLNTAAGRTCNDYMQYPVFPWVLADYTSETLNLANPKIFRDLSKP
+MGAQTKERKLKFIQRFKEVEKTEGDMTVQCHYYTHYSSAIIVASYLVRMPPFTQAFCALQ
+GGSFDVADRMFHSVKSTWESASRENMSDVRELTPEFFYLPEFLTNCNGVEFGCMQDGTVL
+GDVQLPPWADGDPRKFISLHRKALESDFVSANLHHWIDLIFGYKQQGPAAVDAVNIFHPY
+FYGDRMDLSSITDPLIKSTILGFVSNFGQVPKQLFTKPHPAR
+>Q6ZS81_PF00400_2978 <unknown description>
+LYGHTQAVTCLAASVTFSLLVSGSQDCTCILWD
+>Q6ZS81_PF00400_3055 <unknown description>
+QPLASITTAWGPEGAITCCCLMEGPAWDTSQIIITGSQDGMVRVWK
+>O75717_PF00400_12 <unknown description>
+HTEGHTEVCFDDSGSFIVTCGSDGDVRIW
+>O75717_PF00400_128 <unknown description>
+QQKTFRGHDAPVLSLSFDPKDIFLASASCDGSVRVW
+>O75717_PF12894_194 <unknown description>
+WQPKSGKLLAIPVEKSVKLYRRESWSHQFDLSDNFISQTLNIVTWSPCGQYLAAGSINGL
+IIVWNVETKDCMERVKHEKGYAICGLAWH
+>O75717_PF12341_431 <unknown description>
+KPFQSGSTPLHLTHRFMVWNSIGIIRCYNDEQDNAIDVEFHDTSIHHATHLSNTLNYTIA
+DLSHEAILLACESTDELASKLHCLHFSSWDSSKEWIIDLPQNEDIEAICLGQGWAAAATS
+ALLLRLFTIGGVQKEVFSLAGPVVSMAGHGEQLFIVYHRGTGFDGDQCLGVQLLELGKKK
+KQILHGDPLPLTRKSYLAWIGFSAEGTPCYVDSEGIVRMLNRGLGNTWTPICNTREHCKG
+KSDHYWVVGIHENPQQLRCIPCKGSRFPPTLPRPAVAILSFKLP
+>Q9GZL7_PF08154_5 <unknown description>
+QTRFYTDNKKYAVDDVPFSIPAASEIADLSNIINKLLKDKNEFHKHVEFDFLIKGQFLRM
+PLDKHM
+>Q9GZL7_PF00400_131 <unknown description>
+KSIMTIVGHTDVVKDVAWVKKDSLSCLLLSASMDQTILLW
+>Q9GZL7_PF00400_181 <unknown description>
+ALHCCRGHAGSVDSIAVDGSGTKFCSGSWDKMLKIWS
+>Q9GZL7_PF00400_249 <unknown description>
+PIVTLSGHMEAVSSVLWSDAEEICSASWDHTIRVWD
+>Q9GZL7_PF00400_290 <unknown description>
+LKSTLTGNKVFNCISYSPLCKRLASGSTDRHIRLWD
+>Q9GZL7_PF00400_337 <unknown description>
+SLTSHTGWVTSVKWSPTHEQQLISGSLDNIVKLWD
+>Q9H1Z4_PF00400_210 <unknown description>
+LRVLRGHTRGVSDFAWSLSNDILVSTSLDATMRIW
+>Q9H1Z4_PF00400_447 <unknown description>
+NKLQGHSAPVLDVSFNCDESLLASSDASGMVIVW
+>Q8IZU2_PF00400_55 <unknown description>
+MSEHKKTITAISWCPHNPDLFASGSTDNLVIIWN
+>Q8IZU2_PF12894_383 <unknown description>
+NLLATASFDGTIKVWDINTLTAVYTSPGNEGVIYSLSWAPGGLNCIAGGTSRNGAFIWNV
+QKGKIIQRFNEHGTNGIFCIAWSH
+>Q8IZU2_PF00400_533 <unknown description>
+QPLKVFSGHTAKVFHVKWSPLREGILCSGSDDGTVRIWD
+>Q8IZU2_PF00400_577 <unknown description>
+CINILNGHTAPVRGLMWNTEIPYLLISGSWDYTIKVWD
+>Q8IZU2_PF00400_618 <unknown description>
+GTCVDTVYDHGADVYGLTCHPSRPFTMASCSRDSTVRLWS
+>Q9BV38_PF00400_112 <unknown description>
+NLLVILSRHYQDVSCLQFTGDSSHFISGGKDCLVLVWS
+>Q9BV38_PF00400_165 <unknown description>
+RHVWSHHALPITDLHCGFGGPLARVATSSLDQTVKLW
+>Q9BV38_PF00400_263 <unknown description>
+KVFKGHRNQVTCLSVSTDGSVLLSGSHDETVRLWD
+>Q9BV38_PF14077_383 <unknown description>
+LCSTMEKSVLGGQDQLRVRVTELEDEVRNLRKINRDLFDFSTRFITRP
+>Q8NEZ3_PF15911_508 <unknown description>
+VNDYRHPVSVKKIFPDPNGTRLVFIDEKSDGFVYCPVNDATYEIPDFSPTIKGVLWE
+>O75083_PF00400_184 <unknown description>
+TIGDHSRFVNCVRFSPDGNRFATASADGQIYIYD
+>O75083_PF00400_231 <unknown description>
+KAHDGGIYAISWSPDSTHLLSASGDKTSKIWD
+>O75083_PF00400_311 <unknown description>
+KPLHVIKGHSKSIQCLTVHKNGGKSYIYSGSHDGHINYWD
+>O75083_PF00400_527 <unknown description>
+VFYGHHAKIVCLAWSPDNEHFASGGMDMMVYVW
+>Q8TBZ3_PF00400_223 <unknown description>
+LNEFAFSPDGKFLACVSQDGFLRVFN
+>Q8TBZ3_PF00400_265 <unknown description>
+LLCVCWSPDGKYIVTGGEDDLVTVWS
+>Q96S15_PF00400_198 <unknown description>
+RCERMFTAHNGPVFCCDWHPEDRGWLATGGRDKMVKVWD
+>Q64LD2_PF00400_237 <unknown description>
+KVLFHLRGHRGPVNTIQWCPVLSKSHMLLSTSMDKTFKVWN
+>Q64LD2_PF00400_465 <unknown description>
+RRYEGHKVEGYSVGCECSPGGDLLVTGSADGRVLMY
+>A2RRH5_PF00400_189 <unknown description>
+ELQGHLGPVTAVEFCPWRAGTLISASEDRGFKVWD
+>A2RRH5_PF00400_546 <unknown description>
+VCCIQYSGDGQWLACGLANHLLLVFD
+>A2RRH5_PF00400_580 <unknown description>
+VFSGHDGAVNAVCWSQDRRWLLSAARDGTLRMWS
+>A2RRH5_PF00400_774 <unknown description>
+RCERHFEGHPTRGYPCGIAFSPCGRFAACGAEDRHAYVY
+>Q8NA23_PF00400_94 <unknown description>
+NVVKRFKGHEHEITKVACIPKSSQFFSASRDRMVMMWD
+>Q8NA23_PF00400_138 <unknown description>
+PRQQLCGHAMVVTGLAVSPDSSQLCTGSRDNTLLLWD
+>Q8NA23_PF00400_191 <unknown description>
+VTHLCWVPREPYILQTSEDKTLRLWD
+>Q8NA23_PF00400_271 <unknown description>
+ICEYKGHFQTVASCVFLPRALALMPLIATSSHDCKVKIWN
+>Q9C0J8_PF00400_193 <unknown description>
+NNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWD
+>Q9C0J8_PF00400_238 <unknown description>
+RILRGHGADVKCVDWHPTKGLVVSGSKDS
+>Q9C0J8_PF00400_278 <unknown description>
+GQSLATLHAHKNTVMEVKLNLNGNWLLTASRDHLCKLFD
+>Q9C0J8_PF00400_323 <unknown description>
+ELQVFRGHKKEATAVAWHPVHEGLFASGGSDGSLLFW
+>Q9C0J8_PF00400_373 <unknown description>
+AHEGMIWSLAWHPLGHILCSGSNDHTSKFW
+>Q9P2L0_PF12894_121 <unknown description>
+MSWNADGQKICIVYEDGAVIVGSVDGNRIWGKDLKGIQLSHVTWSADSKVLLFGMANGEI
+HIYDNQGNFMI
+>Q9Y2I8_PF00400_147 <unknown description>
+QLVKEYIGHRDGIWDVSVAKTQPVVLGTASADHTALLWS
+>Q9Y2I8_PF00400_189 <unknown description>
+GKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHIW
+>Q9Y2I8_PF00400_314 <unknown description>
+ELVHSLTGHDQELTHCCTHPTQRLVVTSSRDTTFRLWD
+>Q9Y2I8_PF00400_360 <unknown description>
+VNVFQGHTDTVTSAVFTVGDNVVSGSDDRTVKVWD
+>Q5JTN6_PF00400_15 <unknown description>
+KFFGQHGGEVNSSAFSPDGQMLLTGSEDGCVYGW
+>Q5JTN6_PF00400_53 <unknown description>
+GQLLWRLGGHTGPVKFCRFSPDGHLFASASCDCTVRLWD
+>Q5JTN6_PF00400_96 <unknown description>
+KCLRVLKGHQRSVETVSFSPDSRQLASGGWDKRVMLWD
+>Q5JTN6_PF00400_138 <unknown description>
+QMLRLLVGHRDSIQSSDFSPTVNCLATGSWDSTVHIWD
+>Q5JTN6_PF00400_188 <unknown description>
+LEGHSANISCLCYSASGLLASGSWDKTIHIWK
+>Q5JTN6_PF00400_225 <unknown description>
+LLIQLKGHVTWVKSIAFSPDELWLASAGYSRMVKVWD
+>Q9UNX4_PF12894_61 <unknown description>
+LQGLKQEVTCLCPSPDGLHLAVGYEDGSIRIFSLLSGEGNVTFNGHKAAITTLKY
+>Q9UNX4_PF00400_142 <unknown description>
+LYRLKGHKDAITQALFLREKNLLVTSGKDTMVKWWD
+>Q9UNX4_PF00400_182 <unknown description>
+HCFKTMVGHRTEVWGLVLLSEEKRLITGASDSELRVWD
+>Q9UNX4_PF00400_410 <unknown description>
+TIGGHRSDVRTLSFSSDNIAVLSAAADSIKIWN
+>Q9UNX4_PF00400_487 <unknown description>
+NLLETIDAHDGALWSMSLSPDQRGFVTGGADKSVKFWD
+>Q9UNX4_PF00400_586 <unknown description>
+SLYGHKLPVICMDISHDGALIATGSADRNVKIW
+>Q9UNX4_PF00400_624 <unknown description>
+DCHKSLFAHDDSVMYLQFVPKSHLFFTAGKDHKIKQWD
+>Q9UNX4_PF00400_666 <unknown description>
+EHIQTLEGHHQEIWCLAVSPSGDYVVSSSHDKSLRLW
+>Q9UNX4_PF04003_806 <unknown description>
+AYVLEIFKGIKSSELEESLLVLPFSYVPDILKLFNEFIQLGSDVELICRCLFFLLRIHFG
+QITSNQMLVPVIEKLRETTISKVSQVRDVIGFNMAGLDYLK
+>Q9HAD4_PF00400_36 <unknown description>
+LLVLKAHHDIVRFLVQLDDYRFASAGDDGIVVVWN
+>Q9HAD4_PF00400_75 <unknown description>
+EKLLELNGHTQKITAIITFPSLESCEEKNQLILTASADRTVIVWD
+>Q9HAD4_PF00400_317 <unknown description>
+CQKTAHDSNVLHVARLPNRQLISCSEDGSVRIW
+>Q15061_PF00400_123 <unknown description>
+GGHDNRVNCIQWHQDSGCLYSCSDDKHIVEWN
+>Q15061_PF00400_164 <unknown description>
+WKGDNSSVSSLCISPDGKMLLSAGRTIKLW
+>Q15061_PF04003_473 <unknown description>
+NDFEMLNKVLQTRNVNLIKKTVLRMPLHTIIPLLQELTKRLQGHPNSAVLMVQWLKCVLT
+VHASYLSTLPDLVPQLGTLYQLMESRVKTFQKLSHLHGKLILLI
+>Q5JSH3_PF00400_509 <unknown description>
+EHMGAVWTMKFSHCGRLLASAGQDNVVRIW
+>Q5JSH3_PF00400_599 <unknown description>
+PFCKYKGHTADLLDLSWSKNYFLLSSSMDKTVRLW
+>Q5JSH3_PF00400_639 <unknown description>
+ECLCCFQHIDFVTAIAFHPRDDRYFLSGSLDGKLRLWN
+>Q5JSH3_PF00400_790 <unknown description>
+IKASFSHDFTYLVSGSEDKYVYIWS
+>O15213_PF08149_440 <unknown description>
+PYLTHRLSGPVHGLQFCPFEDVLGVGHTGGITSMLVPGAGEPNFDGLESNPYRSRKQRQE
+WEVKALLEKVPAELICLD
+>O94967_PF17814_13 <unknown description>
+EIIKLILDFLNSKKLHISMLALEKESGV
+>O94967_PF00400_659 <unknown description>
+HHKGSIYCVAWSPCGQLLATGSNDKYVKV
+>O94967_PF00400_745 <unknown description>
+GQGLHALSGHTGHILALYTWSGWMIASGSQDKTVRFWD
+>O94967_PF12894_787 <unknown description>
+SCVRVVGTTFHGTGSAVASVAVDPSGRLLATGQEDSSCMLYDIRGGRMVQSYHPHSSDVR
+SVR
+>Q8TAF3_PF00400_71 <unknown description>
+MEHHTDWVNDIVLCCNGKTLISASSDTTVKVWN
+>Q8TAF3_PF00400_109 <unknown description>
+CMSTLRTHKDYVKALAYAKDKELVASAGLDRQIFLWD
+>Q8TAF3_PF00400_161 <unknown description>
+TSSLSGNKDSIYSLAMNQLGTIIVSGSTEKVLRVWD
+>Q8TAF3_PF00400_203 <unknown description>
+LMKLKGHTDNVKALLLNRDGTQCLSGSSDGTIRLWS
+>Q8TAF3_PF11816_509 <unknown description>
+VPPHTPVIFGEAGGRTLFRLLCRDSGGETESMLLNETVPQWVIDITVDKNMPKFNKIPFY
+LQPHASSGAKTLKKDRLSASDMLQVRKVMEHVYEKIINLDNESQTTSSSNNEKPGEQEKE
+EDIAVLAEEKIELLCQDQVLDPNMDLRTVKHFIWKSGGDLTLHYR
+>P57081_PF00400_186 <unknown description>
+GHTEFVSRISVVPTQPGLLLSSSGDGTLRLW
+>Q7Z5U6_PF12894_93 <unknown description>
+NEEEINCLSLNQTENLLASADDSGAIKILDLENKKVIRSLKRHSN
+>Q7Z5U6_PF00400_237 <unknown description>
+FKGHTSGVSQVCFLPESYLLLTGGNDGKITLWD
+>Q9H6Y2_PF12894_45 <unknown description>
+AFHPARDLLAAGDVDGDVFVFSYSCQEGETKELWSSGHHLKACRAVAFSEDGQKLITVSK
+DKAIHVLDVEQGQLERRVSKAHGAPI
+>Q9H6Y2_PF00400_288 <unknown description>
+GSVGQHTGEPVEELALSHCGRFLASSGHDQRLKFWD
+>Q6PJI9_PF00400_98 <unknown description>
+GTTLQGHTRVISDLDWAVFEPDLLVTSSVDTYIYIWD
+>Q6PJI9_PF00400_188 <unknown description>
+AAHLSKIHGLDWHPDSEHILATSSQDNSVKFWD
+>Q6PJI9_PF17120_922 <unknown description>
+FQCAICHVAVRGSSNFCLTCGHGGHTSHMMEWFRTQEVCPTGCGCHCLLE
+>Q86VZ2_PF00400_36 <unknown description>
+TLVGHTEAVSSVKFSPNGEWLASSSADRLIIIW
+>Q86VZ2_PF00400_74 <unknown description>
+KYEKTLYGHNLEISDVAWSSDSSRLVSASDDKTLKLWD
+>Q86VZ2_PF00400_115 <unknown description>
+GKCLKTLKGHSNYVFCCNFNPPSNLIISGSFDETVKIW
+>Q86VZ2_PF00400_157 <unknown description>
+GKCLKTLSAHSDPVSAVHFNCSGSLIVSGSYDGLCRIWD
+>Q86VZ2_PF00400_199 <unknown description>
+GQCLKTLVDDDNPPVSFVKFSPNGKYILTATLDNTLKLWD
+>Q86VZ2_PF00400_242 <unknown description>
+GRCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWN
+>Q86VZ2_PF00400_289 <unknown description>
+IVQKLQGHTDVVISAACHPTENLIASAALENDKTIKLW
+>P61964_PF00400_39 <unknown description>
+FTLAGHTKAVSSVKFSPNGEWLASSSADKLIKIW
+>P61964_PF00400_79 <unknown description>
+FEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWD
+>P61964_PF00400_119 <unknown description>
+GKCLKTLKGHSNYVFCCNFNPQSNLIVSGSFDESVRIWD
+>P61964_PF00400_161 <unknown description>
+GKCLKTLPAHSDPVSAVHFNRDGSLIVSSSYDGLCRIWD
+>P61964_PF00400_203 <unknown description>
+GQCLKTLIDDDNPPVSFVKFSPNGKYILAATLDNTLKLWD
+>P61964_PF00400_246 <unknown description>
+GKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWN
+>P61964_PF00400_293 <unknown description>
+IVQKLQGHTDVVISTACHPTENIIASAALENDKTIKLWK
+>O43379_PF00400_102 <unknown description>
+KQQHIFNTARKSLSALAFSPDGKYIVTGENGHRPAVRIWD
+>O43379_PF00400_364 <unknown description>
+ALTFDPIHQWLSCVYKDHSIYIWD
+>O43379_PF00400_422 <unknown description>
+CLPSGSFLTCSSDNTIRFWN
+>O43379_PF12894_643 <unknown description>
+VAVACQDRNVRVYNTVNGKQKKCYKGSQGDEGSLLKVHVDPSGTFLATSCSDKSISVIDF
+YSGECIAKMFGHSEIITSMKFT
+>Q9NNW5_PF00400_204 <unknown description>
+RISGHVGIIFSMSYLESKGLLATASEDRSVRIWK
+>Q9NNW5_PF00400_288 <unknown description>
+EILQAFRGHQGRGIRAIAAHERQAWVITGGDDSGIRLW
+>Q9NW82_PF00400_180 <unknown description>
+HGTKTVSALGLDPSGARLVTGGYDYDVKFWD
+>Q9NW82_PF00400_334 <unknown description>
+IPTTCTYSRDGNLIAAACQNGSIQIWD
+>Q9NW82_PF00400_371 <unknown description>
+YKQAHDSGTDTSCVTFSYDGNVLASRGGDDSLKLWD
+>Q3MJ13_PF00400_455 <unknown description>
+KVLKGHHQSVTSLLYPHGLSSKLDQSWMLSGDLDSCVILWD
+>Q3MJ13_PF00400_548 <unknown description>
+SCLLHARKHLFPVRMIKWHPVENFLIVGCADDSVYIW
+>Q6RFH5_PF00400_264 <unknown description>
+CLKGLAGSVRGLQCHPSKPLLASCGLDRVLRI
+>Q8IWA0_PF00400_48 <unknown description>
+ECVHILHGHRNLVTGIQLNPNNHLQLYSCSLDGTIKLWD
+>Q8IWA0_PF00400_201 <unknown description>
+HAKNNFTCVACHPTEDCIASGHMDGKIRLW
+>Q9Y4E6_PF00400_19 <unknown description>
+THCISAVLLTDDGATIVTGCHDGQICLWD
+>Q9Y4E6_PF00400_62 <unknown description>
+GHTASITCLSKACASSDKQYIVSASESGEMCLWD
+>Q9Y4E6_PF00400_457 <unknown description>
+HRTLRGHRNKVTCLLYPHQVSARYDQRYLISGGVDFSVIIWD
+>Q9Y4E6_PF00400_559 <unknown description>
+HLFPIQVIKWRPSDDYLVVGCSDGSVYVW
+>Q9Y4E6_PF00400_1386 <unknown description>
+KCQTIHGHKGPITAVAFAPDGRYLATYSNTDSHISFW
+>Q562E7_PF02138_351 <unknown description>
+DWVHGRISNFHYLMQLNRLAGRRQGDPNYHPVLPWVVDFTTPHGRFRDLRKSKFRLNKGD
+KQLDFTYEMTRQAFVAGGAGGGEPPHVPHHISDVLSDITYYVYKARRTPRSVLCGHVRAQ
+WEPHEYPASMERMQNWTPDECIPEFYTDPSIFRSIHPDMPDLDVPAWCSSSQEFVAAHRA
+LLESREVSRDLHHWIDLTFGYKLQGKEAVKEKNVCLHLVDA
+>Q562E7_PF00400_1641 <unknown description>
+LQSFPGHSGAVKCVAPLSSEDFFLSGSKDRTVRLW
+>Q6UXN9_PF00400_16 <unknown description>
+VFRENSDKINCFDFSPNGETVISSSDDDSIVLYD
+>Q6UXN9_PF00400_100 <unknown description>
+IRYFPGHSKRVVALSMSPVDDTFISGSLDKTIRLWD
+>Q6UXN9_PF00400_229 <unknown description>
+MHTFGGYANSKAVTLEASFTPDSQFIMIGSEDGKIHVWN
+>Q9BRX9_PF00400_18 <unknown description>
+LKTLDCGQGAVRAVRFNVDGNYCLTCGSDKTLKLWN
+>Q9BRX9_PF00400_58 <unknown description>
+TLLRTYSGHGYEVLDAAGSFDNSSLCSGGGDKAVVLWD
+>Q9BRX9_PF00400_99 <unknown description>
+GQVVRKFRGHAGKVNTVQFNEEATVILSGSIDSSIRCWD
+>Q9BRX9_PF00400_223 <unknown description>
+GELLGEYKGHKNQEYKLDCCLSERDTHVVSCSEDGKVFFWD
+>Q86TI4_PF00400_7 <unknown description>
+ALRVCADHRGGINWLSLSPDGQRLLTGSEDGTARLWS
+>Q86TI4_PF00400_47 <unknown description>
+GQCCALLQGHESYVTFCQLEDEAAFTCSADCTIRRWD
+>Q86TI4_PF00400_87 <unknown description>
+GQCLQVYRGHTSIVNRILVANNQLFSSSYDRTARVWS
+>Q86TI4_PF00400_128 <unknown description>
+QMSREFRGHRNCVLTLAYSAPWDLPSTPCAEEAAAGGLLVTGSTDGTAKVW
+>Q86TI4_PF00400_184 <unknown description>
+CCHQTLRGHTGAVLCLVLDTPGHTAFTGSTDATIRAWD
+>Q86TI4_PF00400_225 <unknown description>
+GEQLRVFREHRGSVICLELVNRLVYSGSADRTVKCW
+>Q86TI4_PF00400_306 <unknown description>
+ELRRVFRGHTFIINCIQVHGQVLYTASHDGALRLWD
+>Q6ZMY6_PF00400_95 <unknown description>
+FKILSGHEHAVSTCHFCVDDTKLLSGSYDCTVKLWD
+>Q6ZMY6_PF01011_160 <unknown description>
+VIAASYDKTVRAWDLETGKLLWKVRYDTFIV
+>Q6ZMY6_PF00400_224 <unknown description>
+VIKDHHTRSITSCCFDPDSQRVASVSLDRCIKIWD
+>Q6ZMY6_PF00400_266 <unknown description>
+LTITKAHSNAISNCCFTFSGHFLCTSSWDKNLKIWN
+>Q6ZMY6_PF00400_312 <unknown description>
+ACVTLMQGHEGSVSSCHFARDSSFLISGGFDRTVAIWD
+>Q6ZMY6_PF00400_358 <unknown description>
+SLKGHNDWVMDVAISNNKKWILSASKDRTMRLWN
+>Q96FK6_PF00400_62 <unknown description>
+VLREFSGYPGLLNGVRFANSCDSVYSACTDGTVKCWD
+>Q96FK6_PF00400_168 <unknown description>
+THSDDVTQVRFHPSNPNMVVSGSSDGLVNVFD
+>Q96FK6_PF00400_315 <unknown description>
+SLQGGHAATVRSFCWNVQDDSLLTGGEDAQLLLWK
+>Q96KV7_PF05018_2 <unknown description>
+ARAWQHPFLNVFRHFRVDEWKRSAKQGDVAVVTDKTLKGAVYRIRGSVSAANYIQLPKSS
+TQSLGLTGRYLYVLFRPLPSKHFVIHLDVSSKDNQVIRVSFSNLFKEFKSTATWLQFPLV
+LEARTPQRDLVGLAPSGARWTCLQLDLQDVLLVYLNRCYGHLKSIRLCASLLVRNLYTSD
+LCFE
+>Q96KV7_PF00400_966 <unknown description>
+VYIGHSEPVQAVAFSPDQQQVLSAGD
+>Q96KV7_PF00400_1429 <unknown description>
+RLISGHRSKVNEVVFSPGESHCATCSEDGSVRVW
+>A4D1P6_PF00400_565 <unknown description>
+INCTAFNHNGNLLVTGAADGVIRLFD
+>A6NE52_PF00400_325 <unknown description>
+IRMVFVGHTGPVTAMTVLPNTTLVLSASQDGTLRTWD
+>A6NE52_PF00400_689 <unknown description>
+TDHITGLCCCPTLKLYACSSLDCTVRIW
+>Q8N5D0_PF00400_42 <unknown description>
+ELQGHSGCVNCLEWNEKGDLLASGSDDQHTIVWD
+>Q8N5D0_PF00400_529 <unknown description>
+RYCGHCNTTTDIKEANFFGSNAQYIVSGSDDGSFFIW
+>Q8N5D0_PF00400_571 <unknown description>
+NLVRVLQGDESIVNCLQPHPSYCFLATSGIDPVVRLWN
+>P30291_PF00069_299 <unknown description>
+FHELEKIGSGEFGSVFKCVKRLDGCIYAIKRSKKPLAGSVDEQNALREVYAHAVLGQHSH
+VVRYFSAWAEDDHMLIQNEYCNGGSLADAISENYRIMSYFKEAELKDLLLQVGRGLRYIH
+SMSLVHMDIKPSNIFISRTSIPNAASEEGDEDDWASNKVMFKIGDLGHVTRISSPQVEEG
+DSRFLANEVLQENYTHLPKADIFALALTVVCAAGAEPLPRNGDQWHEIRQGRLPRIPQVL
+SQEFTELLKVMIHPDPERRPSAMALVKHSV
+>P0C1S8_PF00069_214 <unknown description>
+EVEKIGVGEFGTVYKCIKRLDGCVYAIKRSMKTFTELSNENSALHEVYAHAVLGHHPHVV
+RYYSSWAEDDHMIIQNEYCNGGSLQAAISENTKSGNHFEEPKLKDILLQISLGLNYIHNS
+SMVHLDIKPSNIFICHKMQSESSGVIEEVENEADWFLSANVMYKIGDLGHATSINKPKVE
+EGDSRFLANEILQEDYRHLPKADIFALGLTIAVAAGAESLPTNGAAWHHIRKGNFPDVPQ
+ELSESFSSLLKNMIQPDAEQRPSAAALARN
+>Q9H1F0_PF00095_47 <unknown description>
+LYLCKHLCESHRDCQANNICCSTYCGNVCMS
+>Q8WWY7_PF00095_30 <unknown description>
+KAGVCPADNVRCFKSDPPQCHTDQDCLGERKCCYLHCGFKCVIP
+>Q9HC57_PF00095_64 <unknown description>
+DRCPPPPRTLPPGACQAARCQADSECPRHRRCCYNGCAYACLE
+>Q14508_PF00095_32 <unknown description>
+KTGVCPELQADQNCTQECVSDSECADNLKCCSAGCATFCSLP
+>Q14508_PF00095_76 <unknown description>
+KEGSCPQVNINFPQLGLCRDQCQVDSQCPGQMKCCRNGCGKVSCVTP
+>Q8IUB2_PF00095_29 <unknown description>
+KEGECPPHKNPCKELCQGDELCPAEQKCCTTGCGRICRD
+>Q8IUB2_PF00095_74 <unknown description>
+RDCPRVIRKQSCLKRCITDETCPGVKKCCTLGCNKSCVVP
+>Q8IUB2_PF00095_124 <unknown description>
+GECPADPLPCEELCDGDASCPQGHKCCSTGCGRTCL
+>Q8IUB2_PF00095_168 <unknown description>
+GDCPKVLVGLCIVGCVMDENCQAGEKCCKSGCGRFCVPP
+>Q8TCV5_PF00095_30 <unknown description>
+KSGGCPPDDGPCLLSVPDQCVEDSQCPLTRKCCYRACFRQCVP
+>Q8TCV5_PF00095_77 <unknown description>
+KLGSCPEDQLRCLSPMNHLCHKDSDCSGKKRCCHSACGRDCRDP
+>Q9BQY6_PF00095_33 <unknown description>
+CPKIKVECEVEEIDQCTKPRDCPENMKCCPFSRGKKCLD
+>Q8IUA0_PF00095_47 <unknown description>
+KPGLCPKERLTCTTELPDSCNTDFDCKEYQKCCFFACQKKCMDP
+>Q8IUA0_PF00014_95 <unknown description>
+CMLPVRHGNCNHEAQRWHFDFKNYRCTPFKYRGCEGNANNFLNEDACRTACM
+>Q8IUA0_PF00095_150 <unknown description>
+KDGQCPLFPFTERKECPPSCHSDIDCPQTDKCCESRCGFVCA
+>Q8IUA0_PF00095_197 <unknown description>
+KKGFCPRKPLLCTKIDKPKCLQDEECPLVEKCCSHCGLKCMDP
+>Q96NZ8_PF00095_29 <unknown description>
+HPGVCPNQLSPNLWVDAQSTCERECSRDQDCAAAEKCCINVCGLHSCVA
+>Q96NZ8_PF13927_185 <unknown description>
+PPALYSSPSPQAVQVGGTASLHCDVSGRPPPAVTWEKQSHQRENLIMRPDQMYGNVVVTS
+IGQLVLYNARPEDAGLYTCTARN
+>Q96NZ8_PF00014_306 <unknown description>
+CTGPTSPHLVLWHYDPQRGGCMTFPARGCDGAARGFETYEACQQAC
+>Q96NZ8_PF00014_358 <unknown description>
+ACVLPAVQGPCRGWEPRWAYSPLLQQCHPFVYGGCEGNGNNFHSRESCEDAC
+>Q8TEU8_PF00095_42 <unknown description>
+HAGICPNDMNPNLWVDAQSTCRRECETDQECETYEKCCPNVCGTKSCVA
+>Q8TEU8_PF07679_213 <unknown description>
+LNNPVHQSVTMGETVSFLCDVVGRPRPEITWEKQLEDRENVVMRPNHVRGNVVVTNIAQL
+VIYNAQLQDAGIYTCTARNVAGVLRADFPLSV
+>Q8TEU8_PF00014_328 <unknown description>
+CLKPPDSEDCGEEQTRWHFDAQANNCLTFTFGHCHRNLNHFETYEACMLACM
+>Q8TEU8_PF00014_385 <unknown description>
+ACSLPALQGPCKAYAPRWAYNSQTGQCQSFVYGGCEGNGNNFESREACEESC
+>Q8TEU8_PF01759_457 <unknown description>
+SFCRSDFVILGRVSELTEEPDSGRALVTVDEVLKDEKMGLKFLGQEPLEVTLLHVDWACP
+CPNVTVSEMPLIIMGEVDGGMAMLRPDSFVGASSARRVRKLRE
+>O76024_PF20023_99 <unknown description>
+DPKAQTEVGKHYLQLAGDTDEELNSCTAVDWLVLA
+>O76024_PF20023_137 <unknown description>
+GRREAVKLLRRCLADRRGITSENEREVRQLSSETDLERA
+>O76024_PF19914_176 <unknown description>
+VRKAALVMYWKLNPKKKKQVAVAELLENVGQVNEHDGGAQPGPVPKSLQKQRRMLERLVS
+SESKNYIALDDFVEITKKYA
+>O76024_PF20053_666 <unknown description>
+WQQYGALCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTDIDNSAESAINMLPF
+FIGDWMRCLYGEAYPACSPGNTSTAEEELCRLKLLAKHPCHIKK
+>O76024_PF19913_770 <unknown description>
+FDRYKFEITVGMPFSSGADGSRSREEDDVTKDIVLRASSEFKSVLLSLRQGSLIEFSTIL
+EGRLGSKWPVFELKAISCLNCMAQLSPTRRHVKIEHDWRSTVHGAVKFAFDFFFFPFLSA
+>Q8TF30_PF15920_5 <unknown description>
+QPDSLEGWVPVREGLFAEPERHRLRFLVAWNGAEGKFAVTCHDRTAQQRR
+>Q8TF30_PF15871_78 <unknown description>
+WAGLLSAAGLRGAHRQLAALWPPLERCFPRLPPELDVGGGGAWGLGLGLWALLWPTRAGP
+GEAALQELCGQLERYLGAAADGCGGATVRDALFPAEGGAADCESPREFRERALRARWVEA
+DARLRQVIQGHGKANTMVALMNVYQEEDEAYQELVTVATMFFQYLLQPFRAMREVATLCK
+LDILKSLDEDDLGPRRVVALEKEAEEWTRRAEEAVVSIQDITVNYFKETVKALAGMQKEM
+EQDAKRFGQAAWATAIPRLEKLQLMLARETLQLMRAKELCLNHKRAEIQGKMEDLPEQEK
+NTNVVDELEIQFYEIQLELYEVKFEILKNEEILLTTQLDSLKRLIKEKQDEVVYYDPCEN
+PEELK
+>Q9P202_PF00595_142 <unknown description>
+SLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEKEGLRVGDQILRVNDKSLARVTH
+AEAVKALKGSKKLVL
+>Q9P202_PF00595_286 <unknown description>
+DGRSLGLTIRGGAEYGLGIYITGVDPGSEAEGSGLKVGDQILEVNGRSFLNILHDEAVRL
+LKSSRHLILTV
+>Q9P202_PF00595_818 <unknown description>
+RVKKSAATLGIAIEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGHVILEVNGLTLRGKEH
+REAARIIAE
+>Q9Y5W5_PF02019_38 <unknown description>
+LWIDAHQARVLIGFEEDILIVSEGKMAPFTHDFRKAQQRMPAIPVNIHSMNFTWQAAGQA
+EYFYEFLSLRSLDKGIMADPTVNVPLLGTVPHKASVVQVGFPCLGKQDGVAAFEVDVIVM
+NSEGNTILQTPQNAI
+>Q9Y5W5_PF12661_218 <unknown description>
+CMNGGLCVTPGFCICPPGF
+>Q9Y5W5_PF12661_250 <unknown description>
+CFNGGTCFYPGKCICPPG
+>O43516_PF02205_31 <unknown description>
+AGRNALLSDISKGKKLKKTVTNDRS
+>Q8TF74_PF02205_36 <unknown description>
+GRGALLQDICKGTKLKKVTNINDRS
+>A6NGB9_PF02205_43 <unknown description>
+PKGRSALLADIQQGTRLRKVTQINDRS
+>Q9Y484_PF00400_240 <unknown description>
+LYCINFSHDSSFLCASSDKGTVHIF
+>Q5T9L3_PF06664_178 <unknown description>
+ECDVLPFMEIGSVAHKFYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAM
+KTFLTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWML
+LFGDIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCER
+GVQLTNPFYSIWTTDIGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAM
+SKVRRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVTEGHWKWGGVTVQVNSAFFTGI
+YGMWNLYVFALMFLYAPSH
+>Q9GZT5_PF00110_64 <unknown description>
+LPGLSRRQMEVCVRHPDVAASAIQGIQIAIHECQHQFRDQRWNCSSLETRNKIPYESPIF
+SRGFRESAFAYAIAAAGVVHAVSNACALGKLKACGCDASRRGDEEAFRRKLHRLQLDALQ
+RGKGLSHGVPEHPALPTASPGLQDSWEWGGCSPDMGFGERFSKDFLDSREPHRDIHARMR
+LHNNRVGRQAVMENMRRKCKCHGTSGSCQLKTCWQVTPEFRTVGALLRSRFHRATLIRPH
+NRNGGQLEPGPAGAPSPAPGAPGPRRRASPADLVYFEKSPDFCEREPRLDSAGTVGRLCN
+KSSAGSDGCGSMCCGRGHNILRQTRSERCHCRFHWCCFVVCEECRITEWVSVCK
+>O00744_PF00110_51 <unknown description>
+LSGLSKRQLGLCLRNPDVTASALQGLHIAVHECQHQLRDQRWNCSALEGGGRLPHHSAIL
+KRGFRESAFSFSMLAAGVMHAVATACSLGKLVSCGCGWKGSGEQDRLRAKLLQLQALSRG
+KSFPHSLPSPGPGSSPSPGPQDTWEWGGCNHDMDFGEKFSRDFLDSREAPRDIQARMRIH
+NNRVGRQVVTENLKRKCKCHGTSGSCQFKTCWRAAPEFRAVGAALRERLGRAIFIDTHNR
+NSGAFQPRLRPRRLSGELVYFEKSPDFCERDPTMGSPGTRGRACNKTSRLLDGCGSLCCG
+RGHNVLRQTRVERCHCRFHWCCYVLCDECKVTEWVNVCK
+>Q9H4A3_PF00069_226 <unknown description>
+EIGRGSFKTVYKGLDTETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYD
+SWESTVKGKKCIVLVTELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPI
+IHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDV
+YAFGMCMLEMATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKD
+ERYSIKDLLNHAFF
+>Q9H4A3_PF12202_500 <unknown description>
+IKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEMVESGYVCEGDHKTMAKAIK
+DRV
+>Q96J92_PF00069_179 <unknown description>
+EIGRGSFKTVYRGLDTDTTVEVAWCELQTRKLSRAERQRFSEEVEMLKGLQHPNIVRFYD
+SWKSVLRGQVCIVLVTELMTSGTLKTYLRRFREMKPRVLQRWSRQILRGLHFLHSRVPPI
+LHRDLKCDNVFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDEAVDV
+YAFGMCMLEMATSEYPYSECQNAAQIYRKVTSGRKPNSFHKVKIPEVKEIIEGCIRTDKN
+ERFTIQDLLAHAFF
+>Q96J92_PF12202_453 <unknown description>
+LKLWLRMEDARRGGRPRDNQAIEFLFQLGRDAAEEVAQEMVALGLVCEADYQPVARAVRE
+RVA
+>O96014_PF00110_48 <unknown description>
+LEGLVSAQVQLCRSNLELMHTVVHAAREVMKACRRAFADMRWNCSSIELAPNYLLDLERG
+TRESAFVYALSAAAISHAIARACTSGDLPGCSCGPVPGEPPGPGNRWGGCADNLSYGLLM
+GAKFSDAPMKVKKTGSQANKLMRLHNSEVGRQALRASLEMKCKCHGVSGSCSIRTCWKGL
+QELQDVAADLKTRYLSATKVVHRPMGTRKHLVPKDLDIRPVKDSELVYLQSSPDFCMKNE
+KVGSHGTQDRQCNKTSNGSDSCDLMCCGRGYNPYTDRVVERCHCKYHWCCYVTCRRCERT
+VERYVCK
+>Q9UBV4_PF00110_52 <unknown description>
+LNSRQKELCKRKPYLLPSIREGARLGIQECGSQFRHERWNCMITAAATTAPMGASPLFGY
+ELSSGTKETAFIYAVMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSASEGWHWGGCSDD
+VQYGMWFSRKFLDFPIGNTTGKENKVLLAMNLHNNEAGRQAVAKLMSVDCRCHGVSGSCA
+VKTCWKTMSSFEKIGHLLKDKYENSIQISDKTKRKMRRREKDQRKIPIHKDDLLYVNKSP
+NYCVEDKKLGIPGTQGRECNRTSEGADGCNLLCCGRGYNTHVVRHVERCECKFIWCCYVR
+CRRCESMTDVHTCK
+>P04628_PF00110_61 <unknown description>
+LQLLSRKQRRLIRQNPGILHSVSGGLQSAVRECKWQFRNRRWNCPTAPGPHLFGKIVNRG
+CRETAFIFAITSAGVTHSVARSCSEGSIESCTCDYRRRGPGGPDWHWGGCSDNIDFGRLF
+GREFVDSGEKGRDLRFLMNLHNNEAGRTTVFSEMRQECKCHGMSGSCTVRTCWMRLPTLR
+AVGDVLRDRFDGASRVLYGNRGSNRASRAELLRLEPEDPAHKPPSPHDLVYFEKSPNFCT
+YSGRLGTAGTAGRACNSSSPALDGCELLCCGRGHRTRTQRVTERCNCTFHWCCHVSCRNC
+THTRVLHEC
+>Q93097_PF00110_75 <unknown description>
+IPGLVSRQRQLCQRYPDIMRSVGEGAREWIRECQHQFRHHRWNCTTLDRDHTVFGRVMLR
+SSREAAFVYAISSAGVVHAITRACSQGELSVCSCDPYTRGRHHDQRGDFDWGGCSDNIHY
+GVRFAKAFVDAKEKRLKDARALMNLHNNRCGRTAVRRFLKLECKCHGVSGSCTLRTCWRA
+LSDFRRTGDYLRRRYDGAVQVMATQDGANFTAARQGYRRATRTDLVYFDNSPDYCVLDKA
+AGSLGTAGRVCSKTSKGTDGCEIMCCGRGYDTTRVTRVTQCECKFHWCCAVRCKECRNTV
+DVHTCK
+>P09544_PF00110_44 <unknown description>
+VPGLVSSQRQLCHRHPDVMRAISQGVAEWTAECQHQFRQHRWNCNTLDRDHSLFGRVLLR
+SSRESAFVYAISSAGVVFAITRACSQGEVKSCSCDPKKMGSAKDSKGIFDWGGCSDNIDY
+GIKFARAFVDAKERKGKDARALMNLHNNRAGRKAVKRFLKQECKCHGVSGSCTLRTCWLA
+MADFRKTGDYLWRKYNGAIQVVMNQDGTGFTVANERFKKPTKNDLVYFENSPDYCIRDRE
+AGSLGTAGRVCNLTSRGMDSCEVMCCGRGYDTSHVTRMTKCGCKFHWCCAVRCQDCLEAL
+DVHTCK
+>P56704_PF00110_45 <unknown description>
+IPGLVPKQLRFCRNYVEIMPSVAEGIKIGIQECQHQFRGRRWNCTTVHDSLAIFGPVLDK
+ATRESAFVHAIASAGVAFAVTRSCAEGTAAICGCSSRHQGSPGKGWKWGGCSEDIEFGGM
+VSREFADARENRPDARSAMNRHNNEAGRQAIASHMHLKCKCHGLSGSCEVKTCWWSQPDF
+RAIGDFLKDKYDSASEMVVEKHRESRGWVETLRPRYTYFKVPTERDLVYYEASPNFCEPN
+PETGSFGTRDRTCNVSSHGIDGCDLLCCGRGHNARAERRREKCRCVFHWCCYVSCQECTR
+VYDVHTCK
+>P56703_PF00110_48 <unknown description>
+IPGLVPKQLRFCRNYIEIMPSVAEGVKLGIQECQHQFRGRRWNCTTIDDSLAIFGPVLDK
+ATRESAFVHAIASAGVAFAVTRSCAEGTSTICGCDSHHKGPPGEGWKWGGCSEDADFGVL
+VSREFADARENRPDARSAMNKHNNEAGRTTILDHMHLKCKCHGLSGSCEVKTCWWAQPDF
+RAIGDFLKDKYDSASEMVVEKHRESRGWVETLRAKYSLFKPPTERDLVYYENSPNFCEPN
+PETGSFGTRDRTCNVTSHGIDGCDLLCCGRGHNTRTEKRKEKCHCIFHWCCYVSCQECIR
+IYDVHTCK
+>P56705_PF00110_46 <unknown description>
+LKGLIQRQVQMCKRNLEVMDSVRRGAQLAIEECQYQFRNRRWNCSTLDSLPVFGKVVTQG
+TREAAFVYAISSAGVAFAVTRACSSGELEKCGCDRTVHGVSPQGFQWSGCSDNIAYGVAF
+SQSFVDVRERSKGASSSRALMNLHNNEAGRKAILTHMRVECKCHGVSGSCEVKTCWRAVP
+PFRQVGHALKEKFDGATEVEPRRVGSSRALVPRNAQFKPHTDEDLVYLEPSPDFCEQDMR
+SGVLGTRGRTCNKTSKAIDGCELLCCGRGFHTAQVELAERCSCKFHWCCFVKCRQCQRLV
+ELHTCR
+>P41221_PF00110_72 <unknown description>
+LAGLSQGQKKLCHLYQDHMQYIGEGAKTGIKECQYQFRHRRWNCSTVDNTSVFGRVMQIG
+SRETAFTYAVSAAGVVNAMSRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNIDYGYR
+FAKEFVDARERERIHAKGSYESARILMNLHNNEAGRRTVYNLADVACKCHGVSGSCSLKT
+CWLQLADFRKVGDALKEKYDSAAAMRLNSRGKLVQVNSRFNSPTTQDLVYIDPSPDYCVR
+NESTGSLGTQGRLCNKTSEGMDGCELMCCGRGYDQFKTVQTERCHCKFHWCCYVKCKKCT
+EIVDQFVCK
+>Q9H1J7_PF00110_51 <unknown description>
+LPGLSPGQRKLCQLYQEHMAYIGEGAKTGIKECQHQFRQRRWNCSTADNASVFGRVMQIG
+SRETAFTHAVSAAGVVNAISRACREGELSTCGCSRTARPKDLPRDWLWGGCGDNVEYGYR
+FAKEFVDAREREKNFAKGSEEQGRVLMNLQNNEAGRRAVYKMADVACKCHGVSGSCSLKT
+CWLQLAEFRKVGDRLKEKYDSAAAMRVTRKGRLELVNSRFTQPTPEDLVYVDPSPDYCLR
+NESTGSLGTQGRLCNKTSEGMDGCELMCCGRGYNQFKSVQVERCHCKFHWCCFVRCKKCT
+EIVDQYICK
+>Q9Y6F9_PF00110_45 <unknown description>
+RRLAGRQAELCQAEPEVVAELARGARLGVRECQFQFRFRRWNCSSHSKAFGRILQQDIRE
+TAFVFAITAAGASHAVTQACSMGELLQCGCQAPRGRAPPRPSGLPGTPGPPGPAGSPEGS
+AAWEWGGCGDDVDFGDEKSRLFMDARHKRGRGDIRALVQLHNNEAGRLAVRSHTRTECKC
+HGLSGSCALRTCWQKLPPFREVGARLLERFHGASRVMGTNDGKALLPAVRTLKPPGRADL
+LYAADSPDFCAPNRRTGSPGTRGRACNSSAPDLSGCDLLCCGRGHRQESVQLEENCLCRF
+HWCCVVQCHRCRVRKELSLC
+>O00755_PF00110_41 <unknown description>
+IPGLAPRQRAICQSRPDAIIVIGEGSQMGLDECQFQFRNGRWNCSALGERTVFGKELKVG
+SREAAFTYAIIAAGVAHAITAACTQGNLSDCGCDKEKQGQYHRDEGWKWGGCSADIRYGI
+GFAKVFVDAREIKQNARTLMNLHNNEAGRKILEENMKLECKCHGVSGSCTTKTCWTTLPQ
+FRELGYVLKDKYNEAVHVEPVRASRNKRPTFLKIKKPLSYRKPMDTDLVYIEKSPNYCEE
+DPVTGSVGTQGRACNKTAPQASGCDLMCCGRGYNTHQYARVWQCNCKFHWCCYVKCNTCS
+ERTEMYTCK
+>P56706_PF00110_41 <unknown description>
+IPGLAPRQRAICQSRPDAIIVIGEGAQMGINECQYQFRFGRWNCSALGEKTVFGQELRVG
+SREAAFTYAITAAGVAHAVTAACSQGNLSNCGCDREKQGYYNQAEGWKWGGCSADVRYGI
+DFSRRFVDAREIKKNARRLMNLHNNEAGRKVLEDRMQLECKCHGVSGSCTTKTCWTTLPK
+FREVGHLLKEKYNAAVQVEVVRASRLRQPTFLRIKQLRSYQKPMETDLVYIEKSPNYCEE
+DAATGSVGTQGRLCNRTSPGADGCDTMCCGRGYNTHQYTKVWQCNCKFHWCCFVKCNTCS
+ERTEVFTCK
+>Q93098_PF00110_41 <unknown description>
+SSVAAGAQSGIEECKYQFAWDRWNCPERALQLSSHGGLRSANRETAFVHAISSAGVMYTL
+TRNCSLGDFDNCGCDDSRNGQLGGQGWLWGGCSDNVGFGEAISKQFVDALETGQDARAAM
+NLHNNEAGRKAVKGTMKRTCKCHGVSGSCTTQTCWLQLPEFREVGAHLKEKYHAALKVDL
+LQGAGNSAAGRGAIADTFRSISTRELVHLEDSPDYCLENKTLGLLGTEGRECLRRGRALG
+RWERRSCRRLCGDCGLAVEERRAETVSSCNCKFHWCCAVRCEQCRRRVTKYFC
+>O14904_PF00110_63 <unknown description>
+KLERKQRRMCRRDPGVAETLVEAVSMSALECQFQFRFERWNCTLEGRYRASLLKRGFKET
+AFLYAISSAGLTHALAKACSAGRMERCTCDEAPDLENREAWQWGGCGDNLKYSSKFVKEF
+LGRRSSKDLRARVDFHNNLVGVKVIKAGVETTCKCHGVSGSCTVRTCWRQLAPFHEVGKH
+LKHKYETALKVGSTTNEAAGEAGAISPPRGRASGAGGSDPLPRTPELVHLDDSPSFCLAG
+RFSPGTAGRRCHREKNCESICCGRGHNTQSRVVTRPCQCQVRWCCYVECRQCTQREEVYT
+CK
+>O14905_PF00110_59 <unknown description>
+KLSRRQKQLCRREPGLAETLRDAAHLGLLECQFQFRHERWNCSLEGRMGLLKRGFKETAF
+LYAVSSAALTHTLARACSAGRMERCTCDDSPGLESRQAWQWGVCGDNLKYSTKFLSNFLG
+SKRGNKDLRARADAHNTHVGIKAVKSGLRTTCKCHGVSGSCAVRTCWKQLSPFRETGQVL
+KLRYDSAVKVSSATNEALGRLELWAPARQGSLTKGLAPRSGDLVYMEDSPSFCRPSKYSP
+GTAGRVCSREASCSSLCCGRGYDTQSRLVAFSCHCQVQWCCYVECQQCVQEELVYTCK
+>Q96S55_PF18279_16 <unknown description>
+HQVQCPVCQQMMPAAHINSHL
+>Q96S55_PF00004_264 <unknown description>
+LILWGPPGCGKTTLAHIIASNSKKHSIRFVTLSATNAKTNDVRDVIKQAQNEKSFFKRKT
+ILFIDEIHRFNKSQQDTFLPHVECGTITLIGATTENPSFQVNAALLSRCRVIV
+>Q96S55_PF16193_422 <unknown description>
+MFIEDKAVDTLAYLSDGDARAGLNGLQLAVLARLSSRKMFCKKSGQSYSPSRVLITENDV
+KEGLQRSHILYDRAGEEHYNCISALHKSMR
+>Q96S55_PF12002_512 <unknown description>
+GSDQNASLYWLARMLEGGEDPLYVARRLVRFASEDIGLADPSALTQAVAAYQGCHFIGMP
+ECEVLLAQCVVYFARAPKSIEVYSAYNNVKACLRNHQGPLPPVPLHLRNAPTRLMKDLGY
+GKGYKYNPMYSEPVDQEYLPEELRGVDFFKQ
+>Q14191_PF01612_60 <unknown description>
+SDCSFLSEDISMSLSDGDVVGFDMEWPPLYNRGKLGKVALIQLCVSESKCYLFHVSSMSV
+FPQGLKMLLENKAVKKAGVGIEGDQWKLLRDFDIKLKNFVELTDVANKKLKCTETWSLNS
+LVKHLLGKQLLKDKSIRCSNWSKFPLTEDQKLYAATDAYAGFIIYRNLE
+>Q14191_PF00270_551 <unknown description>
+PVQWKVIHSVLEERRDNVAVMATGYGKSLCFQYPPVYVGKIGLVISPLISLMEDQVLQLK
+MSNIPACFLGSAQSENVLTDIKLGKYRIVYVTPEYCSGNMGLLQQLEADIGITLIAVDEA
+HCISEWGHDFRDSFRKLGSLKTALPMVPIVALTATASSSI
+>Q14191_PF00271_763 <unknown description>
+FEGPTIIYCPSRKMTQQVTGELRKLNLSCGTYHAGMSFSTRKDIHHRFVRDEIQCVIATI
+AFGMGINKADIRQVIHYGAPKDMESYYQEIGRAGRD
+>Q14191_PF16124_871 <unknown description>
+ADINLNRHLLTEIRNEKFRLYKLKMMAKMEKYLHSSRCRRQIILSHFEDKQVQKASLGIM
+GTEKCCDNCR
+>Q14191_PF09382_955 <unknown description>
+TSWDFGPQAFKLLSAVDILGEKFGIGLPILFLRGSNSQRLADQYRRHSLFGTGKDQTESW
+WKAFSRQLITEGFLVEVSRYNKFMKICALTKKGRNWLHK
+>Q14191_PF00570_1156 <unknown description>
+LYGKLVEARQKHANKMDVPPAILATNKILVDMAKMRPTTVENVKRIDGVSEGKAAMLAP
+>Q14191_PF14493_1259 <unknown description>
+MAITYSLFQEKKMPLKSIAESRILPLMTIGMHLSQAVKAGCPLDLERAGLTPEVQKIIAD
+VIRNPPVNSDMSKISLIRMLVPENIDTYLIHMAI
+>Q9P2S5_PF00400_212 <unknown description>
+RLLSTYSAYEWSLGIKSVAWSPSSQFLAVGSYDGKVRI
+>Q9Y6I7_PF00400_161 <unknown description>
+KLLLNLVDHTEVVRDLTFAPDGSLILVSASRDKTLRVWD
+>Q9Y6I7_PF00400_205 <unknown description>
+NMMKVLRGHQNWVYSCAFSPDSSMLCSVGASKAVFLWN
+>Q9Y6I7_PF00400_249 <unknown description>
+IRKLEGHHHDVVACDFSPDGALLATASYDTRVYIWD
+>Q9Y6I7_PF00400_310 <unknown description>
+NDRWVRSVSFSHDGLHVASLADDKMVRFW
+>Q9Y6I7_PF00400_356 <unknown description>
+CCAFSTDGSVLAAGTHDGSVYFW
+>Q9Y6I7_PF07525_383 <unknown description>
+QVPSLQHLCRMSIRRVMPTQEVQELPIPSKLLEFL
+>Q9NYS7_PF00400_146 <unknown description>
+LLNLSGHQDVVRDLSFTPSGSLILVSASRDKTLRIWD
+>Q9NYS7_PF00400_187 <unknown description>
+GKQIQVLSGHLQWVYCCSISPDCSMLCSAAGEKSVFLWS
+>Q9NYS7_PF00400_231 <unknown description>
+LIRKLEGHQSSVVSCDFSPDSALLVTASYDTNVIMWD
+>Q9NYS7_PF00400_292 <unknown description>
+HISSLRSVCFSPEGLYLATVADDRLLRIW
+>Q9NYS7_PF00400_338 <unknown description>
+CCTFFPHGGVIATGTRDGHVQFW
+>Q9NYS7_PF07525_368 <unknown description>
+SLKHLCRKALRSFLTTYQVLALPIPKKMKEFLT
+>Q658N2_PF01822_145 <unknown description>
+YIGCFSDDGHERTLKGAVFYDLRKMTVSHCQDACAERSYVYAGLEAGAECYCGNRLPAVS
+VGLEECNHECKGEKGSVCG
+>Q658N2_PF01822_248 <unknown description>
+YRGCFRLPENITHAFPSSLIQANVTVGTCSGFCSQKEFPLAILRGWECYCAYPTPRFNLR
+DAMDSSVCGQDP
+>Q658N2_PF00685_425 <unknown description>
+ILLIRNPYRSLVAEFNRKCAGHLGYAADRNWKSKEWPDFVNSYASWWSSHVLDWLKYGKR
+LLVVHYEELRRSLVPTLREMVAFLNVSVSEERL
+>Q2TBF2_PF01822_130 <unknown description>
+YIGCYLDDTQSRALRGVSFFDYKKMTIFRCQDNCAERGYLYGGLEFGAECYCGHKIQATN
+VSEAECDMECKGERGSVCGG
+>Q2TBF2_PF01822_233 <unknown description>
+FRGCFRRPDNLSLALPVTAAMLNMSVDKCVDFCTEKEYPLAALAGTACHCGFPTTRFPLH
+DREDEQLCAQKCSAEEFESCG
+>Q8N9V3_PF00400_3 <unknown description>
+KLIHTLADHGDDVNCCAFSFSLLATCSLDKTIRLY
+>Q8N9V3_PF00400_50 <unknown description>
+LKFHTYAVHCCCFSPSGHILASCSTDGTTVLWN
+>Q8N9V3_PF00400_99 <unknown description>
+PVRVCQFSPDSTCLASGAADGTVVLWN
+>Q8N9V3_PF00400_144 <unknown description>
+ACAFSPNGSFFVTGSSCGDLTVWD
+>Q8N9V3_PF00400_171 <unknown description>
+RCLHSEKAHDLGITCCDFSSQPVSDGEQGLQFFRLASCGQDCQVKIW
+>Q8N9V3_PF00400_233 <unknown description>
+STLSGHCAPVLACAFSHDGQMLVSGSVDKSVIVYD
+>Q8N9V3_PF00400_272 <unknown description>
+NILHTLTQHTRYVTTCAFAPNTLLLATGSMDKTVNIW
+>Q8N9V3_PF07647_332 <unknown description>
+WSEEDVSTWLCAQDLKDLVGIFKMNNIDGKELLNLTKESLADDLKIESLGLRSKVLRKIE
+ELR
+>Q8N9V3_PF04564_404 <unknown description>
+IPDEFICPITRELMKDPVIASDGYSYEKEAMENWISKKKRTSPMTNLVLPSAVLTPNRTL
+KMAINRWLETHQK
+>P19544_PF02165_74 <unknown description>
+MGSDVRDLNALLPAVPSLGGGGGCALPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPAP
+PPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTVHFSGQFTGTAGACRYGPFGPPPPSQA
+SSGQARMFPNAPYLPSCLESQPAIRNQGYSTVTFDGTPSYGHTPSHHAAQFPNHSFKHED
+PMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQ
+MNLGATLKGVAAGSSSSVKWTEGQSNHSTGYESDNHTTPILCGAQYRIHTHGVFRGIQDV
+RRVPGVAPTLVRSASETSEKR
+>P19544_PF00096_426 <unknown description>
+YQCDFKDCERRFSRSDQLKRHQRRH
+>P19544_PF00096_456 <unknown description>
+FQCKTCQRKFSRSDHLKTHTRTH
+>A6NIX2_PF00412_225 <unknown description>
+CIKCGLGIYGAQQACQAMGSLYHTDCFTCDSCGRRLRGKAFYNVGEKVYCQEDFL
+>A6NIX2_PF00412_290 <unknown description>
+CSVCGHLIMEMILQALGKSYHPGCFRCSVCNECLDGVPFTVDVENNIYCVRDYHT
+>A6NIX2_PF00412_350 <unknown description>
+CASCARPILPAQGCETTIRVVSMDRDYHVACYHCEDCGLQLSGEEGRRCYPLAGHLLCRR
+CHLRR
+>Q6AWC2_PF00397_12 <unknown description>
+LPRGWEEARDYDGKVFYIDHNTRRTSWIDP
+>Q6AWC2_PF00397_59 <unknown description>
+LPWGWEAGFDPQIGVYYIDHINKTTQIEDP
+>Q9NZC7_PF00397_18 <unknown description>
+LPPGWEERTTKDGWVYYANHTEEKTQWEHP
+>Q9NZC7_PF00397_59 <unknown description>
+LPYGWEQETDENGQVFFVDHINKRTTYLDP
+>Q9NZC7_PF00106_125 <unknown description>
+KVVVVTGANSGIGFETAKSFALHGAHVILACRNMARASEAVSRILEEWHKAKVEAMTLDL
+ALLRSVQHFAEAFKAKNVPLHVLVCNAATFALPWSLTKDGLETTFQVNHLGHFYLVQLLQ
+DVLCRSAPARVIVVSSES
+>Q9H0M0_PF00168_19 <unknown description>
+QLQVTVSSAKLKRKKNWFGTAIYTEVVVDGEITKTAKSSSSSNPKWDEQLTVNVTPQTTL
+EFQVWSHRTLKADALLGKATIDLKQALL
+>Q9H0M0_PF00397_351 <unknown description>
+LPSGWEQRKDPHGRTYYVDHNTRTTTWERP
+>Q9H0M0_PF00397_383 <unknown description>
+LPPGWERRVDDRRRVYYVDHNTRTTTWQRP
+>Q9H0M0_PF00397_458 <unknown description>
+LPPGWEKRVDSTDRVYFVNHNTKTTQWEDP
+>Q9H0M0_PF00397_498 <unknown description>
+LPEGWEIRYTREGVRYFVDHNTRTTTFKDP
+>Q9H0M0_PF00632_618 <unknown description>
+LLSHEVLNPMYCLFEYAGKNNYCLQINPASTINPDHLSYFCFIGRFIAMALFHGKFIDTG
+FSLPFYKRMLSKKLTIKDLESIDTEFYNSLIWIRDNNIEECGLEMYFSVDMEILGKVTSH
+DLKLGGSNILVTEENKDEYIGLMTEWRFSRGVQEQTKAFLDGFNEVVPLQWLQYFDEKEL
+EVMLCGMQEVDLADWQRNTVYRHYTRNSKQIIWFWQFVKETDNEVRMRLLQFVTGTCRLP
+LGGFAELMGSNGPQKFCIEKVGKDTWLPRSHTCFNRLDLPPYKSYEQLKEKLLFAIEETE
+GFG
+>O00308_PF00397_302 <unknown description>
+LPAGWEQRELPNGRVYYVDHNTKTTTWERP
+>O00308_PF00397_332 <unknown description>
+LPPGWEKRTDPRGRFYYVDHNTRTTTWQRP
+>O00308_PF00397_407 <unknown description>
+LPPGWEKRQDNGRVYYVNHNTRTTQWEDP
+>O00308_PF00397_446 <unknown description>
+LPPGWEMKYTSEGVRYFVDHNTRTTTFKDP
+>O00308_PF00632_566 <unknown description>
+LLSHEVLNPMYCLFEYAGKNNYCLQINPASSINPDHLTYFRFIGRFIAMALYHGKFIDTG
+FTLPFYKRMLNKRPTLKDLESIDPEFYNSIVWIKENNLEECGLELYFIQDMEILGKVTTH
+ELKEGGESIRVTEENKEEYIMLLTDWRFTRGVEEQTKAFLDGFNEVAPLEWLRYFDEKEL
+ELMLCGMQEIDMSDWQKSTIYRHYTKNSKQIQWFWQVVKEMDNEKRIRLLQFVTGTCRLP
+VGGFAELIGSNGPQKFCIDKVGKETWLPRSHTCFNRLDLPPYKSYEQLREKLLYAIEETE
+GFG
+>Q9GZV5_PF00397_126 <unknown description>
+LPPGWEMTFTATGQRYFLNHIEKITTWQDP
+>P78423_PF00048_36 <unknown description>
+CSKMTSKIPVALLIHYQQNQASCGKRAIILETRQHRLFCADPKEQWVKDAMQHL
+>Q6GPH4_PF18608_248 <unknown description>
+KPRTSSPRGDKAAYDILRRCSQCGILLPLPILNQHQEKCRWLASSKGKQVRNFS
+>Q96GT9_PF05831_1 <unknown description>
+MSWRGRSTYRPRPRRSLQPPELIGAMLEPTDEEPKEEKPPTKSRNPTPDQKREDDQGAAE
+IQVPDLEADLQELCQTKTGDGCEGGTDVKGKILPKAEHFKMPEAG
+>Q8WTP9_PF05831_1 <unknown description>
+MIWRGRSTYRPRPRRSVPPPELIGPMLEPGDEEPQQEEPPTESRDPAPGQEREEDQGAAE
+TQVPDLEADLQELSQSKTGGECGNGPDDQGKILPKSEQFKMPEGG
+>Q8WWM1_PF05831_1 <unknown description>
+MSWRGRRYRPRRCLRLAQLVGPMLEPSVPEPQQEEPPTESQDHTPGQKREDDQGAAEIQV
+PNLEADLQELSQSKTGDECGDSPDVQGKILPKSEQFKMPEGG
+>P47992_PF00048_32 <unknown description>
+CVSLTTQRLPVSRIKTYTITEGSLRAVIFITKRGLKVCADPQATWVRDVVRS
+>Q9UBD3_PF00048_32 <unknown description>
+CVSLTTQRLPVSRIKTYTITEGSLRAVIFITKRGLKVCADPQATWVRDVVRS
+>P46094_PF00001_48 <unknown description>
+GNSLVLWVLVKYESLESLTNIFILNLCLSDLVFACLLPVWISPYHWGWVLGDFLCKLLNM
+IFSISLYSSIFFLTIMTIHRYLSVVSPLSTLRVPTLRCRVLVTMAVWVASILSSILDTIF
+HKVLSSGCDYSELTWYLTSVYQHNLFFLLSLGIILFCYVEILRTLFRSRSKRRHRTVKLI
+FAIVVAYFLSWGPYNFTLFLQTLFRTQIIRSCEAKQQLEYALLICRNLAFSHCCFNPVLY
+>Q9UPY5_PF13520_46 <unknown description>
+LLRGVSIIIGTIIGAGIFISPKGVLQNTGSVGMSLTIWTVCGVLSLFGALSYAELGTTIK
+KSGGHYTYILEVFGPLPAFVRVWVELLIIRPAATAVISLAFGRYILEPFFIQCEIPELAI
+KLITAVGITVVMVLNSMSVSWSARIQIFLTFCKLTAILIIIVPGVMQLIKGQTQNFKDAF
+SGRDSSITRLPLAFYYGMYAYAGWFYLNFVTEEVENPEKTIPLAICISMAIVTIGYVLTN
+VAYFTTINAEELLLSNAVAVTFSERLLGNFSLAVPIFVALSCFGSMNGGVFAVSRLFYVA
+SREGHLPEILSMIHVRKHTPLPAVIVLHPLTMIMLFSGDLDSLLNFLSFARWLFIGLAVA
+GLIYLRYKCPDMHRPFKVPLFIPALFSFTCLFMVALSLYSDPFSTGIGFV
+>P47989_PF00111_9 <unknown description>
+FVNGRKVVEKNADPETTLLAYLRRKLGLSGTKLGCGEGGCGACTVMLSKYDRLQNKIVHF
+SANACLAPI
+>P47989_PF01799_87 <unknown description>
+TVEGIGSTKTRLHPVQERIAKSHGSQCGFCTPGIVMSMYTLLRNQPEPTMEEIENAFQGN
+LCRCTGYRPILQG
+>P47989_PF00941_234 <unknown description>
+VTWIQASTLKELLDLKAQHPDAKLVVGNTEIGIEMKFKNMLFPMIVCPAWIPELNSVEHG
+PDGISFGAACPLSIVEKTLVDAVAKLPAQKTEVFRGVLEQLRWFAGKQVKSVASVGGNII
+TASPISDLNPVFMASGAKLTLVSRGTRRTVQMDHTFFPGYRKTLLSPEEILLSIEIPY
+>P47989_PF03450_420 <unknown description>
+AFKQASRREDDIAKVTSGMRVLFKPGTTEVQELALCYGGMANRTISALKTTQRQLSKLWK
+EELLQDVCAGLAEELHLPPDAPGGMVDFRCTLTLSFFFKFYLTV
+>P47989_PF01315_588 <unknown description>
+SGEAVYCDDIPRYENELSLRLVTSTRAHAKIKSIDTSEAKKVPGFVCFISADDVPGSNIT
+GICNDETVFAKDKVTCVGHIIGAVVADTPEHTQRAAQGVKITYEELP
+>P47989_PF02738_705 <unknown description>
+NNSFYGPELKIEKGDLKKGFSEADNVVSGEIYIGGQEHFYLETHCTIAVPKGEAGEMELF
+VSTQNTMKTQSFVAKMLGVPANRIVVRVKRMGGGFGGKETRSTVVSTAVALAAYKTGRPV
+RCMLDRDEDMLITGGRHPFLARYKVGFMKTGTVVALEVDHFSNVGNTQDLSQSIMERALF
+HMDNCYKIPNIRGTGRLCKTNLPSNTAFRGFGGPQGMLIAECWMSEVAVTCGMPAEEVRR
+KNLYKEGDLTHFNQKLEGFTLPRCWEECLASSQYHARKSEVDKFNKENCWKKRGLCIIPT
+KFGISFTVPFLNQAGALLHVYTDGSVLLTHGGTEMGQGLHTKMVQVASRALKIPTSKIYI
+SETSTNTVPNTSPTAASVSADLNGQAVYAACQTILKRLEPYKKKNPSGSWEDWVTAAYMD
+TVSLSATGFYRTPNLGYSFETNSGNPFHYFSYGVACSEVEIDCLTGDHKNLRTDIVMDVG
+SSLNPAIDIGQVEGAFVQGLGLFTLEELHYSPEGSLHTRGPSTYKIPAFGSIP
+>P55808_PF12301_25 <unknown description>
+FDLADALDDPEPTKKPNSDIYPKPKPPYYPQPENPDSGGNIYPRPKPRPQPQPGNSGNSG
+GYFNDVDRDDGRYPPRPRPRPPAGGGGGGYSSYGNSDNTHGRGGYRLNSRYGNTYGGDHH
+STYGNPEGNMVAKIVSPIVSVVVVTLLGAAASYFKLNNRRNCFRTHEPEN
+>P98170_PF00653_29 <unknown description>
+RLKTFANFPSGSPVSASTLARAGFLYTGEGDTVRCFSCHAAVDRWQYGDSAVGRHRKVSP
+NCRFI
+>P98170_PF00653_166 <unknown description>
+RLKSFQNWPDYAHLTPRELASAGLYYTGIGDQVQCFCCGGKLKNWEPCDRAWSEHRRHFP
+NCFFV
+>P98170_PF00653_268 <unknown description>
+RIFTFGTWIYSVNKEQLARAGFYALGEGDKVKCFHCGGGLTDWKPSEDPWEQHAKWYPGC
+KYL
+>P98170_PF13920_447 <unknown description>
+EKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKCPMCYTVI
+>Q702N8_PF08043_89 <unknown description>
+GDVQCMRWIFENWRLD
+>Q702N8_PF08043_151 <unknown description>
+GDVRAARWLFETKPLD
+>Q702N8_PF08043_186 <unknown description>
+GDVQGTRMLFETRPLD
+>Q702N8_PF08043_266 <unknown description>
+VRSARWLFETRPLD
+>Q702N8_PF08043_303 <unknown description>
+DVSATRWIFETQPLD
+>Q702N8_PF08043_376 <unknown description>
+GDVRSTLWLFETKPLD
+>Q702N8_PF08043_507 <unknown description>
+GDVQGYRWMFETQPLD
+>Q702N8_PF08043_545 <unknown description>
+GDVGTARWLFETQPLE
+>Q702N8_PF08043_589 <unknown description>
+GDVQTIRWLFETCPM
+>A4UGR9_PF08043_572 <unknown description>
+GEVQSIRWIFENQPLD
+>A4UGR9_PF08043_612 <unknown description>
+GDVKYTTWMFETQPID
+>A4UGR9_PF08043_649 <unknown description>
+GDVCTARWMFETRPLD
+>A4UGR9_PF08043_687 <unknown description>
+GDVKTVRYMFETQHLD
+>A4UGR9_PF08043_765 <unknown description>
+GDVRTARWMFETQPLD
+>A4UGR9_PF08043_803 <unknown description>
+GGVSKAKWLFETQPLE
+>A4UGR9_PF08043_836 <unknown description>
+TDVSRKCWMFETQPLD
+>A4UGR9_PF08043_870 <unknown description>
+GDVQTTKHLFETLPI
+>A4UGR9_PF08043_907 <unknown description>
+GDVRHQKWIFETQPLE
+>A4UGR9_PF08043_1012 <unknown description>
+GDVRSCRWLFETRPID
+>A4UGR9_PF08043_1050 <unknown description>
+GNVKSAKWLFETQPLD
+>A4UGR9_PF08043_1089 <unknown description>
+GDVKTCKWLFETQPME
+>A4UGR9_PF08043_1122 <unknown description>
+GDVKTCTWLFETQPLD
+>A4UGR9_PF08043_1160 <unknown description>
+GDVRTACFLFETENLD
+>A4UGR9_PF08043_1234 <unknown description>
+GNVLNCRWLFENQPID
+>A4UGR9_PF08043_1307 <unknown description>
+GDVKSYRMLFETQPL
+>A4UGR9_PF08043_1345 <unknown description>
+GDVRGTRWLFETKPLD
+>A4UGR9_PF08043_1382 <unknown description>
+GDVSSVRYRFETQPLD
+>A4UGR9_PF08043_1447 <unknown description>
+GNVKTSTWLFETHTMD
+>A4UGR9_PF08043_1521 <unknown description>
+DVKTTTWLFETTPLH
+>Q6PP77_PF09815_35 <unknown description>
+FSILFSTFLYCGEAASALYMVRIYRKNSETYWMTYTFSFFMFSSIMVQLTLIFVHRDLAK
+DKPLSLFMHLILLGPVIRCLEAMIKYLTLWKKEEQEEPYVSLTRKKMLIDGEEVLIEWEV
+GHSIRTLAMHRNAYKRMSQIQAFLGSVPQLTYQLYVSLISAEVPLGRVVLMVFSLVSVTY
+GATLCNMLAIQIKYDDYKIRLGPLEVLCITIWRTLEITSRLLILVLFSATLKLKAVPFLV
+LNFLIILFEPWIKFWRSGAQMPNNIEKNFSRVGTLVVLISVTILYAGINFSCWSALQLRL
+ADRDLVDKGQNWGHMGLHYSVRLVENVIMVLVFKFFGVKVLLNYCHSLIALQLIIAYLIS
+IGFMLLFFQYLHP
+>Q5GH77_PF09815_35 <unknown description>
+FSIIFSTVLYCGEVAFGLYMFEIYRKANDTFWMSFTISFIIVGAILDQIILMFFNKDLRR
+NKAALLFWHILLLGPIVRCLHTIRNYHKWLKNLKQEKEETQVSITKRNTMLEREIAFSIR
+DNFMQQKAFKYMSVIQAFLGSVPQLILQMYISLTIREWPLNRALLMTFSLLSVTYGAIRC
+NILAIQISNDDTTIKLPPIEFFCVVMWRFLEVISRVVTLAFFIASLKLKSLPVLLIIYFV
+SLLAPWLEFWKSGAHLPGNKENNSNMVGTVLMLFLITLLYAAINFSCWSAVKLQLSDDKI
+IDGRQRWGHRILHYSFQFLENVIMILVFRFFGGKTLLNCCDSLIAVQLIISYLLATGFML
+LFYQYLYP
+>Q5GH76_PF09815_113 <unknown description>
+LWILAAVAVYFADVGTDVWLAVDYYLRGQRWWFGLTLFFVVLGSLSVQVFSFRWFVHDFS
+TEDSATAAAASSCPQPGADCKTVVGGGSAAGEGEARPSTPQRQASNASKSNIAAANSGSN
+SSGATRASGKHRSASCSFCIWLLQSLIHILQLGQIWRYFHTIYLGIRSRQSGENDRWRFY
+WKMVYEYADVSMLHLLATFLESAPQLVLQLCIIVQTHSLQALQGFTAAASLVSLAWALAS
+YQKALRDSRDDKKPISYMAVIIQFCWHFFTIAARVITFALFASVFQLYFGIFIVLHWCIM
+TFWIVHCETEFCITKWEEIVFDMVVGIIYIFSWFNVKEGRTRCRLFIYYFVILLENTALS
+ALWYLYKAPQIADAFAIPALCVVFSSFLTGVVFMLMYYAFFHP
+>Q6UX68_PF09815_7 <unknown description>
+GLSALLQAAEQSARLYTVAYYFTTGRLLWGWLALAVLLPGFLVQALSYLWFRADGHPGHC
+SLMMLHLLQLGVWKRHWDAALTSLQKELEAPHRGWLQLQEADLSALRLLEALLQTGPHLL
+LQTYVFLASDFTDIVPGVSTLFSWSSLSWALVSYTRFMGFMKPGHLAMPWAALFCQQLWR
+MGMLGTRVLSLVLFYKAYHFWVFVVAGAHWLVMTFWLVAQQSDIIDSTCHWRLFNLLVGA
+VYILCYLSFWDSPSRNRMVTFYMVMLLENIILLLLATDFLQGASWTSLQTIAGVLSGFLI
+GSVSLVIYYSLLHP
+>Q5GH73_PF09815_130 <unknown description>
+LWIVLALLVFFGDVGTDLWLALDYYRKGDYVYFGLTLFFVLVPSLLVQSLSFRWFVQDYT
+GGGLGAVEGLTSRGPPMMGAGYVHGAARGGPGVRVSPTPGAQRLCRLSVWIWQSVIHLLQ
+MGQVWRYIRTMYLGIQSQRRKEHQRRFYWAMMYEYADVNMLRLLETFLESAPQLVLQLYI
+MLQKNSAETLPCVSSVTSLMSLAWVLASYHKLLRDSRDDKKSMSYRGAIIQVFWRLFTIS
+SRVISFALFASIFQLYFGIFVVVHWCAMAFWIIHGGTDFCMSKWEEILFNMVVGIVYIFC
+WFNVKEGRTRYRMFAYYTIVLTENAALTFLWYFYRDPETTDSYAVPALCCVFISFVAGIA
+MMLLYYGVLHP
+>Q5GH72_PF09815_58 <unknown description>
+CWVLCALLVFFSDGATDLWLAASYYLQNQHTYFSLTLLFVLLPSLVVQLLSFRWFVYDYS
+EPAGSPGPAVSTKDSVAGGAAISTKDSAGAFRTKEGSPEPGPQPAPSSASAYRRRCCRLC
+IWLLQTLVHLLQLGQVWRYLRALYLGLQSRWRGERLRRHFYWQMLFESADVSMLRLLETF
+LRSAPQLVLQLSLLVHRGGAPDLLPALSTSASLVSLAWTLASYQKVLRDSRDDKRPLSYK
+GAVAQVLWHLFSIAARGLAFALFASVYKLYFGIFIVAHWCVMTFWVIQGETDFCMSKWEE
+IIYNMVVGIIYIFCWFNVKEGRSRRRMTLYHCIVLLENAALTGFWYSSRNFSTDFYSLIM
+VCVVASSFALGIFFMCVYYCLLHP
+>Q9H6D3_PF09815_16 <unknown description>
+GVLGTAAFLLDLGTDLWAAVQYALGGRYLWAALVLALLGLASVALQLFSWLWLRADPAGL
+HGSQPPRRCLALLHLLQLGYLYRCVQELRQGLLVWQQEEPSEFDLAYADFLALDISMLRL
+FETFLETAPQLTLVLAIMLQSGRAEYYQWVGICTSFLGISWALLDYHRALRTCLPSKPLL
+GLGSSVIYFLWNLLLLWPRVLAVALFSALFPSYVALHFLGLWLVLLLWVWLQGTDFMPDP
+SSEWLYRVTVATILYFSWFNVAEGRTRGRAIIHFAFLLSDSILLVATWVTHSSWLPSGIP
+LQLWLPVGCGCFFLGLALRLVYYHWLHP
+>Q5GH70_PF09815_10 <unknown description>
+MSVLGIIIYVTDLIVDIWVSVRFFHEGQYVFSALALSFMLFGTLVAQCFSYSWFKADLKK
+AGQESQHCFLLLHCLQGGVFTRYWFALKRGYHAAFKYDSNTSNFVEEQIDLHKEVIDRVT
+DLSMLRLFETYLEGCPQLILQLYILLEHGQANFSQYAAIMVSCCAISWSTVDYQVALRKS
+LPDKKLLNGLCPKITYLFYKLFTLLSWMLSVVLLLFLNVKIALFLLLFLWLLGIIWAFKN
+NTQFCTCISMEFLYRIVVGFILIFTFFNIKGQNTKCPMSCYYIVRVLGTLGILTVFWVCP
+LTIFNPDYFIPISITIVLTLLLGILFLIVYYGSFHP
+>P51811_PF09815_6 <unknown description>
+SVLASVFLFVAETTAALSLSSTYRSGGDRMWQALTLLFSLLPCALVQLTLLFVHRDLSRD
+RPLVLLLHLLQLGPLFRCFEVFCIYFQSGNNEEPYVSITKKRQMPKNGLSEEIEKEVGQA
+EGKLITHRSAFSRASVIQAFLGSAPQLTLQLYISVMQQDVTVGRSLLMTISLLSIVYGAL
+RCNILAIKIKYDEYEVKVKPLAYVCIFLWRSFEIATRVVVLVLFTSVLKTWVVVIILINF
+FSFFLYPWILFWCSGSPFPENIEKALSRVGTTIVLCFLTLLYTGINMFCWSAVQLKIDSP
+DLISKSHNWYQLLVYYMIRFIENAILLLLWYLFKTDIYMYVCAPLLVLQLLIGYCTAILF
+MLVFYQFFHP
+>O15537_PF00754_80 <unknown description>
+QITCSNPEQYVGWYSSWTANKARLNSQGFGCAWLSKFQDSSQWLQIDLKEIKVISGILTQ
+GRCDIDEWMTKYSVQYRTDERLNWIYYKDQTGNNRVFYGNSDRTSTVQNLLRPPIISRFI
+RLIPLGWHVRIAIRMEL
+>Q6ZNB5_PF01834_1 <unknown description>
+MAPVKISHVVSFSSQDPKYPVENLLNPDSPRRPWLGCPQDKSGQLKVELQLERAVPTGYI
+DVGNCGCAFLQIDVGHSSWPLDRPFITLLPATTLMSLTDSKQGKNRSGVRMFKDVDFLAP
+ASGELWDRLRLTCSRPFTRHQSFGLAFLRV
+>P23025_PF01286_104 <unknown description>
+ICEECGKEFMDSYLMNHFDLPTCDNCRDAD
+>P23025_PF05181_135 <unknown description>
+KHKLITKTEAKQEYLLKDCDLEKREPPLKFIVKKNPHHSQWGDMKLYLKLQI
+>Q01831_PF03835_502 <unknown description>
+SSSSSSKRGKKMCSDGEKAEKRSIAGIDQWLEVFCEQEEKWVCVDCVHGVVGQPLTCYKY
+ATKPMTYVVGIDSDGWVRDVTQRYDPVWMTVTRKCRVDAEWWAETLRPYQSPFMDREKKE
+DLE
+>Q01831_PF10403_632 <unknown description>
+QPLPTAIGLYKNHPLYALKRHLLKYEAIYPETAAILGYCRGEAVYSRDCV
+>Q01831_PF10404_685 <unknown description>
+HSRDTWLKKARVVRLGEVPYKMVKGFSNRARKARLAEPQLREENDLGLFGYWQTEEYQPP
+>Q01831_PF10405_751 <unknown description>
+VPRNEFGNVYLFLPSMMPIGCVQLNLPNLHRVARKLDIDCVQAITGFDFHGGYSHPVTDG
+YIVCEEFKDVLLT
+>Q92889_PF02732_686 <unknown description>
+VDMREFRSELPSLIHRRGIDIEPVTLEVGDYILTPEMCVERKSISDLIGSLNNGRLYSQC
+ISMSRYYKRPVLLIEFDPSKPFSLTSRGALFQEISSNDISSKLTLLTLHFPRLRILWCPS
+PHATAELFE
+>O14980_PF03810_46 <unknown description>
+AQEVLTHLKEHPDAWTRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKY
+VVGLII
+>O14980_PF08389_124 <unknown description>
+KVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFDFSS
+GQITQVKSKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGYIFE
+TKLISTLIYKFLNVPMFRNVSLKCL
+>O14980_PF18777_345 <unknown description>
+ETLMEALHYMLLVSEVEETEIFKICLEYWNHLAAELY
+>O14980_PF18784_405 <unknown description>
+RRQLYLPMLFKVRLLMVSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYL
+THLDYVDT
+>O14980_PF18787_485 <unknown description>
+NGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKD
+>O14980_PF08767_709 <unknown description>
+QLGRIYLDMLNVYKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSND
+PQMVAENFVPPLLDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFE
+CTLNMINKDFEEYPEHRTNFFLLLQAVNSHCFPAFLAIPPTQFKLVLDSIIWAFKHTMRN
+VADTGLQILFTLLQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAY
+MFNLVEEGKISTSLNPGNPVNNQIFLQEYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDI
+PAFKEHLRDFLVQIKEFAG
+>P55060_PF03810_29 <unknown description>
+AEKFLESVEGNQNYPLLLLTLLEKSQDNVIKVCASVTFKNYIKRNWRIVEDEPNKICEAD
+RVAIKANIVHLML
+>P55060_PF08506_156 <unknown description>
+LFKRYRHEFKSNELWTEIKLVLDAFALPLTNLFKATIELCSTHANDASALRILFSSLILI
+SKLFYSLNFQDLPEFFEDNMETWMNNFHTLLTLDNKLLQTDDEEEAGLLELLKSQICDNA
+ALYAQKYDEEFQRYLPRFVTAIWNLLVTTGQEVKYDLLVSNAIQFLASVCERPHYKNLFE
+DQNTLTSICEKVIVPNMEFRAADEEAFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCK
+FFEGPVTGIFSGYVNSMLQEYAKNPSVNWKHKDAAIYLVTSLASKAQTQKHGITQANELV
+NLTEFFVNHILPDLKSANVNEFPVLKADGIKYIMIFRNQVPKEHLLVSIPLLINHLQAES
+IVVHTYAAHA
+>P55060_PF03378_527 <unknown description>
+ERLFTMRGPNNATLFTAAEIAPFVEILLTNLFKALTLPGSSENEYIMKAIMRSFSLLQEA
+IIPYIPTLITQLTQKLLAVSKNPSKPHFNHYMFEAICLSIRITCKANPAAVVNFEEALFL
+VFTEILQNDVQEFIPYVFQVMSLLLETHKNDIPSSYMALFPHLLQPVLWERTGNIPALVR
+LLQAFLERGSNTIASAAADKIPGLLGVFQKLIASKANDHQGFYLLNSIIEHMPPESVDQY
+RKQIFILLFQRLQNSKTTKFIKSFLVFINLYCIKYGALALQEIFDGIQPKMFGMVLEKII
+IPEIQKVSGNVEKKICAVGITKLLTECPPMMDTEYTKLWTPLLQSLIGLFELPEDDTIPD
+EEHFIDIEDTPGYQTAFSQLAFAGKKEHDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSM
+VSTSLNAEALQYLQGY
+>Q9HAV4_PF08389_110 <unknown description>
+NHIKDALSRIVVEMIKREWPQHWPDMLIELDTLSKQGETQTELVMFILLRLAEDVVTFQT
+LPPQRRRDIQQTLTQNMERIFSFLLNTLQENVNKYQQVKTDTSQESKAQANCRVGVAALN
+TLAGYIDWVSMSHITAENCKLLEILCLLLNEQELQLGAAECL
+>Q9HAV4_PF19273_313 <unknown description>
+EKHYVFLKRLCQVLCALGNQLCALLGADSDVETPSNFGKYLESFLAFTTHPSQFLRSSTQ
+MTWGALFRHEILSRDPLLLAIIPKYLRASMTNLVKMGFPSKTDSPSCEYSRFDFDSDEDF
+NAFFNSSRAQQGEVMRLACRLDPKTSFQMAGEWLKYQLSTFLDAGSVNSCSAVGTGEGSL
+CSVFSPSFVQWEAMTLFLESVITQMFRTLNREEIPVNDGIELLQMVLNFDTKDPLILSCV
+LTNVSALFPFVTYRPEFLPQVFSKLFSSVTFETVEESKAPRTRAVRNVRRHACSSIIKMC
+RDYPQLVLPNFDMLYNHVKQLLSNELLLTQMEKCALMEALVLISNQFKNYERQKVFLEEL
+MAPVASIWLSQDMHRVLSDVDAFIAYVGTDQKSCDPGLEDPCGLNRARMSFCVYSILGVV
+KRTCWPTDLEEAKAGGFVVGYTSSGNPIFRNPCTEQILKLLDNLLALIRTHNTLYAPEML
+AKMAEPFTKALDMLDAEKSAILGLPQPLLELNDSPVFKTVLERMQRFFSTLYENCFHILG
+KAGPSMQQDFYTVEDLATQLLSSAFVNLNNIPDYRLRPMLRVFVKPLVLFCPPEHYEALV
+SPILGPLFTYLHMRLSQKWQVINQRSLLCGEDEAADENPESQEMLEEQLVRMLTREVMDL
+ITVCCVSKKGADHSSAPPADGDDEEMMATEVTPSAMAELTDLGKCLMKHEDVCTALLITA
+FNSLAWKDTLSCQRTTSQLCWPLLKQVLSGTLLADAVTWLFTSVLKGLQMHGQHDGCMAS
+LVHLAFQIYEALRPRYLEIRAVMEQIPEIQKDSLDQFDCKLLNPSLQKVADKRRKDQFKR
+LIAGCIGKPLGE
+>Q96QU8_PF03810_32 <unknown description>
+EELLNNFAQQIGAWRFCLYFLSSTRNDYVMMYSLTVFENLINKMWLGVPSQDKMEIRSCL
+PKLLL
+>Q96QU8_PF08389_103 <unknown description>
+PYFIRNKLCKVIVDIGRQDWPMFYHDFFTNILQLIQSPVTTPLGLIMLKTTSEELACPRE
+DLSVARKEELRKLLLDQVQTVLGLLTGILETVWDKHSVTAATPPPSPTSGESGDLLSNLL
+QSPSSAKLLNQPIPILDVESEYICSLALECLAHLFSWIPLSASITPSLLTTIFHF
+>Q9UIA9_PF03810_30 <unknown description>
+AEKALVEFTNSPDCLSKCQLLLERGSSSYSQLLAATCLTKLVSRTNNPLPLEQRIDIRNY
+VLNYLA
+>O43592_PF03810_26 <unknown description>
+EQLKISPDAWQVCAEALAQRTYSDDHVKFFCFQVLEHQVKYKYSELTTVQQQLIRETLIS
+WL
+>O43592_PF08389_99 <unknown description>
+TFIRNKAAQVFALLFVTEYLTKWPKFFFDILSVVDLNPRGVDLYLRILMAIDSELVDRDV
+VHTSEEARRNTLIKDTMREQCIPNLVESWYQILQNYQFTNSEVTCQCLEVVGAYVSWIDL
+SLIANDRFINMLLGHMSIEVLREEACDCL
+>O43592_PF19282_318 <unknown description>
+AQEALQAIETKVALMLQLLIHEDDDISSNIIGFCYDYLHILKQLTVLSDQQKANVEAIML
+AVMKKLTYDEEYNFENEGEDEAMFVEYRKQLKLLLDRLAQVSPELLLASVRRVFSSTLQN
+WQTTRFMEVEVAIRLLYMLAEALPVSHGAHFSGDVSKASALQDMMRTLVTSGVSSYQHTS
+VTLEFFETVVRYEKFFTVEPQHIPCVLMAFLDHRGLRHSSAKVRSRTAYLFSRFVKSLNK
+QMNPFIEDILNRIQDLLELSPPENGHQSLLSSDDQLFIYETAGVLIVNSEYPAERKQALM
+RNLLTPLMEKFKILLEKLMLAQDEERQASLADCLNHAVGFASRTSKAFSNKQTVKQCGCS
+EVYLDCLQTFLPALSCPLQKDILRSGVRTFLHRMIICLEEEVLPFIPSASEHMLKDCEAK
+DLQEFIPLINQITAKFKIQVSPFLQQMFMPLLHAIFEVLLRPAEENDQSAALEKQMLRRS
+YFAFLQTVTGSGMSEVIANQGAENVERVLVTVIQGAVEYPDPIAQKTCFIILSKLVELWG
+GKDGPVGFADFVYKHIVPACFLAPLKQTFDLADAQTVLALSECAVTLKTIHLKRGPECVQ
+YLQQEYLPSLQVAPEIIQEFCQALQQPDAKVFKNYLKVFFQRA
+>Q9NQW7_PF01321_54 <unknown description>
+RQLRQAMRNSEYVTEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSAGTAIITEEHA
+AMWTDGRYFLQAAKQMDSNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVDPLIIPTDYWK
+KMAKVLRSAGHHLIPVKENLVD
+>Q9NQW7_PF16189_200 <unknown description>
+DRPERPCKPLLTLGLDYTGISWKDKVADLRLKMAERNVMWFVVTALDEIAWLFNLRGSDV
+EHNPVFFSYAIIGLETIMLFIDGDRIDAPSVKEHLLLDLGLEAEYRIQVHPYKSILSELK
+ALCADLSPREKVWVSDKASYAVSETIPKDHRCCMPYTPICIAKAVKNSAE
+>Q9NQW7_PF00557_371 <unknown description>
+EGMRRAHIKDAVALCELFNWLEKEVPKGGVTEISAADKAEEFRRQQADFVDLSFPTISST
+GPNGAIIHYAPVPETNRTLSLDEVYLIDSGAQYKDGTTDVTRTMHFGTPTAYEKECFTYV
+LKGHIAVSAAVFPTGTKGHLLDSFARSALWDSGLDYLHGTGHGVGSFLNVHEGPCGISYK
+TFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVVLV
+>Q9NQW7_PF16188_600 <unknown description>
+LTFEPLTLVPIQTKMIDVDSLTDKECDWLNNYHLTCRDVIGKELQKQGRQEALEWLIRET
+QPI
+>O43895_PF01321_55 <unknown description>
+TALRQQMQTQNLSAYIIPGTDAHMNEYIGQHDERRAWITGFTGSAGTAVVTMKKAAVWTD
+SRYWTQAERQMDCNWELHKEVGTTPIVTWLLTEIPAGGRVGFDPFLLSIDTWESYDLALQ
+GSNRQLVSITT
+>O43895_PF16189_195 <unknown description>
+ERPPVPNQPIYALQEAFTGSTWQEKVSGVRSQMQKHQKVPTAVLLSALEETAWLFNLRAS
+DIPYNPFFYSYTLLTDSSIRLFANKSRFSSETLSYLNSSCTGPMCVQIEDYSQVRDSIQA
+YSLGDVRIWIGTSYTMYGIYEMIPKEKLVTDTYSPVMMTKAVKNSKE
+>O43895_PF00557_378 <unknown description>
+RYLVWLEKNVPKGTVDEFSGAEIVDKFRGEEQFSSGPSFETISASGLNAALAHYSPTKEL
+NRKLSSDEMYLLDSGGQYWDGTTDITRTVHWGTPSAFQKEAYTRVLIGNIDLSRLIFPAA
+TSGRMVEAFARRALWDAGLNYGHGTGHGIGNFLCVHEWPVGFQSNNIAMAKGMFTSIEPG
+YYKDGEFGIRLEDVALVV
+>O43895_PF16188_585 <unknown description>
+YLTFEVVSFVPYDRNLIDVSLLSPEHLQYLNRYYQTIREKVGPELQRRQLLEEFEWLQQH
+TEPL
+>Q9NQH7_PF05195_72 <unknown description>
+YALRRHKLMSLIQKEAQGQSGTDQTVVVLSNPTYYMSNDIPYTFHQDNNFLYLCGFQEPD
+SILVLQSLPGKQLPSHKAILFVPRRDPSRELWDGPRSGTDGAIALTGVDEAYTLEEFQHL
+LPKMKAET
+>Q9NQH7_PF00557_253 <unknown description>
+ERMQIAGKLTSQAFIETMFTSKAPVEEAFLYAKFEFECRARGADILAYPPVVAGGNRSNT
+LHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAELYEAVLEIQRDCL
+ALCFPGTSLENIYSMMLTLIGQKLKDLGIMKNIKENNAFKAARKYCPHHVGHYLGMDVHD
+TPDMPRSLPLQPGMVITIEPGIYIPEDDKDAPEKFRGLGVRIEDDVVVTQ
+>Q9UBH6_PF03105_1 <unknown description>
+MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQA
+>Q9UBH6_PF03105_47 <unknown description>
+VKRYFAKFEEKFFQTCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDAQKE
+>Q9UBH6_PF03105_100 <unknown description>
+ESTGVTTLRQRRKPVFHLSHEERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKI
+LKKHDKILET
+>Q9UBH6_PF03124_269 <unknown description>
+IRIYRGGFLLIEFLFLLGINTYGWRQAGVNHVLIFELNPRSNLSHQHLFEIAGFLGILWC
+LSLLACFFAPISVIPTYVYPLALYGFMVFFLINPTKTFYYKSRFWLLKLLFRVFTAPFHK
+VGFADFWLADQLNSLSVILMDLEYMICFYSLELKWDESKGLLPNNSEESGICHKYTYGVR
+AIVQCIPAWLRFIQCLRRYRDTKRAFPHLVNAGKYSTTFFMVTFAALYSTHKERGHSDTM
+VFFYLWIVFYIISSCYTLIWDLKMDWGLFDKNAGENTFLREEIVYPQKAYYYCAIIEDVI
+LRFAWTIQISITSTTLLPHSGDIIATVFAPLEVFRRFVWNFFRLENEHL
+>P18887_PF01834_1 <unknown description>
+MPEIRLRHVVSCSSQDSTHCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDI
+GNDGSAFVEVLVGSSAGGAGEQDYEVLLVTSSFMSPSESRSGSNPNRVRMFGPDKLVRAA
+AEKRWDRVKIVCSQPYSKDSPFGLSFVRF
+>P18887_PF00533_317 <unknown description>
+GKILQGVVVVLSGFQNPFRSELRDKALELGAKYRPDWTRDSTHLICAFANTPKYSQVLGL
+GGRIVRKEWVLDC
+>P18887_PF16589_540 <unknown description>
+PDFFQGKHFFLYGEFPGDERRKLIRYVTAFNGELEDYMSDRVQFVITAQEWDPSFEEALM
+DNPSLAFVRPRWIYSCNEKQKLLPHQLY
+>O43543_PF08423_41 <unknown description>
+GDILEFHGPEGTGKTEMLYHLTARCILPKSEGGLEVEVLFIDTDYHFDMLRLVTILEHRL
+SQSSEEIIKYCLGRFFLVYCSSSTHLLLTLYSLESMFCSHPSLCLLILDSLSAFYWIDRV
+NGGESVNLQESTLRKCSQCLEKLVNDYRLVLFATTQTIMQKASSSSEEPSHASRRLCDVD
+IDYRPYLCKAWQQLVKHRMFFSKQDDSQSSNQFSLVSRCLKSNSLKKHFFIIGESGV
+>O43542_PF08423_63 <unknown description>
+LTALQLHQQKERFPTQHQRLSLGCPVLDALLRGGLPLDGITELAGRSSAGKTQLALQLCL
+AVQFPRQHGGLEAGAVYICTEDAFPHKRLQQLMAQQPRLRTDVPGELLQKLRFGSQIFIE
+HVADVDTLLECVNKKVPVLLSRGMARLVVIDSVAAPFRCEFDSQASAPRARHLQSLGATL
+RELSSAFQSPVLCINQVTEAMEEQGAAHGPLGFWDERVSPALGITWANQLLVRLLADRLR
+EEEAALGCPARTLRVLSAPHLPPSSCSYTISAEGVR
+>Q13426_PF06632_1 <unknown description>
+MERKISRIHLVSEPSITHFLQVSWEKTLESGFVITLTDGHSAWTGTVSESEISQEADDMA
+MEKGKYVGELRKALLSGAGPADVYTFNFSKESCYFFFEKNLKDVSFRLGSFNLEKVENPA
+EVIRELICYCLDTIAENQAKNEHLQKENERLLRDWNDVQGRFEKCVSAKEALETDLYKRF
+ILVLNEKKTKIRSLHNKLLNAAQEREKDIKQEGETAICSEMTADRDPVYDESTDEESENQ
+TDLSGLASAAVSKDDSIISSLDVTDIAPSRKRRQRMQRNLGTEPKMAPQENQLQEKEKPD
+SSLPETSKKEHISAENMSLETLRNSSPEDLFD
+>P13010_PF03731_9 <unknown description>
+AVVLCMDVGFTMSNSIPGIESPFEQAKKVITMFVQRQVFAENKDEIALVLFGTDGTDNPL
+SGGDQYQNITVHRHLMLPDFDLLEDIESKIQPGSQQADFLDALIVSMDVIQHETIGKKFE
+KRHIEIFTDLSSRFSKSQLDIIIHSLKKCDISLQFFLPFSLGKEDGSGDRGDGPFRLGGH
+GPSFPLKGITEQQKEGLEIVKMVMISLEGEDGLDEIYSFSESLRKLCVFKKIERH
+>P13010_PF02735_253 <unknown description>
+IGSNLSIRIAAYKSILQERVKKTWTVVDAKTLKKEDIQKETVYCLNDDDETEVLKEDIIQ
+GFRYGSDIVPFSKVDEEQMKYKSEGKCFSVLGFCKSSQVQRRFFMGNQVLKVFAARDDEA
+AAVALSSLIHALDDLDMVAIVRYAYDKRANPQVGVAFPHIKHNYECLVYVQLPFMEDLRQ
+YMFSSLKNSKKYAPTEAQLNA
+>P13010_PF03730_477 <unknown description>
+FPTTKIPNPRFQRLFQCLLHRALHPREPLPPIQQHIWNMLNPPAEVTTKSQIPLSKIKTL
+FPLIEAKKKDQVTAQEIFQDNHEDGPTAKKLKT
+>P13010_PF08785_593 <unknown description>
+NPAENFRVLVKQKKASFEEASNQLINHIEQFLDTNETPYFMKSIDCIRAFREEAIKFSEE
+QRFNNFLKALQEKVEIKQLNHFWEIVVQDGITLITKEEASGSSVTAEEAKKFL
+>P12956_PF03731_37 <unknown description>
+SLIFLVDASKAMFESQSEDELTPFDMSIQCIQSVYISKIISSDRDLLAVVFYGTEKDKNS
+VNFKNIYVLQELDNPGAKRILELDQFKGQQGQKRFQDMMGHGSDYSLSEVLWVCANLFSD
+VQFKMSHKRIMLFTNEDNPHGNDSAKASRARTKAGDLRDTGIFLDLMHLKKPGGFDISLF
+YRDIISIAEDEDLRVHFEESSKLEDLLRKVRAKETRKRA
+>P12956_PF02735_265 <unknown description>
+KDIVISVGIYNLVQKALKPPPIKLYRETNEPVKTKTRTFNTSTGGLLLPSDTKRSQIYGS
+RQIILEKEETEELKRFDDPGLMLMGFKPLVLLKKHHYLRPSLFVYPEESLVIGSSTLFSA
+LLIKCLEKEVAALCRYTPRRNIPPYFVALVPQEEELDDQKIQVTPPGFQLVFLPFADDKR
+KMPFTEK
+>P12956_PF03730_472 <unknown description>
+TYRSDSFENPVLQQHFRNLEALALDLMEPEQAVDLTLPKVEAMNKRLGSLVDEFKELVYP
+PDYNPEGKVTKRKHDNEGSGSKRPKV
+>P12956_PF02037_573 <unknown description>
+LGKFTVPMLKEACRAYGLKSGLKKQELLEALTKH
+>Q8IZH2_PF03159_1 <unknown description>
+MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKI
+FTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGE
+TLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHK
+IMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREE
+>Q8IZH2_PF17846_272 <unknown description>
+KYDIERIIDDWILMGFLVGNDFIPHLPHLHINHDALPLLYGTYVTILPELGGYINESGHL
+NLPRFEKYLVKLSDFDREHFSEVFVDLKWFESKVGNKYLNEAAGVAAEEARNYKEKKKLK
+GQENSLCWTALDKNEGEMITSKDNLEDETEDDDLFETEFRQYKRTYYMTKMGVDVVSDDF
+LADQAACYVQAIQWILHYYYHGVQSWSWYYPYHYAPFLSDIHNISTLKIHFELGKPFKPF
+EQLLAVLPAASKNLLPACYQHLMTNEDSPIIEYYPPDFKTDLNGKQQEWEAVVLIPFIDE
+KRLLEAMETCNHSLKKEERKRNQHSE
+>Q8IZH2_PF18332_656 <unknown description>
+FCGFPTLKHIRHKFFLKKSGVQVFQQSSRGENMMLEILVDAESDELTVENVASSVLGKSV
+FVNWPHLEEARVVAVSDGETKFYLEEPPGTQKLYSGRTAPPSKVVHLGDKEQSNWAKEVQ
+GISEHYLRRKGIIINETSAVVYAQLLTGRKYQINQNGEVRLEKQWSKQVVPFVYQTIVKD
+IRAFDSRFS
+>Q8IZH2_PF18334_850 <unknown description>
+DDLFPLRSMVFMLGTPYYGCTGEVQDSGDVITEGRIRVIFSIPCEPNLDALIQNQHKYSI
+KYNPGYVLASRLGVSGYLVSRFTGS
+>Q8IZH2_PF18129_1102 <unknown description>
+EFCLFDRVVNVRENFSVPVGLRGTIIGIKGANREADVLFEVLFDEEFPGGLTIRCSPGRG
+YRLPTSALVN
+>Q9H0D6_PF03159_1 <unknown description>
+MGVPAFFRWLSRKYPSIIVNCVEEKPKECNGVKIPVDASKPNPNDVEFDNLYLDMNGIIH
+PCTHPEDKPAPKNEDEMMVAIFEYIDRLFSIVRPRRLLYMAIDGVAPRAKMNQQRSRRFR
+ASKEGMEAAVEKQRVREEILAKGGFLPPEEIKERFDSNCITPGTEFMDNLAKCLRYYIAD
+RLNNDPGWKNLTVILSDASAPGEGEHKIMDYIRRQRAQPNHDPNTHHCLCGADADLIMLG
+LATHEPNFTIIREE
+>Q9H0D6_PF17846_326 <unknown description>
+FDVERSIDDWVFMCFFVGNDFLPHLPSLEIRENAIDRLVNIYKNVVHKTGGYLTESGYVN
+LQRVQMIMLAVGEVEDSIFKKRKDDEDSFRRRQKEKRKRMKRDQPAFTPSGILTPHALGS
+RNSPGSQVASNPRQAAYEMRMQNNSSPSISPNTSFTSDGSPSPLGGIKRKAEDSDSEPEP
+EDNVRLWEAGWKQRYYKNKFDVDAADEKFRRKVVQSYVEGLCWVLRYYYQGCASWKWYYP
+FHYAPFASDFEGIADMPSDFEKGTKPFKPLEQLMGVFPAASGNFLPPSWRKLMSDPDSSI
+IDFYPEDFAIDLNGKKYAWQGVALLPFVDERRLRAALEEVYPDLTPEETRRNSLGGDVLF
+VGKHHPLHDFILELYQTGSTEPVEVPPELCHGIQGKFSLDEEAILPDQIVCSPVPMLRDL
+TQNTVVSINFKDPQFAEDYIFKAVMLPGARKPAAVLKPSDW
+>O75695_PF07986_59 <unknown description>
+GQQFLIQDCENCNIYIFDHSATVTIDDCTNCIIFLGPVKGSVFFRNCRDCKCTLACQQFR
+VRDCRKLEVFLCCATQPIIESSSNIKFGCFQWYYPELAFQFKDAGLSIFNNTWSNIHDF
+>Q8NBI6_PF01501_200 <unknown description>
+YSDSIFFLSVAMHQIMPKEILQIIQLDLDLKFKTNIRELFEEFDSFLPGAIIGIAREMQP
+VYRHTFWQFRHENPQTRVGGPPPEGLPGFNSGVMLLNLEAMRQSPLYSRLLEPAQVQQLA
+DKYHFRGHLGDQDFFTMIGMEHPKLFHVLDCTWNRQ
+>O75191_PF00370_132 <unknown description>
+SDCPVWMDSSTTAQCRQLEAAVGGAQALSCLTGSRAYERFTGNQIAKIYQQNPEAYSHTE
+RISLVSSFAASLFLGSYSPIDYSDGSGMNLLQIQDKVWSQACLGACAPHLEEKLSPPVPS
+CSVVGAISSYYVQRYGFPPGCKVVAFTGDNPASLAG
+>O75191_PF02782_296 <unknown description>
+AVSLGTSDTLFLWLQEPMPALEGHIFCNPVDSQHYMALLCFKNGSLMREKIRNESVSRSW
+SDFSKALQSTEMGNGGNLGFYFDVMEITPEIIGRHRFNTENHKVAAFPGDVEVRALIEGQ
+FMAKRIHAEGLGYRVMSKTKILATGGASHNREILQVLADVFDAPVYVIDTANSACVGSAY
+RAFH
+>O75063_PF06702_191 <unknown description>
+VGNNTCFYGKCYYCRETEPACADGDIMEGSVTLWLPDVWPLQKHRHPWGRTYREGKLARW
+EYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDEGASMLILLDN
+AKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAHDPISPVLSDP
+HLDAVDQRLLSVLATVKQCTDQFGMDTV
+>Q86Y38_PF02485_328 <unknown description>
+IAFVLVVHGRASRQLQRMFKAIYHKDHFYYIHVDKRSNYLHRQVLQVSRQYSNVRVTPWR
+MATIWGGASLLSTYLQSMRDLLEMTDWPWDFFINLSAADYPIRTNDQLVAFLSRYRDMNF
+LKSHGRDNARFIRKQGLDRLFLECDAHMWRLGDRRIPEGIAVDGGSDWFLLNRRFVEYVT
+FSTDDLVTKMKQFYSYTLLPAESFFHTVLENSPHCDTMVDNNLRITNWNRKLGCKCQYKH
+IVDWCGCSPNDFKP
+>Q86Y38_PF12529_613 <unknown description>
+DYYLYGNYPAGTPGLRSYWENVYDEPDGIHSLSDVTLTLYHSFARLGLRRAETSLHTDGE
+NSCRYYPMGHPASVHLYFLADRFQGFLIKHHATNLAVSKLETLETWVMPKKVFKIASPPS
+DFGRLQFSEVGTDWDAKERLFRNFGGLLGPMDEPVGMQKWGKGPNVTVTVIWVDPVNVIA
+A
+>Q9H1B5_PF02485_234 <unknown description>
+IAYMLVVHGRAIRQLKRLLKAVYHEQHFFYIHVDKRSDYLHREVVELAQGYDNVRVTPWR
+MVTIWGGASLLRMYLRSMRDLLEVPGWAWDFFINLSATDYPTRTNEELVAFLSKNRDKNF
+LKSHGRDNSRFIKKQGLDRLFHECDSHMWRLGERQIPAGIVVDGGSDWFVLTRSFVEYVV
+YTDDPLVAQLRQFYTYTLLPAESFFHTVLENSLACETLVDNNLRVTNWNRKLGCKCQYKH
+IVDWCGCSPNDFKP
+>Q9H1B5_PF12529_519 <unknown description>
+DFHLYGSYPPGTPALKAYWENTYDAADGPSGLSDVMLTAYTAFARLSLHHAATAAPPMGT
+PLCRFEPRGLPSSVHLYFYDDHFQGYLVTQAVQPSAQGPAETLEMWLMPQGSLKLLGRSD
+QASRLQSLEVGTDWDPKERLFRNFGGLLGPLDEPVAVQRWARGPNLTATVVWIDPTYVVA
+T
+>Q9NRH1_PF09811_45 <unknown description>
+GYRDGIDAGKAVTLQQGFNQGYKKGAEVILNYGRLRGTL
+>Q8IY57_PF00641_20 <unknown description>
+EGYWDCSVCTFRNSAEAFKCMMCD
+>Q8IY57_PF17219_102 <unknown description>
+RPRLKNVDRSSAQHLEVTVGDLTVIITDFKEKT
+>P46937_PF00397_173 <unknown description>
+LPAGWEMAKTSSGQRYFLNHIDQTTTWQDP
+>P46937_PF00397_232 <unknown description>
+LPDGWEQAMTQDGEIYYINHKNKTTSWLDP
+>P58557_PF02130_30 <unknown description>
+LGVQKFDLGIICVDNKNIQHINRIYRDRNVPTDVLSFPFHEHLKAGEFPQPDFPDDYNLG
+DIFLGVEYIFHQCKENEDYNDVLTVTATHGLCHLLGFTHGTEAEWQQMFQKEKAVLDEL
+>P67809_PF00313_61 <unknown description>
+GTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGA
+EAANVTG
+>Q9Y2T7_PF00313_96 <unknown description>
+GTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKRNNPRKFLRSVGDGETVEFDVVEGEKGA
+EATNVTG
+>P16989_PF00313_93 <unknown description>
+GTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGA
+EAANVTG
+>A6NC05_PF05768_33 <unknown description>
+LTLFTKDPCPLCDEAKEVLKPYENRFILQEVNITLPENSVWYERYKFDIPVFHLNGQFLM
+MHRVNTSKLEKQL
+>A8MPS7_PF04794_7 <unknown description>
+RLVVTADDFGYCPRRDEGIVEAFLAGAVTSVSLLVNGAATESAAELARRHSIPTGLHANL
+SEGRPVGPARRGASSLLGPEGFFLGKMGFREAVAAGDVDLPQVREELEAQLSCFRELLGR
+APTHADGHQHVHVLPGVCQVFAEALQAYGVRFTRLPLERGVGGCTWLEAPARAFACAVER
+DARAAVGPFSRHGLRWTDAFVGLSTCGRHMSAHRVSGALARVLEGTLAGHTLTAELMAHP
+GYPSVPPTGGCGEGPDAFSCSWERLHELRVLTAPTLRAQLA
+>P07947_PF00018_97 <unknown description>
+VALYDYEARTTEDLSFKKGERFQIINNTEGDWWEARSIATGKNGYIPS
+>P07947_PF00017_158 <unknown description>
+WYFGKMGRKDAERLLLNPGNQRGIFLVRESETTKGAYSLSIRDWDEIRGDNVKHYKIRKL
+DNGGYYITTRAQFDTLQKLVKHY
+>P07947_PF07714_278 <unknown description>
+RLEVKLGQGCFGEVWMGTWNGTTKVAIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYA
+VVSEEPIYIVTEFMSKGSLLDFLKEGDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDL
+RAANILVGENLVCKIADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWS
+FGILQTELVTKGRVPYPGMVNREVLEQVERGYRMPCPQGCPESLHELMNLCWKKDPDERP
+TFEYIQSFL
+>Q9ULM3_PF03366_230 <unknown description>
+STHKWMVYVRGSRREPSINHFVKKVWFFLHPSYKPNDLVEVREPPFHLTRRGWGEFPVRV
+QVHFKDSQNKRIDIIHNLKLD
+>O95619_PF03366_43 <unknown description>
+HTHQWTVYVKPYRNEDMSAYVKKIQFKLHESYGNPLRVVTKPPYEITETGWGEFEIIIKI
+FFIDPNERPVTLYHLLKLF
+>O95070_PF03878_57 <unknown description>
+DPMANVAMAYGSSIASHGKDMVHKELHRFVSVSKLKYFFAVDTAYVAKKLGLLVFPYTHQ
+NWEVQYSRDAPLPPRQDLNAPDLYIPTMAFITYVLLAGMALGIQKRFSPEVLGLCASTAL
+VWVVMEVLALLLGLYLATVRSDLSTFHLLAYSGYKYVGMILSVLTGLLFGSDGYYVALAW
+TSSALMYFIVRSLRTAALGPDSMGGPVPRQRLQLYLTLGAAAFQPLIIYWLT
+>Q5BJH7_PF03878_75 <unknown description>
+DPVSNMAMAYGSSLAAQGKELVDKNIDRFIPITKLKYYFAVDTMYVGRKLGLLFFPYLHQ
+DWEVQYQQDTPVAPRFDVNAPDLYIPAMAFITYVLVAGLALGTQDRFSPDLLGLQASSAL
+AWLTLEVLAILLSLYLVTVNTDLTTIDLVAFLGYKYVGMIGGVLMGLLFGKIGYYLVLGW
+CCVAIFVFMIRTLRLKILADAAAEGVPVRGARNQLRMYLTMAVAAAQPMLMYWLT
+>Q9Y548_PF04893_101 <unknown description>
+LLPIPGKNFVRLYIRSNPDLYGPFWICATLVFAIAISGNLSNFLIHLGEKTYHYVPEFRK
+VSIAATIIYAYAWLVPLALWGFLMWRNSKVMNIVSYSFLEIVCVYGYSLFIYIPTAILWI
+IPQKAVRWILVMIALGISGSLLAMTFWPAVREDNRRVALATIVTIVLLHMLLSVG
+>Q9BWQ6_PF04893_102 <unknown description>
+LLPRPGHNFVRHHLRNRPDLYGPFWICATLAFVLAVTGNLTLVLAQRRDPSIHYSPQFHK
+VTVAGISIYCYAWLVPLALWGFLRWRKGVQERMGPYTFLETVCIYGYSLFVFIPMVVLWL
+IPVPWLQWLFGALALGLSAAGLVFTLWPVVREDTRLVATVLLSVVVLLHALLA
+>Q9BSR8_PF04893_100 <unknown description>
+PSLGFNRQVVRDNPDFWGPLAVVLFFSMISLYGQFRVVSWIITIWIFGSLTIFLLARVLG
+GEVAYGQVLGVIGYSLLPLIVIAPVLLVVGSFEVVSTLIKLFGVFWAAYSAASLLVGEEF
+KTKKPLLIYPIFLLYIYFLS
+>Q96EC8_PF04893_77 <unknown description>
+LYPRKSNTLLRDWDLWGPLILCVTLALMLQRDSADSEKDGGPQFAEVFVIVWFGAVTITL
+NSKLLGGNISFFQSLCVLGYCILPLTVAMLICRLVLLADPGPVNFMVRLFVVIVMFAWSI
+VASTAFLADSQPPNRRALAVYPVFLFYFVISWM
+>A6XGL0_PF03853_90 <unknown description>
+QQLVELCGHASAVAVTKAFPLPALSRKQRTVLVVCGPEQNGAVGLVCARHLRVFEYEPTI
+FYPTRSLDLLHRDLTTQCEKMDIPFLSYLPTEVQLINEAYGLVVDAVLGPGVEPGEVGGP
+CTRALATLKLLSIPLVSLDIPSGWDAETGSDSEDGLRPDVLVSLAAPKRC
+>P13994_PF04502_9 <unknown description>
+KYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILIIRFEMPYNIWCDGCKNHIGMGVR
+YNAEKKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGAQRKEERWDMADNE
+QVLTTEHEKKQKLETDAMFRLEHGEADRSTLKKALPTLSHIQEAQSAWKDDFALNSMLRR
+RFREKKKAIQEEEERDQALQAKASLTIPLVPETEDDRKLAALLKFHTLDSYEDKQKLKRT
+EIISRSWFPSAPGSASSSKVSGVLKKLAQSRRTALATSPITVGDLGIVRRRSRDVPESPQ
+HAADTPKSGEPRVPEEAAQDRPMSPGDCPPETTETPKCSSPRGQEGSRQDKPLSPAGSSQ
+EAADTPDTRHPCSLGSSLVADYSDSES
+>Q9BW85_PF04502_9 <unknown description>
+KYYPPDFDPSKIPKLKLPKDRQYVVRLMAPFNMRCKTCGEYIYKGKKFNARKETVQNEVY
+LGLPIFRFYIKCTRCLAEITFKTDPENTDYTMEHGATRNFQAEKLLEEEEKRVQKEREDE
+ELNNPMKVLENRTKDSKLEMEVLENLQELKDLNQRQAHVDFEAMLRQHRLSEEERRRQQQ
+EEDEQETAALLEEARKRRLLEDSDSEDEAAPSPLQPALRPNPTAILDEAPKPKRKVEVWE
+QSVGSLGSRPPLSRLVVVKKAKADPDCSNGQPQAAPTPGAPQNRKEANPTPLTPGASSLS
+QLGAYLDSDD
+>O15498_PF13774_45 <unknown description>
+QLIVERSSKGTRASVKEQDYLCHVYVRNDSLAGVVIADNEYPSRVAFTLLEKVLDEFSKQ
+VDRI
+>O15498_PF00957_136 <unknown description>
+ADPMTKVQAELDETKIILHNTMESLLERGEKLDDLVSKSEVLGTQSKAFYKTARKQN
+>Q9UM01_PF13520_37 <unknown description>
+ISLLNGVCLIVGNMIGSGIFVSPKGVLIYSASFGLSLVIWAVGGLFSVFGALCYAELGTT
+IKKSGASYAYILEAFGGFLAFIRLWTSLLIIEPTSQAIIAITFANYMVQPLFPSCFAPYA
+ASRLLAAACICLLTFINCAYVKWGTLVQDIFTYAKVLALIAVIVAGIVRLGQGASTHFEN
+SFEGSSFAVGDIALALYSALFSYSGWDTLNYVTEEIKNPERNLPLSIGISMPIVTIIYIL
+TNVAYYTVLDMRDILASDAVAVTFADQIFGIFNWIIPLSVALSCFGGLNASIVAASRLFF
+VGSREGHLPDAICMIHVERFTPVPSLLFNGIMALIYLCVEDIFQLINYYSFSYWFFVGLS
+IVGQLYLRWKEPDRPRPLKLSVFFPIVFCLCTIFLVAVPLY
+>Q92536_PF13520_45 <unknown description>
+ISLLNGVSLVVGNMIGSGIFVSPKGVLVHTASYGMSLIVWAIGGLFSVVGALCYAELGTT
+ITKSGASYAYILEAFGGFIAFIRLWVSLLVVEPTGQAIIAITFANYIIQPSFPSCDPPYL
+ACRLLAAACICLLTFVNCAYVKWGTRVQDTFTYAKVVALIAIIVMGLVKLCQGHSEHFQD
+AFEGSSWDMGNLSLALYSALFSYSGWDTLNFVTEEIKNPERNLPLAIGISMPIVTLIYIL
+TNVAYYTVLNISDVLSSDAVAVTFADQTFGMFSWTIPIAVALSCFGGLNASIFASSRLFF
+VGSREGHLPDLLSMIHIERFTPIPALLFNCTMALIYLIVEDVFQLINYFSFSYWFFVGLS
+VVGQLYLRWKEPKRPRPLKLSVFFPIVFCICSVFLVIVPLF
+>P49750_PF13671_1836 <unknown description>
+VVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFITEVEKEEKDPDSGKKVKKK
+VMEYEYEAEMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEV
+YLAEMSADNQTCGKRNI
+>Q96TA2_PF00004_318 <unknown description>
+ILLVGPPGTGKTLLARAVAGEADVPFYYASGSEFDEMFVGVGASRIRNLFREAKANAPCV
+IFIDELDSVGGKRIESPMHPYSRQTINQLLAEMDGFKPNEGVIIIGATNFPEALDNALIR
+PGRFDMQVTVPR
+>Q96TA2_PF17862_471 <unknown description>
+SVDPEIIARGTVGFSGAELENLVNQAALKAAVDGKEMVTMKELE
+>Q96TA2_PF01434_531 <unknown description>
+IDNKNKTITAYHESGHAIIAYYTKDAMPINKATIMPRGPTLGHVSLLPENDRWNETRAQL
+LAQMDVSMGGRVAEELIFGTDHITTGASSDFDNATKIAKRMVTKFGMSEKLGVMTYSDTG
+KLSPETQSAIEQEIRILLRDSYERAKHILKTHAKEHKNLAEALLTYETLDAKEIQ
+>O60688_PF03226_21 <unknown description>
+YSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCE
+NCKTTLGWKYEHAFESSQKYKEGKFIIELAHM
+>Q96QA6_PF03226_21 <unknown description>
+YSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCE
+NCKTTLGWKYEHAFESSQKYKEGKYIIELAH
+>P61236_PF03226_60 <unknown description>
+SCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIHCEN
+CKTTLGWKYEQAFESSQKYKEGKYIIELNHM
+>Q96NS1_PF03226_29 <unknown description>
+YSCVHCRAHLAKHDELISKSFQGSHGRAYLFNSVVNVGCGPAEQRLLLTGLHSVADIFCE
+SCKTTLGWKYEQAFETSQKYKEGKYIIEMSHMV
+>P62699_PF03226_14 <unknown description>
+LFSCANCDTILTNRSELISTRFTGATGRAFLFNKVVNLQYSEVQDRVMLTGRHMVRDVSC
+KNCNSKLGWIYEFATEDSQRYKEGRVILERALVRE
+>Q86U90_PF01300_77 <unknown description>
+LRAGAVVAVPTDTLYGLACAASCSAALRAVYRLKGRSEAKPLAVCLGRVADVYRYCRVRV
+PEGLLKDLLPGPVTLVMERSEELNKDLNPFTPLVGIRIPDHAFMQDLAQMFEGPLALTSA
+NLSSQASSLNVEEFQDLWPQLSLVIDGGQIGDGQSPECRLGSTVVDLSVPGKFGIIRPG
+>Q96MU7_PF04146_355 <unknown description>
+ARFFLIKSNNHENVSLAKAKGVWSTLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFAR
+LSSESHHGGSPI
+>Q96MU7_PF04146_436 <unknown description>
+AKMLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQEIELECGTQLCLLF
+>Q9H6S0_PF01424_52 <unknown description>
+ALERFRYGDQREMEFPSSLTSTERAFIHRLSQSLGLVSKSKGKGANRYLTVKK
+>Q9H6S0_PF00270_198 <unknown description>
+KQEEIVKIIKENKVVLIVGETGSGKTTQIPQFLLDDCFKNGIPCRIFCTQPRRLAAIAVA
+ERVAAERRERIGQTIGYQIRLESRVSPKTLLTFCTNGVLLRTLMAGDSTLSTVTHVIVDE
+VHERDRFSDFLLTKLRDLLQKHPTLKLILSSAALD
+>Q9H6S0_PF00271_611 <unknown description>
+HLLYNICHSCDAGAVLIFLPGYDEIVGLRDRILFDDKRFADSTHRYQVFMLHSNMQTSDQ
+KKVLKNPPAGVRKIILSTNIAETSITVNDVVFVIDSGKVKEKSFDALNFVTMLKMVWISK
+ASAIQRKGRAGRC
+>Q9H6S0_PF04408_809 <unknown description>
+AVQMLKTIDAMDTWEDLTELGYHLADLPVEPHLGKMVLCAVVLKCLDPILTIACTLAYRD
+PFVLPTQASQKRAAMLCRKRFTAGAFSD
+>Q9H6S0_PF07717_971 <unknown description>
+VKAALVAGMYPNLVHVDRENLVLTGPKEKKVRFHPASVLSQPQYKKIPPANGQAAAIKAL
+PTDWLIYDEMTRAHRIANIRCCSAVTPVTILVFCG
+>Q9H6S0_PF04146_1289 <unknown description>
+RYFIMKSSNLRNLEISQQKGIWSTTPSNERKLNRAFWESSIVYLVFSVQGSGHFQGFSRM
+SSEIGR
+>Q9H6S0_PF04146_1362 <unknown description>
+SAGLGGVFKVEWIRKESLPFQFAHHLLNPWNDNKKVQISRDGQELEPLVGEQLLQLWE
+>Q9BYJ9_PF04146_390 <unknown description>
+RVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDSAFRCMSSKGPVYLLFSVNGSGHFCGV
+AEMKSPVDYGTSAGVWSQDKWKGKFDVQWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQ
+EVPLEKAKQVLKII
+>Q9Y5A9_PF04146_411 <unknown description>
+RVFIIKSYSEDDIHRSIKYNIWCSTEHGNKRLDAAYRSMNGKGPVYLLFSVNGSGHFCGV
+AEMKSAVDYNTCAGVWSQDKWKGRFDVRWIFVKDVPNSQLRHIRLENNENKPVTNSRDTQ
+EVPLEKAKQVLKII
+>Q7Z739_PF04146_417 <unknown description>
+RVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNGSGHFCGV
+AEMKSVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQ
+EVPLEKAKQVLKII
+>P59817_PF13836_47 <unknown description>
+LFVGMISNSKPVVSNILNRVTPGSNSRRKKGHFRQYPAHVSQPANHVTSMAKAIMPVSLS
+EGRSTDSPVTMKSSSEPGYKMSSPQVVSPSSSDSLPPGTQCLVGAMVSGGGRNESSPDSK
+RLSTSDINSRDSKRVKLRDGIPGVPSLAVVPSDMSSTISTNTPSQGICNSS
+>Q8ND82_PF13836_46 <unknown description>
+IFVGEISSSKPAISNILNRGHSSSSSKGIKSEPHSPGIPEIFRTASQRCRDPPSNPVAAS
+PRFHLVSKSSQSSVTVENASKPDFTKNSQVGSDNSSILLFDSTQESLPPSQDIPAIFREG
+MKNTSYVLKHPSTSKVNSVTPKKPKTSEDVPQINPSTSLPLIGSPPVTSSQVMLSKGTNT
+SS
+>Q6N043_PF13836_45 <unknown description>
+IFVGEISSSKPAISNILNRVNPSSYSRGLKNGALSRGITAAFKPTSQHYTNPTSNPVPAS
+PINFHPESRSSDSSVIVQPFSKPGYITNSSRVVSNKSSELLFDLTQDTGLSHYQGGPTLS
+MAGMSESSFLSKRPSTSEVNNVNPKKPKPSESVSGANSSAVLPSVKSPSVTSSQAMLAKG
+TNTSS
+>Q9HBT8_PF01352_45 <unknown description>
+VTFKDVAMDFTPEEWGKLDPAQRDVMLENYRNLVSL
+>Q9HBT8_PF00096_243 <unknown description>
+HKCNDCGELFTYHSVLIRHQRVH
+>Q9HBT8_PF00096_271 <unknown description>
+YTCNECGKSFSHRANLTKHQRTH
+>Q9HBT8_PF00096_298 <unknown description>
+FECSECKKTFTESSSLATHQRIH
+>Q9HBT8_PF00096_326 <unknown description>
+YECNECGKGFNRSTHLVQHQLIH
+>Q9HBT8_PF00096_354 <unknown description>
+YECNECDKAFIHSSALIKHQRTH
+>Q9HBT8_PF13465_396 <unknown description>
+SLTKHQRVHTGEKPYECSECGKTFS
+>Q9HBT8_PF00096_438 <unknown description>
+YECNECGKTFSRSSNFAKHQRIH
+>Q9HBT8_PF00096_466 <unknown description>
+YKCSECGKAFIHSSALIQHQRTH
+>Q9HBT8_PF00096_494 <unknown description>
+FRCNECGKSFKCSSSLIRHQRVH
+>O75467_PF01352_1 <unknown description>
+MAFEDVAVYFSQEEWGLLDTAQRALYRRVMLDNFALVASLG
+>O75467_PF00096_257 <unknown description>
+FECRACSKVFVKSSDLLKHLRTH
+>O75467_PF00096_285 <unknown description>
+YECAQCGKAFSQTSHLTQHQRIH
+>O75467_PF00096_313 <unknown description>
+YACPVCGKAFRHSSSLVRHQRIH
+>O75467_PF00096_341 <unknown description>
+FRCSECGKAFSHGSNLSQHRKIH
+>O75467_PF00096_369 <unknown description>
+YACAQCGRRFCRNSHLIQHERTH
+>O75467_PF00096_397 <unknown description>
+FVCALCGAAFSQGSSLFKHQRVH
+>O75467_PF00096_425 <unknown description>
+FACPQCGRAFSHSSNLTQHQLLH
+>O75467_PF00096_481 <unknown description>
+FVCTQCGRAFRERPALFHHQRIH
+>Q6AW86_PF01352_1 <unknown description>
+MTFEDVAVYFSQEEWGLLDTAQRALYRHVMLENFTLVTSLG
+>Q6AW86_PF00096_257 <unknown description>
+FECRACSKVFVKSSDLLKHLRTH
+>Q6AW86_PF00096_285 <unknown description>
+YECTQCGKAFSQTSHLTQHQRIH
+>Q6AW86_PF00096_313 <unknown description>
+YACPVCGKAFRHSSSLVRHQRIH
+>Q6AW86_PF00096_341 <unknown description>
+FRCSECGKAFSHGSNLSQHRKIH
+>Q6AW86_PF00096_369 <unknown description>
+YACAQCGRRFCRNSHLIQHERTH
+>Q6AW86_PF00096_397 <unknown description>
+FVCALCGAAFSQGSSLFLHQRVH
+>Q6AW86_PF00096_425 <unknown description>
+FACAQCGRSFSRSSNLTQHQLLH
+>Q6AW86_PF00096_481 <unknown description>
+FVCTQCGRAFRERPALLHHQRIH
+>O60765_PF01352_13 <unknown description>
+SLTFEDVAVLFTRDEWRKLAPSQRNLYRDVMLENYRNLVSLG
+>O60765_PF00096_214 <unknown description>
+YKCSLCEKTFINTSSLRKHEKNH
+>O60765_PF00096_242 <unknown description>
+FKCKECSKAFSQSSALIQHQITH
+>O60765_PF00096_270 <unknown description>
+YICKECGKAFTLSTSLYKHLRTH
+>O60765_PF00096_298 <unknown description>
+YRCKECGKSFSRRSGLFIHQKIH
+>O60765_PF00096_354 <unknown description>
+YLCNECGNTFKSSSSLRYHQRIH
+>O60765_PF00096_382 <unknown description>
+FKCSECGRAFSQSASLIQHERIH
+>O60765_PF00096_410 <unknown description>
+YRCNECGKGFTSISRLNRHRIIH
+>O60765_PF00096_438 <unknown description>
+YNCNECGKALSSHSTLIIHERIH
+>O60765_PF00096_467 <unknown description>
+KCKVCGKAFRQSSALIQHQRMH
+>O60765_PF00096_494 <unknown description>
+YKCNECGKTFRCNSSLSNHQRIH
+>O60765_PF00096_522 <unknown description>
+YRCEECGISFGQSSALIQHRRIH
+>O60765_PF00096_550 <unknown description>
+FKCNTCGKTFRQSSSRIAHQRIH
+>O60765_PF00096_578 <unknown description>
+YECNTCGKLFNHRSSLTNHYKIH
+>Q96LW1_PF01352_13 <unknown description>
+SLTFEDVAVLFTWDEWRKLAPSQRNLYRDVMLENYRNLVSLG
+>Q96LW1_PF00096_214 <unknown description>
+YKCSTCEKAFIHNSSLRKHQKNH
+>Q96LW1_PF00096_242 <unknown description>
+FKCKECLKAFSQSSALIQHQRTH
+>Q96LW1_PF00096_270 <unknown description>
+YICKECGKAFSHSASLCKHLRTH
+>Q96LW1_PF00096_298 <unknown description>
+YRCKECGKSFSRRSGLFIHQKIH
+>Q96LW1_PF00096_354 <unknown description>
+YLCNECGNTFKSSSSLRYHQRIH
+>Q96LW1_PF00096_382 <unknown description>
+FKCSECGRAFSQSASLIQHERIH
+>Q96LW1_PF00096_410 <unknown description>
+YRCNECGKGFTSISRLNRHRIIH
+>Q96LW1_PF00096_438 <unknown description>
+YNCNECGKALSSHSTLIIHERIH
+>Q96LW1_PF00096_467 <unknown description>
+KCKVCGKAFRQSSALIQHQRMH
+>Q96LW1_PF00096_494 <unknown description>
+YKCNECDKTFRCNSSLSNHQRIH
+>Q96LW1_PF00096_522 <unknown description>
+YRCLECGMSFGQSAALIQHQRIH
+>Q96LW1_PF00096_550 <unknown description>
+FKCNTCGKTFRQSSSLIAHQRIH
+>Q96LW1_PF00096_578 <unknown description>
+YECNACGKLFSQRSSLTNHYKIH
+>Q86Y25_PF01352_11 <unknown description>
+PVTFRDVAVFFSQDEWLHLDSAQRALYREVMLENYSSLVSLG
+>Q86Y25_PF00096_216 <unknown description>
+HICNECGKSFKQNLHLIEHQRIH
+>Q86Y25_PF00096_244 <unknown description>
+YKCNECEKTFSHRSSLLSHQRIH
+>Q86Y25_PF00096_272 <unknown description>
+YKCNECEKAFSNSSTLIKHLRVH
+>Q86Y25_PF00096_300 <unknown description>
+YRCRECGKAFSQCSTLTVHQRIH
+>Q86Y25_PF00096_356 <unknown description>
+YKCSECGKGYSQFTSLAEHQRFH
+>Q86Y25_PF00096_384 <unknown description>
+YTCLECGRTFTRIVTLIEHQRIH
+>Q86Y25_PF00096_412 <unknown description>
+YQCNECEKAFNQYSSFNEHRKIH
+>Q86Y25_PF00096_440 <unknown description>
+YTCEECGKAFGCKSNLYRHQRIH
+>Q86Y25_PF00096_468 <unknown description>
+YQCNQCGKAFSQYSFLTEHERIH
+>Q86Y25_PF00096_496 <unknown description>
+YKCMECGKAYSYRSNLCRHKKVH
+>Q96PM9_PF12874_55 <unknown description>
+SCNICQIRFNSQSQAEAHYKGNRH
+>Q96PM9_PF12874_181 <unknown description>
+LYCALCKVAVNSLSQLEAHNKGTKH
+>Q96PM9_PF12874_241 <unknown description>
+FHCEICNVKVNSEVQLKQHISSRRH
+>Q9H6B1_PF12874_81 <unknown description>
+SCNICQLRFNSDSQAAAHYKGTKH
+>Q9H6B1_PF12874_204 <unknown description>
+LYCSLCKVAVNSASQLEAHNSGTKH
+>Q9H6B1_PF12874_267 <unknown description>
+FHCEICDVHVNSETQLKQHISSRRH
+>Q8IWR0_PF00642_905 <unknown description>
+SICDRYMNGTCPEGNSCKFAHG
+>Q9UGR2_PF13181_84 <unknown description>
+LHVNRAACYFTMGLYEKALEDSEKALGLDS
+>Q9UGR2_PF00642_756 <unknown description>
+QYDLCIHAQNGRKCQYVGNCSFAHSP
+>Q9UGR2_PF00642_890 <unknown description>
+RLCDRLQKGKACPDGDKCRCAHGQ
+>Q9C0D4_PF13909_164 <unknown description>
+CSHCSYISYTKGEFQRHLVKHTG
+>Q6P3V2_PF01352_26 <unknown description>
+SVSFRDVAIDFSREEWRHLDPSQRNLYRDVMLETYSHLLSVG
+>Q6P3V2_PF00096_186 <unknown description>
+FKCNECGKSFFQVSSLFRHQRIH
+>Q6P3V2_PF00096_214 <unknown description>
+YECSQCGKGFSYNSDLSIHEKIH
+>Q6P3V2_PF00096_242 <unknown description>
+HECTDCGKAFTQKSTLKMHQKIH
+>Q6P3V2_PF00096_298 <unknown description>
+YECSNCGKSFISKSQLQVHQRVH
+>Q6P3V2_PF00096_356 <unknown description>
+CTECGKAFTYRSELIIHQRIH
+>Q6P3V2_PF00096_382 <unknown description>
+YECSDCGKAFTQKSALTVHQRIH
+>Q6P3V2_PF00096_410 <unknown description>
+YICMKCGLAFIQKAHLIAHQIIH
+>Q6P3V2_PF00096_438 <unknown description>
+HKCGHCGKLFTSKSQLHVHKRIH
+>Q6P3V2_PF00096_466 <unknown description>
+YMCNKCGKAFTNRSNLITHQKTH
+>Q6P3V2_PF00096_494 <unknown description>
+YICSKCGKAFTQRSDLITHQRIH
+>Q6P3V2_PF00096_522 <unknown description>
+YECNTCGKAFTQKSHLNIHQKIH
+>Q6P3V2_PF00096_550 <unknown description>
+YECHECGKAFNQKSILIVHQKIH
+>Q6P3V2_PF00096_578 <unknown description>
+YVCTECGRAFIRKSNFITHQRIH
+>Q6P3V2_PF00096_606 <unknown description>
+YECSDCGKSFTSKSQLLVHQ
+>Q6P3V2_PF00096_634 <unknown description>
+YVCAECGKAFSGRSNLSKHQKTH
+>Q6P3V2_PF00096_662 <unknown description>
+YICSECGKTFRQKSELITHHRIH
+>Q6P3V2_PF00096_690 <unknown description>
+YECSDCGKSFTKKSQLQVHQRIH
+>Q6P3V2_PF00096_718 <unknown description>
+YVCAECGKAFTDRSNLNKHQTTH
+>Q6P3V2_PF00096_746 <unknown description>
+YKCGICGKGFVQKSVFSVHQSSH
+>Q52M93_PF01352_26 <unknown description>
+SVSFRDVAIDFSREEWRHLDLSQRNLYRDVMLETYSHLLSVG
+>Q52M93_PF00096_186 <unknown description>
+YKCNECGKSFFQVSSLFRHHRIH
+>Q52M93_PF00096_214 <unknown description>
+YECSECGKGFPYNSDLSIHEKIH
+>Q52M93_PF00096_242 <unknown description>
+HECTDCGKAFTQKSTLKIHQKIH
+>Q52M93_PF00096_298 <unknown description>
+YECNNCGKSFISKSQLQVHQRVH
+>Q52M93_PF00096_356 <unknown description>
+CTECGKAFTYRSELIIHQRIH
+>Q52M93_PF00096_382 <unknown description>
+YECSDCGRAFTQKSALTVHQRIH
+>Q52M93_PF00096_410 <unknown description>
+YICMKCGLAFIRKAHLITHQIIH
+>Q52M93_PF00096_438 <unknown description>
+YKCGHCGKLFTSKSQLHVHKRIH
+>Q52M93_PF00096_466 <unknown description>
+YVCNKCGKAFTNRSNLITHQKTH
+>Q52M93_PF00096_494 <unknown description>
+YICSKCGKAFTQRSDLITHQRIH
+>Q52M93_PF00096_522 <unknown description>
+YECNTCGKAFTQKSNLNIHQKIH
+>Q52M93_PF00096_550 <unknown description>
+YECHECGKAFNQKSILIVHQKIH
+>Q52M93_PF00096_578 <unknown description>
+YVCTECGRAFIRKSNFITHQRIH
+>Q52M93_PF00096_606 <unknown description>
+YECSDCGKSFTSKSQLLVHQ
+>Q52M93_PF00096_634 <unknown description>
+YVCAECGKAFSGRSNLSKHQKTH
+>Q52M93_PF00096_662 <unknown description>
+YICSECGKTFRQKSELITHHRIH
+>Q52M93_PF00096_690 <unknown description>
+YECSDCGKSFTKKSQLQVHQRIH
+>Q52M93_PF00096_718 <unknown description>
+YVCAECGKAFSNRSNLNKHQTTH
+>Q52M93_PF00096_746 <unknown description>
+YKCGICGKGFVQKSVFSVHQSSH
+>Q6ZN79_PF01352_7 <unknown description>
+VTFEDVAIDFTQEEWAMMDTSKRKLYRDVMLENISHLVSLG
+>Q6ZN79_PF00096_200 <unknown description>
+YACHLCGKAFTQCSHLRRHEKTH
+>Q6ZN79_PF00096_228 <unknown description>
+YKCHQCGKAFIQSFNLRRHERTH
+>P0CI00_PF01352_7 <unknown description>
+VTFEDVAIDFTQEEWDMMDTSKRKLYRDVMLENISHLVSLG
+>P0CI00_PF00096_172 <unknown description>
+YQCNLCEKAYTNCFYLRRHKMTH
+>P0CI00_PF00096_200 <unknown description>
+YACHLCGKAFTQCSHLRRHEKTH
+>P0CI00_PF00096_228 <unknown description>
+YKCHQCGKAFIQSFNLRRHERTH
+>P0CH99_PF01352_7 <unknown description>
+VTFEDVAIDFTQEEWDMMDTSKRKLYRDVMLENISHLVSLG
+>P0CH99_PF00096_172 <unknown description>
+YQCNLCEKAYTNCFYLRRHKMTH
+>P0CH99_PF00096_200 <unknown description>
+YACHLCGKAFTQCSHLRRHEKTH
+>P0CH99_PF00096_228 <unknown description>
+YKCHQCGKAFIQSFNLRRHERTH
+>A8MUZ8_PF01352_7 <unknown description>
+LTFEDVAIDFTQEEWAMMDTSKRKLYRDVMLENISHLVSLG
+>A8MUZ8_PF00096_172 <unknown description>
+YQCNLCEKAYTNCFHLRRHKMTH
+>A8MUZ8_PF00096_200 <unknown description>
+YACHLCRKAFTQCSHLRRHEKTH
+>O75290_PF01352_5 <unknown description>
+SVTFRDVAIDFSQEEWECLQPDQRTLYRDVMLENYSHLISLG
+>O75290_PF00096_165 <unknown description>
+YECKECGKYFSRSANLIQHQSIH
+>O75290_PF00096_221 <unknown description>
+FECNECGKAFSLLTLLNRHKNIH
+>O75290_PF00096_249 <unknown description>
+FECKECGKSFNRSSNLVQHQSIH
+>O75290_PF00096_277 <unknown description>
+YECKECGKGFNRGAHLIQHQKIH
+>O75290_PF00096_333 <unknown description>
+FECKECGKAFTLLTKLVRHQKIH
+>O75290_PF00096_361 <unknown description>
+FECRECGKAFSLLNQLNRHKNIH
+>O75290_PF00096_389 <unknown description>
+FECKECGKSFNRSSNLVQHQSIH
+>O75290_PF00096_417 <unknown description>
+YECKECGKGFNRGAHLIQHQKIH
+>O75290_PF00096_473 <unknown description>
+FECQDCGKAFNRGSSLVQHQSIH
+>O75290_PF00096_501 <unknown description>
+YECKECGKAFRLYLQLSQHQKTH
+>O75290_PF00096_529 <unknown description>
+FECKECGKFFRRGSNLNQHRSIH
+>O75290_PF00096_557 <unknown description>
+FECKECGKAFRLHMHLIRHQKLH
+>O75290_PF00096_585 <unknown description>
+FECKECGKAFRLHMQLIRHQKLH
+>O75290_PF00096_613 <unknown description>
+FECKECGKVFSLPTQLNRHKNIH
+>Q9Y6R6_PF01352_5 <unknown description>
+SVTFRDVAIDFSQEEWECLQPDQRTLYRDVMLENYSHLISLG
+>Q9Y6R6_PF00096_221 <unknown description>
+FECKECGKAFNLPTQLNRHKNIH
+>Q9Y6R6_PF00096_249 <unknown description>
+FECKECGKSFNRSSNLTQHQSIH
+>Q9Y6R6_PF00096_277 <unknown description>
+YQCKECGKAFNRGSNLIQHQKIH
+>Q9Y6R6_PF00096_333 <unknown description>
+FECKECRKAFTLLTKLVRHQKIH
+>Q9Y6R6_PF00096_361 <unknown description>
+FECRECGKAFSLLNQLNRHKNIH
+>Q9Y6R6_PF00096_389 <unknown description>
+FECKECGKSFNRSSNLIQHQSIH
+>Q9Y6R6_PF00096_417 <unknown description>
+YECKECGKGFNRGANLIQHQKIH
+>Q9Y6R6_PF00096_473 <unknown description>
+FECKECGKAFSLLTQLARHKNIH
+>Q9Y6R6_PF00096_501 <unknown description>
+FECKDCGKAFNRGSNLVQHQSIH
+>Q9Y6R6_PF00096_557 <unknown description>
+FECKECGKFFRRGSNLNQHRSIH
+>Q9Y6R6_PF00096_641 <unknown description>
+FKCKECGKSFNRVSNLVQHQSIH
+>Q9Y6R6_PF00096_669 <unknown description>
+YECKECGKGFSRVSNLIQHQKTH
+>Q9Y6R6_PF00096_697 <unknown description>
+FVCKECRKTFRYHYQLTEHYRIH
+>Q9Y6R6_PF13912_753 <unknown description>
+FKCKECGKAFNRGSNL
+>Q7Z570_PF12171_56 <unknown description>
+NFYCELCDKQYYKHQEFDNHINSYDHAHK
+>A4D1E1_PF12171_54 <unknown description>
+NFYCELCDKQYHKHQEFDNHINSYDHAHK
+>P25311_PF00129_26 <unknown description>
+GRYSLTYIYTGLSKHVEDVPAFQALGSLNDLQFFRYNSKDRKSQPMGLWRQVEGMEDWKQ
+DSQLQKAREDIFMETLKDIVEYYNDSNGSHVLQGRFGCEIENNRSSGAFWKYYYDGKDYI
+EFNKEIPAWVPFDPAAQITKQKWEAEPVYVQRAKAYLEEECPATLRKYLKYSKNIL
+>P25311_PF07654_215 <unknown description>
+QAPGEKKKLKCLAYDFYPGKIDVHWTRAGEVQEPELRGDVLHNGNGTYQSWVVVAVPPQD
+TAPYSCHVQHSSL
+>Q401N2_PF02931_52 <unknown description>
+IPNNGSAPLLVDVRVFVSNVFNVDILRYTMSSMLLLRLSWLDTRLAWNTSAHPRHAITLP
+WESLWTPRLTILEALWVDWRDQSPQARVDQDGHVKLNLALATETNCNFELLHFPRDHSNC
+SLSFYALSNTAMELEFQAHVVNEIVSVKREY
+>Q9Y493_PF00629_41 <unknown description>
+CDFEDDAKPLCDWSQVSADDEDWVRASGPSPTGSTGAPGGYPNGEGSYLHMESNSFHRGG
+VARLLSPDLWEQGPLCVHFAHHMFGLSWGAQLRLLLLSGEEGRRPDVLWKHWNTQRPSWM
+LTTVTVPAGFTLPTRLMFEGTRGSTAYLDIALDALSIRRGSCN
+>Q9Y493_PF00629_211 <unknown description>
+CSFDIPNDLCDWTWIPTASGAKWTQKKGSSGKPGVGPDGDFSSPGSGCYMLLDPKNARPG
+QKAVLLSPVSLSSGCLSFSFHYILRGQSPGAALHIYASVLGSIRKHTLFSGQPGPNWQAV
+SVNYTAVGRIQFAVVGVFGKTPEPAVAVDATSIAPC
+>Q9Y493_PF00629_373 <unknown description>
+CDFEDNAHPFCDWVQTSGDGGHWALGHKNGPVHGMGPAGGFPNAGGHYIYLEADEFSQAG
+QSVRLVSRPFCAPGDICVEFAYHMYGLGEGTMLELLLGSPAGSPPIPLWKRVGSQRPYWQ
+NTSVTVPSGHQQPMQLIFKGIQGSNTASVVAMGFILINPGTCP
+>Q9Y493_PF01826_1044 <unknown description>
+CPPNARYESCACPASCKSPRPSCGPLCREGCVCNPGFLFSDNHCIQASSC
+>Q9Y493_PF12714_1095 <unknown description>
+CFYNNDYYEPGAEWFSPNCTEHCRCWPGSRVECQISQCGTHTVCQLKNGQYGCH
+>Q9Y493_PF00094_1156 <unknown description>
+CLVYGDPHYVTFDGRHFGFMGKCTYILAQPCGNSTDPFFRVTAKNEEQGQEGVSCLSKVY
+VTLPESTVTLLKGRRTLVGGQQVTLPAIPSKGVFLGASGRFVELQTEFGLRVRWDGDQQL
+YVTVSSTYSGKLCGLCGNYDGNSDNDHLKLDG
+>Q9Y493_PF08742_1355 <unknown description>
+CGRLVDTHGPFETCLLHVKAASFFDSCMLDMCGFQGLQHLLCTHMSTMTTTCQDAGHAVK
+PWREPHFC
+>Q9Y493_PF01826_1426 <unknown description>
+CPPNSKYSLCAKPCPDTCHSGFSGMFCSDRCVEACECNPGFVLSGLECIPRSQC
+>Q9Y493_PF12714_1480 <unknown description>
+GCLHPAGSYFKVGERWYKPGCKELCVCESNNRIRCQPWRCRAQEFCGQQDGIYGCH
+>Q9Y493_PF00094_1542 <unknown description>
+CTASGDPHYLTFDGALHHFMGTCTYVLTRPCWSRSQDSYFVVSATNENRGGILEVSYIKA
+VHVTVFDLSISLLRGCKVMLNGHRVALPVWLAQGRVTIRLSSNLVLLYTNFGLQVRYDGS
+HLVEVTVPSSYGGQLCGLCGNYNNNSLDDNLRPDR
+>Q9Y493_PF08742_1742 <unknown description>
+CAILINPQGPFSQCHQVVPPQSSFASCVHGQCGTKGDTTALCRSLQAYASLCAQAGQAPA
+WRNRTFC
+>Q9Y493_PF01826_1812 <unknown description>
+CPPGSSYSPCSSPCPDTCSSINNPRDCPKALPCAESCECQKGHILSGTSCVPLGQC
+>Q9Y493_PF12714_1868 <unknown description>
+GCTDPAGSYHPVGERWYTENTCTRLCTCSVHNNITCFQSTCKPNQICWALDGLLHCR
+>Q9Y493_PF00094_1931 <unknown description>
+CQLPGESHYVSFDGSNHSIPDACTLVLVKVCHPAMALPFFKISAKHEKEEGGTEAFRLHE
+VYIDIYDAQVTLQKGHRVLINSKQVTLPAISQIPGVSVKSSSIYSIVNIKIGVQVKFDGN
+HLLEIEIPTTYYGKVCGMCGNFNDEEEDELMMPSD
+>Q9Y493_PF08742_2141 <unknown description>
+CEAALRAPVWAQCASRIDLTPFLVDCANTLCEFGGLYQALCQALQAFGATCQSQGLKPPL
+WRNSSFC
+>Q9Y493_PF01826_2211 <unknown description>
+CPAYSSYTNCLPSCSPSCWDLDGRCEGAKVPSACAEGCICQPGYVLSEDKCVPRSQC
+>Q9Y493_PF12714_2268 <unknown description>
+GCKDAHGGSIPLGKSWVSSGCTEKCVCTGGAIQCGDFRCPSGSHCQLTSDNSNSNC
+>Q9Y493_PF00094_2331 <unknown description>
+CSVYGDPRYLTFDGFSYRLQGRMTYVLIKTVDVLPEGVEPLLVEGRNKMDPPRSSIFLQE
+VITTVYGYKVQLQAGLELVVNNQKMAVPYRPNEHLRVTLWGQRLYLVTDFELVVSFGGRK
+NAVISLPSMYEGLVSGLCGNYDKNRKNDMMLPSG
+>Q9Y493_PF08742_2547 <unknown description>
+CRVLADPQGPFAACHQTVAPEPFQEHCVLDLCSAQDPREQEELRCQVLS
+>Q9Y493_PF12714_2653 <unknown description>
+GCTSNGIYYQLGSSFLTEDCSQRCTCASSRILLCEPFSCRAGEVCTLGNHTQGC
+>P43403_PF00017_10 <unknown description>
+FFYGSISRAEAEEHLKLAGMADGLFLLRQCLRSLGGYVLSLVHDVRFHHFPIERQLNGTY
+AIAGGKAHCGPAELCEFY
+>P43403_PF00017_163 <unknown description>
+WYHSSLTREEAERKLYSGAQTDGKFLLRPRKEQGTYALSLIYGKTVYHYLISQDKAGKYC
+IPEGTKFDTLWQLVEY
+>P43403_PF07714_342 <unknown description>
+IELGCGNFGSVRQGVYRMRKKQIDVAIKVLKQGTEKADTEEMMREAQIMHQLDNPYIVRL
+IGVCQAEALMLVMEMAGGGPLHKFLVGKREEIPVSNVAELLHQVSMGMKYLEEKNFVHRD
+LAARNVLLVNRHYAKISDFGLSKALGADDSYYTARSAGKWPLKWYAPECINFRKFSSRSD
+VWSYGVTMWEALSYGQKPYKKMKGPEVMAFIEQGKRMECPPECPPELYALMSDCWIYKWE
+DRPDFLTVEQR
+>A6NP61_PF13695_224 <unknown description>
+YGYFHCKDCKTRWESAYVWCISGTNKVYFKQLCCKCQKSFNPYRVEAIQCQTCSKSHCSC
+PQKKRHIDLRRPHRQELCGRCKD
+>Q86SH2_PF13695_326 <unknown description>
+KYGYYHCKDCNIRWESAYVWCVQGTNKVYFKQFCRTCQKSYNPYRVEDITCQSCKQTRCS
+CPVKLRHVDPKRPHRQDLCGRCK
+>O96006_PF02892_23 <unknown description>
+SKVWKYFGFDTNAEGCILQWKKIYCRICMAQIAYSGNTSNLSYHLEKNHP
+>O96006_PF05699_571 <unknown description>
+ELSNFKSQKVLGLNEDPLKWWSDRLALFPLLPKVLQKYWCVTATRVAPERLFGSAANVVS
+AKRNRLAPAHVDEQVFLYEN
+>Q9BTP6_PF02892_55 <unknown description>
+SEAWEYFHLAPARAGHHPNQYATCRLCGRQVSRGPGVNVGTTALWKHLKSMHR
+>Q96IU2_PF02892_46 <unknown description>
+SEAWGYFHLAPGRPGHPSGHWATCRLCGEQVGRGPGFHAGTSALWRHLRSAHR
+>O75132_PF02892_118 <unknown description>
+SPAWKHFFISPRDSTKAICMYCVKEFSRGKNEKDLSTSCLMRHVRRAHP
+>O75132_PF02892_288 <unknown description>
+SAVWKHFYLSPLDNSKAVCIHCMNEFSRGKNGKDLGTSCLIRHMWRAHR
+>O75132_PF02892_459 <unknown description>
+SEVWHHFSLAPMDSLKAECRYCGCAISRGKKGDVGTSCLMRHLYRRHP
+>O75132_PF02892_561 <unknown description>
+SKLWNHFSICSADSTKVVCLHCGRTISRGKKPTNLGTSCLLRHLQRFHS
+>O75132_PF05699_1088 <unknown description>
+YLEEEVLEHSCDPLTYWNLKKASWPGLSALAVRFLGCPPSIVPSEKLFNTPTENGSLGQS
+RLMMEHFEKLIFLKVN
+>P86452_PF02892_135 <unknown description>
+VWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARHS
+>P86452_PF02892_267 <unknown description>
+SAVWNFFYTDPQHISRAVCNICKRSVSRGRPGSHLGTSTLQRHLQATHP
+>P86452_PF05699_868 <unknown description>
+DEYFKEKYSEFSGGDDPLIYWQRKISIWPALTQVAIQYLSCPMCSWQSECIFTKNSHFHP
+KQIMSLDFDNIEQLMFLKMNL
+>Q8IZ13_PF14291_142 <unknown description>
+SDDVIHSRIDEMSQDILQQVLEDIKASPLKVGIQLAETTDMDDCSQLMAFVRYIKEREIV
+EEFLFCEPLQLSMKGIDVFNLFRDFFLKHKIALDVCGSVCTDGASSMLGEN
+>Q9H171_PF02295_15 <unknown description>
+EQRILQVLTEAGSPVKLAQLVKECQAPKRELNQVLYRMKKELKVS
+>Q96DT7_PF00651_354 <unknown description>
+LNEQRKKGILCDVSIVVSGKIFKAHKNILVAGSRFFKTLYCFSNKESPNQNNTTHLDIAA
+VQGFSVILDFLYSGNLVLTSQNAIEVMTVASYLQMSEVVQTCRNFIKD
+>Q96DT7_PF13909_722 <unknown description>
+LKCPHCSYVAKYRRTLKRHLLIHTG
+>O95625_PF17921_68 <unknown description>
+PERRRDLIEAAHLGPGGTHHTRHQTWHYLSKTYWWRGILKQVKDYIKQCSKCQE
+>O95625_PF00651_204 <unknown description>
+LNEQRLSNQFCDVTLLIEGEEYKAHKSVLSANSEYFRDLFIEKGAVSSHEAVVDLSGFCK
+ASFLPLLEFAYTSVLSFDFCSMADVAILARHLFMSEVLEICES
+>O95625_PF13912_569 <unknown description>
+HKCGECGMVFQRRYALIMHKLKHER
+>O95625_PF13912_597 <unknown description>
+YKCPLCKKQFQYSASLRAHLIRHT
+>O95625_PF13912_651 <unknown description>
+FICSICGRTLPKLYSLRIHMLKH
+>O95625_PF00096_707 <unknown description>
+FQCELCVKSFVTKRSLQEHMSIH
+>O95625_PF13912_735 <unknown description>
+YLCSVCGKSFHRGSGLSKHFKKHQ
+>O95625_PF00096_822 <unknown description>
+YRCNICGKEFYEKALFRRHVKKATH
+>O95625_PF00096_859 <unknown description>
+VCEKCGRKFTQLREYRRHMNNH
+>O95625_PF00096_914 <unknown description>
+YVCPVCSEAYIDARTLRKHMTKFH
+>Q9Y330_PF00651_23 <unknown description>
+MNQLRAEERFCDVTIVADSLKFRGHKVILAACSPFLRDQFLLNPSSELQVSLMHSARIVA
+DLLLSCYTGALEFAVRDIVNYLTAASYLQMEHVVEKCRNALSQ
+>Q9Y330_PF00096_359 <unknown description>
+FMCPRCGKQFNHSSNLNRHMNVH
+>Q9Y330_PF00096_387 <unknown description>
+HSCGICGKCFTQKSTLHDHLNLH
+>O43829_PF00651_26 <unknown description>
+LNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTYFKKLFKKLEVDSSSVIEIDFLRSDI
+FEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFLDKLCSQKR
+>O43829_PF00096_305 <unknown description>
+FVCEMCTKGFTTQAHLKEHLKIH
+>O43829_PF00096_333 <unknown description>
+YSCEVCGKSFIRAPDLKKHERVH
+>O43829_PF00096_361 <unknown description>
+FACHMCDKAFKHKSHLKDHERRH
+>O43829_PF00096_389 <unknown description>
+FVCGSCTKAFAKASDLKRHENNMH
+>Q05516_PF00651_25 <unknown description>
+NQMRLAGTLCDVVIMVDSQEFHAHRTVLACTSKMFEILFHRNSQHYTLDFLSPKTFQQIL
+EYAYTATLQAKAEDLDDLLYAAEILEIEYLEEQCLKMLET
+>Q05516_PF13912_460 <unknown description>
+AFVCDQCGAQFSKEDALETHRQTH
+>Q05516_PF00096_492 <unknown description>
+CLLCGKRFQAQSALQQHMEVH
+>Q05516_PF13912_518 <unknown description>
+YICSECNRTFPSHTALKRHLRSH
+>Q05516_PF00096_546 <unknown description>
+YECEFCGSCFRDESTLKSHKRIH
+>Q05516_PF00096_574 <unknown description>
+YECNGCGKKFSLKHQLETHYRVH
+>Q13105_PF00651_14 <unknown description>
+LNQQRQLGLLCDCTFVVDGVHFKAHKAVLAACSEYFKMLFVDQKDVVHLDISNAAGLGQV
+LEFMYTAKLSLSPENVDDVLAVATFLQMQDIITACHA
+>Q13105_PF00096_306 <unknown description>
+HKCEDCGKEFTHTGNFKRHIRIH
+>Q13105_PF00096_390 <unknown description>
+YRCEDCGKLFTTSGNLKRHQLVH
+>Q13105_PF00096_418 <unknown description>
+YQCDYCGRSFSDPTSKMRHLETH
+>Q13105_PF00096_446 <unknown description>
+HKCPHCDKKFNQVGNLKAHLKIH
+>Q13105_PF00096_475 <unknown description>
+KCRECGKQFTTSGNLKRHLRIH
+>Q13105_PF00096_531 <unknown description>
+QCVMCGKAFTQASSLIAHVRQH
+>Q13105_PF00096_558 <unknown description>
+YVCERCGKRFVQSSQLANHIRHH
+>Q13105_PF00096_586 <unknown description>
+HKCSVCSKAFVNVGDLSKHIIIH
+>Q13105_PF00096_614 <unknown description>
+YLCDKCGRGFNRVDNLRSHVKTVH
+>Q99592_PF00651_23 <unknown description>
+LSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKRDIVHLNSDIVTAP
+AFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK
+>Q99592_PF00096_379 <unknown description>
+FMCPLCNKVFPSPHILQIHLSTH
+>Q99592_PF13894_420 <unknown description>
+TCSLCGKTFSCMYTLKRHERTH
+>Q99592_PF00096_447 <unknown description>
+YTCTQCGKSFQYSHNLSRHAVVH
+>Q99592_PF00096_475 <unknown description>
+HACKWCERRFTQSGDLYRHIRKFH
+>Q9HC78_PF00651_94 <unknown description>
+LNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKLLLGYSDIEIPSVVSVQSVQK
+LIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIVSQN
+>Q9HC78_PF00096_578 <unknown description>
+YECTLCNKTFTAKQNYVKHMFVH
+>Q9HC78_PF00096_606 <unknown description>
+HQCSICWRSFSLKDYLIKHMVTH
+>Q9HC78_PF00096_634 <unknown description>
+YQCSICNKRFTQKSSLNVHMRLH
+>Q9HC78_PF00096_662 <unknown description>
+YECYICKKKFSHKTLLERHVALH
+>Q9HC78_PF00096_715 <unknown description>
+YVCSVCPAKFDQIEQFNDHMRMH
+>Q9ULJ3_PF00651_20 <unknown description>
+LNEERLKGQLCDVLLIVGDQKFRAHKNVLAASSEYFQSLFTNKENESQTVFQLDFCEPDA
+FDNVLNYIYSSSLFVEKSSLAAVQELGYSLGISFLTNIVSK
+>Q9ULJ3_PF00096_670 <unknown description>
+YICTYCGKAYRFLSQFKQHIKMH
+>Q9ULJ3_PF18450_746 <unknown description>
+NAAVCPYCSLRFFSPELKQEHESKCEYK
+>Q9ULJ3_PF00096_1043 <unknown description>
+FMCKLCHRTFKTAFSLWSHEQTH
+>O15209_PF00651_47 <unknown description>
+LNQQRLQGQLCDVSIRVQGREFRAHRAVLAASSPYFHDQVLLKGMTSISLPSVMDPGAFE
+TVLASAYTGRLSMAAADIVNFLTVGSVLQMWHIVDKCTELLRE
+>O43167_PF00651_27 <unknown description>
+FEDQRKKGFLCDITLIVENVHFRAHKALLAASSEYFSMMFAEEGEIGQSIYMLEGMVADT
+FGILLEFIYTGYLHASEKSTEQILATAQFLKVYDLVKAYTDFQNN
+>O43167_PF00096_295 <unknown description>
+RCKDCGKVFKYNHFLAIHQRSH
+>O43167_PF00096_322 <unknown description>
+FKCNECGKGFAQKHSLQVHTRMH
+>O43167_PF00096_350 <unknown description>
+YTCTVCSKALTTKHSLLEHMSLH
+>O43167_PF00096_378 <unknown description>
+FTCDQCGKYFSQNRQLKSHYRVH
+>O43167_PF00096_407 <unknown description>
+ECKDCHRKFMDVSQLKKHLRTH
+>O43167_PF00096_434 <unknown description>
+FTCEICGKSFTAKSSLQTHIRIH
+>O43167_PF00096_462 <unknown description>
+YSCGICGKSFSDSSAKRRH
+>O43167_PF00096_490 <unknown description>
+FSCPECNLQFARLDNLKAHLKIH
+>P24278_PF00651_14 <unknown description>
+LNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSECIKIQPTDIQPDIF
+SYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATE
+>P24278_PF00096_240 <unknown description>
+CHYCGERFDSRSNLRQHLHTH
+>P24278_PF00096_350 <unknown description>
+SCTICGHKFPRKSQLLEHMYTH
+>Q9HCK0_PF00651_23 <unknown description>
+MNKLREENKFCDVTVLIDDIEVQGHKIVFAAGSPFLRDQFLLNDSREVKISILQSSEVGR
+QLLLSCYSGVLEFPEMELVNYLTAASFLQMSHIVERCTQAL
+>Q9HCK0_PF00096_273 <unknown description>
+HQCPKCTRVFRHLENYANHLKMH
+>Q9HCK0_PF00096_298 <unknown description>
+FMCLLCGKTFTQKGNLHRHMRVH
+>Q9HCK0_PF00096_326 <unknown description>
+FQCKICGKTFSQKCSLQDHLNLH
+>Q9HCK0_PF00096_354 <unknown description>
+HKCNYCDMVFAHKPVLRKHLKQLH
+>Q9Y2Y4_PF00651_24 <unknown description>
+LRPALCDTLITVGSQEFPAHSLVLAGVSQQLGRRGQWALGEGISPSTFAQLLNFVYGESV
+ELQPGELRPLQEAARALGVQSLEEAC
+>Q9Y2Y4_PF00096_373 <unknown description>
+YACSVCGKRFSLKHQMETHYRVH
+>Q9Y2Y4_PF12874_428 <unknown description>
+YRCSLCGAGCPSLASMQAHMRGH
+>Q5TC79_PF00651_22 <unknown description>
+LNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFRDHMSLNEMSTVSISVIKNPTVFE
+QLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKCTQILE
+>Q5TC79_PF00096_374 <unknown description>
+TCIYCAKSFNQKGSLDRHMRLH
+>Q5TC79_PF00096_401 <unknown description>
+FVCRMCGKKYTRKDQLEYHIRKH
+>Q5TC79_PF12874_429 <unknown description>
+FHCHVCGKSFPFQAILNQHFR
+>Q8NAP3_PF00651_23 <unknown description>
+LNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKNIFWSHTICISSHVLELDDLKAE
+VFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLEDLTDRN
+>Q8NAP3_PF00096_460 <unknown description>
+YSCVVCKRSYVTLSSLRRHANVH
+>Q8NAP3_PF00096_1038 <unknown description>
+YQCKTCGRCFSVQGNLQKHERIH
+>Q8NAP3_PF00096_1066 <unknown description>
+FVCQYCNKAFTLNETLKIHERIH
+>O15060_PF00651_20 <unknown description>
+LNKCRLSETMCDVTIVVGSRSFPAHKAVLACAAGYFQNLFLNTGLDAARTYVVDFITPAN
+FEKVLSFVYTSELFTDLINVGVIYEVAERLGMEDLLQACHS
+>O15060_PF00096_508 <unknown description>
+FSCSVCANSFVDWHLLEKHMAVH
+>O15060_PF00096_605 <unknown description>
+YSCKVCGKRFAHTSEFNYHRRIH
+>Q9NUA8_PF00651_17 <unknown description>
+LCKEQQFCDCTISIGTIYFRAHKLVLAAASLLFKTLLDNTDTISIDASVVSPEEFALLLE
+MMYTGKLPVGKHNFSKIISLADSLQMFDVAVSCKNLLT
+>Q9NUA8_PF00096_836 <unknown description>
+FSCEECGAKFAANSTLKNHLRLH
+>Q9NUA8_PF00096_893 <unknown description>
+YACQYCDAVFAQSIELSRHVRTH
+>Q9NUA8_PF00096_921 <unknown description>
+YVCRDCGKGFRQANGLSIHLHTFH
+>Q9NUA8_PF00096_978 <unknown description>
+HPCPTCGKIFSAPSMLERHVVTH
+>Q9NUA8_PF12874_1137 <unknown description>
+CELCGELFTSQAQLDSHLES
+>Q5SVQ8_PF00651_81 <unknown description>
+RQKQPSFCDLLIIVEGKEFSAHKVVVAVGSSYFHACLSKNPSTDVVTLDHVTHSVFQHLL
+EFLYTSEFFVYKYEIPLVLEAAKFLDIIDAVKLL
+>Q5SVQ8_PF00096_362 <unknown description>
+QCPKCDKTFDRIGKYESHTRVH
+>Q5SVQ8_PF00096_389 <unknown description>
+FECDICHQRYSTKSNLTVHRKKH
+>Q5SVQ8_PF00096_464 <unknown description>
+KCDICKKSFTRRPHLEEHMILH
+>Q5SVQ8_PF00096_518 <unknown description>
+FPCDICGRQFNDTGNLKRHI
+>Q5SVQ8_PF00096_603 <unknown description>
+YECDECGKTFIRHDHLTKHKKIH
+>Q5SVQ8_PF00096_631 <unknown description>
+HQCEECGKCFGRRDHLTVHYKSVH
+>Q5SVQ8_PF12874_669 <unknown description>
+QCDVCKKIFKGKSSLEMHFR
+>Q5SVQ8_PF00096_696 <unknown description>
+YKCQICNQSFRIKKTLTKHLVIH
+>B2RXF5_PF00651_14 <unknown description>
+LRQQRELGFLCDCTVLVGDARFPAHRAVLAACSVYFHLFYRDRPAGSRDTVRLNGDIVTA
+PAFGRLLDFMYEGRLDLRSLPVEDVLAAASYLHMYDIVKVCKGRLQEK
+>B2RXF5_PF13894_335 <unknown description>
+TCPLCGKTFSCTYTLKRHERTH
+>B2RXF5_PF00096_362 <unknown description>
+YTCVQCGKSFQYSHNLSRHTVVH
+>B2RXF5_PF00096_391 <unknown description>
+ACRWCERRFTQSGDLYRHVRKFH
+>O43298_PF00651_23 <unknown description>
+LNQQRQQGQLCDVSIVVQGHIFRAHKAVLAASSPYFCDQVLLKNSRRIVLPDVMNPRVFE
+NILLSSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCTEVLEG
+>O43298_PF00096_402 <unknown description>
+CGVCGKKFKMKHHLVGHMKIH
+>Q96K62_PF00651_23 <unknown description>
+LNGQRLGGHFCDVTVRIREASLRAHRCVLAAGSPFFQDKLLLGHSEIRVPPVVPAQTVRQ
+LVEFLYSGSLVVAQGEALQVLTAASVLRIQTVIDECTQII
+>Q96K62_PF00096_403 <unknown description>
+YECSHCRKTFSSRKNYTKHMFIH
+>Q96K62_PF00096_431 <unknown description>
+HQCAVCWRSFSLRDYLLKHMVTH
+>Q96K62_PF00096_459 <unknown description>
+FQCAVCAKRFTQKSSLNVHMRTH
+>Q96K62_PF00096_487 <unknown description>
+PCPACGKVFSHRALLERHLAAH
+>Q86UZ6_PF00651_21 <unknown description>
+LNEQRQHGVLCDVCVVVEGKVFKAHKNVLLGSSRYFKTLYCQVQKTSEQATVTHLDIVTA
+QGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQACHDFIKA
+>Q86UZ6_PF13909_418 <unknown description>
+FKCPYCSFSAMHQCILKRHMRSHTG
+>Q9UFB7_PF00651_5 <unknown description>
+NEQRLFQPDLCDVDLVLVPQRSVFPAHKGVLAAYSQFFHSLFTQNKQLQRVELSLEALAP
+GGLQQILNFIYTSKLLVNAANVHEVLSAASLLQMADIAASCQELLDA
+>Q9UFB7_PF00096_436 <unknown description>
+HPCQKCPRVFNNRWYLEKHMNVTH
+>Q9UFB7_PF00096_548 <unknown description>
+FTCETCGKSFKRSMSLKVHSLQH
+>Q9UFB7_PF00096_576 <unknown description>
+FRCENCNERFQYKYQLRSHMSIH
+>Q9UFB7_PF00096_632 <unknown description>
+YICEICGKSFTSRPNMKRHRRTH
+>Q6ZSB9_PF00651_15 <unknown description>
+LHEQRIQGLLCDCMLVVKGVCFKAHKNVLAAFSQYFRSLFQNSSSQKNDVFHLDVKNVSG
+IGQILDFMYTSHLDLNQDNIQVMLDTAQCLQVQNVLSLCHTFLKS
+>Q6ZSB9_PF00096_395 <unknown description>
+YACELCGKPFKHPSNLELHKRSH
+>Q6ZSB9_PF00096_423 <unknown description>
+FECNICGKHFSQAGNLQTHLRRH
+>Q6ZSB9_PF00096_451 <unknown description>
+YICEICGKRFAASGDVQRHIIIH
+>Q6ZSB9_PF13912_479 <unknown description>
+HLCDICGRGFSNFSNLKEHKKTH
+>Q6ZSB9_PF13912_506 <unknown description>
+VFTCDECGKSFNMQRKLVKHRIRH
+>Q6ZSB9_PF00096_535 <unknown description>
+YSCSACGKCFGGSGDLRRHVRTH
+>Q6ZSB9_PF00096_563 <unknown description>
+YTCEICNKCFTRSAVLRRHKKMH
+>O95365_PF00651_24 <unknown description>
+LNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFKKLFTSGAVVDQQNVYEIDFVSAE
+ALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVSHVCADLLDRQ
+>O95365_PF00096_410 <unknown description>
+YECNICKVRFTRQDKLKVHMRKH
+>O95365_PF00096_438 <unknown description>
+YLCQQCGAAFAHNYDLKNHMRVH
+>O15156_PF00651_24 <unknown description>
+LNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFKKLFTEGGGGAVMGAGGSGTATGG
+AGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPAVLQAARLLEIPCVIAACMEILQG
+S
+>O15156_PF00096_374 <unknown description>
+FACEVCGVRFTRNDKLKIHMRKH
+>O15156_PF00096_430 <unknown description>
+YECHLCHKAFAKEDHLQRHLK
+>A1YPR0_PF00651_24 <unknown description>
+LNEQRHDGLLCDVLLVVQEQEYRTHRSVLAACSKYFKKLFTAGTLASQPYVYEIDFVQPE
+ALAAILEFAYTSTLTITAGNVKHILNAARMLEIQCIVNVCLEIM
+>A1YPR0_PF00096_392 <unknown description>
+YMCTICEVRFTRQDKLKIHMRKH
+>A1YPR0_PF00096_448 <unknown description>
+YQCEFCYKSFTRSDHLHRHIKR
+>Q96BR9_PF00651_14 <unknown description>
+LNEQRRQDVFCDCSILVEGKVFKAHRNVLFASSGYFKMLLSQNSKETSQPTTATFQAFSP
+DTFTVILDFVYSGKLSLTGQNVIEVMSAASFLQMTDVISVCKTFIKSS
+>Q96BR9_PF00096_282 <unknown description>
+FKCPYCTHVVKRKADLKRHLR
+>Q96BR9_PF00096_310 <unknown description>
+YPCQACGKRFSRLDHLSSHFRTIH
+>Q8NAP8_PF00651_14 <unknown description>
+LNEQRKRDFFCDCSIIVEGRIFKAHRNILFANSGYFRALLIHYIQDSGRHSTASLDIVTS
+DAFSIILDFLYSGKLDLCGENVIEVMSAASYLQMNDVVNFCKTYIRSS
+>Q8NAP8_PF13909_341 <unknown description>
+HKCPFCPYTAKQKGILKRHIRSHTG
+>Q9Y2K1_PF00651_14 <unknown description>
+LNNQREWGFLCDCCIAIDDIYFQAHKAVLAACSSYFRMFFMNHQHSTAQLNLSNMKISAE
+CFDLILQFMYLGKIMTAPSSFEQFKVAMNYLQLYNVPDCLEDI
+>Q8N680_PF00651_14 <unknown description>
+LNAQREFGFLCDCTVAIGDVYFKAHKSVLASFSNYFKMLFVHQTSECVRLKPTDIQPDIF
+SYLLHLMYTGKMAPQLIDPVRLEQGIKFLHAYPL
+>Q8N680_PF00096_254 <unknown description>
+YACHLCGRRFTLRSSLREHLQIH
+>Q8N680_PF00096_363 <unknown description>
+YECTICGRKFIQKSHWREHMYIH
+>Q9P1Z0_PF00651_20 <unknown description>
+LNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL
+>O15062_PF00651_14 <unknown description>
+LNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQTMNMIQLDSEVVT
+AEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLTT
+>Q15916_PF00651_23 <unknown description>
+MNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRDQFLLTQSKHVRITILQSAEVGR
+KLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCTEALSK
+>Q15916_PF00096_327 <unknown description>
+LCLQCGKTFTQKKNLNRHIRGH
+>Q15916_PF00096_354 <unknown description>
+FQCTVCLKTFTAKSTLQDHLNIH
+>Q15916_PF12874_382 <unknown description>
+YKCHCCDMDFKHKSALKKHLTS
+>Q96C00_PF00651_38 <unknown description>
+LNRHRLEGKFCDVSLLVQGRELRAHKAVLAAASPYFHDKLLLGDAPRLTLPSVIEADAFE
+GLLQLIYSGRLRLPLDALPAHLLVASGLQMWQVVDQCSEILRE
+>O75152_PF15663_1 <unknown description>
+MPNQGEDCYFFFYSTCTKGDSCPFRHCEAAIGNETVCTLWQEGRCFRQVCRFRHMEIDKK
+RSEIPCYWENQPTGCQKLNCAFHHNRGRYVDGLFLPPSKTVLPTV
+>A0A1B0GTU1_PF15663_1 <unknown description>
+MPNQGEDCYFFFYSTCTKGDSCPFRHCEAALGNETVCTLWQEGRCFRRVCRFRHMEIDKK
+RSEIPCYWENQPTGCQKLNCVFHHNRGRYVDGLFLPPSKSVLP
+>Q5D1E8_PF18039_49 <unknown description>
+QMKVDFFRKLGYSSTEIHSVLQKLGVQADTNTVLGELVKHG
+>Q5D1E8_PF11977_135 <unknown description>
+LRPVVIDGSNVAMSHGNKEVFSCRGILLAVNWFLERGHTDITVFVPSWRKEQPRPDVPIT
+DQHILRELEKKKILVFTPSRRVGGKRVVCYDDRFIVKLAYESDGIVVSNDTYRDLQGERQ
+EWKRFIEERLLMYSFVNDKFMPPDDPLGRHGPSLDN
+>Q5D1E8_PF18561_550 <unknown description>
+AKEQASVYTKLCGVFPPHLVEAVMGRFPQLLDPQQLAAEILSYK
+>Q5HYM0_PF18039_108 <unknown description>
+YQAKMEFALKLGYAEEQIQSVLNKLGPESLINDVLAELVRLG
+>Q5HYM0_PF11977_190 <unknown description>
+LRPVVIDGSNVAMSHGNKEEFSCRGIQLAVDWFLDKGHKDITVFVPAWRKEQSRPDAPIT
+DQDILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIVKLAFDSDGIIVSNDNYRDLQVEKP
+EWKKFIEERLLMYSFVNDKFMPPDDPLGRHGPSLEN
+>Q5HYM0_PF18561_795 <unknown description>
+TYKNLCNIFPSNIVLAVMEKNPHTADAQQLAALIV
+>Q9C0D7_PF18039_162 <unknown description>
+YQTKLEFALKLGYSEEQVQLVLNKLGTDALINDILGELVKLG
+>Q9C0D7_PF11977_245 <unknown description>
+LRPIVIDGSNVAMSHGNKEVFSCRGIKLAVDWFLERGHKDITVFVPAWRKEQSRPDALIT
+DQEILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIVKLAFESDGIIVSNDNYRDLANEKP
+EWKKFIDERLLMYSFVNDKFMPPDDPLGRHGPSLDN
+>Q9C0D7_PF18561_833 <unknown description>
+RYQDNREKIYINLCNIFPPDLVRIVMKRNPHMTDAQQLAAAILVEK
+>A2A288_PF18039_3 <unknown description>
+HPSKMEFFQKLGYDREDVLRVLGKLGEGALVNDVLQELIRTG
+>A2A288_PF11977_88 <unknown description>
+SLRPIVIDGSNVAMSHGNKETFSCRGIKLAVDWFRDRGHTYIKVFVPSWRKDPPRADTPI
+REQHVLAELERQAVLVYTPSRKVHGKRLVCYDDRYIVKVAYEQDGVIVSNDNYRDLQSEN
+PEWKWFIEQRLLMFSFVNDRFMPPDDPLGRHGPSLS
+>Q96GY0_PF13913_15 <unknown description>
+ELLPCKICGRTFFPVALKKHGPICQ
+>Q96GY0_PF13913_119 <unknown description>
+YIQCPYCQRRFNENAADRHINFCK
+>Q5TFG8_PF13913_14 <unknown description>
+ELFPCEVCGRRFAADVLERHGPICK
+>Q86WB0_PF07967_73 <unknown description>
+TSKEAFFSRVETFSSLKWAGKPFELSPLVCAKYGWVTVECDMLKCSSCQAFLCASLQPAF
+DFDRYKQRCAELKKALCTAHEKFCFWPDSPSPDRFGMLPLDEPAILVSEFLDRFQSLCHL
+DLQLPSL
+>Q86WB0_PF08600_248 <unknown description>
+ACILSVCGWACSSSLESMQLSLITCSQCMRKVGLWGFQQIESSMTDLDASFGLTSSPIPG
+LEGRPERLPLVPESPRRMMTRSQDAT
+>O60293_PF10650_1185 <unknown description>
+FCRFDLTGTCNDDDCQWQHI
+>Q8IXZ2_PF00642_670 <unknown description>
+KEYCMYYNRFGRCNRGERCPYIHDP
+>Q8IXZ2_PF00642_776 <unknown description>
+LLCPDFARRGACPRGAQCQ
+>Q9UPT8_PF18044_395 <unknown description>
+ICKYFVEGRCTWGDHCNFSHD
+>Q9UPT8_PF00642_420 <unknown description>
+KKRELCKFYITGFCARAENCPYMHG
+>Q9UPT8_PF14608_447 <unknown description>
+PCKLYHTTGNCINGDDCMFSH
+>P61129_PF18345_278 <unknown description>
+CKYFLEGRCIKGDQCKFDH
+>P61129_PF00642_303 <unknown description>
+RKEICKFYLQGYCTKGENCIYMHN
+>P61129_PF14608_329 <unknown description>
+PCKFYHSGAKCYQGDNCKFSH
+>Q8N5P1_PF00642_193 <unknown description>
+GKQICKYFLERKCIKGDQCKFDHD
+>Q8N5P1_PF18345_226 <unknown description>
+CKFYVQGYCTRGENCLYLH
+>Q8N5P1_PF14608_248 <unknown description>
+PCKFYHTGTKCYQGEYCKFSHA
+>Q96K80_PF14608_41 <unknown description>
+ICRDFLRNVCKRGKRCRYRHP
+>Q96K80_PF14608_79 <unknown description>
+CHDFQNKECSRPNCRFIHG
+>Q96K80_PF00642_137 <unknown description>
+VPICRDFLKGDCQRGAKCKFRHL
+>Q6PJT7_PF14608_601 <unknown description>
+CKYWPACKNGDECAYHHP
+>Q6PJT7_PF14608_621 <unknown description>
+PCKAFPNCKFAEKCLFVHP
+>Q6PJT7_PF14608_641 <unknown description>
+CKYDAKCTKPDCPFTH
+>Q6PJT7_PF14608_681 <unknown description>
+LCRYFPACKKMECPFYHP
+>Q6PJT7_PF14608_701 <unknown description>
+CRFNTQCTRPDCTFYHP
+>Q8WU90_PF00642_100 <unknown description>
+PKSVVCAFFKQGQCTKGDKCKFSHD
+>Q8WU90_PF16543_229 <unknown description>
+EISLEDLIERERSALGPNVTKITLESFLAWKKRKRQEKIDKLEQDMERRKADFKAGKALV
+ISGREVFEFRPELVNDDDEEADDTR
+>Q9NQZ6_PF10146_14 <unknown description>
+IKEIRNKTLQMEKIKARLKAEFEALESEERHLKEYKQEMDLLLQEKMAHVEELRLIHADI
+NVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRLPDLCEEEEKLSLD
+YFEKQKAEWQT
+>Q9NQZ6_PF10146_143 <unknown description>
+QTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFRQQPPPMKACLSCHQQIHRNAPI
+CPLCKAKSRSRNPKKPKRK
+>Q9NP64_PF00575_17 <unknown description>
+TIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEIVDVGDKVWVKLIGREM
+KNDRIKVSL
+>P0CG32_PF14893_12 <unknown description>
+RQQNAPLPPWAHSMLRSLGRSLCPLVVKMAERNMKLFSGRVVPAQGKETFENWLIQVNEV
+LPDWSMSEEEKLKRLMKTLRGPAREVMRLLQAANPNLSVADFLRAMKLVFGESESSVTAH
+GKFFNTLQAQGEKASLYVIRLEVQLQNAIQAGILAEKDANQTRLQQLLLGAELNRDLRFR
+LKHLLRMYANKQERLPNFLEL
+>Q96H79_PF18606_5 <unknown description>
+TVCSFLTKVLCAHGGRMFLKDLRGHVELSEARLRDVLQRAGPERFLLQEVETQEGL
+>Q7Z2W4_PF18606_5 <unknown description>
+EVCCFITKILCAHGGRMALDALLQEIALSEPQLCEVLQVAGPDRFVVLETGGEAGITRSV
+VA
+>Q7Z2W4_PF18633_143 <unknown description>
+PFFMPEICKSYKGEGRQQICNQQPPCSR
+>Q7Z2W4_PF02825_607 <unknown description>
+WIWYWKNESGTWIQYGEEKDKRKNSNVDSSYLESLYQSCPRGVVPFQAGSRNYELSFQGM
+IQTNIASKTQKDV
+>Q7Z2W4_PF00644_739 <unknown description>
+EYVRVSEHFKASMKNFKIEKIKKIENSELLDKFTWKKSQMKEEGKLLFYATSRAYVESIC
+SNNFDSFLHETHENKYGKGIYFAKDAIYSHKNCPYDAKNVVMFVAQVLVGKFTEGNITYT
+SPPPQFDSCVDTRSNPSVFVIFQKDQVYPQYVIEYT
+>Q8TBK6_PF13917_40 <unknown description>
+KQHVRCQKCLEFGHWTYECTGKRKYLHRPSRTAELKK
+>Q6PEW1_PF14893_15 <unknown description>
+NAPLPPWAHSMLRSLGRSLGPIMASMADRNMKLFSGRVVPAQGEETFENWLTQVNGVLPD
+WNMSEEEKLKRLMKTLRGPAREVMRVLQATNPNLSVADFLRAMKLVFGESESSVTAHGKF
+FNTLQAQGEKASLYVIRLEVQLQNAIQAGIIAEKDANRTRLQQLLLGGELSRDLRLRLKD
+FLRMYANEQERLPNFLEL
+>Q8WW36_PF00098_66 <unknown description>
+ICYNCGRSGHIAKDCK
+>Q8WW36_PF00098_90 <unknown description>
+HCYTCGRLGHLARDCD
+>Q8WW36_PF00098_110 <unknown description>
+QKCYSCGKLGHIQKDCAQ
+>Q8WW36_PF00098_129 <unknown description>
+KCYRCGEIGHVAINCSK
+>Q86VM9_PF18044_222 <unknown description>
+PTCRFFMKGNCTWGMNCRFIHP
+>Q8N2G6_PF13696_129 <unknown description>
+PPNYLCHLCFNKGHYIKDCPQ
+>Q8N2G6_PF17180_164 <unknown description>
+KRCFGEYKCPKCKRKWMSGNSWANMGQECIKCHINVYPHKQRPLEKPDGLDVSDQSKEHP
+QHLCEKC
+>Q9C0B9_PF00098_1132 <unknown description>
+SCYNCGVSGHYAQDCKQ
+>Q9NUD5_PF00098_352 <unknown description>
+RCFRCGEEGHLSPYCR
+>Q9H5U6_PF06839_38 <unknown description>
+PLCPHGPTLLFVKVTQGKEETRRFYACSACRDRKDCNFFQWEDEK
+>Q9H5U6_PF10237_167 <unknown description>
+KKTNAQYLFADRSCQFLVDLLSALGFRRVLCVGTPRLHELIKLTASGDKKSNIKSLLLDI
+DFRYSQFYMEDSFCHYNMFNHHFFDGKTALEVCRAFLQEDKGEGIIMVTDPPFGGLVEPL
+AITFKKLIAMWKEGQSQDDSHKELPIFWIFPYFFESRICQFFPSFQMLDYQVDYDNH
+>Q8N3Z6_PF00098_242 <unknown description>
+ICRNCDKRGHLSKNCP
+>Q6NZY4_PF00098_228 <unknown description>
+HCFNCGSEEHQMKDCP
+>Q6NZY4_PF04046_287 <unknown description>
+KPGVISEELQDALGVTDKSLPPFIYRMRQLGYPPGWLKEAELENSGL
+>Q8N567_PF00098_185 <unknown description>
+KCFVCGEMGHLSRSCP
+>Q8N567_PF00098_212 <unknown description>
+GCKLCGSVEHLKKDCPE
+>Q504Y3_PF07496_30 <unknown description>
+WVQCENENCLKWRLLSSEDSAKVDHDEPWYCFMNTDSRYNNCSISEE
+>Q504Y3_PF00855_99 <unknown description>
+GSLVLVKLQNWPSWPGILCPDRFKGKYVTYDPDGNVEEYHIEFLGDPHSRSWIKATFVGH
+YSITLKPEKCKNKKKWYKSALQEAC
+>Q8TBF4_PF00076_12 <unknown description>
+VYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRKSKGVAFILFLDKDSAQNCTRAI
+NNKQLFGRVIK
+>Q8TBF4_PF00098_106 <unknown description>
+KCYECGESGHLSYACPK
+>Q9HCK1_PF07535_3 <unknown description>
+KRQGYCSYCRVQYNNLEQHLFSAQHRSLT
+>Q9H8X9_PF01529_122 <unknown description>
+IQNQFCHLCKVTVNKKTKHCISCNKCVSGFDHHCKWINNCVGSRNYWFFFSTVASATAGM
+LCLIAILLYVLVQYLVNPGVLRTDPRYEDVKNMNTWLLFLPLFPVQVQTLIVVIIGMLVL
+LLDFLGLVHLGQLLIFHIYLKAKKMTTFEYLI
+>Q96GR4_PF01529_97 <unknown description>
+RRCRYCLVLQPLRARHCRECRRCVRRYDHHCPWMENCVGERNHPLFVVYLALQLVVLLWG
+LYLAWSGLRFFQPWGQWLRSSGLLFATFLLLSLFSLVASLLLVSHLYLVASNTTTWEFIS
+>Q8IUH4_PF12796_56 <unknown description>
+ATQYGIFERCKELVEAGYDVRQPDKENVSLLHWAAINNRLDLVKFYISKGAVVDQLGGDL
+NSTPLHWAIRQGHLPMVILLLQHGADPTLI
+>Q8IUH4_PF13637_153 <unknown description>
+IHLAVLFQHMPIIAYLISKGQSVNMTDVNGQTPLMLSA
+>Q8IUH4_PF13637_218 <unknown description>
+NTPLHWAVAAGNVNAVDKLLEAGSSLDIQNVKGETPLDMALQNKNQLIIHMLK
+>Q8IUH4_PF01529_425 <unknown description>
+RTFCTSCLIRKPLRSLHCHVCNCCVARYDQHCLWTGRCIGFGNHHYYIFFLFFLSMVCGW
+IIYGSFIYLSSHCATTFKEDGLWTYLNQIVACSPWVLYILMLATFHFSWSTFLLLNQLFQ
+IAFLGLTSHERIS
+>Q8IZN3_PF01529_163 <unknown description>
+KLKYCFTCKIFRPPRASHCSLCDNCVERFDHHCPWVGNCVGKRNYRFFYMFILSLSFLTV
+FIFAFVITHVILRSQQTGFLNALKDSPASVLEAVVCFFSVWSIVGLSGFHTYLISSNQTT
+NEDIK
+>Q96MV8_PF01529_129 <unknown description>
+RFCDRCHLIKPDRCHHCSVCAMCVLKMDHHCPWVNNCIGFSNYKFFLQFLAYSVLYCLYI
+ATTVFSYFIKYWRGELPSVRSKFHVLFLLFVACMFFVSLVILFGYHCWLVSRNKTTLEA
+>Q969W1_PF01529_155 <unknown description>
+SICKKCIYPKPARTHHCSICNRCVLKMDHHCPWLNNCVGHYNHRYFFSFCFFMTLGCVYC
+SYGSWDLFREAYAAIEKMKQLDKNKLQAVANQTYHQTPPPTFSFRERMTHKSLVYLWFLC
+SSVALALGALTVWHAVLISRGETSIERHI
+>Q8IUH5_PF12796_64 <unknown description>
+ATQYGIYERCRELVEAGYDVRQPDKENVTLLHWAAINNRIDLVKYYISKGAIVDQLGGDL
+NSTPLHWATRQGHLSMVVQLMKYGADPSL
+>Q8IUH5_PF12796_161 <unknown description>
+IHLAAQFGHTSIVAYLIAKGQDVDMMDQNGMTPLMWAAYRTHSVDPTRLLLTFNVSVNLG
+DKYHKNTALHWAVLAGNTTVISLLLEAGANVDAQN
+>Q8IUH5_PF01529_438 <unknown description>
+FCSTCLIRKPVRSKHCGVCNRCIAKFDHHCPWVGNCVGAGNHRYFMGYLFFLLFMICWMI
+YGCISYWGLHCETTYTKDGFWTYITQIATCSPWMFWMFLNSVFHFMWVAVLLMCQMYQIS
+CLGITTNERMNA
+>Q9NUE0_PF01529_190 <unknown description>
+KLKYCFTCKMFRPPRTSHCSVCDNCVERFDHHCPWVGNCVGRRNYRFFYAFILSLSFLTA
+FIFACVVTHLTLRAQGSNFLSTLKETPASVLELVICFFSIWSILGLSGFHTYLVASNLTT
+NEDIK
+>Q8WVZ1_PF01529_110 <unknown description>
+RLQWCPKCCFHRPPRTYHCPWCNICVEDFDHHCKWVNNCIGHRNFRFFMLLVLSLCLYSG
+AMLVTCLIFLVRTTHLPFSTDKAIAIVVAVSAAGLLVPLSLLLLIQALSVSSADRT
+>Q5W0Z9_PF01529_123 <unknown description>
+KTIRYCEKCQLIKPDRAHHCSACDSCILKMDHHCPWVNNCVGFSNYKFFLLFLLYSLLYC
+LFVAATVLEYFIKFWTNELTDTRAKFHVLFLFFVSAMFFISVLSLFSYHCWLVGKNRTTI
+ESF
+>Q8IVQ6_PF01529_90 <unknown description>
+ELCNKCNLMRPKRSHHCSRCGHCVRRMDHHCPWINNCVGEDNHWLFLQLCFYTELLTCYA
+LMFSFCHYYYFLPLKKRNLDLFVFRHELAIMRLAAFMGITMLVGITGLFYTQLIGIITDT
+TSIEKMS
+>Q8N966_PF01529_92 <unknown description>
+PSTHFCRVCARVTLRHDHHCFFTGNCIGSRNMRNFVLFCLYTSLACLYSMVAGVAYISAV
+LSISFAHPLAFLTLLPTSISQFFSGAVLGSEMFVILMLYLWFAIGLACAGFCCHQLLLIL
+RGQTRHQ
+>Q6UX98_PF01529_94 <unknown description>
+AYCYQCQSQVPPRSGHCSACRVCILRRDHHCRLLGRCVGFGNYRPFLCLLLHAAGVLLHV
+SVLLGPALSALLRAHTPLHMAALLLLPWLMLLTGRVSLAQFALAFVTDTCVAGALLCGAG
+LLFHGMLLLRGQTTWEWAR
+>Q9UIJ5_PF01529_127 <unknown description>
+RYCDRCQLIKPDRCHHCSVCDKCILKMDHHCPWVNNCVGFSNYKFFLLFLAYSLLYCLFI
+AATDLQYFIKFWTNGLPDTQAKFHIMFLFFAAAMFSVSLSSLFGYHCWLVSKNKSTLEAF
+>Q9NYG2_PF01529_128 <unknown description>
+KCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIALISLHAL
+IMVGFHFLHCFEEDWTKCSSFSPPTTVILLILLCFEGLLFLIFTSVMFGTQVHSICTDET
+GIEQLKK
+>Q9NPG8_PF01529_149 <unknown description>
+VRCSTCDLRKPARSKHCSVCNWCVHRFDHHCVWVNNCIGAWNIRYFLIYVLTLTASAATV
+AIVSTTFLVHLVVMSDLYQETYIDDLGHLHVMDTVFLIQYLFLTFPRIVFMLGFVVVLSF
+LLGGYLLFVLYLAATNQTTNEWYR
+>Q9C0B5_PF01529_102 <unknown description>
+RMKWCATCRFYRPPRCSHCSVCDNCVEEFDHHCPWVNNCIGRRNYRYFFLFLLSLTAHIM
+GVFGFGLLYVLYHIEELSGVRTAVTMAVMCVAGLFFIPVAGLTGFHVVLVARGRTTNEQV
+T
+>Q9H6R6_PF01529_96 <unknown description>
+MYLQYCKVCQAYKAPRSHHCRKCNRCVMKMDHHCPWINNCCGYQNHASFTLFLLLAPLGC
+IHAAFIFVMTMYTQLYHRLSFGWNTVKIDMSAARRDPLPIVPFGLAAFATTLFALGLALG
+TTIAVGMLFFIQMKIILRNKTSIESWIE
+>Q9H6R6_PF07653_317 <unknown description>
+RYKVIEDYSGACCPLNKGIKTFFTSPCTEEPRIQLQKGEFILATRGLRYWLYGDKILDDS
+FIEGVSRIRGWFPRKCVEKC
+>Q9NXF8_PF01529_131 <unknown description>
+KCPKCCCIKPERAHHCSICKRCIRKMDHHCPWVNNCVGEKNQRFFVLFTMYIALSSVHAL
+ILCGFQFISCVRGQWTECSDFSPPITVILLIFLCLEGLLFFTFTAVMFGTQIHSICNDET
+EIERLKS
+>Q9ULC8_PF01529_102 <unknown description>
+RMKWCATCHFYRPPRCSHCSVCDNCVEDFDHHCPWVNNCIGRRNYRYFFLFLLSLSAHMV
+GVVAFGLVYVLNHAEGLGAAHTTITMAVMCVAGLFFIPVIGLTGFHVVLVTRGRTTNEQV
+T
+>Q9Y397_PF01529_137 <unknown description>
+KLKYCYTCKIFRPPRASHCSICDNCVERFDHHCPWVGNCVGKRNYRYFYLFILSLSLLTI
+YVFAFNIVYVALKSLKIGFLETLKETPGTVLEVLICFFTLWSVVGLTGFHTFLVALNQTT
+NEDIK
+>P37275_PF05605_171 <unknown description>
+LTCPYCDRGYKRFTSLKEHIKYRHEKNEDNFSCSLCSYTFAYRTQLERHMTSH
+>P37275_PF00096_241 <unknown description>
+FKCTECGKAFKYKHHLKEHLRIH
+>P37275_PF00096_269 <unknown description>
+YECPNCKKRFSHSGSYSSHIS
+>P37275_PF00096_933 <unknown description>
+HECGICKKAFKHKHHLIEHMRLH
+>P37275_PF00096_961 <unknown description>
+YQCDKCGKRFSHSGSYSQHMN
+>O60315_PF05605_211 <unknown description>
+LTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVT
+>O60315_PF00096_282 <unknown description>
+FKCTECGKAFKYKHHLKEHLRIH
+>O60315_PF00096_310 <unknown description>
+YECPNCKKRFSHSGSYSSHIS
+>O60315_PF12874_999 <unknown description>
+YACDLCDKTFQKSSSLLRH
+>O60315_PF00096_1055 <unknown description>
+YQCDKCGKRFSHSGSYSQHMN
+>P15822_PF00096_406 <unknown description>
+YICEYCNRACAKPSVLLKHIRSH
+>P15822_PF00096_434 <unknown description>
+YPCVTCGFSFKTKSNLYKHKKSH
+>P15822_PF00096_2088 <unknown description>
+YICEECGIRCKKPSMLKKHIRTH
+>P15822_PF00096_2116 <unknown description>
+YHCTYCNFSFKTKGNLTKHMKSKAH
+>P31629_PF00096_189 <unknown description>
+YICPYCSRACAKPSVLKKHIRSH
+>P31629_PF00096_1799 <unknown description>
+YICEECGIRCKKPSMLKKHIRTH
+>P31629_PF00096_1827 <unknown description>
+YVCKLCNFAFKTKGNLTKHMKSKAH
+>Q5T1R4_PF00096_192 <unknown description>
+YICQYCSRPCAKPSVLQKHIRSH
+>Q5T1R4_PF00096_220 <unknown description>
+YPCGPCGFSFKTKSNLYKHRKSH
+>Q5T1R4_PF00096_1754 <unknown description>
+YVCEECGIRCKKPSMLKKHIRTH
+>Q5T1R4_PF00096_1782 <unknown description>
+YVCKHCHFAFKTKGNLTKHMKSKAH
+>Q9NTW7_PF00096_175 <unknown description>
+HKCEVCGKCFSRKDKLKTHMR
+>Q9NTW7_PF13909_203 <unknown description>
+YKCKTCDYAAADSSSLNKHLRIHS
+>Q9NTW7_PF00096_231 <unknown description>
+FKCQICPYASRNSSQLTVHLRSH
+>Q9NTW7_PF00096_259 <unknown description>
+FQCWLCSAKFKISSDLKRHMRVH
+>Q9NTW7_PF12874_287 <unknown description>
+FKCEFCNVRCTMKGNLKSHIRIK
+>Q9NTW7_PF13909_371 <unknown description>
+FKCNYCSFDTKQPSNLSKHMKKFH
+>Q9NTW7_PF13912_425 <unknown description>
+FHCDICDASFMREDSLRSHKRQHS
+>Q9UJL9_PF02023_2 <unknown description>
+LQQLLITLPTEASTWVKLRHPKAATERVALWEDVTKMFK
+>Q9UJL9_PF01352_74 <unknown description>
+LTFKDVSVDFTQEEWGQLAPAHRNLYREVMLENYGNLVSVG
+>Q9UJL9_PF00096_279 <unknown description>
+FECNICEKIFKQLIHLTEHMRIH
+>Q9UJL9_PF00096_307 <unknown description>
+FRCKECGKAFSQSSSLIPHQRIH
+>Q9UJL9_PF00096_335 <unknown description>
+YECKECGKTFRHPSSLTQHVRIH
+>Q9UJL9_PF00096_363 <unknown description>
+YECRVCEKAFSQSIGLIQHLRTH
+>Q9UJL9_PF00096_391 <unknown description>
+FTCKDCGKAFFQIRHLRQHEIIH
+>Q9UJL9_PF00096_419 <unknown description>
+YICNVCSKTFSHSTYLTQHQRTH
+>Q9UJL9_PF00096_447 <unknown description>
+YKCKECGKAFSQRIHLSIHQRVH
+>Q9UJL9_PF00096_475 <unknown description>
+YECSHCGKAFRHDSSFAKHQRIH
+>Q8TCF1_PF01428_10 <unknown description>
+CQVEHCRQRDFLPFVCDDCSGIFCLEHRSRESHGCPE
+>Q8TCF1_PF01428_64 <unknown description>
+CSFKDCAERELVAVICPYCEKNFCLRHRHQSDHECEKL
+>Q9H8U3_PF01754_19 <unknown description>
+PCGFWGSSKTMNLCSKCF
+>Q9H8U3_PF01428_157 <unknown description>
+CFQCQTKLELVQQELGSCRCGYVFCMLHRLPEQHDCTFDH
+>Q86XD8_PF00240_30 <unknown description>
+LFIETLTGTCFELRVSPFETVISVKAKIRRLEGIPICRQHLIWNNMELENDYCLNDYNIS
+EGCTLKLVLAMR
+>Q86XD8_PF01428_667 <unknown description>
+CFLCGKKTGLASSYECRCGNNFCASHRYAETHGCTYDYK
+>O76080_PF01754_12 <unknown description>
+MLCSTGCGFYGNPRTNGMCSVCYK
+>O76080_PF01428_154 <unknown description>
+CFMCRKKVGLTGFDCRCGNLFCGLHRYSDKHNCPYDYK
+>Q6FIF0_PF01754_12 <unknown description>
+MLCSTGCGFYGNPRTNGMCSVCYK
+>Q6FIF0_PF01428_149 <unknown description>
+CFMCRKKVGLTGFECRCGNVYCGVHRYSDVHNCSYNYK
+>Q9P243_PF00096_271 <unknown description>
+FTCEYCNKVFKFKHSLQAHLRIH
+>Q9P243_PF13909_299 <unknown description>
+YKCPQCSYASAIKANLNVHLRKHTG
+>Q9P243_PF00096_909 <unknown description>
+FKCSLCEYATRSKSNLKAHMNRH
+>Q9P243_PF13909_966 <unknown description>
+FKCTVCDYTAAQKPQLLRHMEQH
+>Q9C0A1_PF00046_1598 <unknown description>
+SRTKFTEFQTQALQSFFETSAYPKDGEVERLASLLGLASRVVVVWFQNARQKARK
+>Q9C0A1_PF00046_1858 <unknown description>
+KRLRTTILPEQLEILYRWYMQDSNPTRKMLDCISEEVGLKKRVVQVWFQNTRARERK
+>Q9C0A1_PF00046_2066 <unknown description>
+RRYRTQMSSLQLKIMKACYEAYRTPTMQECEVLGEEIGLPKRVIQVWFQNARAKEKK
+>Q15911_PF00096_726 <unknown description>
+FRCEVCNYSTTTKGNLSIHMQSDKH
+>Q15911_PF00096_1596 <unknown description>
+FKCNTCNVAYSQSSTLEIHMRSVLH
+>Q15911_PF00046_2146 <unknown description>
+KRPRTRITDDQLRVLRQYFDINNSPSEEQIKEMADKSGLPQKVIKHWFRNTLFKERQ
+>Q15911_PF00046_2243 <unknown description>
+RSSRTRFTDYQLRVLQDFFDANAYPKDDEFEQLSNLLNLPTRVIVVWFQNARQKARK
+>Q15911_PF00046_2642 <unknown description>
+KRLRTTITPEQLEILYQKYLLDSNPTRKMLDHIAHEVGLKKRVVQVWFQNTRARERK
+>Q15911_PF00046_2947 <unknown description>
+KRFRTQMTNLQLKVLKSCFNDYRTPTMLECEVLGNDIGLPKRVVQVWFQNARAKEKK
+>Q86UP3_PF12874_699 <unknown description>
+FRCEVCNYSTTTKGNLSIHMQSDKH
+>Q86UP3_PF00096_1593 <unknown description>
+YKCSICNVAYSQSSTLEIHMRSVLH
+>Q86UP3_PF00046_2130 <unknown description>
+KRPRTRITDDQLKILRAYFDINNSPSEEQIQEMAEKSGLSQKVIKHWFRNTLFKERQ
+>Q86UP3_PF00046_2227 <unknown description>
+RSSRTRFTDYQLRVLQDFFDTNAYPKDDEIEQLSTVLNLPTRVIVVWFQNARQKARK
+>Q86UP3_PF00046_2606 <unknown description>
+KRLRTTITPEQLEILYEKYLLDSNPTRKMLDHIAREVGLKKRVVQVWFQNTRARERK
+>Q86UP3_PF00046_2930 <unknown description>
+KRFRTQMSNLQLKVLKACFSDYRTPTMQECEMLGNEIGLPKRVVQVWFQNARAKEKK
+>Q8N6M9_PF01428_10 <unknown description>
+CSEKTCKQLDFLPVKCDACKQDFCKDHFPYAAHKCPFA
+>Q8N6M9_PF01428_100 <unknown description>
+CSKEGCKKKEMLQMVCAQCHGNFCIQHRHPLDHSCRH
+>Q8WV99_PF01428_10 <unknown description>
+CSEPSCQRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQ
+>Q8WV99_PF01428_100 <unknown description>
+CERAGCRQREMMKLTCERCSRNFCIKHRHPLDHDCSGE
+>Q8WV99_PF02809_197 <unknown description>
+SEDEALQRALEMSLAE
+>Q8WV99_PF02809_222 <unknown description>
+EEEDLALAQALS
+>Q9HCL3_PF01352_5 <unknown description>
+SVTFRDVAIDFSQEEWEFLDPAQRDLYRDVMWENYSNFISLG
+>Q9HCL3_PF00096_172 <unknown description>
+YECKECRKTFIRRSTLSQHLRIH
+>Q9HCL3_PF00096_200 <unknown description>
+YKCKECGQAFRQRAHLIRHHKLH
+>Q9HCL3_PF00096_228 <unknown description>
+YECKECGKAFTVLQELTQHQRLH
+>Q9HCL3_PF00096_256 <unknown description>
+YECKECGKAFRVHQQLARHQRIH
+>Q9HCL3_PF00096_284 <unknown description>
+YECKDCGKTFRQCTHLTRHQRLH
+>Q9HCL3_PF13912_312 <unknown description>
+YECKECGKAFVCGPDLRVHQK
+>Q9HCL3_PF00096_340 <unknown description>
+YECKECGKAFRICQQLTVHQSIH
+>Q9HCL3_PF00096_368 <unknown description>
+YECKECGKTFRLRQQLVRHQRIH
+>Q9HCL3_PF00096_396 <unknown description>
+YECMECWKTFSSYSQLISHQSIH
+>Q9HCL3_PF00096_424 <unknown description>
+YECEECGKAFRLLSQLTQHQSIH
+>Q9HCL3_PF00096_452 <unknown description>
+YECKECRKPFRLLSQLTQHQSIH
+>Q9HCL3_PF00096_480 <unknown description>
+YECKECGKAFRLYSFLTQHQRIH
+>Q9HCL3_PF00096_508 <unknown description>
+YKCKECKKAFRQHSHLTQHQKIH
+>Q6P2D0_PF01352_7 <unknown description>
+SVSFTDVTVDFTQEEWEQLDPSQRILYMDVMLENYSNLLSV
+>Q6P2D0_PF00096_184 <unknown description>
+FICTYCDKAFSFKSLLISHKRIH
+>Q6P2D0_PF13465_226 <unknown description>
+NLIKHQRIHTGEKPFECPECGKAFTH
+>Q6P2D0_PF00096_268 <unknown description>
+YECSECGKTFAQKFELTTHQRIH
+>Q6P2D0_PF00096_296 <unknown description>
+YECNECAKTFFKKSNLIIHQKIH
+>Q6P2D0_PF00096_324 <unknown description>
+YECSECGKSFIQNSQLIIHMRTH
+>Q6P2D0_PF00096_352 <unknown description>
+YECTECGKTFSQRSTLRLHLRIH
+>Q6P2D0_PF00096_380 <unknown description>
+YECSECGKAFSRKSRLSVHQRVH
+>Q8NHY6_PF01352_102 <unknown description>
+LVTFGDVAVDFSQEEWEWLNPIQRNLYRKVMLENYRNLASLG
+>Q8NHY6_PF01352_231 <unknown description>
+LVTIKNLAVDFRQQLHPAQKNFCKNGIWENNSDLGSAG
+>Q8NHY6_PF00096_420 <unknown description>
+FKCNECKKTFTQSSSLTVHQRIH
+>Q8NHY6_PF00096_448 <unknown description>
+YKCNECGKAFSDGSSFARHQR
+>Q8NHY6_PF00096_533 <unknown description>
+YKCDVCHKSFRYGSSLTVHQRIH
+>Q8NHY6_PF00096_561 <unknown description>
+YECDVCRKAFSHHASLTQHQRVH
+>Q8NHY6_PF00096_589 <unknown description>
+FKCKECGKAFRQNIHLASHLRIH
+>Q8NHY6_PF00096_617 <unknown description>
+FECAECGKSFSISSQLATHQRIH
+>Q8NHY6_PF00096_645 <unknown description>
+YECKVCSKAFTQKAHLAQHQKTH
+>Q8NHY6_PF00096_673 <unknown description>
+YECKECGKAFSQTTHLIQHQRVH
+>Q8NHY6_PF13912_729 <unknown description>
+YECIECGKAFKTKSSLICHRRSH
+>Q8NHY6_PF00096_757 <unknown description>
+YECSVCGKAFSHRQSLSVHQRIH
+>Q8NHY6_PF00096_785 <unknown description>
+YECKECRKTFIQIGHLNQHKRVH
+>Q6ZN57_PF00096_102 <unknown description>
+YECNQCSKTFSQSSSLLKHQRIH
+>Q6ZN57_PF00096_130 <unknown description>
+YKCNVCGKHFIERSSLTVHQRIH
+>Q6ZN57_PF00096_158 <unknown description>
+YKCNECGKAFSQSMNLTVHQRTH
+>Q6ZN57_PF00096_186 <unknown description>
+YQCKECGKAFHKNSSLIQHERIH
+>Q6ZN57_PF00096_214 <unknown description>
+YKCNECGKAFTQSMNLTVHQRTH
+>Q6ZN57_PF00096_242 <unknown description>
+YECNECGKAFSQSMHLIVHQRSH
+>Q6ZN57_PF00096_270 <unknown description>
+YECSQCGKAFSKSSTLTLHQRNH
+>Q6ZN57_PF00096_298 <unknown description>
+YKCNKCGKSFSQSTYLIEHQRLH
+>Q6ZN57_PF00096_326 <unknown description>
+FECNECGKAFSKNSSLTQHRRIH
+>Q6ZN57_PF00096_354 <unknown description>
+YECMVCGKHFTGRSSLTVHQVIH
+>Q6ZN57_PF00096_382 <unknown description>
+YECNECGKAFSQSAYLIEHQRIH
+>Q6ZN57_PF00096_410 <unknown description>
+YECDQCGKAFIKNSSLTVHQRTH
+>Q6ZN57_PF00096_438 <unknown description>
+YQCNECGKAFSRSTNLTRHQRTH
+>Q9Y2G7_PF01352_5 <unknown description>
+LVMFRDVAVDFSQEEWECLNSYQRNLYRDVILENYSNLVSL
+>Q9Y2G7_PF00096_158 <unknown description>
+YECGECGKAFRVRQQLTFHQRIH
+>Q9Y2G7_PF00096_186 <unknown description>
+YECKECGKAFRQCAHLSRHQRIH
+>Q9Y2G7_PF00096_214 <unknown description>
+YECKKCGKIFTCGSDLRVHQRIH
+>Q9Y2G7_PF00096_242 <unknown description>
+YECKECGKAFRVRGQLNLHQRIH
+>Q9Y2G7_PF00096_270 <unknown description>
+YECKECGKAFRQYAHLTRHQR
+>Q9Y2G7_PF00096_326 <unknown description>
+YECKECGKAFRVRQQLTLHQRIH
+>Q9Y2G7_PF00096_354 <unknown description>
+YDCKECGKTFSRGYHLTLHQRIH
+>Q9Y2G7_PF00096_382 <unknown description>
+YECKECQKFFRRYSELISHQGIH
+>Q9Y2G7_PF00096_410 <unknown description>
+YECKECGKAFRLFSQLTQHQSIH
+>Q9Y2G7_PF00096_438 <unknown description>
+FKCKECEKTFRLLSQLTQHQSIH
+>Q9Y2G7_PF00096_466 <unknown description>
+YDCKECGKAFRLHSSLIQHQRIH
+>Q9Y2G7_PF00096_494 <unknown description>
+YKCKECKKAFRQHSHLTYHQRIH
+>Q9Y6Q3_PF01352_43 <unknown description>
+AAEWKQLDPAQSNLYNDVMLENYCNQASMG
+>Q9Y6Q3_PF00096_293 <unknown description>
+YECNQCGKVLSHKQGLIDHQRVH
+>Q9Y6Q3_PF00096_321 <unknown description>
+YECNECGIAFSQKSHLVVHQRTH
+>Q9Y6Q3_PF00096_377 <unknown description>
+YECAECGKTFRHSSNLIQHVRSH
+>Q9Y6Q3_PF00096_405 <unknown description>
+YECKECGKSFRYNSSLTEHVRTH
+>Q9Y6Q3_PF00096_433 <unknown description>
+YECNECGKAFKYSSSLTKHMRIH
+>Q9Y6Q3_PF00096_461 <unknown description>
+FECNECGKAFSKKSHLIIHQRTH
+>Q9Y6Q3_PF00096_489 <unknown description>
+YKCNECGKAFGHSSSLTYHMRTH
+>Q9Y6Q3_PF00096_517 <unknown description>
+FECNQCGKGFKQIEGLTQHQRVH
+>Q9Y6Q3_PF00096_545 <unknown description>
+YECNECGKAFSQKSHLIVHQRTH
+>Q9Y6Q3_PF00096_573 <unknown description>
+YECNECEKAFNAKSQLVIHQRSH
+>Q9Y6Q3_PF00096_601 <unknown description>
+YECNECGKTFKQNASLTKHVKTH
+>Q96NJ6_PF00096_141 <unknown description>
+HTCKECGKAFNQNSHLIQHMRVH
+>Q96NJ6_PF00096_169 <unknown description>
+FECKECGKTFGTNSSLRRHLRIH
+>Q96NJ6_PF00096_197 <unknown description>
+FACNECGKAFIQSSHLIHHHRIH
+>Q96NJ6_PF00096_225 <unknown description>
+YKCEECGKAFSQNSALILHQRIH
+>Q96NJ6_PF00096_253 <unknown description>
+YECNECGKTFRVSSQLIQHQRIH
+>Q96NJ6_PF00096_281 <unknown description>
+HECNECGKAFKHSSGLIRHQKIH
+>Q96NJ6_PF00096_309 <unknown description>
+YLCNECGKGFGQSSELIRHQRIH
+>Q96NJ6_PF00096_337 <unknown description>
+YECNECGKTFGQNSEIIRHIRIH
+>Q96NJ6_PF00096_365 <unknown description>
+YVCKECGKAFRGNSELLRHERIH
+>Q96NJ6_PF00096_393 <unknown description>
+YECFECGKAFRRTSHLIVHQRIH
+>Q96NJ6_PF00096_449 <unknown description>
+YECSECEKTFSQHSQLIIHQRIH
+>Q96NJ6_PF00096_477 <unknown description>
+YECQECQKTFSRSSHLLRHQSVH
+>Q8N8Y5_PF00096_87 <unknown description>
+YECSECGRIFKHKTDHIRHQRVH
+>Q8N8Y5_PF00096_115 <unknown description>
+FKCAQCGKAFRHSSDVTKHQRTH
+>Q8N8Y5_PF13894_143 <unknown description>
+FKCGECGKAFNCGSNLLKHQKTH
+>Q8N8Y5_PF00096_171 <unknown description>
+YECTHCGKAFAYSSCLIRHQKRH
+>Q96MM3_PF00096_217 <unknown description>
+HVCAECGKAFVESSKLKRHFLVH
+>Q96MM3_PF00096_245 <unknown description>
+FRCTFEGCGKRFSLDFNLRTHVRIH
+>Q96MM3_PF00096_275 <unknown description>
+FVCPFQGCNRRFIQSNNLKAHILTH
+>Q9NU63_PF01352_43 <unknown description>
+PVTFEDVAVNFTQEEWDCLDASQRVLYQDVMSETFKNLTSV
+>Q9NU63_PF00096_259 <unknown description>
+HSCSVCGKSFRDQSELKRHQKIH
+>Q8NB50_PF00096_141 <unknown description>
+HKCDECGKSFKYNSRLVQHKIMH
+>Q8NB50_PF00096_169 <unknown description>
+YECDDCGGTFRSSSSLRVHKRIH
+>Q8NB50_PF13912_197 <unknown description>
+YKCEECGKAYMSYSSLINHKSTHS
+>Q8NB50_PF00096_226 <unknown description>
+KCDECGKSFNYSSVLDQHKRIH
+>Q8NB50_PF00096_253 <unknown description>
+YECGECGKAFRNSSGLRVHKRIH
+>Q8NB50_PF00096_281 <unknown description>
+YECDICGKTFSNSSGLRVHKRIH
+>Q8NB50_PF00096_309 <unknown description>
+YECDECGKAFITCRTLLNHKSIH
+>Q8NB50_PF00096_337 <unknown description>
+YKCDECEKSFNYSSLLIQHKVIH
+>Q8NB50_PF00096_365 <unknown description>
+YECDECGKAFRNSSGLIVHKRIH
+>Q8NB50_PF00096_393 <unknown description>
+YKCDVCGKAFSYSSGLAVHKSIH
+>Q8NB50_PF00096_421 <unknown description>
+HECKECGKSFSYNSLLLQHRTIH
+>Q8NB50_PF00096_449 <unknown description>
+YVCDVCGKTFRNNAGLKVHRRLH
+>Q8NB50_PF00096_477 <unknown description>
+YKCDVCGKAYISRSSLKNHKGIH
+>Q8NB50_PF00096_505 <unknown description>
+YKCSYCEKSFNYSSALEQHKRIH
+>Q8NB50_PF00096_535 <unknown description>
+CDECGKAFRNNSGLKVHKRIH
+>Q8NB50_PF00096_561 <unknown description>
+YKCEECGKAYISLSSLINHKSVH
+>Q8NB50_PF13912_589 <unknown description>
+FKCDECEKAFITYRTLTNHKKVHL
+>Q8NB50_PF00096_617 <unknown description>
+YKCDVCEKSFNYTSLLSQHRRVH
+>Q8NB50_PF00096_645 <unknown description>
+YECDRCEKVFRNNSSLKVHKRIH
+>Q8NB50_PF13912_673 <unknown description>
+YECDVCGKAYISHSSLINHKSTHP
+>Q8NB50_PF00096_701 <unknown description>
+HTCDECGKAFFSSRTLISHKRVH
+>Q8NB50_PF00096_729 <unknown description>
+FKCVECGKSFSYSSLLSQHKRIH
+>Q8NB50_PF00096_757 <unknown description>
+YVCDRCGKAFRNSSGLTVHKRIH
+>Q8NB50_PF00096_785 <unknown description>
+YECDECGKAYISHSSLINHKSVH
+>Q8NB50_PF00096_840 <unknown description>
+YRCNECGKAFNIRSNLTKHKRTH
+>Q49AA0_PF01352_76 <unknown description>
+LTFKDISIDFTQEEWGQLAPAHQNLYREVMLENYSNLVSVG
+>Q49AA0_PF00096_271 <unknown description>
+YECNICEKIFKQPIHLTEHMRIH
+>Q49AA0_PF00096_299 <unknown description>
+FRCKECGRAFSQSASLSTHQRIH
+>Q49AA0_PF00096_327 <unknown description>
+FECEECGKAFRHRSSLNQHHRTH
+>Q49AA0_PF00096_355 <unknown description>
+YVCDKCQKAFSQNISLVQHLRTH
+>Q49AA0_PF00096_383 <unknown description>
+FTCNECGKTFRQIRHLSEHIRIH
+>Q49AA0_PF00096_439 <unknown description>
+YKCKECGKAFRQRIHLSNHKTVH
+>Q49AA0_PF00096_495 <unknown description>
+YECNECGKAFSYNSSLSRHHEIH
+>Q8N141_PF01352_5 <unknown description>
+SVMFSDVSIDFSPEEWEYLDLEQKDLYRDVMLENYSNLVSLG
+>Q8N141_PF00096_198 <unknown description>
+YECKECGMAFRQTAHLTRHQRLH
+>Q8N141_PF00096_254 <unknown description>
+YECKECGKAFRVRGQLTLHQRIH
+>Q8N141_PF00096_282 <unknown description>
+YVCKECGKAFRQYAHLTRHQK
+>Q8N141_PF00096_338 <unknown description>
+YECKECGKAFRVRQQLTLHQRIH
+>Q8N141_PF00096_366 <unknown description>
+YECKECGKTFSRGYHLILHHRIH
+>Q8N141_PF00096_394 <unknown description>
+YECKECWKAFSRYSQLISHQSIH
+>Q8N141_PF00096_422 <unknown description>
+YDCKECGKAFRLLSQLTQHQSIH
+>Q8N141_PF00096_450 <unknown description>
+YKCKECGKAFRLRQKLTLHQSIH
+>Q8N141_PF00096_478 <unknown description>
+FECKECRKAFRLNSSLIQHLRIH
+>Q8N141_PF00096_506 <unknown description>
+YECKECKKAFRQHSHLTHHLKIH
+>Q8TF47_PF01352_13 <unknown description>
+SVTFKDVSVDFTQEEWYHVDPAQRSLYRDVMLENYSHLVSLG
+>Q8TF47_PF00096_211 <unknown description>
+YKCDKCRKAFIHRSSLTKHEKTH
+>Q8TF47_PF00096_282 <unknown description>
+FECNVCGKAFRHSSSLGQHENAH
+>Q8TF47_PF00096_310 <unknown description>
+YQCSLCGKAFQRSSSLVQHQRIH
+>Q8TF47_PF00096_338 <unknown description>
+YRCNLCGRSFRHGTSLTQHEVTH
+>Q8TF47_PF00096_366 <unknown description>
+FQCKECGKAFSRCSSLVQHERTH
+>Q8TF47_PF00096_394 <unknown description>
+FECSICGRAFGQSPSLYKHMRIH
+>Q8TF47_PF00096_450 <unknown description>
+YHCNDCGEDFSHITDFTDHQRIH
+>Q8TF47_PF00096_497 <unknown description>
+YQCNVCGKAFKRSTSFIEHHRIH
+>Q8TF47_PF00096_525 <unknown description>
+YECNECGEAFSRRSSLTQHERTH
+>Q8TF47_PF00096_553 <unknown description>
+YECIDCGKAFSQSSSLIQHERTH
+>Q8TF47_PF00096_581 <unknown description>
+YECNECGRAFRKKTNLHDHQRIH
+>Q8TF47_PF00096_609 <unknown description>
+YSCKECGKNFSRSSALTKHQRIH
+>Q96JP5_PF00096_372 <unknown description>
+YICEYCARAFKSSHNLAVHRMIH
+>Q96JP5_PF00096_401 <unknown description>
+QCEICGFTCRQKASLNWHMKKH
+>Q96JP5_PF00096_430 <unknown description>
+FSCNICGKKFEKKDSVVAHKAKSH
+>A6NM28_PF01352_13 <unknown description>
+PVSFEDVSVYFTKTEWKLLDLRQKVLYKRVMLENYSHLVSLG
+>A6NM28_PF00096_152 <unknown description>
+YLCQQCGKAFSRSSNLIKHRIIH
+>A6NM28_PF00096_180 <unknown description>
+YACPECGKLFRRSFALLEHQRIH
+>A6NM28_PF00096_208 <unknown description>
+YACPECSKTFTRSSNLIKHQVIH
+>A6NM28_PF00096_236 <unknown description>
+FACGDCGKLFRRSFALLEHARVH
+>A6NM28_PF00096_264 <unknown description>
+YACPECGKAFSRSSNLIEHQRTH
+>A6NM28_PF00096_292 <unknown description>
+YACGQCAKAFKGVSQLIHHQRSH
+>A6NM28_PF00096_320 <unknown description>
+FACRECGKAFRGRSGLSQHRRVH
+>A6NM28_PF00096_348 <unknown description>
+YECSDCGKAFGRRANLFKHQAVH
+>Q9UPR6_PF12874_272 <unknown description>
+YCDICKISCAGPQTYREHLGGQKH
+>Q9UPR6_PF12874_320 <unknown description>
+HCDLCAVSCTGADAYAAHIRGSKH
+>Q9UPR6_PF12874_468 <unknown description>
+FHCKLCECSFNDLNAKDLHVRGRRH
+>Q9UPR6_PF07528_658 <unknown description>
+RVGILAKGLLLRGDRNVRLALLCSEKPTHSLLRRIAQQLPRQLQMVTEDEYEVSSDPEAN
+IVISSCEEPRMQVTISVTSPLMREDPSTDPGVEEPQADAGDVLSPKKCLESLAALRHARW
+FQARASGLQPCVIVIRVLRDLCRRVPTWGALPAWAMELLVEKAVSSAAGPLGPGDAVRRV
+LECVATGTLLTDGPGLQDPCERDQTDALEPMTLQEREDVTASAQHALRMLAFRQTHKVLG
+MDLL
+>Q96KR1_PF12874_332 <unknown description>
+YCDVCKISCAGPQTYKEHLEGQKH
+>Q96KR1_PF12874_383 <unknown description>
+RCELCDVSCTGADAYAAHIRGAKH
+>Q96KR1_PF12874_582 <unknown description>
+FHCKLCECSFNDPNAKEMHLKGRRH
+>Q96KR1_PF07528_790 <unknown description>
+RVGVLAKGLLLRGDRNVNLVLLCSEKPSKTLLSRIAENLPKQLAVISPEKYDIKCAVSEA
+AIILNSCVEPKMQVTITLTSPIIREENMREGDVTSGMVKDPPDVLDRQKCLDALAALRHA
+KWFQARANGLQSCVIIIRILRDLCQRVPTWSDFPSWAMELLVEKAISSASSPQSPGDALR
+RVFECISSGIILKGSPGLLDPCEKDPFDTLATMTDQQREDITSSAQFALRLLAFRQIHKV
+LGMDPLP
+>C9JLR9_PF18658_106 <unknown description>
+HDHWRLEYLMDFNPARHGMVCMVCGSSLATLKLSTIKRHIRQKHPYSLHWSPREKEVISN
+SWD
+>C9JLR9_PF18658_265 <unknown description>
+QNWFRAECLMDYDPRGNRLVCMACGRALPSLHLDDIRAHVLEVHPGSLGLSGPQRSALLQ
+AW
+>C9JLR9_PF18658_419 <unknown description>
+QERWRLEYLMELDGGRRGLVCGVCGGALASLKMSTIERHIRRRHPGSTRLGGPVQALIAR
+EWSE
+>C9JLR9_PF18658_582 <unknown description>
+QPRWRGEYLMDYDGSRRGLVCMVCGGALATLKVSTIKRHILQVHPFSMDFTPEERQTILE
+AYEE
+>P17010_PF04704_70 <unknown description>
+DVVIEDVQCPDIMEEADVSETVIIPEQVLDSDVTEEVSLAHCTVPDDVLASDITSASMSM
+PEHVLTGDSIHVSDVGHVGHVGHVEHVVHDSVVEAEIVTDPLTTDVVSEEVLVADCASEA
+VIDANGIPVDQQDDDKGNCEDYLMISLDDAGKIEHDGSSGMTMDTESEIDPCKVDGTCPE
+VIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNSSIRVPREKMVYMTVNDSQPE
+DEDLNVAEIADEVYMEVIVGEEDAAAAAAAAAVHEQQMDDNEIKTFMPIAWAAAYGNNSD
+GIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQ
+>P17010_PF00096_425 <unknown description>
+YPCMICGKKFKSRGFLKRHMKNH
+>P17010_PF00096_489 <unknown description>
+ECDECGKHFSHAGALFTHKMVH
+>P17010_PF00096_548 <unknown description>
+HICVECGKGFRHPSELKKHMRIH
+>P17010_PF13909_576 <unknown description>
+YQCQYCEYRSADSSNLKTHVKTKHSK
+>P17010_PF00096_662 <unknown description>
+HKCDMCDKGFHRPSELKKHVAAH
+>P17010_PF00096_719 <unknown description>
+FRCKRCRKGFRQQSELKKHMKTH
+>P17010_PF00096_747 <unknown description>
+YQCEYCEYSTTDASGFKRHVISIH
+>P17010_PF00096_776 <unknown description>
+HRCEYCKKGFRRPSEKNQHIMRH
+>Q7Z3T8_PF01363_743 <unknown description>
+TWVPDSEAPNCMNCQVKFTFTKRRHHCRACGKVFCGVCCNRKCKLQYLEKEARVCVVCYE
+TIS
+>Q7Z3T8_PF11979_1167 <unknown description>
+LPSNPFLCGILIQKLEIPWAKVFPMRLMLRLGAEYKAYPAPLTSIRGRKPLFGEIGHTIM
+NLLVDLRNYQYTLHNIDQLLIHMEMGKSCIKIPRKKYSDVMKVLNSSNEHVISIGASFST
+EADSHLVCIQNDGIYETQANSATGHPRKVTGASFVVFNGALKTSSGFLAKSSIVEDGLMV
+QITPETMNGLRLALREQKDFKITCGKVDAVDLREYVDICWVDAEEKGNKGVISSVDGISL
+QGFPSEKIKLEADFETDEKIVKCTEVFYFLKDQDLSILSTSYQFAKEIAMACSAALCPHL
+KTLKSNGMNKIGLRVSIDTDMVEFQAGSEGQLLPQHYLNDLDSALIPVI
+>Q9BQ24_PF01363_40 <unknown description>
+QWVPDKECRRCMQCDAKFDFLTRKHHCRRCGKCFCDRCCSQKVPLRRMCFVDPVRQCAEC
+A
+>Q9BQ24_PF16696_108 <unknown description>
+EFYDKQLKVLLSGATFLVTFGNSEKPETMTCRLSNNQRYLFLDGDSHYEIEIVHISTVQI
+LTEGFPPGGGNARATGMFLQYTVPGTEGVTQLKLTVVEDVTVGRRQAVAWLVAMHKAAKL
+LYESRD
+>Q68DK2_PF01363_1808 <unknown description>
+QWVPDETESICMVCCREHFTMFNRRHHCRRCGRLVCSSCSTKKMVVEGCRENPARVCDQC
+YSYC
+>Q5T4F4_PF01363_343 <unknown description>
+PTNNFGNCTGCSATFSVLKKRRSCSNCGNSFCSRCCSFKVPKSSMGATAPEAQRETVFVC
+ASCNQTLS
+>Q9HBF4_PF01363_594 <unknown description>
+YWRPNSQILSCNKCATSFKDNDTKHHCRACGEGFCDSCSSKTRPVPERGWGPAPVRVCDN
+CYEA
+>Q9HBF4_PF01363_711 <unknown description>
+YWVPDHEILHCHNCRKEFSIKLSKHHCRACGQGFCDECSHDRRAVPSRGWDHPVRVCFNC
+NKK
+>O95405_PF01363_695 <unknown description>
+VWVPDSQAPNCMKCEARFTFTKRRHHCRACGKVFCASCCSLKCKLLYMDRKEARVCVICH
+SVL
+>O95405_PF11409_773 <unknown description>
+SPNPNNPAEYCSTIPPLQQAQASGALSSPPPTVMVPVGV
+>O95405_PF11979_1048 <unknown description>
+LPTPPYLFGILIQKWETPWAKVFPIRLMLRLGAEYRLYPCPLFSVRFRKPLFGETGHTIM
+NLLADFRNYQYTLPVVQGLVVDMEVRKTSIKIPSNRYNEMMKAMNKSNEHVLAGGACFNE
+KADSHLVCVQNDDGNYQTQAISIHNQPRKVTGASFFVFSGALKSSSGYLAKSSIVEDGVM
+VQITAENMDSLRQALREMKDFTITCGKADAEEPQEHIHIQWVDDDKNVSKGVVSPIDGKS
+METITNVKIFHGSEYKANGKVIRWTEVFFLENDDQHNCLSDPADHSRLTEHVAKAFCLAL
+CPHLKLLKEDGMTKLGLRVTLDSDQVGYQAGSNGQPLPSQYMNDLDSALVPVI
+>P08048_PF04704_73 <unknown description>
+VIEEDVQCSDILEEADVSENVIIPEQVLDSDVTEEVSLPHCTVPDDVLASDITSTSMSMP
+EHVLTSESMHVCDIGHVEHMVHDSVVEAEIITDPLTSDIVSEEVLVADCAPEAVIDASGI
+SVDQQDNDKASCEDYLMISLDDAGKIEHDGSTGVTIDAESEMDPCKVDSTCPEVIKVYIF
+KADPGEDDLGGTVDIVESEPENDHGVELLDQNSSIRVPREKMVYMTVNDSQQEDEDLNVA
+EIADEVYMEVIVGEEDAAVAAAAAAVHEQQIDEDEMKTFVPIAWAAAYGNNSDGIENRNG
+TASALLHIDESAGLGRLAKQKPKKKRRPDSRQYQ
+>P08048_PF00096_421 <unknown description>
+YPCMICGKKFKSRGFLKRHMKNH
+>P08048_PF00096_485 <unknown description>
+ECDECGKHFSHAGALFTHKMVH
+>P08048_PF00096_544 <unknown description>
+HICVECGKGFRHPSELRKHMRIH
+>P08048_PF13909_572 <unknown description>
+YQCQYCEYRSADSSNLKTHIKTKHSK
+>P08048_PF00096_658 <unknown description>
+HKCEMCEKGFHRPSELKKHVAVH
+>P08048_PF00096_715 <unknown description>
+FRCKRCRKGFRQQNELKKHMKTH
+>P08048_PF00096_743 <unknown description>
+YQCEYCEYSTTDASGFKRHVISIH
+>P08048_PF00096_772 <unknown description>
+HRCEYCKKGFRRPSEKNQHIMRH
+>O60844_PF01419_56 <unknown description>
+VNTYYIVGLQVRYGKVWSDYVGGRNGDLEEIFLHPGESVIQVSGKYKWYLKKLVFVTDKG
+RYLSFGKDSGTSFNAVPLHPNTVLRFISGRSGSLIDAIGLHWD
+>Q8N5A5_PF18044_178 <unknown description>
+KPCPFFLEGKCRFKENCRFSHG
+>Q8N5A5_PF01585_313 <unknown description>
+TRGIGSRLLTKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSL
+>Q86YA3_PF10382_4 <unknown description>
+QEFIVLYTHQKMKKSKVWQDGILKITHLGNKAILYDDKGACLESLFLKCLEVKPGDDLES
+DRYLITVEEVK
+>Q86YA3_PF06839_1347 <unknown description>
+PSCHHSQPAKLVMVKKEGPNKGRLFYTCDGPKADRCKFFKWLE
+>Q86YA3_PF13086_1764 <unknown description>
+VRKSIEQHKLGTNRTLLKQVRVVGVTCAACPFPCMNDLKFPVVVLDECSQITEPASLLPI
+ARFECEKLILVGDPKQLPPTI
+>Q86YA3_PF13087_1855 <unknown description>
+LEQTLFDRLCLMGHKPILLRTQYRCHPAISAIANDLFYKGALMNGVTEIERSPLLEWLPT
+LCFYNVKGLEQIERDNSFHNVAEATFTLKLIQSLIASGIAGSMIGVITLYKSQMYKLCHL
+LSAVDFHHPDIKTVQVSTVDAFQGAEKEIIILSCVRTRQVGFIDSEKRMNVALTRGKRHL
+LIVGN
+>P0C7U3_PF01529_122 <unknown description>
+IQNQFCHLCKVTVNKKTKHCISCNKCVSGFDHHCKWINNCVGSRNYWFFFSTVASATAGM
+LCLIAILLYVLVQYLVNPRVLRTDPRYEDVKNMNTWLLFLPLFPVQVQTLIVVIIRMLVL
+LLDLLGLVQLGQLLIFHIYLKAKKMTTFEYLI
+>Q9NS37_PF00170_217 <unknown description>
+AARLNRLKKKEYVMGLESRVRGLAAENQELRAENRELGKRVQALQEES
+>Q9UKY1_PF18387_99 <unknown description>
+NSSYVCVECNFLTKRYDALSEHNLKYHPGEENFKLTMVKRNNQTIFEQTIN
+>Q9UKY1_PF00046_299 <unknown description>
+LLNTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKH
+>Q9UKY1_PF00046_468 <unknown description>
+RAKKTKEQLAELKVSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQR
+>Q9UKY1_PF00046_576 <unknown description>
+TAEQLRVLQASFLNSSVLTDEELNRLRAQTKLTRREIDAWFTEKK
+>Q9UKY1_PF00046_668 <unknown description>
+TPEQLHMLKSAFVRTQWPSPEEYDKLAKESGLARTDIVSWFGDTRYAWK
+>Q9UKY1_PF11569_785 <unknown description>
+LKDYYLKHKFLNEQDLDELVNKSHMGYEQVREWFAERQR
+>Q9Y6X8_PF18387_107 <unknown description>
+NPLYVCAECNFTTKKYDSLSDHNSKFHPGEANFKLKLIKRNNQTVLEQSIE
+>Q9Y6X8_PF00046_447 <unknown description>
+TKEQIAHLKASFLQSQFPDDAEVYRLIEVTGLARSEIKKWFSDHRYRCQ
+>Q9Y6X8_PF00046_537 <unknown description>
+TQGQVKILEDSFLKSSFPTQAELDRLRVETKLSRREIDSWFSERR
+>Q9Y6X8_PF00046_636 <unknown description>
+SQEQVHLLRSTFARTQWPTPQEYDQLAAKTGLVRTEIVRWFKENRC
+>Q9H4I2_PF18387_105 <unknown description>
+KDPTFVCSGCSFLAKTPEGLSLHNATCHSGEASFVWNVAKPDNHVVVEQSI
+>Q9H4I2_PF00046_502 <unknown description>
+SHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDRRYHCR
+>Q9H4I2_PF00046_620 <unknown description>
+APEQLRALESSFAQNPLPLDEELDRLRSETKMTRREIDSWFSERRKK
+>Q9H4I2_PF11569_850 <unknown description>
+LQDYYMTHKMLYEEDLQNLCDKTQMSSQQVKQWFAEKMGEETR
+>Q15915_PF18366_218 <unknown description>
+RQPIKQELICKWIEPEQLANPKKSCNKTFSTMHELVTHVTVEHVGGP
+>Q15915_PF00096_302 <unknown description>
+FPCPFPGCGKVFARSENLKIHKRTH
+>Q15915_PF00096_332 <unknown description>
+FKCEFEGCDRRFANSSDRKKHMHVH
+>Q15915_PF00096_362 <unknown description>
+YLCKMCDKSYTHPSSLRKHMKVH
+>O95409_PF18366_250 <unknown description>
+QCIKQELICKWIDPEQLSNPKKSCNKTFSTMHELVTHVSVEHVGGP
+>O95409_PF00096_333 <unknown description>
+FPCPFPGCGKVFARSENLKIHKRTH
+>O95409_PF00096_363 <unknown description>
+FQCEFEGCDRRFANSSDRKKHMHVH
+>O95409_PF00096_393 <unknown description>
+YLCKMCDKSYTHPSSLRKHMKVH
+>O60481_PF18366_244 <unknown description>
+RQPIKQELSCKWIDEAQLSRPKKSCDRTFSTMHELVTHVTMEHVGGP
+>O60481_PF00096_295 <unknown description>
+HVCYWEECPREGKSFKAKYKLVNHIRVH
+>O60481_PF00096_328 <unknown description>
+FPCPFPGCGKIFARSENLKIHKRTH
+>O60481_PF00096_358 <unknown description>
+FKCEFEGCDRRFANSSDRKKHMHVH
+>O60481_PF00096_388 <unknown description>
+YICKVCDKSYTHPSSLRKHMKVH
+>Q8N9L1_PF18366_121 <unknown description>
+RQPIKQELICKWLAADGTATPSLCSKTFSTMHELVTHVTVEHVGGP
+>Q8N9L1_PF00096_204 <unknown description>
+FPCPFPGCGKVFARSENLKIHKRTH
+>Q8N9L1_PF00096_264 <unknown description>
+YTCKVRGCDKCYTHPSSLRKHMKVH
+>Q96T25_PF18366_403 <unknown description>
+GAKPCSKTFGTMHELVNHVTVEHVGGP
+>Q96T25_PF00096_467 <unknown description>
+FPCPFPGCGKVFARSENLKIHKRTH
+>Q96T25_PF00096_527 <unknown description>
+YYCKIRGCDKSYTHPSSLRKHMKIH
+>Q3SY52_PF01352_27 <unknown description>
+VTFEDIAIYFSQDEWGLLDEAQRLLYLEVMLENFALVASLG
+>Q3SY52_PF00096_239 <unknown description>
+YECSKCGKAFRGKYSLVQHQRVH
+>Q3SY52_PF00096_268 <unknown description>
+ECNECGKFFSQTSHLNDHRRIH
+>Q3SY52_PF00096_295 <unknown description>
+YECSECGKLFRQNSSLVDHQKIH
+>Q3SY52_PF00096_323 <unknown description>
+YECSQCGKSFSQKATLVKHQRVH
+>Q3SY52_PF00096_351 <unknown description>
+YKCGECGNSFSQSAILNQHRRIH
+>Q3SY52_PF00096_379 <unknown description>
+YECGQCGKSFSQKATLIKHQRVH
+>Q3SY52_PF00096_407 <unknown description>
+YKCGDCGKSFSQSSILIQHRRIH
+>Q3SY52_PF00096_435 <unknown description>
+YECGQCGKSFSQKSGLIQHQVVH
+>Q9NZV7_PF01352_206 <unknown description>
+LVTFEDVLVDFSPEELSSLSAAQRNLYREVMLENYRNLVSLG
+>Q9NZV7_PF13894_360 <unknown description>
+RCEFCKRTFSTQVALRRHERIH
+>Q9NZV7_PF00096_498 <unknown description>
+QCCDCGRVFSRNSYLIQHYRTH
+>Q9NZV7_PF00096_525 <unknown description>
+YQCQLCGKCFGRPSYLTQHYQLH
+>Q96PE6_PF01352_8 <unknown description>
+VTFEDVTVNFTQGEWQRLNPEQRNLYRDVMLENYSNLVSVG
+>Q96PE6_PF00096_223 <unknown description>
+YKCENCGNAYKQKSNLFQHQKMH
+>Q96PE6_PF00096_279 <unknown description>
+YQCNECEKSFRQNSTLIQHKKVH
+>Q96PE6_PF00096_307 <unknown description>
+FQCTDCGKAFIYKSDLVKHQRIH
+>Q96PE6_PF00096_335 <unknown description>
+YKCSICEKAFSQKSNVIDHEKIH
+>Q96PE6_PF00096_363 <unknown description>
+YECDLCGNTFIQKKNLIQHKKIH
+>Q96PE6_PF00096_391 <unknown description>
+YECNRCGKAFFQKSNLHSHQKTH
+>Q96PE6_PF00096_419 <unknown description>
+YRCSECGKTFIRKLNLSLHKKTH
+>Q96PE6_PF00096_449 <unknown description>
+CSECGKAFADRSYLVRHQKRIH
+>P17029_PF02023_52 <unknown description>
+PEIFRQRFRRFCYQNTFGPREALSRLKELCHQWLRPEINTKEQILELLVLEQFLSILPKE
+LQVWLQEYRPDSGEEAVTLLEDLELDL
+>P17029_PF01352_227 <unknown description>
+VKIEDMAVSLILEEWGCQNLARRNLSRDNRQENYG
+>P17029_PF00096_377 <unknown description>
+HRCDECGKCFTRSSSLIRHKIIH
+>P17029_PF00096_405 <unknown description>
+YECSECGKAFSLNSNLVLHQRIH
+>P17029_PF00096_433 <unknown description>
+HECNECGKAFSHSSNLILHQRIH
+>P17029_PF13465_475 <unknown description>
+DLTKHQRIHTGEKPYECSECGKAFN
+>P17029_PF00096_517 <unknown description>
+YKCTKCGKAFTRSSTLTLHHRIH
+>Q63HK3_PF02023_41 <unknown description>
+SETFRKCFRQFCYEDVTGPHEAFSKLWELCCRWLKPEMRSKEQILELLVIEQFLTILPEK
+IQAWAQKQCPQSGEEAVALVVHLEKETG
+>Q63HK3_PF01352_248 <unknown description>
+PAQRDLYRDFRKENVGNVVSLG
+>Q63HK3_PF13837_341 <unknown description>
+VHWSYEETKTFLAILKESRFYETLQACPRNSQVYGAVAEWLRECGFLRTPEQCRTKFKSL
+QKSYRKVRNGHMLEPCAFFEDMDAL
+>Q63HK3_PF13837_498 <unknown description>
+VHWGYEETKTFLDILRETRFYEALQACHRKSKLYGAVAEQLRECGFLRTPEQCRTKFKSL
+QKSYRKVKNGHVLESCAFYKEMDAL
+>Q63HK3_PF00096_775 <unknown description>
+YKCGVCGKCFGRSRSLIRHQRIH
+>Q63HK3_PF00096_803 <unknown description>
+FKCLDCGKSFNDSSNFGAHQRIH
+>Q63HK3_PF00096_831 <unknown description>
+YRCGECGKCFSQSSSLIIHQRTH
+>Q63HK3_PF00096_859 <unknown description>
+YQCGECGKSFTNSSHFSAHRRVH
+>Q9BRR0_PF02023_43 <unknown description>
+EGSRERFRGFRYPEAAGPREALSRLRELCRQWLQPEMHSKEQILELLVLEQFLTILPGNL
+QSWVREQHPESGEEVVVLLEYLERQLDE
+>Q9BRR0_PF01352_216 <unknown description>
+VEDVALTLTPEWTQQDSSQGNLCRDEKQENHGSLVSLG
+>Q9BRR0_PF00096_314 <unknown description>
+HICHECGKSFAQSSGLSKHRRIH
+>Q9BRR0_PF00096_342 <unknown description>
+YECEECGKAFIGSSALVIHQRVH
+>Q9BRR0_PF00096_370 <unknown description>
+YECEECGKAFSHSSDLIKHQRTH
+>Q9BRR0_PF00096_398 <unknown description>
+YECDDCGKTFSQSCSLLEHHRIH
+>Q9BRR0_PF00096_426 <unknown description>
+YQCSMCGKAFRRSSHLLRHQRIH
+>Q9BRR0_PF00096_480 <unknown description>
+YKCNECERSFTQNTGLIEHQKIH
+>Q9BRR0_PF00096_508 <unknown description>
+YQCNACGKGFTRISYLVQHQRSH
+>Q969J2_PF02023_49 <unknown description>
+PERSRQRFRGFRYPEAAGPREALSRLRELCGQWLQPEMHSKEQILELLVLEQFLTILPGN
+LQSWVREQHPESGEEVVVLLEYLERQLDE
+>Q969J2_PF01352_223 <unknown description>
+MEDVALTLTPGWTQLDSSQVNLYRDEKQENHSSLVSLG
+>Q969J2_PF00096_320 <unknown description>
+HYCHECGKSFAQSSGLTKHRRIH
+>Q969J2_PF00096_348 <unknown description>
+YECEDCGKTFIGSSALVIHQRVH
+>Q969J2_PF00096_376 <unknown description>
+YECEECGKVFSHSSNLIKHQRTH
+>Q969J2_PF00096_404 <unknown description>
+YECDDCGKTFSQSCSLLEHHKIH
+>Q969J2_PF00096_432 <unknown description>
+YQCNMCGKAFRRNSHLLRHQRIH
+>Q969J2_PF00096_487 <unknown description>
+YKCNECERSFTRNRSLIEHQKIH
+>Q969J2_PF00096_515 <unknown description>
+YQCDTCGKGFTRTSYLVQHQRSH
+>Q9Y2L8_PF02023_47 <unknown description>
+ETFYQRFRHFQYHEASGPREALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEF
+QPWVREHHPESGEEAVAVIENIQRELEE
+>Q9Y2L8_PF01352_222 <unknown description>
+DVAVSFILEEWGHLDQSQKSLYRDDRKENYGSITSMG
+>Q9Y2L8_PF00096_346 <unknown description>
+HRCSDCGKFFLQASNFIQHRRIH
+>Q9Y2L8_PF00096_374 <unknown description>
+FKCGECGKSYNQRVHLTQHQRVH
+>Q9Y2L8_PF00096_402 <unknown description>
+YKCQVCGKAFRVSSHLVQHHSVH
+>Q9Y2L8_PF00096_432 <unknown description>
+CNECGKNFGRHSHLIEHLKRH
+>Q9Y2L8_PF00096_549 <unknown description>
+HKCNECGKSFIQSAHLIQHQRIH
+>Q9Y2L8_PF00096_577 <unknown description>
+FRCEECGKSYNQRVHLTQHQRVH
+>Q9Y2L8_PF00096_605 <unknown description>
+YTCPLCGKAFRVRSHLVQHQSVH
+>Q9Y2L8_PF00096_633 <unknown description>
+FKCNECGKGFGRRSHLAGHLRLH
+>Q9Y2L8_PF00096_717 <unknown description>
+YQCDICGKAFGYSSDLIQHYRTH
+>Q9Y2L8_PF00096_773 <unknown description>
+HQCHECGRGFTLKSHLNQHQRIH
+>Q9P0L1_PF02023_51 <unknown description>
+EIFRLHFRQLCYHEMSGPQEALSRLRELCRWWLMPEVHTKEQILELLVLEQFLSILPGEL
+RTWVQLHHPESGEEAVAVVEDFQRHL
+>Q9P0L1_PF01352_231 <unknown description>
+VAYEDLSVDYTQKKWKSLTLSQRALQWNMMPENHHSMASL
+>Q9P0L1_PF00096_383 <unknown description>
+YRCDECGKAFNRSSHLIGHQRIH
+>Q9P0L1_PF00096_411 <unknown description>
+YECNECGKTFRQTSQLIVHLRTH
+>Q9P0L1_PF00096_439 <unknown description>
+YECSECGKAYRHSSHLIQHQRLH
+>Q9P0L1_PF00096_467 <unknown description>
+YKCNECAKAFTQSSRLTDHQRTH
+>Q9P0L1_PF00096_495 <unknown description>
+YECNECGEAFIRSKSLARHQVLH
+>Q9P0L1_PF00096_523 <unknown description>
+YKCNECGRAFCSNRNLIDHQRIH
+>Q9P0L1_PF00096_551 <unknown description>
+YECSECGKAFSRSKCLIRHQSLH
+>Q9P0L1_PF00096_579 <unknown description>
+YKCSECGKAFNQNSQLIEHERIH
+>Q9P0L1_PF00096_607 <unknown description>
+FECSECGKAFGLSKCLIRHQRLH
+>Q9P0L1_PF00096_635 <unknown description>
+YKCNECGKSFNQNSHLIIHQRIH
+>Q9P0L1_PF00096_663 <unknown description>
+YECNECGKVFSYSSSLMVHQRTH
+>Q9P0L1_PF00096_691 <unknown description>
+YKCNDCGKAFSDSSQLIVHQRVH
+>Q9P0L1_PF00096_719 <unknown description>
+YECSECGKAFSQRSTFNHHQRTH
+>Q15776_PF02023_47 <unknown description>
+QEVFRLRFRQLRYQETLGPREALIQLRALCHQWLRPDLNTKEQILELLVLEQFLTILPEE
+LQTLVKEHQLENGEEVVTLLEDLERQ
+>Q15776_PF01352_222 <unknown description>
+IEDMAVSLIREEWLLDPSQKDLCRDNRPENFRNMFSLG
+>Q15776_PF00096_322 <unknown description>
+HKCDECGKSFAQSSGLVRHWRIH
+>Q15776_PF00096_350 <unknown description>
+YQCNVCGKAFSYRSALLSHQDIH
+>Q15776_PF00096_378 <unknown description>
+YHCKECGKAFSQNTGLILHQRIH
+>Q15776_PF00096_406 <unknown description>
+YQCNQCGKAFSQSAGLILHQRIH
+>Q15776_PF00096_434 <unknown description>
+YECNECGKAFSHSSHLIGHQRIH
+>Q15776_PF00096_462 <unknown description>
+YECDECGKTFRRSSHLIGHQRSH
+>Q15776_PF00096_490 <unknown description>
+YKCNECGRAFSQKSGLIEHQRIH
+>Q15776_PF00096_518 <unknown description>
+YKCKECGKAFNGNTGLIQHLRIH
+>Q15776_PF00096_546 <unknown description>
+YQCNECGKAFIQRSSLIRHQRIH
+>Q96NC0_PF12171_80 <unknown description>
+YYCNVCDCVVKDSINFLDHINGKKHQ
+>Q9HA38_PF12874_70 <unknown description>
+LYCKLCNVTLNSAQQAQAHYQGKNH
+>Q9HA38_PF12874_148 <unknown description>
+YCKLCDASFSSPAVAQAHYQGKNH
+>Q9HA38_PF12171_245 <unknown description>
+QFYCSMCNVGAGEEMEFRQHLESKQHK
+>Q9H898_PF12171_15 <unknown description>
+YCKVCSAQLISESQRVAHYESRKH
+>Q9H898_PF12874_76 <unknown description>
+CCTLCNMSFTSAVVADSHYQGKIH
+>Q9H898_PF12171_146 <unknown description>
+YCGLCAAWFNNPLMAQQHYDGKKHK
+>Q9H898_PF12874_198 <unknown description>
+YRCTICSVSLNSIEQYHAHLKGSKH
+>Q9UDW3_PF06220_3 <unknown description>
+KRYFCDYCDRSFQDNLHNRKKHLNGLQHLKAKKVWY
+>Q9UDW3_PF00642_54 <unknown description>
+KRPCRKFLLTGQCDFGSNCRFSH
+>Q9ULJ6_PF18028_8 <unknown description>
+IQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVVSRVAAQQ
+GFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRL
+>Q9ULJ6_PF02891_738 <unknown description>
+VSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLNCERGTWRCPVCNK
+>Q8NF64_PF02891_596 <unknown description>
+VSLKCPITFRRIQLPARGHDCRHIQCFDLESYLQLNCERGTWRCPVCNK
+>O75800_PF01753_394 <unknown description>
+CAYCSAEASKRCSRCQNEWYCCRECQVKHWEKHGKTC
+>Q15326_PF00439_184 <unknown description>
+KHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADIA
+>Q15326_PF00855_282 <unknown description>
+ELVWAKMKGFGFWPAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNIHRLHVKRSM
+GWKKACDE
+>Q9H0C1_PF01753_17 <unknown description>
+CEVCEAPAERVCAACTVTYYCGVVHQKADWDSIHEKIC
+>Q9H091_PF01753_313 <unknown description>
+CHVCHRHSFEAKLTPCPQCSAVLYCGEACLRADWQRCPDDVSHRFWC
+>Q96E35_PF13392_67 <unknown description>
+LLHELLWERHRGGVAPGFQVVHLNAVTVDNRLDNLQLVP
+>Q96E35_PF01753_180 <unknown description>
+QLREFNICGRCQVARYCGSQCQQKDWPAHKKHC
+>Q5SVZ6_PF06467_86 <unknown description>
+QVSCAGCKKILQKGQTAYQRKGSAQLFCSIPCITEY
+>Q5SVZ6_PF06467_131 <unknown description>
+SKRTCSNCSKDILNPKDVISVQLEDTTSCKTFCSLSCLSSY
+>Q5SVZ6_PF14291_494 <unknown description>
+SFATHGTSNWKKTLEKFRKHEKSEMHLKSLEFWREYQFCDGAVSDDLSIHSKQIEGNKKY
+LKLIIENILFLGKQCLPLRGNDQSVSSVNKGNFLELLEMRAKDKGEETFRLMNSQVDFYN
+STQIQSDIIEIIKTEMLQDIVNEINDSSAFSIICDETINSAMKEQLSICVRYPQKSSKAI
+LIKERFLGFVDTEEMTGTHLHRTIKTYLQQIGVDMDKIHGQAYDSTTNLKIK
+>Q5SVZ6_PF05699_1030 <unknown description>
+YRHYAKLNFVIDDSCINFVSLGCLFIQHGLHSNIPCLSKLLYIALSWPITSASTENSFST
+LPRLKTYLCNTMGQEKLTGPALMAVEQEL
+>Q9UBW7_PF06467_327 <unknown description>
+VKVTCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSF
+>Q9UBW7_PF06467_369 <unknown description>
+PKKLCVMCKKDITTMKGTIVAQVDSSESFQEFCSTSCLSLY
+>Q9UBW7_PF06467_421 <unknown description>
+NKSRCTICGKLTEIRHEVSFKNMTHKLCSDHCFNRY
+>Q9UBW7_PF06467_463 <unknown description>
+IMNCCEQCGEYLPSKGAGNNVLVIDGQQKRFCCQSCVSEY
+>Q9UBW7_PF06467_533 <unknown description>
+LTTCTGCRTQCRFFDMTQCIGPNGYMEPYCSTACMNSH
+>Q9UBW7_PF06467_636 <unknown description>
+QLKCNYCKNSFCSKPEILEWENKVHQFCSKTCSDDY
+>Q9UBW7_PF06467_723 <unknown description>
+RCVTCNYCSQLCKKGATKELDGVVRDFCSEDCCKKF
+>Q9UBW7_PF06467_764 <unknown description>
+KAARCDCCKSQGTLKERVQWRGEMKHFCDQHCLLRF
+>Q9UBW7_PF12012_1192 <unknown description>
+IFSRVEEDYLWRIKQLGSHSPVALLNTLFYFNTKYFGLKTVEQHLRLSFGTVFRHWKKNP
+LTMENKACLRYQVSSLCGTDNEDKITTGKRKHEDDEPVFEQIENTANPSRCPVKMFECYL
+SKSPQNLNQRMDVFYLQPECSSSTDSPVWYTSTSLDRNTLENMLVRVL
+>Q14202_PF06467_349 <unknown description>
+GKKTCTFCKKEIWNTKDSVVAQTGSGGSFHEFCTSVCLSLY
+>Q14202_PF06467_405 <unknown description>
+DATRCSICQKTGEVLHEVSNGSVVHRLCSDSCFSKF
+>Q14202_PF06467_447 <unknown description>
+KTNCCDQCGAYIYTKTGSPGPELLFHEGQQKRFCNTTCLGAY
+>Q14202_PF06467_496 <unknown description>
+YPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSY
+>Q14202_PF06467_543 <unknown description>
+PRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKF
+>Q14202_PF06467_589 <unknown description>
+LSCHYCHSLFSGKPEVLDWQDQVFQFCCRDCCEDF
+>Q14202_PF06467_629 <unknown description>
+VVSQCEHCRQEKLLHEKLRFSGVEKSFCSEGCVLLY
+>Q14202_PF06467_716 <unknown description>
+KAARCHACKRQGKLLETIHWRGQIRHFCNQQCLLRF
+>Q14202_PF12012_1185 <unknown description>
+VFSRVEEEHLWECKQLGVYSPFVLLNTLMFFNTKFFGLQTAEEHMQLSFTNVVRQSRKCT
+TPRGTTKVVSIRYYAPVRQRKGRDTGPGKRKREDEAPILEQRENRMNPLRCPVKFYEFYL
+SKCPESLRTRNDVFYLQPERSCIAESPLWYSVIPMDRSMLESMLNRIL
+>Q5VZL5_PF06467_337 <unknown description>
+VRVSCSGCKKILQKGQTAYQRKGSTQLFCSTLCLTGY
+>Q5VZL5_PF06467_385 <unknown description>
+TKKTCSSCSKDILNPKDVISAQFENTTTSKDFCSQSCLSTY
+>Q5VZL5_PF06467_482 <unknown description>
+TMNCCENCGGYCYSGSGQCHMLQIEGQSKKFCSSSCITAY
+>Q5VZL5_PF06467_684 <unknown description>
+KLKCQHCNRLFATKPELLDYKGKMFQFCGKNCSDEY
+>Q5VZL5_PF06467_725 <unknown description>
+VMAMCEYCKIEKIVKETVRFSGADKSFCSEGCKLLY
+>Q5VZL5_PF12012_1356 <unknown description>
+MFSRIEEEHLWECKQLGAYSPIVLLNTLLFFNTKYFQLKNVTEHLKLSFAHVMRRTRTLK
+YSTKMTYLRFFPPLQKQESEPDKLTVGKRKRNEDDEVPVGVEMAENTDNPLRCPVRLYEF
+YLSKCSESVKQRNDVFYLQPERSCVPNSPMWYSTFPIDPGTLDTMLTRILM
+>Q9UJ78_PF06467_242 <unknown description>
+ITCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSF
+>Q9UJ78_PF06467_334 <unknown description>
+NKSRCTICSKLAEIRHEVSVNNVTHKLCSNHCFNKY
+>Q9UJ78_PF06467_376 <unknown description>
+IMNCCEHCGEYMPSKSTGNNILVIGGQQKRFCCQSCINEY
+>O95789_PF06467_134 <unknown description>
+PKKTCTNCSKDILNPKDVITTRFENSYPSKDFCSQSCLSSY
+>O95789_PF06467_427 <unknown description>
+KLKCQHCNHLFATKPELLFYKGKMFLFCGKNCSDEY
+>O95789_PF06467_469 <unknown description>
+VAMCDYCKLQKIIKETVRFSGVDKPFCSEVCK
+>O95789_PF14291_878 <unknown description>
+LSNVTIQHRIDELSADIEDQLIQKVRESKWFALQIDESSEISNITLLLCYIRFIDYDCRD
+VKEELLFCIEMPTQITGFEIFELINKYIDSKSLNWKHCVGLCTDGAASMTGRYSG
+>Q8IYN0_PF01352_34 <unknown description>
+PLTFRDVAIEFSLEEWQCLDSAQQGLYRKVMLENYRNLVFL
+>Q8IYN0_PF00096_233 <unknown description>
+YQCKDCGKAFNWFSTLTTHRRIH
+>Q8IYN0_PF00096_261 <unknown description>
+YKCEECGKAFNRSSHLTTHKIIH
+>Q8IYN0_PF00096_289 <unknown description>
+YRCEECGKAFNRSSHLTTHKRIH
+>Q8IYN0_PF00096_317 <unknown description>
+YKCTECGKAFNRSSHLTTHRIIH
+>Q8IYN0_PF00096_345 <unknown description>
+YKCEECGKAFNQSSTLTTHKITH
+>Q8IYN0_PF00096_373 <unknown description>
+YKCEECGKAFYRFSYLTKHKTSH
+>Q8IYN0_PF00096_401 <unknown description>
+YKCEECGKGFNWSSALTKHKRIH
+>Q8IYN0_PF00096_429 <unknown description>
+YKCEECGKAFNESSNLTTHKMIH
+>Q8IYN0_PF00096_457 <unknown description>
+YKCDECGKAFNRSSQLTAHKMIH
+>Q8IYN0_PF00096_485 <unknown description>
+YKCEECGKAFNRSSTLTKHKITH
+>Q8IZC7_PF01352_3 <unknown description>
+SVAFEDVAVNFTQEEWALLSPSQKNLYRDVTLETFRNLASVG
+>Q8IZC7_PF00096_169 <unknown description>
+YECKVCGKAFNSPNLFQIHQRTH
+>Q8IZC7_PF00096_225 <unknown description>
+YECKYCGKPIDYPSLFQIHVRTH
+>Q8IZC7_PF00096_253 <unknown description>
+YKCKQCGKAFISAGYLRTHEIRSH
+>Q8IZC7_PF00096_338 <unknown description>
+YECNKCGKTFNYPSCFRRHKKTH
+>Q8IZC7_PF00096_394 <unknown description>
+FDCKQCGKVFTFSNYLRLHERTH
+>Q9UJU3_PF01352_2 <unknown description>
+VTFKDVAVVFTEEELGLLDSVQRKLYRDVMLENFRNLLL
+>Q9UJU3_PF00096_519 <unknown description>
+YKCNICGKGFNHRSVLNVHQRVH
+>Q9UJU3_PF00096_547 <unknown description>
+YKCEECDKGFSRSSYLQAHQRVH
+>Q9UJU3_PF00096_575 <unknown description>
+YKCEECGKGFSRNSYLQGHQRVH
+>Q9UJU3_PF00096_603 <unknown description>
+YKCEECGKGFSRSSHLQGHQRVH
+>Q9UJU3_PF00096_631 <unknown description>
+FKCEECGKGFSWSFNLQIHQRVH
+>Q9UJU3_PF00096_659 <unknown description>
+YKCEECGKGFSKASTLLAHQRVH
+>Q9UJU3_PF00096_687 <unknown description>
+YQCDECGKSFSQRSYLQSHQSVH
+>Q9UJU3_PF00096_715 <unknown description>
+YICEVCGKGFSQRAYLQGHQRVH
+>Q9UJU3_PF00096_743 <unknown description>
+YKCEMCGKGFSQSSRLEAHRRVH
+>Q9UJU3_PF00096_771 <unknown description>
+YKCEVCTKGFSESSRLQAHQRVH
+>Q9UJU3_PF00096_799 <unknown description>
+YKCEQCGKGFSGYSSLQAHHRVH
+>Q9UJU3_PF00096_827 <unknown description>
+YKCEVCGKGFSQRSNLQAHQRVH
+>Q8NC26_PF01352_5 <unknown description>
+SVTFADVAVNFTKEEWTLLDPAQRNLYRDVMLENSRNLAFI
+>Q8NC26_PF00096_304 <unknown description>
+HKCPECGRAFFYQSFLMRHMKIH
+>Q8NC26_PF00096_332 <unknown description>
+YECGKCGKAFRYSLHLNKHLRKH
+>Q8NC26_PF00096_388 <unknown description>
+YKCKTCGKDFAKSSGLKKHLKTH
+>P58317_PF00096_144 <unknown description>
+YECKECGKFFRYSSYLNSHMRTH
+>P58317_PF00096_172 <unknown description>
+YECKECGKCFTVSSHLVEHVRIH
+>P58317_PF00096_200 <unknown description>
+YQCKECGRAFAGRSGLTKHVRIH
+>P58317_PF00096_228 <unknown description>
+YECNECGKAYNRFYLLTEHFKTH
+>P58317_PF00096_256 <unknown description>
+FECKVCGKSFRSSSCLKNHFRIH
+>P58317_PF00096_284 <unknown description>
+YKCKECGKAFTVSSSLHNHVKIH
+>P58317_PF00096_312 <unknown description>
+YECKDCGKAFATSSQLIEHIRTH
+>P58317_PF00096_340 <unknown description>
+YICKECGKTFRASSHLQKHVRIH
+>P58317_PF00096_368 <unknown description>
+YICNECGKAYNRFYLLTKHLKTH
+>Q15973_PF01352_12 <unknown description>
+SVAFEDVAVNFTQEEWALLDPSQKNLYRDVMQETFRNLASIG
+>Q15973_PF00096_124 <unknown description>
+CTICEKVFNIPSSFQIHQRNH
+>Q15973_PF00096_178 <unknown description>
+YECKQCGKAFSRSSHLRDHERTH
+>Q15973_PF13912_234 <unknown description>
+YVCMECGKAFSCLSSLQGHIKAH
+>Q15973_PF00096_262 <unknown description>
+YPCKQCGKAFRYASSLQKHEKTH
+>Q15973_PF00096_290 <unknown description>
+YVCNNCGKGFRCSSSLRDHERTH
+>Q15973_PF00096_318 <unknown description>
+YECQKCGKAFSRASTLWKHKKTH
+>P52739_PF00651_24 <unknown description>
+LNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFYKFFQEFTQEPLVEIEGVSKMAFR
+HLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKALEVRNK
+>P52739_PF12874_288 <unknown description>
+FKCEICNKRYLRESAWKQHLN
+>P52739_PF12874_392 <unknown description>
+YECQVCNSVFNSWDQFKDHL
+>P52740_PF01352_38 <unknown description>
+VTFEDVAVYFSQEEWELLDAAQRHLYHSVMLENLELVTSLG
+>P52740_PF00096_291 <unknown description>
+HVCKECGKAFSHSSKLRKHQKFH
+>P52740_PF00096_347 <unknown description>
+YECDECGKAFSNRSHLIRHEKVH
+>P52740_PF00096_375 <unknown description>
+FECLKCGRAFSQSSNFLRHQKVH
+>P52740_PF00096_403 <unknown description>
+YECSQCGKSFSRSSALIQHWRVH
+>P52740_PF00096_431 <unknown description>
+YECSECGRAFNNNSNLAQHQKVH
+>P52740_PF00096_459 <unknown description>
+FECSECGRDFSQSSHLLRHQKVH
+>P52740_PF00096_487 <unknown description>
+FECCDCGKAFSNSSTLIQHQKVH
+>P52740_PF00096_515 <unknown description>
+YECSECRKSFSRSSSLIQHWRIH
+>P52740_PF00096_543 <unknown description>
+YECSECGKAFAHSSTLIEHWRVH
+>P52740_PF00096_571 <unknown description>
+YECNECGKFFSQNSILIKHQKVH
+>P52740_PF00096_599 <unknown description>
+YKCSECGKFFSRKSSLICHWRVH
+>P52740_PF00096_627 <unknown description>
+YECSECGRAFSSNSHLVRHQRVH
+>P52740_PF00096_655 <unknown description>
+YECIQCGKAFSERSTLVRHQKVH
+>P52740_PF13912_683 <unknown description>
+YECSQCGKLFSHLCNLAQHKKIH
+>P52736_PF01352_1 <unknown description>
+MAFRDVAVDFTQDEWRLLSPAQRTLYREVMLENYSNLVSLG
+>P52736_PF00096_216 <unknown description>
+CGECGLSFSKMTNLLSHQRIH
+>P52736_PF00096_242 <unknown description>
+YVCGVCEKGFSLKKSLARHQKAH
+>P52736_PF00096_271 <unknown description>
+VCRECGRGFNRKSTLIIHERTH
+>P52736_PF00096_298 <unknown description>
+YMCSECGRGFSQKSNLIIHQRTH
+>P52736_PF00096_326 <unknown description>
+YVCRECGKGFSQKSAVVRHQRTH
+>P52736_PF00096_355 <unknown description>
+VCSDCGLGFSDRSNLISHQRTH
+>P52736_PF00096_382 <unknown description>
+YACKECGRCFRQRTTLVNHQRTH
+>P52736_PF00096_410 <unknown description>
+YVCGVCGHSFSQNSTLISHRRTH
+>P52736_PF00096_438 <unknown description>
+YVCGVCGRGFSLKSHLNRHQNIH
+>P52736_PF00096_467 <unknown description>
+VCKDCGRGFSQQSNLIRHQRTH
+>P52736_PF00096_495 <unknown description>
+VCGECGRGFSQKSNLVAHQRTH
+>P52736_PF00096_522 <unknown description>
+YVCRECGRGFSHQAGLIRHKRKH
+>P52736_PF00096_606 <unknown description>
+YVCKTCGRGFSLKSHLSRHRKT
+>P52741_PF00096_176 <unknown description>
+YKCSECGKAFSRKDTLVQHQRIH
+>P52741_PF00096_204 <unknown description>
+YECSECGKAFSRKATLVQHQRIH
+>P52741_PF00096_232 <unknown description>
+YECSECGKTFSRKDNLTQHKRIH
+>P52741_PF00096_260 <unknown description>
+YKCNECGKYFSHHSNLIVHQRVH
+>P52741_PF00096_288 <unknown description>
+YKCSDCGKVFRHKSTLVQHESIH
+>P52741_PF00096_316 <unknown description>
+YDCSDCGKSFGHKYTLIKHQRIH
+>P52741_PF00096_344 <unknown description>
+FECIECGKFFSRSSDYIAHQRVH
+>P52741_PF00096_372 <unknown description>
+FVCSKCGKDFIRTSHLVRHQRVH
+>P52741_PF00096_400 <unknown description>
+YECSECGKAYSLSSHLNRHQKVH
+>P52742_PF01352_14 <unknown description>
+VTFEDVVVGFSQEEWGQLKPAQRTLYRDVMLDTFRLLVSVG
+>P52742_PF00096_214 <unknown description>
+YKCQECGKAFSHSSALIEHHRTH
+>P52742_PF00096_242 <unknown description>
+YECHECLKGFRNSSALTKHQRIH
+>P52742_PF00096_270 <unknown description>
+YKCTQCGRTFNQIAPLIQHQRTH
+>P52742_PF00096_298 <unknown description>
+YECSECGKSFSFRSSFSQHERTH
+>P52742_PF00096_326 <unknown description>
+YECSECGKAFRQSIHLTQHLRIH
+>P52742_PF00096_354 <unknown description>
+YQCGECGKAFSHSSSLTKHQRIH
+>P52742_PF00096_382 <unknown description>
+YECHECGKAFTQITPLIQHQRTH
+>P52742_PF00096_410 <unknown description>
+YECGECGKAFSQSTLLTEHRRIH
+>P52742_PF00096_440 <unknown description>
+CNECGKTFSHSSSLSQHERTH
+>P52742_PF00096_466 <unknown description>
+YECSQCGKAFRQSTHLTQHQRIH
+>P52742_PF00096_494 <unknown description>
+YECNDCGKAFSHSSSLTKHQRIH
+>P52742_PF00096_522 <unknown description>
+YECNQCGRAFSQLAPLIQHQRIH
+>P52742_PF00096_550 <unknown description>
+YECNQCGRAFSQSSLLIEHQRIH
+>P52742_PF00096_580 <unknown description>
+CNECGKSFSHSSSLSQHERTH
+>P52742_PF00096_606 <unknown description>
+YECHDCGKSFRQSTHLTQHRRIH
+>P52742_PF00096_634 <unknown description>
+YACRDCGKAFTHSSSLTKHQRTH
+>P52737_PF01352_3 <unknown description>
+SVAFEDVDVNFTQEEWALLDPSQKNLYRDVMWETMRNLASIG
+>P52737_PF13912_168 <unknown description>
+YDCKECGKTFFSLKRIRRHIITH
+>P52737_PF00096_196 <unknown description>
+YKCKVCGKAFDYPSRFRTHERSH
+>P52737_PF13912_224 <unknown description>
+YECQECGKAFTCITSVRRHMIKH
+>P52737_PF00096_252 <unknown description>
+YKCKVCGKPFHSLSSFQVHERIH
+>P52737_PF00096_308 <unknown description>
+YECKQCGKAFSYLPSLRLHERIH
+>P52737_PF00096_336 <unknown description>
+FVCKQCGKAFRSASTFQIHERTH
+>P52737_PF13894_364 <unknown description>
+YECKECGEAFSCIPSMRRHMIKH
+>P52737_PF00096_392 <unknown description>
+YKCKVCGKPFHSLSPFRIHERTH
+>P52737_PF00096_420 <unknown description>
+YVCKHCGKAFVSSTSIRIHERTH
+>P52737_PF00096_448 <unknown description>
+YECKQCGKAFSYLNSFRTHEMIH
+>P52737_PF00096_476 <unknown description>
+FECKRCGKAFRSSSSFRLHERTH
+>P52738_PF01352_5 <unknown description>
+SVTFRDVAIDFSQEEWKWLQPAQRDLYRCVMLENYGHLVSLG
+>P52738_PF00096_163 <unknown description>
+CHECGKTFGRRFSLVLHQRTH
+>P52738_PF00096_189 <unknown description>
+YACKECGKTFSQISNLVKHQMIH
+>P52738_PF00096_217 <unknown description>
+HECKDCNKTFSYLSFLIEHQRTH
+>P52738_PF00096_245 <unknown description>
+YECTECGKAFSRASNLTRHQRIH
+>P52738_PF00096_273 <unknown description>
+YICRKCGKAFSSGSELIRHQITH
+>P52738_PF00096_301 <unknown description>
+YECIECGKAFRRFSHLTRHQSIH
+>P52738_PF13912_357 <unknown description>
+YECDECGKVFTWHASLIQHTKSH
+>P52738_PF00096_385 <unknown description>
+YACAECDKAFSRSFSLILHQRTH
+>P52738_PF00096_413 <unknown description>
+YVCKVCNKSFSWSSNLAKHQRTH
+>Q15928_PF01352_4 <unknown description>
+LTFRDVAIEFSPEEWKCLDPDQQNLYRDVMLENYRNLVSLG
+>Q15928_PF00096_227 <unknown description>
+FTCEECGSIFTTSSHFAKHKIIH
+>Q15928_PF00096_255 <unknown description>
+YKCEECGKAFNRFTTLTKHKRIH
+>Q15928_PF00096_284 <unknown description>
+TCEECRKIFTSSSNFAKHKRIH
+>Q15928_PF00096_311 <unknown description>
+YKCEECGKAFNRSTTLTKHKRIH
+>Q15928_PF00096_339 <unknown description>
+YTCEECGKAFRQSSKLNEHKKVH
+>Q15928_PF13912_367 <unknown description>
+YKCDECGKAFGRSRVLNEHKKIH
+>Q15928_PF00096_395 <unknown description>
+YKCEECGKAFRRSTDRSQHKKIH
+>Q15928_PF00096_423 <unknown description>
+YKCKECDKAFKQFSLLSQHKKIH
+>Q15928_PF00096_451 <unknown description>
+YKCKDCDKAFKRFSHLNKHKKIH
+>P52747_PF00096_237 <unknown description>
+FRCEYDGCGKLYTTAHHLKVHERSH
+>P52747_PF00096_267 <unknown description>
+YQCEHAGCGKAFATGYGLKSHVRTH
+>P52747_PF00096_297 <unknown description>
+YRCSEDNCTKSFKTSGDLQKHIRTH
+>P52747_PF00096_357 <unknown description>
+YYCTEPGCGRAFASATNYKNHVRIH
+>P52747_PF00096_417 <unknown description>
+YNCNHCGKTYKQISTLAMHKRTAH
+>Q9UQR1_PF00096_171 <unknown description>
+HVCEHCNAAFRTNYHLQRHVFIH
+>Q9UQR1_PF00096_199 <unknown description>
+FQCSQCDMRFIQKYLLQRHEKIH
+>Q9UQR1_PF00096_227 <unknown description>
+FRCDECGMRFIQKYHMERHKRTH
+>Q13106_PF01352_14 <unknown description>
+VTFEDVAVHFSWEEWGLLDEAQRCLYRDVMLENLALLTSLD
+>Q13106_PF00096_161 <unknown description>
+YICSECGKSFSKSYSLNDHWRLH
+>Q13106_PF00096_189 <unknown description>
+YECRECGKSFRQSSSLIQHRRVH
+>Q13106_PF00096_217 <unknown description>
+HECDECGKLFSNKSNLIKHRRVH
+>Q13106_PF00096_245 <unknown description>
+YECSECGKSFSQRSALLQHRGVH
+>Q13106_PF00096_273 <unknown description>
+YECSECGKFFTYHSSLIKHQKVH
+>Q13106_PF00096_301 <unknown description>
+YECSECGKSFSQNSSLIEHHRVH
+>Q13106_PF00096_329 <unknown description>
+YKCSECGKSFSQRSALLQHRGVH
+>Q13106_PF00096_357 <unknown description>
+YECSECGKFFPYSSSLRKHQRVH
+>Q13106_PF00096_385 <unknown description>
+YECSECGKSFTQNSGLIKHRRVH
+>Q13106_PF00096_413 <unknown description>
+YECTECGKSFSHNSSLIKHQRIH
+>Q12901_PF01352_8 <unknown description>
+VTFKDVAVVFTEEELGLLDPAQRKLYRDVMLENFRNLLSVG
+>Q12901_PF00096_176 <unknown description>
+YTCDECGKSICYISALHVHQRVH
+>Q12901_PF00096_204 <unknown description>
+FMCDVCGKEFSQSSHLQTHQRVH
+>Q12901_PF00096_232 <unknown description>
+FKCEQCGKGFSRRSALNVHRKLH
+>Q12901_PF00096_260 <unknown description>
+YICEACGKAFIHDSQLKEHKRIH
+>Q12901_PF00096_288 <unknown description>
+FKCDICGKTFYFRSRLKSHSMVH
+>Q12901_PF00096_372 <unknown description>
+YNCKECGKSFRWSSCLLNHQRVH
+>Q12901_PF00096_400 <unknown description>
+FKCEECGKGFYTNSQLSSHQRSH
+>Q12901_PF00096_428 <unknown description>
+YKCEECGKGYVTKFNLDLHQRVH
+>Q12901_PF00096_456 <unknown description>
+YNCKECGKNFSRASSILNHKRLH
+>P51786_PF01352_26 <unknown description>
+SVSFEDVAVDFTRQEWHRLDPAQRTMHKDVMLETYSNLASVG
+>P51786_PF00096_162 <unknown description>
+FECHECGKAYCRKSNLVEHLRIH
+>P51786_PF00096_190 <unknown description>
+YECGECAKTFSARSYLIAHQKTH
+>P51786_PF00096_218 <unknown description>
+FECNECGKSFGRKSQLILHTRTH
+>P51786_PF00096_246 <unknown description>
+YECTECGKTFSEKATLTIHQRTH
+>P51786_PF00096_274 <unknown description>
+YECSECGKTFRVKISLTQHHRTH
+>P51786_PF00096_302 <unknown description>
+YECGECGKNFRAKKSLNQHQRIH
+>P51786_PF00096_330 <unknown description>
+YECGECGKFFRMKMTLNNHQRTH
+>P51786_PF00096_358 <unknown description>
+YQCNECGKSFRVHSSLGIHQRIH
+>P51786_PF00096_414 <unknown description>
+YECSECGKIFSMKKSLCQHRRTH
+>P51786_PF00096_470 <unknown description>
+FECQECGKAFCRKAHLTEHQRTH
+>Q9HCG1_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRILYRDVMLENYWNLVSLG
+>Q9HCG1_PF00096_257 <unknown description>
+YKCNECGKAFTQNSNLTSHRRIH
+>Q9HCG1_PF00096_285 <unknown description>
+YKCSECGKTFTVRSNLTIHQVIH
+>Q9HCG1_PF00096_313 <unknown description>
+YKCHECGKVFRHNSYLATHRRIH
+>Q9HCG1_PF00096_341 <unknown description>
+YKCNECGKAFRGHSNLTTHQLIH
+>Q9HCG1_PF00096_369 <unknown description>
+FKCNECGKLFTQNSHLISHWRIH
+>Q9HCG1_PF00096_397 <unknown description>
+YKCNECGKAFSVRSSLAIHQTIH
+>Q9HCG1_PF00096_425 <unknown description>
+YKCNECGKVFRYNSYLGRHRRVH
+>Q9HCG1_PF00096_453 <unknown description>
+YKCNECGKAFSMHSNLATHQVIH
+>Q9HCG1_PF00096_481 <unknown description>
+FKCNECSKVFTQNSQLANHRRIH
+>Q9HCG1_PF00096_509 <unknown description>
+YKCNECGKAFSVRSSLTTHQAIH
+>Q9HCG1_PF00096_537 <unknown description>
+YKCIECGKSFTQKSHLRSHRGIH
+>Q9HCG1_PF00096_565 <unknown description>
+YKCNECGKVFAQTSQLARHWRVH
+>Q9HCG1_PF00096_593 <unknown description>
+YKCNDCGRAFSDRSSLTFHQAIH
+>Q9HCG1_PF00096_621 <unknown description>
+YKCHECGKVFRHNSYLATHRRIH
+>Q9HCG1_PF00096_649 <unknown description>
+YKCNECGKAFSMHSNLTTHKVIH
+>Q9HCG1_PF00096_677 <unknown description>
+YKCNQCGKVFTQNSHLANHQRTH
+>Q9HCG1_PF00096_705 <unknown description>
+YRCNECGKAFSVRSSLTTHQAIH
+>Q9HCG1_PF00096_733 <unknown description>
+YKCNECGKVFTQNAHLANHRRIH
+>Q9HCG1_PF00096_761 <unknown description>
+YRCTECGKAFRVRSSLTTHMAIH
+>Q9HCG1_PF00096_789 <unknown description>
+YKCNECGKVFRQSSNLASHHRMH
+>P49910_PF02023_45 <unknown description>
+QELCRQLFRQFCYQDSPGPREALSRLRELCCQWLKPEIHTKEQILELLVLEQFLTILPGD
+LQAWVHEHYPESGEEAVTILEDLERGTD
+>P49910_PF00096_344 <unknown description>
+HQCNECGKAFRHSSKLARHQRIH
+>P49910_PF00096_372 <unknown description>
+YECNECGKSFAESSDLTRHRRIH
+>P49910_PF00096_402 <unknown description>
+CKECGRAFNLNSHLIRHQRIH
+>P49910_PF00096_428 <unknown description>
+YECSECGKTFRVSSHLIRHFRIH
+>P49910_PF00096_456 <unknown description>
+YECSECGRAFSQSSNLSQHQRIH
+>Q14929_PF01352_14 <unknown description>
+MAFRDVAVAFTQKEWKLLSSAQRTLYREVMLENYSHLVSLG
+>Q14929_PF00096_234 <unknown description>
+HVCPECGRGFCQRSDLIKHQRTH
+>Q14929_PF00096_262 <unknown description>
+YLCPECGRRFSQKASLSIHQRKH
+>Q14929_PF00096_290 <unknown description>
+YVCRECGRHFRYTSSLTNHKRIH
+>Q14929_PF00096_318 <unknown description>
+FVCQECGRGFRQKIALLLHQRTH
+>Q14929_PF00096_346 <unknown description>
+FVCPECGRGFCQKASLLQHQSSH
+>Q14929_PF00096_375 <unknown description>
+LCLECGRSFRQQSLLLSHQVTH
+>Q14929_PF00096_402 <unknown description>
+YVCAECGHSFRQKVTLIRHQRTH
+>Q14929_PF00096_430 <unknown description>
+YLCPQCGRGFSQKVTLIGHQRTH
+>Q14929_PF00096_458 <unknown description>
+YLCPDCGRGFGQKVTLIRHQRTH
+>Q14929_PF00096_486 <unknown description>
+YLCPKCGRAFGFKSLLTRHQRTH
+>Q14929_PF00096_543 <unknown description>
+ICDECGRGFGFKSALIRHQRTH
+>Q14929_PF00096_570 <unknown description>
+YVCRECGRGFSQKSHLHRHRRT
+>Q15697_PF02023_42 <unknown description>
+PELCRQSFRRFCYQEVSGPQEALSQLRQLCRQWLQPELHTKEQILELLVMEQFLTILPPE
+IQARVRHRCPMSSKEIVTLVEDFHRASKK
+>Q15697_PF00096_326 <unknown description>
+YKCDDCGKSFTWNSELKRHKRVH
+>Q15697_PF00096_354 <unknown description>
+YTCGECGNCFGRQSTLKLHQRIH
+>Q15697_PF00096_382 <unknown description>
+YQCGQCGKSFRQSSNLHQHHRLH
+>Q9Y473_PF01352_26 <unknown description>
+SVSFEDVTVDFSREEWQQLDPAQRCLYRDVMLELYSHLFAVG
+>Q9Y473_PF00096_336 <unknown description>
+ICKECGKVFIQRSELLTHQKTH
+>Q9Y473_PF00096_363 <unknown description>
+YKCHDCGKAFFQMLSLFRHQRTH
+>Q9Y473_PF00096_391 <unknown description>
+YECSECGKGFSQNSTLIIHQKIH
+>Q9Y473_PF00096_419 <unknown description>
+YACSECGKAFTQKSTLSLHQRIH
+>Q9Y473_PF00096_447 <unknown description>
+YVCIECGQAFIQKAHLIVHQRSH
+>Q9Y473_PF00096_475 <unknown description>
+YQCHNCGKSFISKSQLDIHHRIH
+>Q9Y473_PF00096_503 <unknown description>
+YECSDCGKTFTQKSHLNIHQKIH
+>Q9Y473_PF00096_531 <unknown description>
+HVCSECGKAFNQKSILSMHQRIH
+>Q9Y473_PF00096_559 <unknown description>
+YKCSECGKAFTSKSQFKEHQRIH
+>Q9Y473_PF00096_587 <unknown description>
+YVCTECGKAFNGRSNFHKHQITH
+>Q9Y473_PF00096_615 <unknown description>
+FVCYKCGKAFVQKSELITHQRTH
+>Q9Y473_PF00096_643 <unknown description>
+YECLDCGKSFSKKPQLKVHQRIH
+>Q9Y473_PF00096_671 <unknown description>
+YVCSECGKAFNNRSNFNKHQTTH
+>Q2M3W8_PF01352_4 <unknown description>
+VTFNDVAIDFTHEEWGWLSSAQRDLYKDVMVQNYENLVSV
+>Q2M3W8_PF00096_237 <unknown description>
+YTCSECGKAFGKQSILNRHWRIH
+>Q2M3W8_PF00096_265 <unknown description>
+YECRECGKTFSHGSSLTRHLISH
+>Q2M3W8_PF00096_293 <unknown description>
+YKCIECGKAFSHVSSLTNHQSTH
+>Q2M3W8_PF00096_321 <unknown description>
+YECMNCGKSFSRVSHLIEHLRIH
+>Q2M3W8_PF00096_349 <unknown description>
+YECRICGKAFIHRSSLIHHQKIH
+>Q2M3W8_PF00096_377 <unknown description>
+YECRECGKAFCCSSHLTRHQRIH
+>Q2M3W8_PF00096_405 <unknown description>
+YECNKCLKVFSSLSFLVQHQSIH
+>Q2M3W8_PF13912_433 <unknown description>
+FECQKCRKSFNQLESLNMHLRNH
+>Q2M3W8_PF00096_461 <unknown description>
+YECSICGKAFSHRSSLLQHHRIH
+>Q2M3W8_PF00096_489 <unknown description>
+YECIKCGKTFSCSSNLTVHQRIH
+>Q2M3W8_PF00096_517 <unknown description>
+YKCNECGKAFSKGSNLTAHQRVH
+>P17025_PF01352_7 <unknown description>
+LVTFEDVAVDFTQEEWQYLNPPQRTLYRDVMLETYSNLVFVG
+>P17025_PF00096_215 <unknown description>
+FECTACRKTFSKKSHLIVHWRTH
+>P17025_PF00096_245 <unknown description>
+CTECGKAFSQKSQLIIHLRTH
+>P17025_PF00096_271 <unknown description>
+FECPECGKAFREKSTVIIHYRTH
+>P17025_PF00096_299 <unknown description>
+YECNECGKAFTQKSNLIVHQKTH
+>P17025_PF00096_327 <unknown description>
+YECTKCGESFIQKLDLIIHHSTH
+>P17025_PF00096_355 <unknown description>
+HECNECKKTFSDKSTLIIHQRTH
+>P17025_PF00096_383 <unknown description>
+HKCTECGKSFNEKSTLIVHQRTH
+>P17025_PF00096_411 <unknown description>
+YECDVCGKTFTQKSNLGVHQRTH
+>P17025_PF00096_439 <unknown description>
+FECNECEKAFSQKSYLMLHQRGH
+>P17025_PF00096_467 <unknown description>
+YECNECEKAFSQKSYLIIHQRTH
+>P17025_PF00096_495 <unknown description>
+YKCNECGKAFREKSKLIIHQRIH
+>P17025_PF00096_523 <unknown description>
+YECPVCWKAFSQKSQLIIHQRTH
+>P17025_PF00096_551 <unknown description>
+YACTECGKAFREKSTFTVHQRTH
+>P17025_PF00096_579 <unknown description>
+YKCTECGKAFTQKSNLIVHQRTH
+>Q99676_PF01352_28 <unknown description>
+VTFKDVIVDFTQEEWKQLDPGQRDLFRDVTLENYTHLVSIG
+>Q99676_PF00096_223 <unknown description>
+KCNECGKAFSYCSALIRHQRTH
+>Q99676_PF00096_250 <unknown description>
+YKCNECEKAFSRSENLINHQRIH
+>Q99676_PF00096_306 <unknown description>
+YKCDECGKAFSQRTHLVQHQRIH
+>Q99676_PF00096_334 <unknown description>
+YTCNECGKAFSQRGHFMEHQKIH
+>Q99676_PF00096_362 <unknown description>
+FKCDECDKTFTRSTHLTQHQKIH
+>Q99676_PF00096_390 <unknown description>
+YKCNECGKAFNGPSTFIRHHMIH
+>Q99676_PF00096_418 <unknown description>
+YECNECGKAFSQHSNLTQHQKTH
+>Q99676_PF00096_446 <unknown description>
+YDCAECGKSFSYWSSLAQHLKIH
+>Q99676_PF00096_474 <unknown description>
+YKCNECGKAFSYCSSLTQHRRIH
+>Q99676_PF00096_502 <unknown description>
+FECSECGKAFSYLSNLNQHQKTH
+>Q99676_PF00096_530 <unknown description>
+YECKECGKAFIRSSSLAKHERIH
+>Q99676_PF00096_558 <unknown description>
+YQCHECGKTFSYGSSLIQHRKIH
+>Q99676_PF00096_586 <unknown description>
+YKCNECGRAFNQNIHLTQHKRIH
+>Q99676_PF00096_614 <unknown description>
+YECAECGKAFRHCSSLAQHQKTH
+>Q99676_PF00096_642 <unknown description>
+YQCNKCEKTFSQSSHLTQHQRIH
+>Q99676_PF00096_670 <unknown description>
+YKCNECDKAFSRSTHLTEHQNTH
+>Q99676_PF00096_698 <unknown description>
+YNCNECRKTFSQSTYLIQHQRIH
+>Q99676_PF00096_728 <unknown description>
+CNDCGKSFRYRSALNKHQRLH
+>O75820_PF01352_14 <unknown description>
+LTFEDVAVFFTQEEWDYLDPAQRSLYKDVMMENYGNLVSLD
+>O75820_PF00096_148 <unknown description>
+HKCEECGKGFVRKAHFIQHQRVH
+>O75820_PF00096_176 <unknown description>
+FQCNECGKSFSRSSFVIEHQRIH
+>O75820_PF00096_204 <unknown description>
+YECNYCGKTFSVSSTLIRHQRIH
+>O75820_PF00096_232 <unknown description>
+YQCNQCKQSFSQRRSLVKHQRIH
+>O75820_PF00096_260 <unknown description>
+HKCSDCGKAFSWKSHLIEHQRTH
+>O75820_PF00096_288 <unknown description>
+YHCTKCKKSFSRNSLLVEHQRIH
+>O75820_PF00096_316 <unknown description>
+HKCGECGKAFRLSTYLIQHQKIH
+>O75820_PF00096_348 <unknown description>
+ECGKSFSRSSFLIEHQRIH
+>O75820_PF00096_372 <unknown description>
+YQCKECGKSFSQLCNLTRHQRIH
+>O75820_PF00096_400 <unknown description>
+HKCEECGKAFSRSSGLIQHQRIH
+>O75820_PF00096_456 <unknown description>
+YKCDECGKTFSVSAHLVQHQRIH
+>O75820_PF00096_484 <unknown description>
+YLCTVCGKSFSRSSFLIEHQRIH
+>O75820_PF00096_512 <unknown description>
+YLCRQCGKSFSQLCNLIRHQGVH
+>O75820_PF00096_540 <unknown description>
+HKCDECGKAFSRNSGLIQHQRIH
+>O75820_PF00096_568 <unknown description>
+YKCEKCDKSFSQQRSLVNHQKIH
+>O14628_PF01352_4 <unknown description>
+LTFRDVAIEFSLEEWKCLDLAQQNLYRDVMLENYRNLFSVG
+>O14628_PF00096_244 <unknown description>
+FKCQECGKSFQMLSFLTEHQKIH
+>O14628_PF00096_438 <unknown description>
+YKCDECGKAYTQSSHLSEHRRIH
+>O14628_PF00096_466 <unknown description>
+YQCEECGKVFRTCSSLSNHKRTH
+>O14628_PF00096_494 <unknown description>
+YTCEECGNIFKQLSDLTKHKKTH
+>O14628_PF00096_522 <unknown description>
+YKCDECGKNFTQSSNLIVHKRIH
+>O14628_PF13912_550 <unknown description>
+YKCEECGRVFMWFSDITKHKKTH
+>O14628_PF00096_578 <unknown description>
+YKCDECGKNFTQSSNLIVHKRIH
+>O14628_PF00096_606 <unknown description>
+YKCEKCGKAFTQFSHLTVHESIH
+>O14709_PF02023_39 <unknown description>
+ETSHLHFRQLRYHETSGPQEALSRLRELCRRWLRPEARTKAQILELLVLEQFLSILPGEI
+RTWVQLHHPGSGEEAVALVEELQKDLD
+>O14709_PF01352_216 <unknown description>
+LVMFEEVSVCFTSEEWACLGPIQRALYWDVMLENYGNVTSL
+>O14709_PF00096_398 <unknown description>
+HKCKECGKGFIQRSSLLMHLRNH
+>O14709_PF00096_426 <unknown description>
+YKCNECGKAFSQSAYLLNHQRIH
+>O14709_PF00096_454 <unknown description>
+YKCKECGKGFYRHSGLIIHLRRH
+>O14709_PF00096_482 <unknown description>
+YKCNECGKVFSQNAYLIDHQRLH
+>O14709_PF00096_510 <unknown description>
+YKCNKCQKAFILKKSLILHQRIH
+>O14709_PF00096_538 <unknown description>
+YKCDECGKTFAQTTYLIDHQRLH
+>O14709_PF00096_566 <unknown description>
+YKCKECGKVFIRSKSLLLHQRVH
+>O14709_PF00096_596 <unknown description>
+CKKCGKIFSSKSNFIDHKRMH
+>O14709_PF00096_622 <unknown description>
+YKCTECGKAFTQSAYLFDHQRLH
+>O14709_PF00096_650 <unknown description>
+YECNECGKVFILKKSLILHQRFH
+>O14709_PF00096_678 <unknown description>
+YECKDCGKVFGSNRNLIDHERLH
+>O14709_PF00096_706 <unknown description>
+YECRECGKTFIMSKSFMVHQKLH
+>O14709_PF00096_734 <unknown description>
+YKCEDCGKAFSYNSSLLVHRRIH
+>O14709_PF13912_762 <unknown description>
+FECSECGRAFSSNRNLIEHKRIHS
+>O14709_PF00096_790 <unknown description>
+YECDECGKCFILKKSLIGHQRIH
+>O14709_PF00096_818 <unknown description>
+YKCNDCGKVFSYRSNLIAHQRIH
+>O14709_PF00096_846 <unknown description>
+YACSECGKGFTYNRNLIEHQRIH
+>O14709_PF00096_874 <unknown description>
+YECHVCRKVLTSSRNLMVHQRIH
+>O14709_PF00096_902 <unknown description>
+YKCNECGKDFSQNKNLVVHQRMH
+>O14709_PF00096_930 <unknown description>
+YECDKCRKSFTSKRNLVGHQRIH
+>O14709_PF00096_960 <unknown description>
+CNDCSKVFRQRKNLTVHQKIH
+>P98182_PF00096_252 <unknown description>
+YTCPLCGKQFNESSYLISHQRTH
+>P98182_PF00096_280 <unknown description>
+YDCNHCGKSFNHKTNLNKHERIH
+>P98182_PF00096_336 <unknown description>
+FKCPECGKTFPKNEEFVLHLQSH
+>O95125_PF02023_43 <unknown description>
+ETSHQNFRRFRYQEAASPREALIRLRELCHQWLRPERRTKEQILELLVLEQFLTVLPGEL
+QSWVRGQRPESGEEAVTLVEGLQKQPRR
+>O95125_PF01352_236 <unknown description>
+LVTFKDVAVCFSQDQWSDLDPTQKEFYGEYVLEEDCGIVVSL
+>O95125_PF00096_397 <unknown description>
+HDCSVCGKSFTCNSHLVRHLRTH
+>O95125_PF00096_425 <unknown description>
+YKCMECGKSYTRSSHLARHQKVH
+>O95125_PF00096_481 <unknown description>
+YRCDDCGKHFRWTSDLVRHQRTH
+>O95125_PF00096_509 <unknown description>
+FFCTICGKSFSQKSVLTTHQRIH
+>O95125_PF00096_565 <unknown description>
+YLCSECGRCFTHSAAFAKHLRGH
+>O95125_PF00096_594 <unknown description>
+RCNECGKSFSRRDHLVRHQRTH
+>O95125_PF00096_621 <unknown description>
+FTCPTCGKSFSRGYHLIRHQRTH
+>O95201_PF01352_123 <unknown description>
+PVTFEDVALYLSREEWGRLDHTQQNFYRDVLQKK
+>O95201_PF00096_308 <unknown description>
+YRCEQCGKGFSWHSHLVTHRRTH
+>O95201_PF00096_336 <unknown description>
+YACTDCGKRFGRSSHLIQHQIIH
+>O95201_PF00096_364 <unknown description>
+YTCPACRKSFSHHSTLIQHQRIH
+>O95201_PF00096_392 <unknown description>
+YVCDRCAKRFTRRSDLVTHQGTH
+>O95201_PF00096_420 <unknown description>
+HKCPICAKCFTQSSALVTHQRTH
+>O95201_PF00096_448 <unknown description>
+YPCPECGKCFSQRSNLIAHNRTH
+>O95201_PF00096_476 <unknown description>
+YHCLDCGKSFSHSSHLTAHQRTH
+>O95201_PF00096_504 <unknown description>
+YACPLCGKSFSRRSNLHRHEKIH
+>O43345_PF01352_3 <unknown description>
+SLTFRDVAIEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLG
+>O43345_PF13912_229 <unknown description>
+YRCKECGKAFSKFSILTKHK
+>O43345_PF00096_257 <unknown description>
+YKCEECGKAFNQSAILTKHKIIH
+>O43345_PF00096_286 <unknown description>
+KCEECGKAFSKVSTLTTHKAIH
+>O43345_PF00096_313 <unknown description>
+YKCKECGKAFSKVSTLITHKAIH
+>O43345_PF13912_341 <unknown description>
+YKCKECGKAFSKFSILTKHK
+>O43345_PF00096_425 <unknown description>
+YKCEECGKAFNWSSNLMEHKKIH
+>O43345_PF00096_497 <unknown description>
+YKCEECGKAFNWSSNLMEHKRIH
+>O43345_PF00096_525 <unknown description>
+YKCEECGKSFSTFSILTKHKVIH
+>O43345_PF00096_581 <unknown description>
+YKCEECGKAFNQSAILIKHKRIH
+>O43345_PF00096_609 <unknown description>
+YKCEECGKTFSKVSTLTTHKAIH
+>O43345_PF00096_637 <unknown description>
+YKCKECGKTFIKVSTLTTHKAIH
+>O43345_PF13912_665 <unknown description>
+YKCKECGKAFSKFSILTKHK
+>O43345_PF00096_693 <unknown description>
+YKCEECGKAFNWSSNLMEHKRIH
+>O43345_PF13912_721 <unknown description>
+YKCEECGKSFSTFSVLTKHK
+>O43345_PF00096_777 <unknown description>
+YKCEECGKAFNRSAILIKHKRIH
+>O43345_PF00096_805 <unknown description>
+YKCEECGKTFSKVSTLTTHKAIH
+>O43345_PF13912_833 <unknown description>
+YKCKECGKAFSKFSILTKHK
+>O43345_PF00096_945 <unknown description>
+YKCEACGKAYKSSSTLSYHKKIH
+>O43345_PF00096_1001 <unknown description>
+YKCEECGKAFNWSSNLMEHKKIH
+>O43345_PF00096_1029 <unknown description>
+YKCEECDKAFSWPSSLTEHKATH
+>O43345_PF00096_1085 <unknown description>
+YKCEECGKAFNWSSNLMEHKRIH
+>O43345_PF00096_1113 <unknown description>
+YKCEECGKSFSTFSILTKHKVIH
+>O43345_PF13912_1225 <unknown description>
+YKCEECGKAFSTFSILTKHK
+>Q13398_PF01352_45 <unknown description>
+SVTFEDVAVYFSWEEWDLLDEAQKHLYFDVMLENFALTSSLG
+>Q13398_PF00096_270 <unknown description>
+FECSKCGKACTRRCNLIQHQKVH
+>Q13398_PF00096_298 <unknown description>
+YECNECGKFFTYYSSFIIHQRVH
+>Q13398_PF13912_326 <unknown description>
+YACPECGKSFSQIYSLNSHRKVH
+>Q13398_PF00096_354 <unknown description>
+YECGECGKSFSQRSNLMQHRRVH
+>Q13398_PF00096_382 <unknown description>
+YECSECGKSFSQNFSLIYHQRVH
+>Q13398_PF00096_410 <unknown description>
+HECNECGKSFSRSSSLIHHRRLH
+>Q13398_PF00096_438 <unknown description>
+YECSKCGKSFKQSSSFSSHRKVH
+>Q13398_PF00096_466 <unknown description>
+YVCGECGKSFSHSSNLKNHQRVH
+>Q13398_PF00096_495 <unknown description>
+ECSECSKSFSCKSNLIKHLRVH
+>Q13398_PF00096_522 <unknown description>
+YECSECGKSFSQSSSLIQHRRVH
+>Q9UDV6_PF01352_148 <unknown description>
+VCFTEQEWENLEDWQKELYRNVMESNYETLVSL
+>Q9UDV6_PF00096_316 <unknown description>
+YECSECEITFRYKQQLATHLRSH
+>Q9UDV6_PF13912_369 <unknown description>
+HQCDVCLRSFSCKVSLVTHQRCHLQ
+>Q9UDV6_PF00096_429 <unknown description>
+CGYCGKSFSHPSDLVRHQRIH
+>Q9UDV6_PF00096_455 <unknown description>
+YSCTECEKSFVQKQHLLQHQKIH
+>O14771_PF02023_41 <unknown description>
+SEACRQRFRQFCYGDVHGPHEAFSQLWELCCRWLRPELRTKEQILELLVLEQFLTVLPGE
+IQGWVREQHPGSGEEAVALVEDLQKQP
+>O14771_PF01352_202 <unknown description>
+VALGDIPFYFSREEWGTLDPAQRDLFWDIKRENSRNT
+>O14771_PF00096_317 <unknown description>
+HSCGQCGKRFRWGSDLARHQRTH
+>O14771_PF00096_345 <unknown description>
+HKCPECDKSFRSSSDLVRHQGVH
+>O14771_PF00096_373 <unknown description>
+FSCSECGKSFSRSAYLADHQRIH
+>O14771_PF00096_403 <unknown description>
+CSDCGKSFSLRSYLLDHRRVH
+>O14771_PF00096_431 <unknown description>
+CGECDKSFKQRAHLIAHQSLH
+>Q9UL59_PF01352_3 <unknown description>
+VTFEDVTIIFTWEEWKFLDSSQKRLYREVMWENYTNVMSV
+>Q9UL59_PF00096_303 <unknown description>
+YSCNACGKSFSQISSLHNHQRVH
+>Q9UL59_PF00096_358 <unknown description>
+FKCNQCGKSFNRSSVLHVHQRVH
+>Q9UL59_PF00096_386 <unknown description>
+YKCDECGKGFSQSSNLRIHQLVH
+>Q9UL59_PF00096_414 <unknown description>
+YKCEDCGKGFTQRSNLQIHQRVH
+>Q9UL59_PF00096_442 <unknown description>
+YKCDDCGKDFSHSSDLRIHQRVH
+>Q9UL59_PF00096_470 <unknown description>
+YTCPECGKGFSKSSKLHTHQRVH
+>Q9UL59_PF00096_498 <unknown description>
+YKCEECGKGFSQRSHLLIHQRVH
+>Q9UL59_PF00096_526 <unknown description>
+YKCHDCGKGFSHSSNLHIHQRVH
+>Q9UL59_PF00096_554 <unknown description>
+YQCAKCGKGFSHSSALRIHQRVH
+>Q9UL58_PF02023_44 <unknown description>
+SEASRQKFRHFQYLKVSGPHEALSQLWELCLQWLRPEIHTKKQIIELLVLEQFLAILPEE
+VRTWVNLQHPNNSKDMVTLIEDVIEMLED
+>Q9UL58_PF01352_163 <unknown description>
+PVTFKDVVVEFSKEEWGQLDSAVKNLYRNVMLENFRNLNSL
+>Q9UL58_PF00096_379 <unknown description>
+YECYQCGKAFCRSSSLIRHQIIH
+>Q9UL58_PF00096_407 <unknown description>
+YKCSECGRFFNRRTNLTKHQKLH
+>Q9UL58_PF00096_462 <unknown description>
+YQCVNCGKSFNRSSSLIRHQMIH
+>Q9UL58_PF00096_490 <unknown description>
+FKCKECSKAFNRSSNLVKHQKLH
+>O75362_PF00096_128 <unknown description>
+FSCEVCGQTFRVAFDVEIHMRTH
+>O75362_PF00096_158 <unknown description>
+CNMCGRRFKEPWFLKNHMRTH
+>O75362_PF00096_472 <unknown description>
+ECSYCGKFFRSNYYLNIHLRTH
+>Q9P2Y4_PF00096_57 <unknown description>
+FPCPVCGKRFRFNSILALHLRAH
+>Q9P2Y4_PF00096_85 <unknown description>
+FQCPHCGHRAAQRALLRSHLRTH
+>Q9P2Y4_PF00096_274 <unknown description>
+FRCQVCGQSFTQSWFLKGHMRKH
+>Q9P2Y4_PF00096_302 <unknown description>
+HACPVCGRCFKEPWFLKNHMKVH
+>Q9P2Y4_PF00096_500 <unknown description>
+CPFCGKSFRSAHHLKVHLRVH
+>Q9P2Y4_PF00096_526 <unknown description>
+YKCPHCDYAGTQSGSLKYHLQRH
+>Q9UK13_PF01352_30 <unknown description>
+VTFKDVAVVFTEEELGLLDPAQRKLYRDVMLENFRNLLSVG
+>Q9UK13_PF00096_198 <unknown description>
+HTCGECGKSFCYSPALHIHQRVH
+>Q9UK13_PF00096_226 <unknown description>
+YKCDVCGKEFNQSSHLQTHQRVH
+>Q9UK13_PF00096_282 <unknown description>
+YNCEECGKAFIHDSQLQEHQRIH
+>Q9UK13_PF00096_310 <unknown description>
+FKCDICGKSFRVRSRLNRHSMVH
+>Q9UK13_PF00096_338 <unknown description>
+FRCDTCGKNFRQRSALNSHSMVH
+>Q9UK13_PF00096_422 <unknown description>
+FKCEECGKGFYTNSRRSSHQRSH
+>Q9UK13_PF00096_478 <unknown description>
+YNCKECGKSFGWASCLLKHQRLH
+>Q9UK13_PF00096_506 <unknown description>
+FKCEECGKRFTQSSQLHSHQ
+>Q9UK13_PF00096_534 <unknown description>
+YKCEQCEKGYNSKFNLDMHQRVH
+>Q9UK13_PF00096_562 <unknown description>
+YNCKECGKSFGWASCLLKHQRLH
+>Q9UK12_PF01352_17 <unknown description>
+VTFKDVAVIFTEEELGLLDPAQRKLYRDVMLENFRNLLSVG
+>Q9UK12_PF00096_185 <unknown description>
+HTCDECGKSFCYISALHIHQRVH
+>Q9UK12_PF00096_213 <unknown description>
+YKCDVCGKEFSQSSRLQTHQRVH
+>Q9UK12_PF00096_269 <unknown description>
+YNCEKCGKAFMHNFQLQKHHRIH
+>Q9UK12_PF00096_297 <unknown description>
+FKCEICGKSFCLRSSLNRHCMVH
+>Q9UK12_PF00096_353 <unknown description>
+YNCKECGKSFKWSSYLLVHQRVH
+>Q9UK12_PF00096_409 <unknown description>
+YNCDNCGKSFRHASSILNHKKLH
+>Q9UK11_PF01352_8 <unknown description>
+VTFKDVAVVFTEEELGLLDLAQRKLYRDVMLENFRNLLSVG
+>Q9UK11_PF00096_176 <unknown description>
+HSCDECGKSFCYISALHIHQRVH
+>Q9UK11_PF00096_204 <unknown description>
+FKCDVCGKEFSQSLHLQTHQRVH
+>Q9UK11_PF00096_260 <unknown description>
+YNCEACGRAFIHDFQLQKHQRIH
+>Q9UK11_PF00096_344 <unknown description>
+YNCKECGKSFRRSSYLLIHQRVH
+>Q9UK11_PF00096_372 <unknown description>
+YKCDKCGKSYITKSGLDLHHRAH
+>Q9UK11_PF00096_400 <unknown description>
+YNCDDCGKSFRQASSILNHKRLH
+>Q9NZL3_PF01352_8 <unknown description>
+MTFKDVAVVFTEEELGLLDLAQRKLYRDVMLENFRNLLSVG
+>Q9NZL3_PF00096_176 <unknown description>
+HTCDECGKNFCYISALRIHQRVH
+>Q9NZL3_PF00096_204 <unknown description>
+YKCDVCGKEFSQSSHLQTHQRVH
+>Q9NZL3_PF00096_232 <unknown description>
+FKCVECGKGFSRRSALNVHHKLH
+>Q9NZL3_PF00096_260 <unknown description>
+YNCEECGKAFIHDSQLQEHQRIH
+>Q9NZL3_PF00096_288 <unknown description>
+FKCDICGKSFCGRSRLNRHSMVH
+>Q9NZL3_PF00096_316 <unknown description>
+FRCDTCDKSFRQRSALNSHRMIH
+>Q9NZL3_PF00096_372 <unknown description>
+YNCKECGKSFRWASCLLKHQRVH
+>Q9NZL3_PF00096_456 <unknown description>
+YNCKECGKSFSRAPCLLKHERLH
+>Q9NZL3_PF00096_484 <unknown description>
+FQCEECGKRFTQNSHLHSHQRVH
+>Q9NZL3_PF00096_512 <unknown description>
+YKCEKCGKGYNSKFNLDMHQKVH
+>Q9NZL3_PF00096_540 <unknown description>
+YNCKECGKSFGWASCLLKHQRLH
+>Q9NZL3_PF00096_568 <unknown description>
+FKCEECGKRFTQNSQLHSHQRVH
+>Q9NZL3_PF00096_652 <unknown description>
+YKCEDCGKGYNRRLNLDMHQRVH
+>Q9NZL3_PF00096_681 <unknown description>
+KCRECDMCFSQASSLRLHQNVH
+>Q9UK10_PF01352_8 <unknown description>
+VTFKDVAVVFTEEELRLLDLAQRKLYREVMLENFRNLLSVG
+>Q9UK10_PF00096_176 <unknown description>
+HTCDECGKSFCYSSALRIHQRVH
+>Q9UK10_PF00096_204 <unknown description>
+YNCDVCGKEFNQSSHLQIHQRIH
+>Q9UK10_PF00096_232 <unknown description>
+FKCEQCGKGFSRRSGLYVHRKLH
+>Q9UK10_PF00096_260 <unknown description>
+HICEKCGKAFIHDSQLQEHQRIH
+>Q9UK10_PF12874_288 <unknown description>
+FKCDICCKSFRSRANLNRH
+>Q9UK10_PF00096_316 <unknown description>
+FRCDTCGKSFGLKSALNSHRMVH
+>Q9UK10_PF00096_344 <unknown description>
+YKCEECGKRFIYRQDLYKHQIDH
+>Q9UK10_PF00096_372 <unknown description>
+YNCKECGKSFRWASGLSRHVRVH
+>Q9UK10_PF00096_400 <unknown description>
+FKCEECGKGFYTNSQRYSHQRAH
+>Q9UK10_PF00096_484 <unknown description>
+FKCEECGKRFTQNSQLYTHRRVH
+>Q9UK10_PF00096_512 <unknown description>
+FKCEECGKRFTQNSQLYSHRRVH
+>Q9UK10_PF00096_540 <unknown description>
+YKCEECGKGFNSKFNLDMHQRVH
+>Q9UK10_PF00096_568 <unknown description>
+YNCKECGKSFSRASSILNHKRLH
+>Q9UK10_PF00096_596 <unknown description>
+FKCEECGKRFTENSQLHSHQRVH
+>Q9NYT6_PF01352_8 <unknown description>
+VTFKDVAVAFTEEELGLLGPAQRKLYRDVMVENFRNLLSVG
+>Q9NYT6_PF00096_308 <unknown description>
+KCDECGKEFSQGAHLQTHQKVH
+>Q9NYT6_PF00096_335 <unknown description>
+YKCKQCGKGFSRRSALNVHCKVH
+>Q9NYT6_PF00096_363 <unknown description>
+YNCEECGRAFSQASHLQDHQRLH
+>Q9NYT6_PF00096_391 <unknown description>
+FKCDACGKSFSRNSHLQSHQRVH
+>Q9NYT6_PF00096_419 <unknown description>
+YKCEECGKGFICSSNLYIHQRVH
+>Q9NYT6_PF00096_447 <unknown description>
+YKCEECGKGFSRPSSLQAHQGVH
+>Q9NYT6_PF00096_475 <unknown description>
+YICTVCGKGFTLSSNLQAHQRVH
+>Q9NYT6_PF00096_503 <unknown description>
+YKCNECGKSFRRNSHYQVHLVVH
+>Q9NYT6_PF00096_531 <unknown description>
+YKCEICGKGFSQSSYLQIHQKAH
+>Q9NYT6_PF00096_559 <unknown description>
+FKCEECGQGFNQSSRLQIHQLIH
+>Q9NYT6_PF00096_587 <unknown description>
+YKCEECGKGFSRRADLKIHCRIH
+>Q9NYT6_PF00096_615 <unknown description>
+YNCEECGKVFRQASNLLAHQRVH
+>Q9NYT6_PF00096_643 <unknown description>
+FKCEECGKSFGRSAHLQAHQKVH
+>Q9NYT6_PF00096_699 <unknown description>
+YKCGECGKYFSQASSLQLHQSVH
+>Q9NYT6_PF00096_727 <unknown description>
+YKCDVCGKVFSRSSQLQSHQRVH
+>Q9NYT6_PF00096_755 <unknown description>
+YKCEICGKSFSWRSNLTVHHRIH
+>Q86WZ6_PF01352_23 <unknown description>
+VTFKDVAVVFSREELRLLDLTQRKLYRDVMVENFKNLVAVG
+>Q86WZ6_PF00096_324 <unknown description>
+YRCDSCGKGFSSSTGLIIHYRTH
+>Q86WZ6_PF00096_352 <unknown description>
+YKCEECGKCFSQSSNFQCHQRVH
+>Q86WZ6_PF00096_380 <unknown description>
+YKCEECGKGFGWSVNLRVHQRVH
+>Q86WZ6_PF00096_408 <unknown description>
+YKCEECGKGFTQAAHFHIHQRVH
+>Q86WZ6_PF00096_464 <unknown description>
+YKCEACGKGFTRNTDLHIHFRVH
+>Q86WZ6_PF00096_492 <unknown description>
+YKCKECGKGFSQASNLQVHQNVH
+>Q86WZ6_PF00096_520 <unknown description>
+FKCETCGKGFSQSSKLQTHQRVH
+>Q86WZ6_PF00096_548 <unknown description>
+YRCDVCGKDFSYSSNLKLHQVIH
+>Q86WZ6_PF00096_576 <unknown description>
+YKCEECGKGFSWRSNLHAHQRVH
+>Q86WZ6_PF00096_604 <unknown description>
+YKCEQCDKSFSQAIDFRVHQRVH
+>Q86WZ6_PF00096_632 <unknown description>
+YKCGVCGKGFSQSSGLQSHQRVH
+>Q86WZ6_PF00096_660 <unknown description>
+YKCDVCGKGFRYSSQFIYHQRGH
+>Q86WZ6_PF00096_688 <unknown description>
+YKCEECGKGFGRSLNLRHHQRVH
+>Q86WZ6_PF00096_716 <unknown description>
+HICEECGKAFSLPSNLRVHLGVH
+>Q86WZ6_PF00096_744 <unknown description>
+FKCEECGKGFSQSARLEAHQRVH
+>Q86WZ6_PF00096_772 <unknown description>
+YKCDICDKDFRHRSRLTYHQKVH
+>Q9UJW7_PF01352_33 <unknown description>
+PLSFKDVAVVFTEEELELLDSTQRQLYQDVMQENFRNLLSVG
+>Q9UJW7_PF00096_349 <unknown description>
+YRCDVCGKGFRYKSVLLIHQGVH
+>Q9UJW7_PF00096_377 <unknown description>
+YKCEECGKAFGRSSNLLVHQRVH
+>Q9UJW7_PF00096_405 <unknown description>
+YKCSECGKGFSYSSVLQVHQRLH
+>Q9UJW7_PF00096_433 <unknown description>
+YTCSECGKGFCAKSALHKHQHIH
+>Q9UJW7_PF00096_461 <unknown description>
+YSCGECGKGFSCSSHLSSHQKTH
+>Q9UJW7_PF00096_489 <unknown description>
+YQCDKCGKGFSHNSYLQAHQRVH
+>Q9UJW7_PF00096_517 <unknown description>
+YKCNVCGKSFSYSSGLLMHQRLH
+>Q9UJW7_PF00096_548 <unknown description>
+ECGKSFGRSSDLHIHQRVH
+>Q9UJW7_PF00096_572 <unknown description>
+YKCSECGKGFRRNSDLHSHQRVH
+>Q9UJW7_PF00096_600 <unknown description>
+YVCDVCGKGFIYSSDLLIHQRVH
+>Q9UJW7_PF00096_628 <unknown description>
+YKCAECGKGFSYSSGLLIHQRVH
+>Q9UJW7_PF00096_684 <unknown description>
+YTCDQCGKGFSYGSNLRTHQRLH
+>Q9UJW7_PF00096_740 <unknown description>
+YRCHVCGKGYSQSSHLQGHQRVH
+>Q9UJW7_PF00096_768 <unknown description>
+YKCEECGKGFGRNSCLHVHQRVH
+>Q9UJW7_PF00096_796 <unknown description>
+YTCGVCGKGFSYTSGLRNHQRVH
+>Q9UIE0_PF01352_8 <unknown description>
+VTFKDVAVFFTEEELGLLDPAQRKLYQDVMLENFTNLLSVG
+>Q9UIE0_PF00096_168 <unknown description>
+HTCNECGKSFCYISALRIHQRVH
+>Q9UIE0_PF00096_252 <unknown description>
+YICEKCGRAFIHDFQLQKHQIIH
+>Q9UIE0_PF00096_280 <unknown description>
+FKCEICGKSFCLRSSLNRHCMVH
+>Q9UIE0_PF00096_336 <unknown description>
+YNCKECGKSFRWSSYLLIHQRIH
+>Q9UIE0_PF00096_364 <unknown description>
+YRCEECGKGYISKSGLNLHQRVH
+>Q9UIE0_PF00096_392 <unknown description>
+YNCKECGKSFSRASSILNHKKLH
+>Q9UNY5_PF02023_75 <unknown description>
+QEIFRQRFRHLRYQETPGPREALSQLRVLCCEWLRPEKHTKEQILEFLVLEQFLTILPEE
+LQSWVRGHHPKSGEEAVTVLEDLEKG
+>Q9UNY5_PF00096_303 <unknown description>
+HECSECGKTFIYNSHLVVHQRVH
+>Q9UNY5_PF00096_331 <unknown description>
+YKCSDCGKTFKQSSNLGQHQRIH
+>Q9UNY5_PF00096_359 <unknown description>
+FECNECGKAFRWGAHLVQHQRIH
+>Q9UNY5_PF00096_387 <unknown description>
+YECNECGKAFSQSSYLSQHRRIH
+>A6NK53_PF01352_8 <unknown description>
+VTFKDVAVVFTREELGLLDLAQRKLYQDVMLENFRNLLSVG
+>A6NK53_PF00096_452 <unknown description>
+YKCEVCDKGFSKASNLQAHQRIH
+>A6NK53_PF00096_480 <unknown description>
+YKCDVCDKNFSRNSHLQAHQRVH
+>A6NK53_PF00096_508 <unknown description>
+YKCDTCGKDFSQISHLQAHQRVH
+>A6NK53_PF00096_536 <unknown description>
+YKCETCGKGFSQSSHLQDHQQVH
+>A6NK53_PF00096_564 <unknown description>
+YKCDVCGKGFSWSSHLQAHQRVH
+>A6NK53_PF00096_620 <unknown description>
+YKCGMCGKSFSQTSHLQAHQRVH
+>Q14588_PF01352_8 <unknown description>
+LTFKDVAVVFTEEELGLLDPVQRNLYQDVMLENFRNLLSVG
+>Q14588_PF00096_176 <unknown description>
+HTCDECGKSFCYISALHIHQRVH
+>Q14588_PF00096_204 <unknown description>
+YKCDVCGKEFSQSSHLQTHQRVH
+>Q14588_PF00096_232 <unknown description>
+FKCVECGKGFSRRSTLTVHCKLH
+>Q14588_PF00096_260 <unknown description>
+YNCEECGRAFIHASHLQEHQRIH
+>Q14588_PF00096_288 <unknown description>
+FKCDTCGKNFRRRSALNNHCMVH
+>Q14588_PF00096_316 <unknown description>
+YKCEDCGKCFTCSSNLRIHQRVH
+>Q14588_PF00096_344 <unknown description>
+YKCEECGKCFIQPSQFQAHRRIH
+>Q14588_PF00096_372 <unknown description>
+YVCKVCGKGFIYSSSFQAHQGVH
+>Q14588_PF00096_400 <unknown description>
+YKCNECGKSFRMKIHYQVHLVVH
+>Q14588_PF00096_428 <unknown description>
+YKCEVCGKAFRQSSYLKIHLKAH
+>Q14588_PF00096_456 <unknown description>
+FKCEECGQGFNQSSRLQIHQLIH
+>Q14588_PF00096_484 <unknown description>
+YKCEECGKGFSRRADLKIHCRIH
+>Q14588_PF00096_512 <unknown description>
+YNCEECGKVFSQASHLLTHQRVH
+>Q14588_PF00096_540 <unknown description>
+FKCEECGKSFSRSAHLQAHQKVH
+>Q14588_PF00096_596 <unknown description>
+YTCGECGKHFSQASSLQLHQSVH
+>Q14588_PF00096_624 <unknown description>
+YKCDVCGKVFSRSSQLQYHRRVH
+>Q14588_PF00096_652 <unknown description>
+YKCEICGKRFSWRSNLVSHHKIH
+>Q14590_PF01352_8 <unknown description>
+VTFKDVAVAFTEEELGLLDSAQRKLYRDVMLENFRNLVSVG
+>Q14590_PF00096_321 <unknown description>
+CHECGKGFSQSSNLQTHQRVH
+>Q14590_PF00096_347 <unknown description>
+YTCHECGKSFNQSSHLYAHL
+>Q14590_PF00096_375 <unknown description>
+YRCDSCGKGFSRSTDLNIHCRVH
+>Q14590_PF00096_403 <unknown description>
+YKCEVCGKGFTQRSHLQAHERIH
+>Q14590_PF00096_431 <unknown description>
+YKCGDCGKRFSCSSNLHTHQRVH
+>Q14590_PF00096_459 <unknown description>
+YKCDECGKCFSLSFNLHSHQRVH
+>Q14590_PF00096_487 <unknown description>
+YKCEECGKGFSSASSFQSHQRVH
+>Q14590_PF00096_515 <unknown description>
+FRCNVCGKGFSQSSYFQAHQRVH
+>Q14590_PF00096_543 <unknown description>
+YKCEVCGKRFNWSLNLHNHQRVH
+>Q14590_PF00096_571 <unknown description>
+YKCEECGKGFSQASNLQAHQSVH
+>Q14590_PF00096_599 <unknown description>
+FKCDACQKRFSQASHLQAHQRVH
+>Q14590_PF00096_627 <unknown description>
+YKCDTCGKAFSQRSNLQVHQIIH
+>Q14590_PF00096_655 <unknown description>
+FKCEECGKEFSWSAGLSAHQRVH
+>Q14590_PF00096_683 <unknown description>
+YTCQQCGKGFSQASHFHTHQRVH
+>Q16600_PF00096_207 <unknown description>
+YECSQCGKNFSQSSELLLHQRDH
+>Q16600_PF00096_235 <unknown description>
+YKCEQCGKGFTRSSSLLIHQAVH
+>Q16600_PF00096_263 <unknown description>
+YKCDKCGKGFTRSSSLLIHHAVH
+>Q16600_PF00096_291 <unknown description>
+YKCDKCGKGFSQSSKLHIHQRVH
+>Q16600_PF00096_319 <unknown description>
+YECEECGMSFSQRSNLHIHQRVH
+>Q16600_PF00096_347 <unknown description>
+YKCGECGKGFSQSSNLHIHRCIH
+>Q16600_PF00096_375 <unknown description>
+YQCYECGKGFSQSSDLRIHLRVH
+>Q16600_PF00096_403 <unknown description>
+YHCGKCGKGFSQSSKLLIHQRVH
+>Q16600_PF00096_431 <unknown description>
+YECSKCGKGFSQSSNLHIHQRVH
+>Q8NDW4_PF01352_8 <unknown description>
+VSFKDVCVDFTQEEWYLLDPAQKILYRDVILENYSNLVSVG
+>Q8NDW4_PF00096_380 <unknown description>
+FECGECGKTFWEKSNLTQHQRTH
+>Q8NDW4_PF00096_408 <unknown description>
+YECTECGKAFCQKPHLTNHQRTH
+>Q8NDW4_PF00096_436 <unknown description>
+YECKQCGKTFCVKSNLTEHQRTH
+>Q8NDW4_PF00096_464 <unknown description>
+YECNACGKSFCHRSALTVHQRTH
+>Q8NDW4_PF00096_492 <unknown description>
+FICNECGKSFCVKSNLIVHQRTH
+>Q8NDW4_PF00096_520 <unknown description>
+YKCNECGKTFCEKSALTKHQRTH
+>Q8NDW4_PF00096_548 <unknown description>
+YECNACGKTFSQRSVLTKHQRIH
+>P15622_PF01352_17 <unknown description>
+LTFEDVAVLLSQDEWDRLCPAQRGLYRNVMMETYGNVVSLG
+>P15622_PF00096_194 <unknown description>
+YMCVECGKCFGRSSHLLQHQRIH
+>P15622_PF00096_222 <unknown description>
+YVCSVCGKAFSQSSVLSKHRRIH
+>P15622_PF00096_250 <unknown description>
+YECNECGKAFRVSSDLAQHHKIH
+>P15622_PF00096_278 <unknown description>
+HECLECRKAFTQLSHLIQHQRIH
+>P15622_PF00096_306 <unknown description>
+YVCPLCGKAFNHSTVLRSHQRVH
+>P15622_PF00096_334 <unknown description>
+HRCNECGKTFSVKRTLLQHQRIH
+>P15622_PF00096_362 <unknown description>
+YTCSECGKAFSDRSVLIQHHNVH
+>P15622_PF00096_390 <unknown description>
+YECSECGKTFSHRSTLMNHERIH
+>P15622_PF00096_418 <unknown description>
+YACYECGKAFVQHSHLIQHQRVH
+>P15622_PF00096_446 <unknown description>
+YVCGECGHAFSARRSLIQHERIH
+>P15622_PF00096_474 <unknown description>
+FQCTECGKAFSLKATLIVHLRTH
+>P15622_PF00096_502 <unknown description>
+YECNSCGKAFSQYSVLIQHQRIH
+>P15622_PF00096_530 <unknown description>
+YECGECGRAFNQHGHLIQHQKVH
+>Q9BRH9_PF01352_14 <unknown description>
+PLTFQDVAVYFSQAEGRQLGPQQRALYRDVMLENYGNVASLG
+>Q9BRH9_PF00096_209 <unknown description>
+FKCDICSKTFKYNSDLSRHQRSH
+>Q9BRH9_PF00096_237 <unknown description>
+YECGRCGRAFTHSSNLVLHHHIH
+>Q9BRH9_PF00096_265 <unknown description>
+FKCDECGKTFGLNSHLRLHRRIH
+>Q9BRH9_PF00096_295 <unknown description>
+CGECGKAFSRSSTLIQHRIIH
+>Q9BRH9_PF00096_321 <unknown description>
+YKCNECGRGFSQSPQLTQHQRIH
+>Q9BRH9_PF00096_349 <unknown description>
+HECSHCGKAFSRSSSLIQHERIH
+>Q9BRH9_PF00096_377 <unknown description>
+HKCNQCGKAFSQSSSLFLHHRVH
+>Q9BRH9_PF00096_405 <unknown description>
+YVCNECGRAFGFNSHLTEHVRIH
+>Q9BRH9_PF00096_433 <unknown description>
+YVCNECGKAFRRSSTLVQHRRVH
+>Q9BRH9_PF00096_461 <unknown description>
+YQCVECGKAFSQSSQLTLHQRVH
+>Q9BRH9_PF00096_489 <unknown description>
+YDCGDCGKAFSRRSTLIQHQKVH
+>Q9BRH9_PF00096_594 <unknown description>
+YKCQECGNAFSGKSTLIQHQVTH
+>O75346_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDTAQRNLYRDVMLENYRNLVFLG
+>O75346_PF00096_172 <unknown description>
+FKCIICGKAFKRSSTLTTHKKIH
+>O75346_PF00096_200 <unknown description>
+YRCEECGKAFNQSANLTTHKRIH
+>O75346_PF00096_228 <unknown description>
+YRCEECGKAFKQSSNLTTHKKIH
+>O75346_PF00096_256 <unknown description>
+YKCEECGKAFNRSTDLTTHKIVH
+>O75346_PF00096_284 <unknown description>
+YKCEECGKAFKHPSHVTTHKKIH
+>O75346_PF00096_312 <unknown description>
+YNCEECGKSFKHCSNLTIHKRIH
+>O75346_PF00096_340 <unknown description>
+YKCEECGKAFHLSSHLTTHKILH
+>O75346_PF00096_368 <unknown description>
+YRCRECGKAFNHSTTLFSHEKIH
+>O75346_PF00096_396 <unknown description>
+YKCDECGKTFTWPSILSKHKRTH
+>O75346_PF00096_424 <unknown description>
+YKCEECGKSFTASSTLTTHKRIH
+>O75346_PF00096_452 <unknown description>
+YKCEECGKAFNWSSDLNKHKKIH
+>O75437_PF01352_13 <unknown description>
+LTFRDVAIEFSLEEWQHLDIAQQNLYRNVMLENYRNLAFLG
+>O75437_PF00096_210 <unknown description>
+YKCKECGKTFNWSSTLTNHRK
+>O75437_PF00096_266 <unknown description>
+YKCEECGEAFNRSSNLTTHKIIH
+>O75437_PF00096_294 <unknown description>
+YKCEECGKAFIWSSTLTEHKKIH
+>O75437_PF00096_322 <unknown description>
+YKCEECGKAFIWSSTLTRHKRMH
+>O75437_PF00096_350 <unknown description>
+YKCEECGKAFSQSSTLTTHKIIH
+>O75437_PF00096_378 <unknown description>
+YKCLECGKAFKQLSTLTTHKIIH
+>O75437_PF00096_406 <unknown description>
+YKCEECGKGFNRSSNLTTHKIIH
+>O75437_PF00096_434 <unknown description>
+YKCEECGKAFIWSSTLTKHKRIH
+>O75437_PF00096_462 <unknown description>
+YKCEECGKAFIWSSTLTRHKRMH
+>O75437_PF00096_490 <unknown description>
+YKCEECGKSFSQSSTLTTHKIIH
+>O75437_PF00096_518 <unknown description>
+YKCEECGKAFNWSSTLTKHKIIH
+>O75437_PF00096_546 <unknown description>
+YKCEKCGKAFKQSSILTNHKRIH
+>O75437_PF00096_574 <unknown description>
+YKCEECGKSFNRSSTFTKHKVIH
+>O75437_PF00096_602 <unknown description>
+YKCEECGKAFFWSSTLTKHKRIH
+>Q9Y2P7_PF01352_14 <unknown description>
+VTFEDVAVYFSWKEWGLLDEAQKCLYHDVMLENLTLTTSLG
+>Q9Y2P7_PF00096_239 <unknown description>
+YMCSECGKSFSTSCSLSDHLRVH
+>Q9Y2P7_PF00096_267 <unknown description>
+YTCGECGKSYRQSSSLITHRRIH
+>Q9Y2P7_PF00096_295 <unknown description>
+HQCDECGKLFNRKYDLLIHQRVH
+>Q9Y2P7_PF00096_323 <unknown description>
+YKCSECGKSFSHSSSLITHQRIH
+>Q9Y2P7_PF00096_351 <unknown description>
+YECSECGKSFIHSSSLITHQRVH
+>Q9Y2P7_PF00096_379 <unknown description>
+YMCSECGKSFSQSCHLIKHRRLH
+>Q9Y2P7_PF00096_407 <unknown description>
+YECSECGKLFTYRSRFFQHQRVH
+>Q9Y2P7_PF00096_435 <unknown description>
+HECHECGKLFSRKFDLIVHERVH
+>Q9Y2P7_PF00096_463 <unknown description>
+YECSECGKSFTCKSYLISHWKVH
+>Q9Y2P7_PF00096_491 <unknown description>
+YECGECGKSFTHSSTLLQHQRVH
+>Q9Y2P7_PF00096_519 <unknown description>
+YECNECGKFFSQSSSLIRHRRSH
+>Q9Y2P7_PF00096_547 <unknown description>
+YECSECWKSFSNHSSLVKHRRVH
+>Q9Y2P7_PF00096_575 <unknown description>
+YECSECGKSFSQSSNLTNHQRIH
+>Q9Y2P7_PF00096_603 <unknown description>
+YECSDCGKFFTFNSNLLKHQNVH
+>Q9Y2Q1_PF01352_3 <unknown description>
+PLTIRDVTVEFSLEEWHCLDTAQQNLYRDVMLENYRNLVFLG
+>Q9Y2Q1_PF00096_174 <unknown description>
+KCKECGKSFCMLSQLTRHKRIH
+>Q9Y2Q1_PF00096_201 <unknown description>
+HKCEECGKAFNQSSALTRHKMTH
+>Q9Y2Q1_PF00096_229 <unknown description>
+YKCEECGKAFNRSSHLTQHKVIH
+>Q9Y2Q1_PF00096_257 <unknown description>
+YKCEECGKAFNRSSHITQHKRIH
+>Q9Y2Q1_PF00096_316 <unknown description>
+YKCEECGKAFNQSSALTRHKMIH
+>Q9Y2Q1_PF00096_344 <unknown description>
+FQCEECGKAFNRSSHLTQHKIIH
+>Q9Y2Q1_PF00096_372 <unknown description>
+YKCEECGKAFNRSSHLTKHKRIH
+>Q9Y2Q1_PF00096_431 <unknown description>
+YKCEECGKAFNRSSYLIRHKIIH
+>Q9Y2Q1_PF00096_459 <unknown description>
+YKCEECGKAFNQSSHLTQHKIIH
+>Q9Y2Q1_PF00096_487 <unknown description>
+YKCEECGKAFNRSSHLSQHKIIH
+>Q9Y2Q1_PF00096_515 <unknown description>
+YKCEECGKPFNRFSYLTVHKRIH
+>Q3ZCT1_PF13912_27 <unknown description>
+YECNECRKTFSLKQNLVEHKKMH
+>Q3ZCT1_PF00096_55 <unknown description>
+HECTECGKVCSRVSSLTLHLRSH
+>Q3ZCT1_PF00096_83 <unknown description>
+YKCNKCGKAFSQKENFLSHQKHH
+>Q3ZCT1_PF00096_136 <unknown description>
+YACKECGKAFNGKAYLTEHEKIH
+>Q3ZCT1_PF00096_164 <unknown description>
+FECNQCGRAFSQKQYLIKHQNIH
+>Q3ZCT1_PF00096_192 <unknown description>
+FKCSECGKAFSQKENLIIHQRIH
+>Q3ZCT1_PF00096_220 <unknown description>
+YECKGCGKAFIQKSSLIRHQRSH
+>Q3ZCT1_PF00096_248 <unknown description>
+YTCKECGKAFSGKSNLTEHEKIH
+>Q3ZCT1_PF00096_276 <unknown description>
+YKCNECGTIFRQKQYLIKHHNIH
+>Q3ZCT1_PF00096_304 <unknown description>
+YECNKCGKAFSRITSLIVHVRIH
+>Q3ZCT1_PF00096_332 <unknown description>
+YECKVCGKAFCQSSSLTVHMRSH
+>Q3ZCT1_PF00096_362 <unknown description>
+CNECGKAFSQFSTLALHMRIH
+>Q3ZCT1_PF00096_388 <unknown description>
+YQCSECGKAFSQKSHHIRHQRIH
+>O14978_PF02023_37 <unknown description>
+PEASHLRFRRFRFQEAAGPREALSRLQELCHGWLRPEMRTKEQILELLVLEQFLTILPQE
+IQSRVQELHPESGEEAVTLVEDMQRELG
+>O14978_PF01352_219 <unknown description>
+LEDVAMYISQEEWGHQDPSKRALSRDTVQESYENVDSL
+>O14978_PF00096_380 <unknown description>
+CPLCGKNFSNNSNLIRHQRIH
+>O14978_PF00096_434 <unknown description>
+HKCLECGKCFSQNTHLTRHQRTH
+>O14978_PF00096_462 <unknown description>
+YQCNICGKCFSCNSNLHRHQRTH
+>O14978_PF00096_490 <unknown description>
+YKCPECGEIFAHSSNLLRHQRIH
+>O14978_PF00096_518 <unknown description>
+YKCPECGKSFSRSSHLVIHERTH
+>O14978_PF00096_575 <unknown description>
+FECLTCGKSFRQGMHLTRHQRTH
+>O14978_PF00096_603 <unknown description>
+YKCTLCGENFSHRSNLIRHQRIH
+>O14978_PF00096_631 <unknown description>
+YTCHECGDSFSHSSNRIRHLRTH
+>O14978_PF00096_659 <unknown description>
+YKCSECGESFSRSSRLMSHQRTH
+>O43296_PF01352_13 <unknown description>
+SVTFDDVAVTFTKEEWGQLDLAQRTLYQEVMLENCGLLVSLG
+>O43296_PF00096_203 <unknown description>
+FKCSECGKVFNKKHLLAGHEKIH
+>O43296_PF00096_231 <unknown description>
+YECTECGKTFIKSTHLLQHHMIH
+>O43296_PF00096_259 <unknown description>
+YECMECGKAFNRKSYLTQHQRIH
+>O43296_PF00096_287 <unknown description>
+YKCNECGKAFTHRSNFVLHNRRH
+>O43296_PF00096_343 <unknown description>
+YECLECGKVFKHRSYLMWHQQTH
+>O43296_PF00096_399 <unknown description>
+FECLECGKAFNHRSYLKRHQRIH
+>O43296_PF00096_427 <unknown description>
+FVCSECGKAFTHCSTFILHKRAH
+>O43296_PF00096_455 <unknown description>
+FECKECGKAFSNRKDLIRHFSIH
+>O43296_PF00096_483 <unknown description>
+YECVECGKAFTRMSGLTRHKRIH
+>O43296_PF00096_511 <unknown description>
+YECVECGKSFCWSTNLIRHAIIH
+>O43296_PF00096_539 <unknown description>
+YKCSECGKAFSRSSSLTQHQRMH
+>Q14586_PF01352_4 <unknown description>
+LTFRDVAVEFSLEEWEHLEPAQKNLYQDVMLENYRNLVSLG
+>Q14586_PF00096_380 <unknown description>
+YKCKACSKSFTRSSNLIVHQRIH
+>Q14586_PF00096_408 <unknown description>
+YKCKECGKAFRCSSYLTKHKRIH
+>Q14586_PF00096_436 <unknown description>
+YKCKECGKAFNRSSCLTQHQTTH
+>Q14586_PF00096_464 <unknown description>
+YKCKVCSKSYARSSNLIMHQRVH
+>Q14586_PF00096_492 <unknown description>
+YKCKECGKVFSRSSCLTQHRKIH
+>Q14586_PF00096_548 <unknown description>
+YKCKECGKAFPYSSHLIRHHRIH
+>Q14586_PF00096_576 <unknown description>
+YKCKACSKSFSDSSGLTVHRRTH
+>Q14586_PF00096_604 <unknown description>
+YTCKECGKAFSYSSDVIQHRRIH
+>Q14586_PF00096_632 <unknown description>
+YKCEECGKAFNYRSYLTTHQRSH
+>Q14586_PF00096_660 <unknown description>
+YKCEECGKAFNSRSYLTTHRRRH
+>Q14586_PF00096_688 <unknown description>
+YKCDECGKAFSYRSYLTTHRRSH
+>Q14586_PF00096_716 <unknown description>
+YKCEECGKAFNSRSYLIAHQRSH
+>Q14587_PF01352_80 <unknown description>
+PLSFMDVFVDFTWEEWQLLDPAQKCLYRSVMLENYSNLVSLG
+>Q14587_PF00096_306 <unknown description>
+CNECGKDFSSKSYLIVHQRIH
+>Q14587_PF00096_332 <unknown description>
+HECSECRKTFSFHSQLVIHQRIH
+>Q14587_PF00096_360 <unknown description>
+YECCECGKVFSRKDQLVSHQKTH
+>Q14587_PF00096_388 <unknown description>
+YVCNECGKAFGLKSQLIIHERIH
+>Q14587_PF00096_416 <unknown description>
+YECNECQKAFNTKSNLMVHQRTH
+>Q14587_PF00096_444 <unknown description>
+YVCSDCGKAFTFKSQLIVHQGIH
+>Q14587_PF00096_500 <unknown description>
+YVCNECGKAFRSKSYLIIHTRTH
+>Q14587_PF00096_528 <unknown description>
+HECNNCGKAFSFKSQLIIHQRIH
+>Q14587_PF00096_556 <unknown description>
+YECHECGKAFSRKYQLISHQRTH
+>Q14587_PF00096_584 <unknown description>
+YECTDCGKAFGLKSQLIIHQRTH
+>Q14587_PF00096_612 <unknown description>
+FECSECQKAFNTKSNLIVHQRTH
+>Q14587_PF00096_640 <unknown description>
+YSCNECGKAFTFKSQLIVHKGVH
+>Q14587_PF00096_670 <unknown description>
+CSQCAKTFSLKSQLIVHQRSH
+>Q14587_PF00096_698 <unknown description>
+CSECGKAFRSKSYLIIHMRTH
+>Q14587_PF00096_724 <unknown description>
+HECRECGKSFSFNSQLIVHQRIH
+>Q14587_PF00096_752 <unknown description>
+YECSECGKAFNRKDQLISHQRTH
+>Q14587_PF00096_782 <unknown description>
+CSECGKAFSSKSYLIIHMRTH
+>Q14587_PF00096_808 <unknown description>
+YECNECGKAFIWKSLLIVHERTH
+>Q14587_PF00096_864 <unknown description>
+YECSECGKAFIRNSQLIVHQRTH
+>Q14587_PF00096_894 <unknown description>
+CNECGKTFSQKSILSAHQRTH
+>Q14593_PF01352_36 <unknown description>
+PLTFRDVAIEFSLEEWQCLDTSQQNLYRNVMLDNYRNLVFLG
+>Q14593_PF00096_234 <unknown description>
+YKCKTCGKAFNQFSNLTKHKIIH
+>Q14593_PF00096_262 <unknown description>
+YKCEECGKAFNQSLTLTKHKKIH
+>Q14593_PF00096_290 <unknown description>
+YKCEDCGKVFSVFSVLTKHKIIH
+>Q14593_PF00096_318 <unknown description>
+YNCEECGKGFSIFSTLTKHKIIH
+>Q14593_PF00096_346 <unknown description>
+YKCNECGKAFNWSSTLTKHKRIH
+>Q14593_PF00096_374 <unknown description>
+YKCEECGKAFNQSSTLTRHKIVH
+>Q14593_PF00096_402 <unknown description>
+YKCEECGKAFKRSTTLTKHKR
+>Q14593_PF00096_430 <unknown description>
+YKCEECGKAFSVFSTLTKHKIIH
+>Q14593_PF00096_458 <unknown description>
+YKCEECGSAFRAFSTLTEHKRVH
+>Q14593_PF00096_486 <unknown description>
+YKCNECGKAFNWSSTLTKHKRIH
+>Q14593_PF00096_514 <unknown description>
+YKCEECGKAFNRSSNLTRHKKIH
+>Q96GC6_PF01352_13 <unknown description>
+PVTFEDVTLGFTPEEWGLLDLKQKSLYREVMLENYRNLVSV
+>Q96GC6_PF02023_157 <unknown description>
+PEAARQRFRQFRYKDMTGPREALDQLRELCHQWLQPKARSKEQILELLVLEQFLGALPVK
+LRTWVESQHPENCQEVVALVEGVTWMSEE
+>Q96GC6_PF01352_286 <unknown description>
+PVTFQDVAVDFSREEWGLLGPTQRTEYRDVMLETFGHLVSVG
+>Q96GC6_PF00096_535 <unknown description>
+YVCQDCGKGFVQSSSLTQHQRVH
+>Q96GC6_PF00096_563 <unknown description>
+FECQECGRTFNDRSAISQHLRTH
+>Q96GC6_PF00096_591 <unknown description>
+YKCQDCGKAFRQSSHLIRHQRTH
+>Q96GC6_PF00096_619 <unknown description>
+YACNKCGKAFTQSSHLIGHQRTH
+>Q9NSD4_PF00096_130 <unknown description>
+ECGDCGKVFRGVAEFNEHRKSH
+>Q9NSD4_PF00096_181 <unknown description>
+FECEECGKRFKKNAGLSQHLRVH
+>Q9NSD4_PF00096_209 <unknown description>
+FDCEECGRSFKVNTHLFRHQKLH
+>Q9NSD4_PF00096_265 <unknown description>
+FDCDDCGKSFRGVNGLAEHQRIH
+>Q9NSD4_PF00096_295 <unknown description>
+CPHCGKLFRRSSELTKHRRIH
+>Q9NSD4_PF00096_321 <unknown description>
+YACGQCGKAFRQSSSLLEHARIH
+>Q9NSD4_PF00096_349 <unknown description>
+YACGECGKAFRGPSDLIKHRRIH
+>Q9NSD4_PF00096_377 <unknown description>
+YECDKCGKAFRRSSGLSRHRRIH
+>Q9NSD4_PF00096_406 <unknown description>
+ECSQCGRVFKRRSALQKHQPT
+>Q8N554_PF07776_78 <unknown description>
+HCRLCHGKFSSRSLRSISERAPGASMERPSAEERVLVRDFQRLLGVAVRQDPTLSPFVCK
+SCHAQFYQCHSLLKSFLQ
+>Q8N554_PF00096_496 <unknown description>
+YICDECGQTFKQRKHLLVHQMRH
+>Q8N554_PF00096_554 <unknown description>
+FACDQCGRRFEKAHNLNVHMSMVH
+>Q9NRM2_PF12756_60 <unknown description>
+CIFCEEHFPVAEQDKLLKHMIIEHKIVIADVKLVADFQRYILYWRKRFTEQPITDFCS
+>Q9NRM2_PF12756_175 <unknown description>
+CMFCNEEFLGNRSVILNHMAREHAFNIGLPDNIVNCNEFLCTLQKKLDNLQCLYCEKTFR
+DKNTLKDHMRKKQHRKINPKNREYDRFYVINY
+>Q9NRM2_PF12756_304 <unknown description>
+CLFCEKQAETIEKLYVHMEDAHEFDLLKIKSELGLNFYQQVKLVNFIRRQVHQCRCYGCH
+VKFKSKADLRTHMEETKHTSLLPDRKTWDQLEYYFPTYEND
+>Q9Y2X9_PF00096_289 <unknown description>
+FQCSQCSMGFIQKYLLQRHEKIH
+>Q9UDV7_PF01352_197 <unknown description>
+PVTFVDIAVYFSEDEWKNLDEWQKELYNNLVKENYKTLMSLD
+>Q9UDV7_PF00096_518 <unknown description>
+YSCPECGKSFGVRKSLIIHHRSH
+>Q9UDV7_PF00096_574 <unknown description>
+YKCSECEKTYSRKEHLQNHQRLH
+>Q9UDV7_PF00096_602 <unknown description>
+FQCALCGKSFIRKQNLLKHQRIH
+>Q9UDV7_PF00096_630 <unknown description>
+YTCGECGKSFRYKESLKDHLRVH
+>Q8N7M2_PF01352_72 <unknown description>
+LVTFRDVAIDFSQEEWECLDPAQRDLYVDVMLENYSNLVSLD
+>Q8N7M2_PF00096_207 <unknown description>
+YVCKECGKACSHGSKLVQHERTH
+>Q8N7M2_PF00096_263 <unknown description>
+YECKECGKTFSWGSSLVKHERIH
+>Q8N7M2_PF00096_291 <unknown description>
+YECKECGKAFSRGYHLTQHQKIH
+>Q8N7M2_PF00096_347 <unknown description>
+YKCKECGKAFSRGYQLTQHQKIH
+>Q8N7M2_PF13912_403 <unknown description>
+YECKECGKAFNCGSSLIQHERIH
+>Q8N7M2_PF00096_431 <unknown description>
+YECKECGKAFSRGYHLSQHQKIH
+>Q8N7M2_PF00096_459 <unknown description>
+FECKECGKAFSWGSSLVKHERVH
+>Q8N7M2_PF13912_487 <unknown description>
+HECKECGKTFCSGYQLTRH
+>Q8N7M2_PF00096_543 <unknown description>
+YECKECGKAFSRGYHLTQHQKIH
+>Q8N7M2_PF00096_571 <unknown description>
+FKCKECGKAFSWGSSLVKHERVH
+>Q8N7M2_PF00096_599 <unknown description>
+YECKDCGKAFGSGYQLSVHQRFH
+>Q2VY69_PF01352_8 <unknown description>
+VTFKDVAVVFTEEELGLLDVSQRKLYRDVMLENFRNLLSVG
+>Q2VY69_PF00096_203 <unknown description>
+YKCDVCSKAFSQNSQLQTHQRIH
+>Q2VY69_PF00096_259 <unknown description>
+HICEECGKAFIHNSQLREHQRIH
+>Q2VY69_PF00096_287 <unknown description>
+FKCYICGKSFHSRSNLNRHSMVH
+>Q2VY69_PF00096_371 <unknown description>
+YNCNVCGKGFRWSSCLSRHQRVH
+>Q2VY69_PF00096_427 <unknown description>
+YKCQKCGKGYISKFNLDLHQRVH
+>Q2VY69_PF00096_483 <unknown description>
+FKCEECGKRFTENSKLRFHQRIH
+>Q96NJ3_PF01352_8 <unknown description>
+VTFKDVAVVFTKEELALLDKAQINLYQDVMLENFRNLM
+>Q96NJ3_PF00096_316 <unknown description>
+YKCKECGKGFRRSSSLHNHHRVH
+>Q96NJ3_PF00096_344 <unknown description>
+YKCDECGKGFGFRSLLCIHQGVH
+>Q96NJ3_PF00096_372 <unknown description>
+YKCEECGKGFDQSSNLLVHQRVH
+>Q96NJ3_PF00096_400 <unknown description>
+YKCSECGKCFSSSSVLQVHWRFH
+>Q96NJ3_PF00096_428 <unknown description>
+YRCGECGKGFSQCTHLHIHQRVH
+>Q96NJ3_PF00096_456 <unknown description>
+YKCNVCGKDFAYSSVLHTHQRVH
+>Q96NJ3_PF00096_484 <unknown description>
+YKCEVCGKCFSYSSYFHLHQRDH
+>Q96NJ3_PF00096_512 <unknown description>
+YKCDECGKGFSRNSDLNVHLRVH
+>Q96NJ3_PF00096_540 <unknown description>
+YKCKACGKGFSRNSYLLAHQRVH
+>Q9HBT7_PF02023_46 <unknown description>
+ETCRQNFRNFPYPDLAGPRKALSQLRELCLKWLRPEIHSKEQILELLVLEQFLTILPGEV
+RTWVKSQYPESSEEAVTLVEDLTQILEE
+>Q9HBT7_PF01352_169 <unknown description>
+SMTFKDVAVDITQEDWELMRPVQKELYKTVTLQNYWNMVSLG
+>Q9HBT7_PF00096_368 <unknown description>
+YKCNVCGKKFRKYPSLLKHQSTH
+>Q9HBT7_PF00096_396 <unknown description>
+YECEECGKEFRHISSLIAHQRMH
+>Q9HBT7_PF00096_424 <unknown description>
+YECHQCGKAFSQRAHLTIHQRIH
+>Q9HBT7_PF00096_452 <unknown description>
+YKCDDCGKDFSQRAHLTIHQRTH
+>Q9HBT7_PF00096_480 <unknown description>
+YKCLECGKTFSHSSSLINHQRVH
+>Q9HBT7_PF00096_508 <unknown description>
+YICNECGKTFSQSTHLLQHQKIH
+>Q9HBT7_PF00096_536 <unknown description>
+YKCNECWKVFSQSTYLIRHQRIH
+>Q9HBT7_PF00096_564 <unknown description>
+YKCNECGKAFAHSSTLIQHQTTH
+>Q9HBT7_PF00096_592 <unknown description>
+YICNICGKAFSQSANLTQHHRTH
+>Q9HBT7_PF00096_620 <unknown description>
+YKCSVCGKAFSQSVHLTQHQRIH
+>Q9HBT7_PF00096_648 <unknown description>
+FKCNICGKAYRQGANLTQHQRIH
+>Q9HBT7_PF00096_676 <unknown description>
+YKCNECGKAFIYSSSLNQHQRTH
+>Q9HBT7_PF00096_704 <unknown description>
+YKCNECDKDFSQRTCLIQHQRIH
+>Q9HBT7_PF00096_732 <unknown description>
+YACRICGKTFTQSTNLIQHQRVH
+>O60281_PF00096_807 <unknown description>
+YTCKFTGCGKVYRSQGELEKHLDDH
+>Q8WUU4_PF00096_232 <unknown description>
+TCPVCKKTLSSFSNLKVHMRSH
+>Q8WUU4_PF00096_388 <unknown description>
+CEFCGKHFTNSSNLTVHRRSH
+>Q8WUU4_PF00096_414 <unknown description>
+YTCEFCNYACAQSSKLNRHRRMH
+>Q96RE9_PF01352_7 <unknown description>
+LVSFKDVAVDFTQEEWQQLDPSQRTLYRDVMLENYSHLVSMG
+>Q96RE9_PF00096_270 <unknown description>
+VCVTCGKAFAKKSQLIVHQRIH
+>Q96RE9_PF00096_297 <unknown description>
+YDCGACGKAFSEKFHLVVHQRTH
+>Q96RE9_PF00096_325 <unknown description>
+YDCSECGKAFSQKSSLIIHQRVH
+>Q96RE9_PF00096_353 <unknown description>
+YECSECGKAFSQKSPLIIHQRIH
+>Q96RE9_PF00096_381 <unknown description>
+YECRECGKAFSQKSQLIIHHRAH
+>Q96RE9_PF00096_409 <unknown description>
+YECTECGKAFCEKSHLIIHKRIH
+>Q96RE9_PF00096_437 <unknown description>
+YKCAQCEEAFSRKTELITHQLVH
+>Q96RE9_PF00096_465 <unknown description>
+YECTECGKTFSRKSQLIIHQRTH
+>Q96RE9_PF00096_493 <unknown description>
+YKCSECGKAFCQKSHLIGHQRIH
+>Q96RE9_PF00096_521 <unknown description>
+YICTECGKAFSQKSHLPGHQRIH
+>Q96RE9_PF00096_549 <unknown description>
+YICAECGKAFSQKSDLVLHQRIH
+>Q96RE9_PF00096_577 <unknown description>
+YQCAICGKAFIQKSQLTVHQRIH
+>Q9NR11_PF01352_4 <unknown description>
+VTFSDVAIDFSHEEWACLDSAQRDLYKDVMVQNYENLVSVG
+>Q9NR11_PF00096_201 <unknown description>
+YTCSECGKAFGKQSILSRHWRIH
+>Q9NR11_PF00096_229 <unknown description>
+YECRECGKTFSHGSSLTRHQISH
+>Q9NR11_PF00096_257 <unknown description>
+YKCIECGKAFSHGSSLTNHQSTH
+>Q9NR11_PF00096_285 <unknown description>
+YECMNCGKSFSRVSLLIQHLRIH
+>Q9NR11_PF00096_313 <unknown description>
+YECRICGKAFIHSSSLIHHQKSH
+>Q9NR11_PF00096_341 <unknown description>
+YECRECGKAFCCSSHLTQHQRIH
+>Q9NR11_PF00096_369 <unknown description>
+YECNKCLKVFSSFSFLVQHQSIH
+>Q9HCX3_PF01352_14 <unknown description>
+VTFEDVFVYFSREEWELLEEAQRFLYRDVMLENFALVATLG
+>Q9HCX3_PF00096_307 <unknown description>
+YTCSECGKAFSRKDTLVQHQRVH
+>Q9HCX3_PF00096_335 <unknown description>
+YDCSECGKAYSRSSHLVQHQRIH
+>Q9HCX3_PF00096_363 <unknown description>
+YKCNKCGKAFSRKDTLVQHQRFH
+>Q9HCX3_PF00096_391 <unknown description>
+YECSECGKFFSQSSHLIEHWRIH
+>Q9HCX3_PF00096_419 <unknown description>
+YECIECGKFFSHNSSLIKHRRVH
+>Q9HCX3_PF00096_475 <unknown description>
+YECSECGKAFSRKDTLVQHQKIH
+>Q9HCX3_PF00096_503 <unknown description>
+YECGECGKFFSHSSNLIVHQRIH
+>Q9HCX3_PF00096_531 <unknown description>
+YECNECGKCFSHNSSLILHQRVH
+>Q9HCX3_PF00096_559 <unknown description>
+YVCSECGKAYISSSHLVQHKKVH
+>Q9HCX3_PF00096_587 <unknown description>
+YECSECGKFFSRNSGLILHQRVH
+>Q9HCX3_PF00096_615 <unknown description>
+YVCSECGKAYSRSSHLVRHQKAH
+>Q5JNZ3_PF01352_63 <unknown description>
+SVTFEDVAVNFTNREWQCLTYAQRHLYKDVMLENYGNMVSLG
+>Q5JNZ3_PF00096_275 <unknown description>
+HVCNECGKAFKTRNQLSMHRIIH
+>Q5JNZ3_PF00096_303 <unknown description>
+FNCTQCGKAFNSRSALCRHKKTH
+>Q5JNZ3_PF00096_387 <unknown description>
+YECEECGKAFSGSSDLTKHIRIH
+>Q5JNZ3_PF00096_415 <unknown description>
+YECSKCGRAFSRSSDLSKHKRIH
+>Q5JNZ3_PF00096_445 <unknown description>
+CPQCGKDFSIKAELTKHRRIH
+>Q5JNZ3_PF00096_499 <unknown description>
+YQCRDCGKTFQDKHCLTIHQRIH
+>Q5JNZ3_PF00096_527 <unknown description>
+YKCLECGKAFSGKSNLTNHRRIH
+>Q5JNZ3_PF13912_583 <unknown description>
+YTCSECGTSFRQGSALIGHKRVH
+>Q5JNZ3_PF00096_611 <unknown description>
+YECEECGKAFRVSSNLTGHKKRKH
+>A6NFI3_PF01352_157 <unknown description>
+LVTFEDVAVYFSLEEWERLEADQRGLYQEVMQENYGILVSLG
+>A6NFI3_PF00096_346 <unknown description>
+TCDVCGKVFPHRSRLAKHQRYH
+>A6NFI3_PF00096_375 <unknown description>
+CEECGKGFVYRSHLAIHQRTH
+>A6NFI3_PF00096_401 <unknown description>
+FPCPDCGKRFVYKSHLVTHRRIH
+>A6NFI3_PF00096_429 <unknown description>
+YRCAFCGAGFGRRSYLVTHQRTH
+>A6NFI3_PF00096_457 <unknown description>
+YPCSHCGRSFSQSSALARHQAVH
+>A6NFI3_PF00096_693 <unknown description>
+CSDCGKTFGRRAALAKHQRYH
+>A6NFI3_PF00096_719 <unknown description>
+HRCADCGKSFVYGSHLARHRRTH
+>A6NFI3_PF00096_747 <unknown description>
+FPCPECGARFARGSHLAAHVRGH
+>A6NFI3_PF00096_775 <unknown description>
+FVCGVCGAGFSRRAHLTAHGRAH
+>A6NFI3_PF00096_831 <unknown description>
+HRCPDCGKGFGHSSDFKRHRRTH
+>A6NFI3_PF00096_859 <unknown description>
+FRCADCGRGFAQRSNLAKHRRGH
+>A6NFI3_PF00096_887 <unknown description>
+FPCPECGKRFSQRSVLVTHQRTH
+>A6NFI3_PF00096_915 <unknown description>
+YACANCGRRFSQSSHLLTHMKTH
+>Q96PQ6_PF01352_56 <unknown description>
+SVTFQDVAVDFTEKEWPLLDSSQRKLYKDVMLENYSNLTSLG
+>Q96PQ6_PF00096_222 <unknown description>
+HECHQCQKAFTTSASLTRHRRIH
+>Q96PQ6_PF00096_250 <unknown description>
+YECSDCGKAFNDPSALRSHARTH
+>Q96PQ6_PF00096_278 <unknown description>
+FDCSQCGNAFRTLSALKIHMRVH
+>Q96PQ6_PF00096_306 <unknown description>
+YKCDQCGKAYGRSCHLIAHKRTH
+>Q96PQ6_PF00096_334 <unknown description>
+YECHDCGKAFQHPSHLKEHVRNH
+>Q96PQ6_PF00096_390 <unknown description>
+YECKECGKSFGDLVSRRKHMRIH
+>Q96PQ6_PF00096_419 <unknown description>
+ECRQCGKTFRNQSILKTHMNSH
+>Q96PQ6_PF00096_448 <unknown description>
+CDLCGKAFSASSNLTAHRKIH
+>Q96PQ6_PF00096_503 <unknown description>
+ECRQCGKAFRNQSTLKTHMRSH
+>Q96PQ6_PF00096_530 <unknown description>
+YECDHCGKAFSIGSNLNVHRRIH
+>Q96PQ6_PF00096_558 <unknown description>
+YECLVCGKAFSDHSSLRSHVKTH
+>Q9P2F9_PF00096_202 <unknown description>
+YSCPICQKPFKHLSELSRHERIH
+>Q9P2F9_PF00096_230 <unknown description>
+YKCTLCDKSFSQSSHLVHHKRTH
+>Q9P2F9_PF00096_258 <unknown description>
+YKCAVCEKTFKHRSHLVRHMYAH
+>Q9P2F9_PF00096_315 <unknown description>
+FRCGECQKAFKRPSDLRQHERTH
+>Q9P2F9_PF00096_343 <unknown description>
+FKCDLCPMGFKQQYALMRHRRTH
+>Q9P2F9_PF00096_371 <unknown description>
+FKCGLCEKGFGQPSHLLYHQHVH
+>Q9P2F9_PF00096_428 <unknown description>
+FKCPVCNKAYKRASALQKHQLAH
+>Q9P2F9_PF13465_500 <unknown description>
+DLQRHRRVHTGEKPYKCPNCDKAFKQ
+>A2RRD8_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>A2RRD8_PF00096_161 <unknown description>
+YKCEECEKVFSCKSHLEIHRIIH
+>A2RRD8_PF00096_189 <unknown description>
+YKCKVCDKAFKHDSHLAKHTRIH
+>A2RRD8_PF00096_245 <unknown description>
+YKCNECGKTFSQTSHLVYHHRLH
+>A2RRD8_PF00096_273 <unknown description>
+YKCNECGKTFARNSVLVIHKAVH
+>A2RRD8_PF00096_301 <unknown description>
+YKCNECGKVFKQRATLAGHRRVH
+>A2RRD8_PF00096_329 <unknown description>
+YRCEECDKVFSRKSHLERHRRIH
+>A2RRD8_PF00096_357 <unknown description>
+YKCKVCDKAFRSDSRLAEHQRVH
+>A2RRD8_PF00096_385 <unknown description>
+YTCNECGKVFSTKAYLACHQKLH
+>A2RRD8_PF00096_413 <unknown description>
+YECEECDKVYIRKSHLERHRRIH
+>A2RRD8_PF00096_441 <unknown description>
+HKCGDCGKAFNSPSHLIRHQRIH
+>A2RRD8_PF00096_469 <unknown description>
+YKCHQCGKVFSLRSLLAEHQK
+>Q6U7Q0_PF00096_71 <unknown description>
+YKCDMCEKTFVQSSDLTSHQRIH
+>Q6U7Q0_PF00096_127 <unknown description>
+YTCDICGKNFGQSSDLLVHQRSH
+>Q6U7Q0_PF00096_155 <unknown description>
+YLCSECDKCFSRSTNLIRHRRTH
+>Q6U7Q0_PF00096_183 <unknown description>
+FKCLECEKAFSGKSDLISHQRTH
+>Q6U7Q0_PF00096_211 <unknown description>
+YKCNKCEKSYRHRSAFIVHKRVH
+>Q6U7Q0_PF00096_239 <unknown description>
+YKCGACEKCFGQKSDLIVHQRVH
+>Q6U7Q0_PF00096_267 <unknown description>
+YKCLECMRSFTRSANLIRHQATH
+>Q5BKZ1_PF04988_337 <unknown description>
+QETLDHIQKQTKFDKVVMEFLHECMVNKFKKTSIRKQQTNNQTEVVKIIEKDVMEGVTVD
+DHMMKVETVHCSACSVYIPALHSSVQQHLKSPDHIKGKQAYKEQIKRESVLTATSILNNP
+IVKARYERFVKGENPF
+>Q86UD4_PF00096_203 <unknown description>
+YRCTECGKCFKRNSSLVLHHRTH
+>Q86UD4_PF00096_231 <unknown description>
+YTCNECGKSFSKNYNLIVHQRIH
+>Q86UD4_PF00096_259 <unknown description>
+YECSKCGKAFSDGSALTQHQRIH
+>Q86UD4_PF00096_287 <unknown description>
+YECLECGKTFNRNSSLILHQRTH
+>Q86UD4_PF00096_315 <unknown description>
+YRCNECGKPFTDISHLTVHLRIH
+>Q86UD4_PF00096_343 <unknown description>
+YECSKCGKAFRDGSYLTQHERTH
+>Q86UD4_PF00096_371 <unknown description>
+FECAECGKSFNRNSHLIVHQKIH
+>Q86UD4_PF00096_399 <unknown description>
+YECKECGKTFIESAYLIRHQRIH
+>Q86UD4_PF00096_455 <unknown description>
+YECNQCGKAFRDSSCLTKHQRIH
+>Q86UD4_PF00096_483 <unknown description>
+YQCPECGKSFKQNSHLAVHQRLH
+>Q86UD4_PF00096_512 <unknown description>
+RCPQCGKMFQKSSSLVRHQRAH
+>Q9Y3S2_PF06524_1 <unknown description>
+MPKKKTGARKKAENRREREKQLRASRSTIDLAKHPCNASMECDKCQRRQKNRAFCYFCNS
+VQKLPICAQCGKTKCMMKSSDCVIKHAGVYSTGLAMVGAICDFCEAWVCHGRKCLSTHAC
+ACPLTDAECVECERGVWDHGGRIFSCSFCHNFLCEDDQFEHQASCQVLEAETFKCVSCNR
+LGQHSCLRCKACFCDDHTRSKVFKQEKGKQPPCPKCGHETQETKDLSMSTRSLKFGRQTG
+GEEGDGASGYDAYWKNLSSDKYGDTSYHDEEEDEYEAEDDEEEEDEGRKDSDTES
+>Q9NQX6_PF01352_5 <unknown description>
+LVTFADVAIDFSQEEWACLNSAQRDLYWDVMLENYSNLVSLD
+>Q9NQX6_PF00096_131 <unknown description>
+FECKDCGKAFSRGYQLSQHQKIH
+>Q9NQX6_PF13912_159 <unknown description>
+YECKECKKAFRWGNQLTQHQKIH
+>Q9NQX6_PF00096_187 <unknown description>
+YECKDCGKAFRWGSSLVIHKRIH
+>Q9NQX6_PF00096_215 <unknown description>
+YECKDCGKAFRRGDELTQHQRFH
+>Q9NQX6_PF00096_243 <unknown description>
+YECKDCGKTFSRVYKLIQHKRIH
+>Q9NQX6_PF00096_299 <unknown description>
+YECQECGKAFTRVNYLTQHQKIH
+>Q9NQX6_PF13912_327 <unknown description>
+HECKECGKAFRWGSSLVKHERIH
+>Q9NQX6_PF00096_383 <unknown description>
+YKCKECGKAFIYGSSLVKHERIH
+>Q9NQX6_PF00096_413 <unknown description>
+CTECGKSFSHGHQLTQHQKTH
+>Q9NQX6_PF00096_439 <unknown description>
+YECKECGKACNHLNHLREHQRIH
+>Q96JL9_PF01352_3 <unknown description>
+SVTFEDVAVEFIQEWALLDSARRSLCKYRMLDQCRTLASR
+>Q96JL9_PF01352_202 <unknown description>
+PVTFADVAVVFTPEEWVFLDSTQRSLYRDVMLENYRNLASV
+>Q96JL9_PF00096_366 <unknown description>
+YACNKCEKSFRYSSDLIRHEKTH
+>Q96JL9_PF13465_408 <unknown description>
+NLRRHMRTHTGEKPFECSQCGKTFTR
+>Q96JL9_PF00096_450 <unknown description>
+YECKDCGKAFNQPSSLRSHVRTH
+>Q96JL9_PF00096_478 <unknown description>
+FECSQCGKAFREHSSLKTHLRTH
+>Q96JL9_PF00096_506 <unknown description>
+YECNQCGKPFRTSTHLNVHKRIH
+>Q96JL9_PF00096_534 <unknown description>
+YECATCGQVLSRLSTLKSHMRTH
+>Q96JL9_PF00096_562 <unknown description>
+YVCQECGRAFSEPSSLRKHARTH
+>Q96JL9_PF00096_590 <unknown description>
+YACQECGRAFGQSSHLIVHVRTH
+>Q96JL9_PF00096_618 <unknown description>
+YQCNQCEKAFRHSSSLTVHKRTH
+>Q9HCZ1_PF01352_9 <unknown description>
+PVSFQDLTVNFTQEEWQQLDPAQRLLYRDVMLENYSNLVSVG
+>Q9HCZ1_PF00096_238 <unknown description>
+ECNECRKTFSKRSTLIVHQRIH
+>Q9HCZ1_PF00096_265 <unknown description>
+YVCSDCRKTFRVKTSLTRHRRIH
+>Q9HCZ1_PF00096_293 <unknown description>
+YECSECRKTFIDKSALIVHQKIH
+>Q9HCZ1_PF00096_321 <unknown description>
+YECNECGKTFFRKSALAEHFRSH
+>Q9HCZ1_PF00096_349 <unknown description>
+YECKECGNAFSKKSYLVVHQRTH
+>Q9HCZ1_PF00096_378 <unknown description>
+ECKECGKTFFCQSALTAHQRIH
+>Q9HCZ1_PF13912_405 <unknown description>
+YECSECEKTFFCQSALNVHRRSH
+>Q9HCZ1_PF00096_433 <unknown description>
+YECSQCGKFLCTKSALIAHQITH
+>Q9HCZ1_PF00096_461 <unknown description>
+YECNECGKFFCHKSTLTIHQRTH
+>Q9HCZ1_PF00096_544 <unknown description>
+YECNECGRTYCRKSALTHHQRTH
+>Q9HCZ1_PF00096_572 <unknown description>
+YECNECGKTFCQKFSFVEHQRTH
+>Q9HCZ1_PF00096_600 <unknown description>
+YECNECGKSFCHKSAFRVHRRIH
+>Q9HCZ1_PF00096_628 <unknown description>
+YECNQCGKTYRRLWTLTEHQKIH
+>Q9HCZ1_PF00096_656 <unknown description>
+YECNKCEKTFRHKSNFLLHQKSH
+>Q9H4Z2_PF13894_562 <unknown description>
+FPCPVCGRVYPMQKRLTQHMKTH
+>Q9H4Z2_PF13909_1075 <unknown description>
+HQCSQCSFASKNKKDLRRHMLTHTK
+>Q9Y3M9_PF01352_13 <unknown description>
+AFGDVTVDFTQKEWRLLSPAQRALYREVTLENYSHLVSLG
+>Q9Y3M9_PF00096_208 <unknown description>
+FTCRECHQGFRDESALLLHQNTH
+>Q9Y3M9_PF00096_236 <unknown description>
+YVCSVCGRGFSLKANLLRHQRTH
+>Q9Y3M9_PF00096_265 <unknown description>
+LCKVCGRGYTSKSYLTVHERTH
+>Q9Y3M9_PF00096_292 <unknown description>
+YECQECGRRFNDKSSYNKHLKAH
+>Q9Y3M9_PF00096_320 <unknown description>
+FVCKECGRGYTNKSYFVVHKRIH
+>Q9Y3M9_PF00096_348 <unknown description>
+YRCQECGRGFSNKSHLITHQRTH
+>Q9Y3M9_PF00096_376 <unknown description>
+FACRQCKQSFSVKGSLLRHQRTH
+>Q9Y3M9_PF00096_404 <unknown description>
+FVCKDCERSFSQKSTLVYHQRTH
+>Q9Y3M9_PF00096_432 <unknown description>
+FVCRECGQGFIQKSTLVKHQITH
+>Q9Y3M9_PF00096_460 <unknown description>
+FVCKDCGRGFIQKSTFTLHQRTH
+>Q9Y3M9_PF00096_490 <unknown description>
+CRECGRRFRDKSSYNKHLRAH
+>Q9Y3M9_PF00096_516 <unknown description>
+FFCRDCGRGFTLKPNLTIHQRTH
+>Q9Y3M9_PF00096_628 <unknown description>
+FVCKECGRGFNWKGNLLTHQRTH
+>Q9Y3M9_PF00096_685 <unknown description>
+FVCQECKRGYTSKSDLTVHERIH
+>Q9Y3M9_PF00096_713 <unknown description>
+YECQECGRKFSNKSYYSKHLKRH
+>Q06730_PF01352_11 <unknown description>
+SVSFKDVTVGFTQEEWQHLDPSQRALYRDVMLENYSNLVSVG
+>Q06730_PF00096_329 <unknown description>
+FECNECGKAFWEKSHLTRHQRVH
+>Q06730_PF00096_357 <unknown description>
+FQCNECEKAFWDKSNLTKHQRSH
+>Q06730_PF00096_385 <unknown description>
+FECNECGKAFSHKSALTLHQRTH
+>Q06730_PF00096_413 <unknown description>
+YQCNACGKTFCQKSDLTKHQRTH
+>Q06730_PF00096_441 <unknown description>
+YECYECGKSFRVTSHLKVHQRTH
+>Q06730_PF00096_469 <unknown description>
+FECLECGKSFSEKSNLTQHQRIH
+>Q06730_PF00096_497 <unknown description>
+YECNACGKTFYHKSLLTRHQIIH
+>Q06730_PF00096_525 <unknown description>
+YECYECGKTFCLKSDLTVHQRTH
+>Q06730_PF00096_553 <unknown description>
+FACPECGKFFSHKSTLSQHYRTH
+>Q06730_PF00096_581 <unknown description>
+YECHECGKIFYNKSYLTKHNRTH
+>Q06730_PF00096_609 <unknown description>
+YECNECGKAFYQKSQLTQHQRIH
+>Q06730_PF00096_637 <unknown description>
+YKCNECGKAFCHKSALIVHQRTH
+>Q06730_PF00096_693 <unknown description>
+YECNECGKFFRHKSSLTVHHRAH
+>Q06730_PF00096_722 <unknown description>
+QCNECGKIFYRKSELAQHQRSH
+>Q06730_PF00096_749 <unknown description>
+YECNTCRKTFSQKSNLIVHQRRH
+>Q06732_PF01352_11 <unknown description>
+SVSFKDVTVGFTQEEWQHLDPSQRALYRDVMLENYSNLVSVG
+>Q06732_PF00096_329 <unknown description>
+FECNECGKAFWEKSHLTRHQRVH
+>Q06732_PF00096_357 <unknown description>
+FQCNQCGKTFWEKSNLTKHQRSH
+>Q06732_PF00096_385 <unknown description>
+FECNECGKAFSHKSALTLHQRTH
+>Q06732_PF00096_413 <unknown description>
+YQCNACGKTFYQKSDLTKHQRTH
+>Q06732_PF00096_441 <unknown description>
+YECYECGKSFCMNSHLTVHQRTH
+>Q06732_PF00096_469 <unknown description>
+FECLECGKSFCQKSHLTQHQRTH
+>Q06732_PF00096_497 <unknown description>
+YECNACGKTFYHKSVLTRHQIIH
+>Q06732_PF00096_525 <unknown description>
+YECYECGKTFCLKSDLTIHQRTH
+>Q06732_PF00096_553 <unknown description>
+FACPECGKFFSHKSTLSQHYRTH
+>Q06732_PF00096_581 <unknown description>
+YECHECGKIFYNKSYLTKHNRTH
+>Q06732_PF00096_609 <unknown description>
+YECNECGKTFCQKSQLTQHQRIH
+>Q06732_PF00096_637 <unknown description>
+YECNECGKAFCHKSALIVHQRTH
+>Q06732_PF00096_665 <unknown description>
+YKCNECGKSFCVKSGLILHERKH
+>Q06732_PF00096_693 <unknown description>
+YECNECGKSFSHKSSLTVHHRAH
+>Q06732_PF00096_722 <unknown description>
+QCNECGKIFYRKSDLAKHQRSH
+>Q06732_PF00096_749 <unknown description>
+YECNTCRKTFSQKSNLIVHQRTH
+>Q9BYN7_PF13912_52 <unknown description>
+VFLCGKCKKQFNSLPAFMTHKR
+>Q9BYN7_PF00096_323 <unknown description>
+KCSYCDKSFTKNFDLQQHIRSH
+>Q9BYN7_PF00096_350 <unknown description>
+FQCIACGRAFAQKSNVKKHMQTH
+>Q9BYN7_PF13912_503 <unknown description>
+YRCHLCGKDFPSLYDLGVHQYSH
+>Q9BYN7_PF00096_622 <unknown description>
+YKCSVCESAFNRKDKLKRHMLIH
+>Q9BYN7_PF00096_650 <unknown description>
+YKCPFSTHTGCSKEFNRPDKLKAHILSH
+>Q9BYN7_PF00096_683 <unknown description>
+HKCALCSKSFSRRAHLAEHQRAH
+>Q6P1L6_PF01352_61 <unknown description>
+PVTFRDVTVIFTEAEWKRLSPEQRNLYKEVMLENYRNLLSL
+>Q6P1L6_PF00096_269 <unknown description>
+YICSDCGRSFKDRSTLIRHHRIH
+>Q6P1L6_PF00096_297 <unknown description>
+YVCSECGRGFSQKSNLSRHQRTH
+>Q6P1L6_PF00096_353 <unknown description>
+YVCSECGRGFSEKSSFIRHQRTH
+>Q6P1L6_PF00096_381 <unknown description>
+YVCLECGRSFCDKSTLRKHQRIH
+>Q6P1L6_PF00096_409 <unknown description>
+YVCRECGRGFSQNSDLIKHQRTH
+>Q6P1L6_PF00096_437 <unknown description>
+YVCRECGRGFCDKSTLIIHERTH
+>Q6P1L6_PF00096_465 <unknown description>
+YVCGECGRGFSRKSLLLVHQRTH
+>Q6P1L6_PF00096_493 <unknown description>
+YVCRECRRGFSQKSNLIRHQRTH
+>Q6P1L6_PF00096_521 <unknown description>
+YICRECGRGFCDKSTLIVHERTH
+>Q6P1L6_PF00096_549 <unknown description>
+YVCSECGRGFSRKSLLLVHQRTH
+>Q6P1L6_PF00096_577 <unknown description>
+YVCRECGRGFSHKSNLIRHQRTH
+>Q14585_PF13465_77 <unknown description>
+LVRHQRIHTGEKPYECKECGKAFG
+>Q14585_PF00096_118 <unknown description>
+FECKECGKAFGSGSNLTHHQRIH
+>Q14585_PF00096_146 <unknown description>
+YECKECGKAFSFGSGLIRHQIIH
+>Q14585_PF00096_174 <unknown description>
+YECKECGKSFSFESALIRHHRIH
+>Q14585_PF00096_202 <unknown description>
+YECIDCGKAFGSGSNLTQHRRIH
+>Q14585_PF00096_230 <unknown description>
+YECKACGMAFSSGSALTRHQRIH
+>Q14585_PF00096_258 <unknown description>
+YICNECGKAFSFGSALTRHQRIH
+>Q14585_PF00096_286 <unknown description>
+YVCKECGKAFNSGSDLTQHQRIH
+>Q14585_PF00096_314 <unknown description>
+YECKECEKAFRSGSKLIQHQRMH
+>Q14585_PF00096_342 <unknown description>
+YECKECGKTFSSGSDLTQHHRIH
+>Q14585_PF00096_370 <unknown description>
+YECKECGKAFGSGSKLIQHQLIH
+>Q14585_PF13465_412 <unknown description>
+ALNRHQRIHTGEKPYECKECGKAF
+>Q14585_PF00096_454 <unknown description>
+YECKNCGKAYGRDSEFQQHKKSH
+>Q9UL40_PF12874_74 <unknown description>
+QCKVCCALLISESQKLAHYQSKKH
+>Q9UL40_PF12874_136 <unknown description>
+CPICNMTFSSPVVAQSHYLGKTH
+>Q9UL40_PF12874_186 <unknown description>
+FCSLCHATFNDPVMAQQHYVGKKH
+>Q9UL40_PF12874_241 <unknown description>
+CKTCKIVLNSIEQYQAHVSGFKH
+>Q96SE7_PF01352_8 <unknown description>
+VTFRDVAIEFSQEEWTCLDPAQRTLYRDVMLENYRNLASLG
+>Q96SE7_PF00096_265 <unknown description>
+GCGMVFPQNSHLASHQRSH
+>Q96SE7_PF00096_289 <unknown description>
+YKCYECGKAFRTRSNLTTHQVIH
+>Q96SE7_PF00096_317 <unknown description>
+YKCNECGKVFSRNSQLSQHQKIH
+>Q96SE7_PF00096_345 <unknown description>
+YKCNECGKVFTQNSHLVRHRGIH
+>Q96SE7_PF00096_373 <unknown description>
+YKCNECGKAFRARSSLAIHQATH
+>Q96SE7_PF00096_401 <unknown description>
+YKCNECGKVFTQNSHLTNHWRIH
+>Q96SE7_PF00096_429 <unknown description>
+YKCNECGKAFGVRSSLAIHLVIH
+>Q96SE7_PF00096_457 <unknown description>
+YKCHECGKVFRRNSHLARHQLIH
+>Q96SE7_PF00096_485 <unknown description>
+YKCNECGKAFRAHSNLTTHQVIH
+>Q96SE7_PF00096_513 <unknown description>
+YKCNECGKVFTQNSHLANHQRIH
+>Q96SE7_PF00096_541 <unknown description>
+YMCNECGKAFSVYSSLTTHQVIH
+>Q96SE7_PF00096_569 <unknown description>
+YKCNECGKVFTQNSHLARHRGIH
+>Q96SE7_PF00096_597 <unknown description>
+YKCNECGKVFRHNSYLSRHQRIH
+>Q96SE7_PF00096_653 <unknown description>
+YKCNECGKVFTQNSHLARHRRVH
+>Q96SE7_PF00096_681 <unknown description>
+YQCNECGKAFSQTSKLARHQRVH
+>Q96SE7_PF00096_709 <unknown description>
+YECNQCGKAFSVRSSLTTHQAIH
+>Q96SE7_PF00096_737 <unknown description>
+YKCNECGKVFTQNSHLARHRGIH
+>Q96SE7_PF00096_765 <unknown description>
+YKCNECGKAFSQTSKLARHQRIH
+>Q9GZX5_PF01352_7 <unknown description>
+SITLEDVAVDFTWEEWQLLGAAQKDLYRDVMLENYSNLVAVG
+>Q9GZX5_PF00096_206 <unknown description>
+HVCSECGKAFIKKSWLTDHQVMH
+>Q9GZX5_PF00096_234 <unknown description>
+HRCSLCEKAFSRKFMLTEHQRTH
+>Q9GZX5_PF00096_262 <unknown description>
+YECPECGKAFLKKSRLNIHQKTH
+>Q9GZX5_PF00096_290 <unknown description>
+YICSECGKGFIQKGNLIVHQRIH
+>Q9GZX5_PF00096_318 <unknown description>
+YICNECGKGFIQKTCLIAHQRFH
+>Q9GZX5_PF00096_346 <unknown description>
+FVCSECGKSCSQKSGLIKHQRIH
+>Q9GZX5_PF00096_374 <unknown description>
+FECSECGKAFSTKQKLIVHQRTH
+>Q9GZX5_PF00096_404 <unknown description>
+CNECGKAFAYMSCLVKHKRIH
+>Q9NW07_PF00096_151 <unknown description>
+FSCPDCGRAFRRSSGLSQHRRTH
+>Q9NW07_PF00096_179 <unknown description>
+YRCPDCGKSFSHGATLAQHRGIH
+>Q9NW07_PF00096_235 <unknown description>
+HHCPVCGKAFGHGSLLAQHLRTH
+>Q9NW07_PF13912_263 <unknown description>
+HKCPVCAKGFGQGSALLKHLRTH
+>Q9NW07_PF00096_291 <unknown description>
+YPCPQCGKAFGQSSALLQHQRTH
+>Q9NW07_PF00096_319 <unknown description>
+YRCPHCGKAFGQSSNLQHHLRIH
+>Q9NW07_PF00096_347 <unknown description>
+YACPHCSKAFGQSSALLQHLHVH
+>Q9NW07_PF00096_375 <unknown description>
+YRCQLCGKAFGQASSLTKHKRVH
+>Q5T0B9_PF00096_255 <unknown description>
+HKCPHCSKSFANASYLAQHLRIH
+>Q5T0B9_PF00096_283 <unknown description>
+YHCSYCDKSFRQLSHLQQHTRIH
+>Q5T0B9_PF00096_311 <unknown description>
+YKCPHPGCEKAFTQLSNLQSHQRQH
+>Q5T0B9_PF00096_341 <unknown description>
+YKCPNCYRAYSDSASLQIHLSAH
+>Q5T0B9_PF00096_371 <unknown description>
+YCCSMCGRAYTSETYLMKHMSKH
+>Q96PM5_PF05495_20 <unknown description>
+CEHYDRGCLLKAPCCDKLYTCRLCHDNNEDHQLDRFKVKEVQCINCEKIQHAQQTCEECS
+TLFGEYYCDICHL
+>Q96PM5_PF13639_144 <unknown description>
+NCPICLEDIHTSRVVAHVLPCGHLLHRTCYEEMLKEGYRCPLC
+>Q96PM5_PF14599_191 <unknown description>
+LDMTRYWRQLDDEVAQTPMPSEYQNMTVDILCNDCNGRSTVQFHILGMKCKICESYNT
+>Q8N895_PF00096_254 <unknown description>
+QCPTCEKSYTSKYNLVTHILGH
+>Q8N895_PF00096_309 <unknown description>
+HKCQVCHKAFTQTSHLKRHMMQH
+>Q8N895_PF00096_337 <unknown description>
+HNCRVCGRGFAYPSELKAHEAKH
+>Q8N895_PF00096_367 <unknown description>
+CVECGLDFPTLAQLKRHLTTH
+>Q8N895_PF00096_393 <unknown description>
+YNCSECDKTFQYPSQLQNHMMKH
+>Q8N895_PF00096_421 <unknown description>
+YICSECGMEFVQPHHLKQHSLTH
+>Q8N895_PF00096_449 <unknown description>
+HKCGICGREFTLLANMKRHVLIH
+>Q8N895_PF00096_477 <unknown description>
+YQCHLCYKSFVQKQTLKAHMIVH
+>Q8N895_PF13912_505 <unknown description>
+FKCKLCGKEFNRMHNLMGHMHLH
+>Q8N895_PF00096_533 <unknown description>
+FKCLYCPSKFTLKGNLTRHMKVKH
+>Q7RTV3_PF13912_168 <unknown description>
+RCNICNRVFPREKSLQAHKRTH
+>Q7RTV3_PF00096_196 <unknown description>
+LCDYPDCGKAFVQSGQLKTHQRLH
+>P17032_PF01352_7 <unknown description>
+SVSFRDVTVGFTQEEWQHLDPAQRTLYRDVMLENYSHLVSVG
+>P17032_PF00096_271 <unknown description>
+YECHECGKTFTQKSAHTRHQRTH
+>P17032_PF00096_299 <unknown description>
+YECHECGKTFYKNSDLIKHQRIH
+>P17032_PF00096_329 <unknown description>
+CHECGKSFSEKSTLTQHQRTH
+>P17032_PF00096_355 <unknown description>
+YECHECGKTFSFKSVLTVHQKTH
+>P17032_PF00096_383 <unknown description>
+YECYACGKAFLRKSDLIKHQRIH
+>P17032_PF00096_411 <unknown description>
+YECNECGKSFSEKSTLTKHLRTH
+>P17032_PF00096_469 <unknown description>
+CNECGKTFRQKSALIVHQRTH
+>P17032_PF00096_497 <unknown description>
+CNQCGKSFCVKSKLIAHHRTH
+>P17032_PF00096_523 <unknown description>
+YECNVCGKSFYVKSKLTVHQRIH
+>Q96SR6_PF01352_6 <unknown description>
+SVSFKDVTVDFTQEEWQQLDPAQKALYRDVMLENYCHFVSVG
+>Q96SR6_PF00096_296 <unknown description>
+FHCPYCGNNFRRKSYLIEHQRIH
+>Q96SR6_PF00096_324 <unknown description>
+YVCNQCGKAFRQKTALTLHEKTH
+>Q96SR6_PF00096_352 <unknown description>
+FICIDCGKSFRQKATLTRHHKTH
+>Q96SR6_PF00096_380 <unknown description>
+YECPQCGSAFRKKSYLIDHQRTH
+>Q96SR6_PF00096_408 <unknown description>
+YQCNECGKAFIQKTTLTVHQRTH
+>Q96SR6_PF00096_436 <unknown description>
+YICNECGKSFCQKTTLTLHQRIH
+>Q96SR6_PF00096_464 <unknown description>
+YICNECGKSFRQKAILTVHHRIH
+>Q96SR6_PF00096_494 <unknown description>
+CPQCGKAFSRKSNLIRHQKTH
+>Q96SR6_PF00096_520 <unknown description>
+YECKQCGKFFSCKSNLIVHQKTH
+>Q8NA42_PF01352_5 <unknown description>
+SVMFSDVSIDFSQEEWDCLDPVQRDLYRDVMLENYGNLVSMG
+>Q8NA42_PF00096_170 <unknown description>
+YECKKCGKAFSQNSQFIQHQRIH
+>Q8NA42_PF00096_226 <unknown description>
+FECKECGKAFSCSSYLSQHQRIH
+>Q8NA42_PF00096_254 <unknown description>
+YECKECGKAFSYCSNLIDHQRIH
+>Q8NA42_PF00096_282 <unknown description>
+YECKVCGKAFTKSSQLFQHARIH
+>Q8NA42_PF00096_310 <unknown description>
+YECKECGKAFTQSSKLVQHQRIH
+>Q8NA42_PF00096_338 <unknown description>
+YECKECGKAFSSGSALTNHQRIH
+>Q8NA42_PF00096_366 <unknown description>
+YDCKECGKAFTQSSQLRQHQRIH
+>Q8NA42_PF00096_394 <unknown description>
+FECLECGKAFTQNSQLFQHQRIH
+>Q8NA42_PF13465_436 <unknown description>
+NLTRHLRIHTGEKPYNCKECGKAFSS
+>Q9UJN7_PF00096_110 <unknown description>
+KCNECEKAFSYQSDLLVHSRIH
+>Q9UJN7_PF00096_137 <unknown description>
+FECNKCGKSFSRSTHLIEHQRTH
+>Q9UJN7_PF00096_165 <unknown description>
+YECNECGKAFSRSTHLSLHQRIH
+>Q9UJN7_PF00096_193 <unknown description>
+YECSECGKAFSRSTNLSQHQRTH
+>Q9UJN7_PF00096_221 <unknown description>
+YKCNECGKAFGDRSTIIQHQRIH
+>Q9UJN7_PF00096_249 <unknown description>
+YECSKCGKAFSWISSLTEHQRTH
+>Q9UJN7_PF00096_277 <unknown description>
+YECSECGKVFSRSSSLTEHQRIH
+>Q9UJN7_PF00096_305 <unknown description>
+HECRVCGKGFSRSSSLIIHQRTH
+>Q9UJN7_PF00096_333 <unknown description>
+YKCNDCGKAFCQSSTLIRHQHLH
+>Q53GI3_PF02023_60 <unknown description>
+PETSRLHFRQLRYQEVAGPEEALSRLRELCRRWLRPELLSKEQILELLVLEQFLTILPEE
+LQAWVREHCPESGEEAVAVVRALQRALD
+>Q53GI3_PF01352_155 <unknown description>
+VTFEDTAVSLTWEEWERLDPARRDFCRESAQ
+>Q53GI3_PF00096_358 <unknown description>
+YKCGNCGKSFKQRSDLFRHQRIH
+>Q53GI3_PF00096_388 <unknown description>
+CQECGKSFSQSAALTKHQRTH
+>Q53GI3_PF00096_414 <unknown description>
+YTCLKCGERFRQNSHLNRHQSTH
+>Q53GI3_PF00096_469 <unknown description>
+YKCEECEKSFKQRSDLFKHHRIH
+>Q53GI3_PF00096_499 <unknown description>
+CSVCGKRFNQSATLIKHQRIH
+>Q53GI3_PF00096_525 <unknown description>
+YKCLECGERFRQSTHLIRHQRIH
+>Q9H8N7_PF15997_7 <unknown description>
+RRLGKRSLLGARVLGPSASEGPSAAPPSEPLLEGAAPQPFTTSDDTPCQEQPKEVLKAPS
+TSGLQQVAFQPGQKVYVWYGGQECTGLVEQHSWMEGQVTVWL
+>Q96N95_PF02023_48 <unknown description>
+PETFRQQFRQFGYQDSPGPHEALSRLWELCHLWLRPEVHTKEQILELLVLEQFLAILPKE
+LQAWVQKHHPENGEETVTMLEDVERELD
+>Q96N95_PF00096_252 <unknown description>
+KCDECGKIFSQSSALILHQRIH
+>Q96N95_PF13912_279 <unknown description>
+YACDECAKAFSRSAILIQHRRTH
+>Q96N95_PF00096_307 <unknown description>
+YKCHDCGKAFSQSSNLFRHRKRH
+>Q8NF99_PF02023_46 <unknown description>
+QELFRQQFRKFCYQETPGPREALSRLQELCYQWLMPELHTKEQILELLVLEQFLSILPEE
+LQIWVQQHNPESGEEAVTLLEDLEREFDD
+>Q8NF99_PF00096_285 <unknown description>
+YRCDVCGHSFKQHSSLTQHQRIH
+>Q8NF99_PF00096_313 <unknown description>
+YKCNQCGKAFSLRSYLIIHQRIH
+>Q8NF99_PF00096_341 <unknown description>
+YECSECGKAFNQSSALIRHRKIH
+>Q8NF99_PF00096_370 <unknown description>
+KCNECGKAFSQSSYLIIHQRIH
+>Q8NF99_PF00096_397 <unknown description>
+YECNECGKTFSQSSKLIRHQRIH
+>Q8NF99_PF00096_425 <unknown description>
+YECNECGKAFRQSSELITHQRIH
+>Q8NF99_PF00096_453 <unknown description>
+YECSECGKAFSLSSNLIRHQRIH
+>Q8NF99_PF00096_481 <unknown description>
+YQCNECGKTFKRSSALVQHQRIH
+>Q8NF99_PF00096_509 <unknown description>
+YICNECGKAFRHRSVLMRHQRVH
+>Q8TD17_PF01352_142 <unknown description>
+PVAFDDVSIYFSTPEWEKLEEWQKELYKNIMKGNYESLISMD
+>Q8TD17_PF00096_399 <unknown description>
+TCPHCARTFTHPSRLTYHLRVH
+>Q8TD17_PF00096_427 <unknown description>
+FPCPDCPKRFADQARLTSHRRAH
+>Q8TD17_PF00096_455 <unknown description>
+FRCAQCGRSFSLKISLLLHQRGH
+>Q8TD17_PF00096_483 <unknown description>
+FSCPQCGIDFNGHSALIRHQMIH
+>Q8TD17_PF00096_511 <unknown description>
+YPCTDCSKSFMRKEHLLNHRRLH
+>Q8TD17_PF00096_539 <unknown description>
+FSCPHCGKSFIRKHHLMKHQRIH
+>Q8TD17_PF00096_567 <unknown description>
+YPCSYCGRSFRYKQTLKDHLRSGH
+>Q494X3_PF01352_5 <unknown description>
+PLTFSDVAIDFSQEEWEYLNSDQRDLYRDVMLENYTNLVSLD
+>Q494X3_PF00096_159 <unknown description>
+YECNECGKAFVVFQHFIRHRKIH
+>Q494X3_PF00096_187 <unknown description>
+YECNGCEKAFRFYSQLIQHQIIH
+>Q494X3_PF00096_215 <unknown description>
+YECKQCGKAFRRHSHLTEHQKIH
+>Q494X3_PF00096_271 <unknown description>
+YKCKECGKAFGHRSSLYQHKKIH
+>Q494X3_PF00096_299 <unknown description>
+YKCEQCEKAFVRSYLLVEHQRSH
+>Q494X3_PF13912_327 <unknown description>
+HECMECGKAFGKGSSLLKHKRIHSS
+>Q494X3_PF00096_355 <unknown description>
+YDCKDCGKAFCRGSQLTQHQRIH
+>Q494X3_PF00096_383 <unknown description>
+HECKECGKTFKLHSYLIQHQIIH
+>Q494X3_PF00096_411 <unknown description>
+YECKQCGKAFSRVGDLKTHQSIH
+>Q494X3_PF00096_439 <unknown description>
+YECKECGKTFRLNSQLIYHQTIH
+>Q494X3_PF13912_467 <unknown description>
+YVCKECKKAFRSISGLSQHKRIH
+>Q494X3_PF00096_495 <unknown description>
+YECKECDKAFNRSDRLTQHETIH
+>Q494X3_PF00096_524 <unknown description>
+KCKECGKAFSHCYQLSQHQRFH
+>Q9C0G0_PF00096_1628 <unknown description>
+FTCHLCDRSFTEKWALNNHMKLH
+>Q9C0G0_PF00096_1714 <unknown description>
+FKCDECNFASTTQSHLTRHKRVH
+>Q9H9D4_PF00096_382 <unknown description>
+LCTECGKSYSSEESFKAHMLGH
+>Q9H9D4_PF00096_409 <unknown description>
+FPCPQCDKAYGTQRDLKEHQVVH
+>Q9H9D4_PF00096_437 <unknown description>
+FACDQCGKAFARRPSLRLHRKTH
+>Q9H9D4_PF00096_469 <unknown description>
+PCPVCGRPLANQGSLRNHMRLH
+>Q9H9D4_PF00096_497 <unknown description>
+LCPHCGRAFRQRGNLRGHLRLH
+>Q9H9D4_PF00096_553 <unknown description>
+CPVCGKALRDPHTLRAHERLH
+>Q9H9D4_PF13894_579 <unknown description>
+FPCPQCGRAYTLATKLRRHLKSH
+>Q9H9D4_PF00096_607 <unknown description>
+YRCPTCGMGYTLPQSLRRHQLSH
+>Q86VK4_PF00096_249 <unknown description>
+FICPAEGCGKSFYVLQRLKVHMRTH
+>Q86VK4_PF00096_279 <unknown description>
+FMCHESGCGKQFTTAGNLKNHRRIH
+>Q86VK4_PF00096_339 <unknown description>
+HQCQVCGKTFSQSGSRNVHMRKH
+>Q96IQ9_PF15909_110 <unknown description>
+PCSSPGCCLSFPSVRDLAQHLRTHCPPTQSLEGKLFRCSALSCTETFPSMQELVAHSKLH
+YKPNRYFKCENCLLRFRTHRSLFKHLHVCAEHAQSPAP
+>Q09FC8_PF01352_6 <unknown description>
+LTFRDVAIEFSQDEWKCLNSTQRTLYRDVMLENYRNLVSLD
+>Q09FC8_PF00096_244 <unknown description>
+YKCDLCGKVFSQKSNLARHWRVH
+>Q09FC8_PF00096_272 <unknown description>
+YKCNECDRSFSRNSCLALHRRVH
+>Q09FC8_PF00096_300 <unknown description>
+YKCYECDKVFSRNSCLALHQKTH
+>Q09FC8_PF00096_328 <unknown description>
+YTCKECGKAFSVRSTLTNHQVIH
+>Q09FC8_PF00096_356 <unknown description>
+YKCNECGKVFSQTSSLATHQRIH
+>Q09FC8_PF00096_384 <unknown description>
+YKCNECGKVFSQTSSLARHWRIH
+>Q09FC8_PF00096_412 <unknown description>
+YKCNECGKVFSYNSHLASHRRVH
+>Q09FC8_PF00096_440 <unknown description>
+YKCNECGKAFSVHSNLTTHQVIH
+>Q09FC8_PF00096_468 <unknown description>
+YKCNQCGKGFSVHSSLTTHQVIH
+>Q09FC8_PF00096_496 <unknown description>
+YKCNECGKSFSVRPNLTRHQIIH
+>Q09FC8_PF00096_524 <unknown description>
+YKCSDCGKSFSVRPNLFRHQIIH
+>Q9BWM5_PF01352_28 <unknown description>
+VTFEDVAIYFSQEEWGLLDEAQRLLYRDVMLENFALITA
+>Q9BWM5_PF00096_270 <unknown description>
+YECSECGKSFSQTSHLNDHRRIH
+>Q9BWM5_PF00096_298 <unknown description>
+YVCGQCGKSFSQRATLIKHHRVH
+>Q9BWM5_PF00096_326 <unknown description>
+YECGECGKSFSQSSNLIEHCRIH
+>Q9BWM5_PF00096_354 <unknown description>
+YECDECGKAFGSKSTLVRHQRTH
+>Q9BWM5_PF00096_382 <unknown description>
+YECGECGKLFRQSFSLVVHQRIH
+>Q9BWM5_PF00096_410 <unknown description>
+YECGQCGKSFSLKCGLIQHQLIH
+>Q9BWM5_PF00096_438 <unknown description>
+FECDECGKSFSQRTTLNKHHKVH
+>Q9BWM5_PF00096_466 <unknown description>
+YVCGECGKAFMFKSKLVRHQRTH
+>Q9BWM5_PF00096_494 <unknown description>
+FECSECGKFFRQSYTLVEHQKIH
+>Q9BWM5_PF00096_522 <unknown description>
+YDCGQCGKSFIQKSSLIQHQVVH
+>Q9BWM5_PF00096_550 <unknown description>
+YECGKCGKSFTQHSGLILHRKSH
+>Q8TAU3_PF01352_15 <unknown description>
+VTFEDVAVNFSQEEWCLLSEAQRCLYRDVMLENLALISSLG
+>Q8TAU3_PF00096_240 <unknown description>
+YVCSDCGKSFSRYVSFSNHQRDH
+>Q8TAU3_PF00096_268 <unknown description>
+YDCGECGKSYSRKSSLIQHQRVH
+>Q8TAU3_PF00096_296 <unknown description>
+YPCEECGKSFSQKGSLISHQRVH
+>Q8TAU3_PF00096_352 <unknown description>
+YHCGECGKSFRQKFCFINHQRVH
+>Q8TAU3_PF00096_380 <unknown description>
+YKCGECGKSFGQKGNLVQHQRGH
+>Q8TAU3_PF00096_408 <unknown description>
+YECKECGKSFRYRSHLTEHQRLH
+>Q8TAU3_PF00096_436 <unknown description>
+YNCRECGKLFNRKYHLLVHERVH
+>Q8TAU3_PF00096_492 <unknown description>
+YECNECGKSFLSSSALHVHKRVH
+>Q8TAU3_PF00096_520 <unknown description>
+YKCSECGKSFAECSSLIKHRRIH
+>Q8TAU3_PF00096_548 <unknown description>
+YECTKCGKTFQRSSTLLHHQSSH
+>Q8TF45_PF01352_5 <unknown description>
+VAFEDVAVNFSQEEWSLLSEVQRCLYHDVMLENWVLISSLG
+>Q8TF45_PF00096_231 <unknown description>
+YCWECGKSFSKYDSVSNHQRVH
+>Q8TF45_PF00096_258 <unknown description>
+YECGECGKSFSHKGSLVQHQRVH
+>Q8TF45_PF00096_286 <unknown description>
+YECGECGKSFSHKGSLVQHQRVH
+>Q8TF45_PF00096_314 <unknown description>
+YECGECGKSFSQNGTLIKHQRVH
+>Q8TF45_PF00096_342 <unknown description>
+YECEECGKCFTQKGNLIQHQRGH
+>Q8TF45_PF00096_370 <unknown description>
+YECEECGKCFSQKGTLTEHHRVH
+>Q8TF45_PF00096_398 <unknown description>
+YECGECGKSFSRKGHLRNHQRGH
+>Q8TF45_PF00096_426 <unknown description>
+YECGECGKSFSRKGNLIQHQRSH
+>Q8TF45_PF00096_454 <unknown description>
+YECRECRKLFRGKSHLIEHQRVH
+>Q8TF45_PF00096_482 <unknown description>
+YECNECGKSFQDSSGFRVHQRVH
+>Q8TF45_PF00096_510 <unknown description>
+FECSECGKSFPQSCSLLRHRRVH
+>Q8TF45_PF00096_538 <unknown description>
+YECGECGKSFHQSSSLLRHQKTH
+>Q8TF45_PF00096_591 <unknown description>
+YECRECGKTFTRRSAHFKHQRLH
+>Q8TF45_PF00096_619 <unknown description>
+YECSECGKSFAETFSLTEHRRVH
+>Q8TF45_PF00096_647 <unknown description>
+YECSECGKSFHRSSSLLRHQRVH
+>Q96HQ0_PF01352_27 <unknown description>
+VTFEDVAVYFSQEEWRLLDDAQRLLYRNVMLENFTLLASLG
+>Q96HQ0_PF00096_203 <unknown description>
+YKCSECGKAFGQKYLLVQHQRLH
+>Q96HQ0_PF00096_231 <unknown description>
+YECSECGKLFRDMSNLFIHQIVH
+>Q96HQ0_PF00096_261 <unknown description>
+CSNCGKSFSRNAHLIEHQRVH
+>Q96HQ0_PF00096_287 <unknown description>
+FTCSECGKAFRHNSTLVQHHKIH
+>Q96HQ0_PF00096_315 <unknown description>
+YECSECGKLFSFNSSLMKHQRIH
+>Q96HQ0_PF00096_343 <unknown description>
+YKCSECGKFYSHKSNLIKHWRVH
+>Q96HQ0_PF00096_371 <unknown description>
+YKCSDCGKFFTQCSSLMQHQKVH
+>Q96HQ0_PF00096_399 <unknown description>
+FKCNECGRFFRENSTLVRHQRVH
+>Q96HQ0_PF00096_427 <unknown description>
+YECRECGKFFSQSSTLMQHRKVH
+>Q96HQ0_PF00096_455 <unknown description>
+FKCNECGRLFRENSSLVKHQRVH
+>Q96HQ0_PF00096_483 <unknown description>
+YECRECGKFFRHNSSLFKHRRIH
+>Q8TAQ5_PF01352_5 <unknown description>
+LVMFRDVAIDFSQEEWECLDSAQRDLYRDVMLENYSNLVSLD
+>Q8TAQ5_PF00096_139 <unknown description>
+YKCKECGKAFRRASHLTQHQSIH
+>Q8TAQ5_PF00096_167 <unknown description>
+YECKQCGKAFSRDSQLSLHQRLH
+>Q8TAQ5_PF00096_195 <unknown description>
+YACKECGKAFTQSSQLILHHRIH
+>Q8TAQ5_PF00096_223 <unknown description>
+YKCEECGKAFIRSSQLTRHQKVH
+>Q8TAQ5_PF00096_251 <unknown description>
+YECKECGKAFTQNSQLTLHQRLH
+>Q8TAQ5_PF00096_279 <unknown description>
+YECKECRKVFTQLSQLILHKRIH
+>Q8TAQ5_PF00096_335 <unknown description>
+YECKECGRAFIRGSLLMQHQRIH
+>Q8TAQ5_PF00096_363 <unknown description>
+YKCEECGKAFIRGSQLTQHQRIH
+>Q8TAQ5_PF00096_391 <unknown description>
+YECKECGKMFSHGSQLTQHQRIH
+>Q8TAQ5_PF00096_419 <unknown description>
+YQCKECGKAFNRGSLLTRHQRIH
+>Q8TAQ5_PF00096_447 <unknown description>
+YECKECGKTFSRGSELTQHERIH
+>Q8TAQ5_PF00096_475 <unknown description>
+YECKECGKSFIRGSQLTQHQRIH
+>Q8TAQ5_PF00096_503 <unknown description>
+YECKECRMAFTQSSHLSQHQRLH
+>Q8TAQ5_PF00096_531 <unknown description>
+YVCNECGKAFARGLLLIQHQRIH
+>Q8TAQ5_PF00096_559 <unknown description>
+YQCKECGKAFIRGSQLTQHQRIH
+>Q8TAQ5_PF00096_587 <unknown description>
+YECKECGKAFSHGSQLTLHQRIH
+>Q8TAQ5_PF13465_629 <unknown description>
+HLSRHQRIHTGEKPYQCKECGKAFTR
+>Q6IV72_PF01352_9 <unknown description>
+VTFDDVALYFSEQEWEILEKWQKQMYKQEMKTNYETLDSLG
+>Q6IV72_PF00096_274 <unknown description>
+YPCPECDKTFRYRANLKKHLCLH
+>Q6IV72_PF00096_302 <unknown description>
+FCCGECGRAFVQQCELTEHLRLH
+>Q6IV72_PF00096_358 <unknown description>
+FHCPECGRSFSRKAALKTHQRTH
+>Q6IV72_PF00096_386 <unknown description>
+FSCGECGRKFIYKIKLDEHIRVH
+>Q6IV72_PF00096_414 <unknown description>
+FSCPECNKSFRLKRSLKAHGLQH
+>Q6IV72_PF00096_470 <unknown description>
+FPCAECGKRFTRPSKLACHTRVH
+>Q6IV72_PF00096_498 <unknown description>
+FPCGECKKTFSQQSRLTQHLKVH
+>Q6IV72_PF00096_526 <unknown description>
+FSCAECGRSFRRRAHLTEHTRLH
+>Q6IV72_PF00096_582 <unknown description>
+FACGECDKTYTHQSQLTEHLRLH
+>Q6IV72_PF00096_610 <unknown description>
+YQCPECEKTFRLKGNLKSHLLQH
+>Q6IV72_PF00096_638 <unknown description>
+FSCVMCGKSFTQQYRLTEHIRVH
+>Q6IV72_PF00096_666 <unknown description>
+FQCPECDKSYCIRGSLKVHLYKH
+>Q6IV72_PF00096_694 <unknown description>
+FQCPECGKGFLQKRSLKAHLCLH
+>Q6IV72_PF00096_722 <unknown description>
+FSCDECGRSFTYVGALKTHIAVH
+>Q9BUY5_PF01352_41 <unknown description>
+SVTFDDVAVDFTQEEWTLLDSTQRSLYSDVMLENYKNLATVG
+>Q9BUY5_PF00096_224 <unknown description>
+FECSHCGKSFINESYLQAHMRTH
+>Q9BUY5_PF00096_280 <unknown description>
+YKCKECGKGYRYPAYLSIHMRTH
+>Q9BUY5_PF00096_308 <unknown description>
+YECKECGKAFNYSNSFQIHGRTH
+>Q9BUY5_PF00096_336 <unknown description>
+YVCKECGKAFTQYSGLSMHVRSH
+>Q9BUY5_PF00096_364 <unknown description>
+YECKECGKSFLTSSRLIQHIRTH
+>Q9BUY5_PF00096_392 <unknown description>
+FVCVECGKAFAVSSNLSGHLRTH
+>Q9BUY5_PF00096_421 <unknown description>
+ECKICGKVFGYPSCLNNHMRTH
+>Q9BUY5_PF00096_448 <unknown description>
+YTCKECGKAFNYSTHLKIHMRIH
+>Q9BUY5_PF00096_476 <unknown description>
+YECKQCGKAFSHSSSFQIHERTH
+>Q9BUY5_PF00096_532 <unknown description>
+YKCQQCGKAYSHPRSLRRHEQIH
+>Q86V71_PF01352_3 <unknown description>
+PLTFTDVAIEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLG
+>Q86V71_PF00096_173 <unknown description>
+FQCKKCGKSFCMLSQLTQHKKIH
+>Q86V71_PF00096_229 <unknown description>
+YRCEECGKAFNHYSTLTNHKRIH
+>Q86V71_PF00096_257 <unknown description>
+YKCKECGKAFSRYSTLTTHKRIH
+>Q86V71_PF00096_285 <unknown description>
+YKCDECGKTFSISSTFTKHKIIH
+>Q86V71_PF00096_313 <unknown description>
+YKCKECGKAFNRSSTLTSHKRIH
+>Q86V71_PF00096_341 <unknown description>
+YKCEECGKAFNWSSTLTKHKVIH
+>Q86V71_PF00096_369 <unknown description>
+YKCEECGKAFNQSSRLTRHKKIH
+>Q86V71_PF00096_425 <unknown description>
+YNCEECGKVFTYSSTLTRHKRIH
+>Q86V71_PF00096_453 <unknown description>
+YKCNECGKAFNRSSHLTSHRRIH
+>Q86V71_PF00096_481 <unknown description>
+YKCEECGKAFKQSSNLNSHKKIH
+>Q86V71_PF00096_509 <unknown description>
+YKCEECGKAFILSSRLTQHKKIH
+>Q86V71_PF00096_537 <unknown description>
+YKCEECGKAFNRSSRLTQHKKIH
+>Q86V71_PF00096_565 <unknown description>
+YKCKQCDKAFTHSSNLSSHKKIH
+>Q86V71_PF00096_593 <unknown description>
+YKCEECGKAFNRSSRLTQHKKIH
+>Q86V71_PF00096_621 <unknown description>
+YKCEECAKAFTRSSRLTQHKKIH
+>Q9H8G1_PF01352_34 <unknown description>
+PLTFRDVAIEFSLEEWQCLDTAQQDLYRKVMLENYRNLVFL
+>Q9H8G1_PF00096_233 <unknown description>
+YQCEECGKVFNWFSTLTRHRRIH
+>Q9H8G1_PF00096_261 <unknown description>
+YKCEQCGKAFKQSSTLTTHKIIH
+>Q9H8G1_PF00096_289 <unknown description>
+YRCEECGKTFNRSSHLTTHKRIH
+>Q9H8G1_PF00096_317 <unknown description>
+YRCEECGRAFNRSSHLTTHKIIH
+>Q9H8G1_PF00096_345 <unknown description>
+YKCEECGKAFNQSSTLTTHKIIH
+>Q9H8G1_PF00096_373 <unknown description>
+YKCEECGKAFYRFSYLTKHKIIH
+>Q9H8G1_PF00096_401 <unknown description>
+YKCEECGKGFNWSSTLTKHKRIH
+>Q9H8G1_PF00096_429 <unknown description>
+YKCEQCGKAFNESSNLTAHKIIH
+>Q9H8G1_PF00096_457 <unknown description>
+YKCEECGKAFNRSPKLTAHKVIH
+>Q9H8G1_PF00096_485 <unknown description>
+YKCEECGKAFNQFSNLTKHKITH
+>Q8TF32_PF01352_35 <unknown description>
+LTFRDVAIEFSLEEWECLNPAQQNLYMNVMLENYKNLVFLG
+>Q8TF32_PF00096_232 <unknown description>
+YQCEECGKAFKWFSTLTRHKRIH
+>Q8TF32_PF00096_260 <unknown description>
+FKCEECGKAFKQSSTLTTHKIIH
+>Q8TF32_PF00096_288 <unknown description>
+YRCEECGKAFNRSSHLTTHKIIH
+>Q8TF32_PF00096_316 <unknown description>
+YKCEECGKAFNQSSTLSTHKFIH
+>Q8TF32_PF00096_344 <unknown description>
+YKCEECDKAFNRFSYLTKHKIIH
+>Q8TF32_PF00096_372 <unknown description>
+YKCEECGKGFNWSSTLTKHKRIH
+>Q8TF32_PF00096_400 <unknown description>
+YKCEVCGKAFNESSNLTTHKMIH
+>Q8TF32_PF00096_428 <unknown description>
+YKCEECGKAFNRSPQLTAHKIIH
+>Q8TF32_PF00096_456 <unknown description>
+YKCEECGKAFSQSSILTTHKRIH
+>Q8TF32_PF00096_484 <unknown description>
+YKCEECGKAFNRSSNLTKHKIIH
+>Q8TF32_PF00096_512 <unknown description>
+YKCEECGKAFNQSSTLTKHRKIH
+>O94892_PF01352_8 <unknown description>
+LTLEDVTVEFTWEEWQLLGPFQKDLYRDVMLEIYSNLLSMG
+>O94892_PF00096_205 <unknown description>
+HVCSECGKAFVKKSQLTDHERVH
+>O94892_PF00096_235 <unknown description>
+CTLCAKVFSRKSRLNEHQRIH
+>O94892_PF00096_261 <unknown description>
+FICSECGKVFTMKSRLIEHQRTH
+>O94892_PF00096_289 <unknown description>
+YICNECGKGFPGKRNLIVHQRNH
+>O94892_PF00096_317 <unknown description>
+YICSECGKGFTGKSMLIIHQRTH
+>O94892_PF00096_345 <unknown description>
+YICSECGKGFTTKHYVIIHQRNH
+>O94892_PF00096_373 <unknown description>
+YICNECGKGFTMKSRMIEHQRTH
+>O94892_PF00096_401 <unknown description>
+YICSECGKGFPRKSNLIVHQRNH
+>O94892_PF00096_429 <unknown description>
+YLCSECGKGFTVKSMLIIHQRTH
+>O94892_PF00096_457 <unknown description>
+YTCSECGKGFPLKSRLIVHQRTH
+>O94892_PF00096_485 <unknown description>
+YRCSECGKGFIVNSGLMLHQRTH
+>O94892_PF00096_513 <unknown description>
+YICNECGKGFAFKSNLVVHQRTH
+>O94892_PF00096_541 <unknown description>
+FMCSECGKGFTMKRYLIVHQQIH
+>O94892_PF00096_599 <unknown description>
+CNECGKGFTMKSRLIVHQRTH
+>O94892_PF00096_625 <unknown description>
+FVCSECRKAFSSKRNLIVHQRTH
+>Q9C0F3_PF01352_13 <unknown description>
+PVTFEDMAMYLTREEWRPLDAAQRDLYRDVMQENYGNVVSLD
+>Q9C0F3_PF00096_138 <unknown description>
+HICSHCGKAFSQISDLNRHQKTH
+>Q9C0F3_PF00096_166 <unknown description>
+YKCYECGKGFSRSSHLIQHQRTH
+>Q9C0F3_PF00096_194 <unknown description>
+YDCNECGKSFGRSSHLIQHQTIH
+>Q9C0F3_PF00096_222 <unknown description>
+HKCNECGKSFCRLSHLIQHQRTH
+>Q9C0F3_PF00096_250 <unknown description>
+YECEECGKSFSRSSHLAQHQRTH
+>Q9C0F3_PF00096_278 <unknown description>
+YECNECGRGFSERSDLIKHYRVH
+>Q9C0F3_PF00096_306 <unknown description>
+YKCDECGKNFSQNSDLVRHRRAH
+>Q9C0F3_PF00096_334 <unknown description>
+YHCNECGENFSRISHLVQHQRTH
+>Q9C0F3_PF00096_362 <unknown description>
+YECNACGKSFSRSSHLITHQKIH
+>Q9C0F3_PF00096_390 <unknown description>
+YECNECWRSFGERSDLIKHQRTH
+>Q9C0F3_PF00096_418 <unknown description>
+YECVQCGKGFTQSSNLITHQRVH
+>Q9C0F3_PF00096_446 <unknown description>
+YECTECEKSFSRSSALIKHKRVH
+>Q8IYI8_PF01352_3 <unknown description>
+PVAFKDVAVNFTQEEWALLDISQRKLYREVMLETFRNLTSLG
+>Q8IYI8_PF00096_174 <unknown description>
+CKVCGKTFISHSSVRRHMVMH
+>Q8IYI8_PF00096_312 <unknown description>
+YECKQCGKALSSLTSFQTHVRLH
+>Q8IYI8_PF13894_340 <unknown description>
+YECKICGKDFCSVNSFQRHEKIH
+>Q8IYI8_PF00096_368 <unknown description>
+YKCKQCGKAFPHSSSLRYHERTH
+>Q8IYI8_PF00096_396 <unknown description>
+YECKQCGKAFRSASHLRVHGRTH
+>Q8IYI8_PF00096_424 <unknown description>
+YECKECGKAFRYVNNLQSHERTQTH
+>Q8IYI8_PF13894_458 <unknown description>
+YKCKICGKGFYCPKSFQRHEKTH
+>Q8N8Z8_PF01352_3 <unknown description>
+SVAFEDVAINFTCEEWALLGPSQKSLYRDVMQETIRNLDCIG
+>Q8N8Z8_PF13912_169 <unknown description>
+YDCKECASFSSLENLQRHMAAHH
+>Q8N8Z8_PF00096_280 <unknown description>
+YECKQCGKAFYHLGSFQRHMIVH
+>Q8N8Z8_PF13894_308 <unknown description>
+HKCKICGKGFLSPSSVRRHKRTH
+>Q8N8Z8_PF13894_336 <unknown description>
+YECKYCGKAFSDCTGFRRHMITH
+>Q8N8Z8_PF00096_447 <unknown description>
+YKCKQCGKAFRSSNYIRVHEKTH
+>Q8N8Z8_PF00096_475 <unknown description>
+YECKQCGKALSHLKSFQRHMIMH
+>Q8N8Z8_PF00096_503 <unknown description>
+HKCKICGKSFDSPSSFRRHERIH
+>Q8N8Z8_PF00096_531 <unknown description>
+YKCKLCGKGFRSSSYIQLHERTH
+>Q8N8Z8_PF00096_561 <unknown description>
+CQQCGKALSDLSSFRRHMITH
+>Q8N8Z8_PF00096_587 <unknown description>
+HKCKICGKGFDYPSSVQRHERTH
+>Q8N8Z8_PF00096_615 <unknown description>
+YECKECGKAFSHSSYLRIHERVH
+>Q9H7R0_PF01352_28 <unknown description>
+SVAFEDVAVNFTQEEWALLGPSQKSLYRDVMWETIRNLDCIG
+>Q9H7R0_PF00096_181 <unknown description>
+YDCKECGKTFSSSGNLRRHII
+>Q9H7R0_PF00096_265 <unknown description>
+YECKHCSKAFPDYSSYVRHERTH
+>Q9H7R0_PF00096_293 <unknown description>
+YKCKRCGRAFSVSSSLRIHERTH
+>Q9H7R0_PF00096_321 <unknown description>
+YECKQCGKAFHHLGSFQRHMIRH
+>Q9H7R0_PF00096_349 <unknown description>
+HKCKICGKGFDCPSSLQSHERTH
+>Q9H7R0_PF00096_377 <unknown description>
+YECKQCGKALSHHSSFRSHMIMH
+>Q9H7R0_PF00096_405 <unknown description>
+HKCKVCGKAFIYPSVFQGHERTH
+>Q9H7R0_PF00096_433 <unknown description>
+YECKECGKAFRISSSLRRHETTH
+>Q9H7R0_PF13912_488 <unknown description>
+YECKECGKAFSCFTYLSQHRRTHMAE
+>Q9H7R0_PF00096_516 <unknown description>
+YECKTCKKAFSHFGNLKVHERIH
+>Q9H7R0_PF00096_572 <unknown description>
+YECQQCGKAFTRSRFLRGHEKTH
+>Q9H7R0_PF13912_600 <unknown description>
+HECKECGKALSSLSSLHRHKRTHWR
+>Q9Y2A4_PF01352_3 <unknown description>
+SVALEDVAVNFTREEWALLGPCQKNLYKDVMQETIRNLDC
+>Q9Y2A4_PF00096_169 <unknown description>
+YDCKECGKSFSSLGNLQRHMA
+>Q9Y2A4_PF00096_225 <unknown description>
+YECKQCSKAFSFYSSYLRHERTH
+>Q9Y2A4_PF00096_253 <unknown description>
+YECKQCSKAFPFYSSYLRHERTH
+>Q9Y2A4_PF00096_309 <unknown description>
+YTCKQCGKAFSVSGSLQRHETTH
+>Q9Y2A4_PF00096_337 <unknown description>
+YACQQCGKAFHHLGSFQRHMIRH
+>Q9Y2A4_PF00096_365 <unknown description>
+HKCKICGKGFDCPSSLQSHERTH
+>Q9Y2A4_PF00096_393 <unknown description>
+YECKQCGKALSHRSSFRSHMIMH
+>Q9Y2A4_PF00096_421 <unknown description>
+HKCKVCGKAFVYPSVFQRHERTH
+>Q9Y2A4_PF00096_449 <unknown description>
+YKCKQCGKAYRISSSLRRHETTH
+>Q9Y2A4_PF00096_504 <unknown description>
+YECKECGKAFSRFRYLSRHKRTH
+>Q9Y2A4_PF00096_532 <unknown description>
+YECKTCRKAFGHYDNLKVHERIH
+>Q9Y2A4_PF00096_588 <unknown description>
+YECPQCGKAFTHSRFLQGHEKTH
+>Q9Y2A4_PF13912_616 <unknown description>
+YECKECGKAFASLSSLHRHKKTHWKK
+>Q9Y2A4_PF13912_649 <unknown description>
+YECKECGKAFASLSSLHRHKKTH
+>Q8N0Y2_PF02023_21 <unknown description>
+HRFRRFHLGDAPGPREALGLLRALCRDWLRPEVHTKEQMLELLVLEQFLSALPADTQAWV
+CSRQPQSGEEAVALLEEL
+>Q8N0Y2_PF00096_207 <unknown description>
+HACPECGKAFRRKEHLRRHRDTH
+>Q8N0Y2_PF00096_278 <unknown description>
+FACWECGKGFGRREHVLRHQRIH
+>P59923_PF02023_51 <unknown description>
+QELFRQLFRQLRYHESSGPLETLSRLRELCRWWLRPDVLSKAQILELLVLEQFLSILPGE
+LRVWVQLHNPESGEEAVALLEELQRDLD
+>P59923_PF01352_234 <unknown description>
+MTFKDVEVTFSQDEWGWLDSAQRNLYRDVMLENYRNMASL
+>P59923_PF00096_485 <unknown description>
+FKCSDCGRTFSHSSHLAYHQRLH
+>P59923_PF00096_541 <unknown description>
+YKCDLCEKAFRRLSAYRLHRETH
+>P59923_PF00096_625 <unknown description>
+YKCTKCRKTFRWRSNFTRHMRLH
+>P59923_PF00096_682 <unknown description>
+LCQQCGKTFTRKKTLVDHQRIH
+>P59923_PF00096_709 <unknown description>
+YQCSDCGKDFAYRSAFIVHKKKH
+>P59923_PF00096_762 <unknown description>
+YKCSQCGKAFRNHSFLLIHQRVH
+>P59923_PF00096_790 <unknown description>
+YKCRECGKAFRWSSNLYRHQRIH
+>P59923_PF00096_868 <unknown description>
+YKCNLCGKSYDRNYRLVNHQRIH
+>P59923_PF00096_896 <unknown description>
+FKCQWCGKEFIGRHTLSSHQRKH
+>P59923_PF00096_978 <unknown description>
+HKCSICGKTFNKSSQLISHKRFH
+>P59923_PF00096_1006 <unknown description>
+FKCSKCGKTFRWSSNLARHMKNH
+>Q9NWS9_PF02023_22 <unknown description>
+PETARLRFRGFCYQEVAGPREALARLRELCCQWLQPEAHSKEQMLEMLVLEQFLGTLPPE
+IQAWVRGQRPGSPEEAAALVEGLQHDPG
+>Q9NWS9_PF01352_209 <unknown description>
+QEEWGLLDRSQKELYWDAMLEKYGTVVSLG
+>Q9NWS9_PF00096_395 <unknown description>
+YPCEECGCSFSWKSQLVIHRKSH
+>Q6P9G9_PF02023_27 <unknown description>
+EVFRQRFRQFQYREAAGPHEAFNKLWELCCQWLKPKMRSKEQILELLVLEQFLTILPTEI
+ETWVREHCPENRERVVSLIEDLQRELE
+>Q6P9G9_PF00096_323 <unknown description>
+HRCPQCGKCFARKSQLTGHQRIH
+>Q6P9G9_PF00096_351 <unknown description>
+HKCPECGKRFLRSSDLYRHQRLH
+>Q6P9G9_PF00096_379 <unknown description>
+YECTVCKKRFTRRSHLIGHQRTH
+>Q6P9G9_PF00096_407 <unknown description>
+YKCLECGKSFCHGSSLKRHLKTH
+>Q6P9G9_PF00096_435 <unknown description>
+HRCHNCGKSFSRLTALTLHQRTH
+>Q6P9G9_PF00096_463 <unknown description>
+FKCNYCGKSFRQRPSLVIHLRIH
+>Q6P9G9_PF00096_491 <unknown description>
+YKCTHCSKSFRQRAGLIMHQVTH
+>Q9Y4E5_PF18479_884 <unknown description>
+TMTHIVFVDFDNWSNFFGHLPGHLNQGTFIWGFQGGNTNWKPPLNCKIYNYLNRIGCFFL
+HPRCSKRKDAADFAICMHAGRLDEQLPKQIPFTILSGDQGFLELENQFKKTQRPAHIL
+>Q8N9F8_PF01352_13 <unknown description>
+SVTFKDVAILFTQEEWGQLSPAQRALYRDVMLENYSNLVSLG
+>Q8N9F8_PF00096_178 <unknown description>
+FECSECGKVFSKSSTLNKHQKIH
+>Q8N9F8_PF00096_216 <unknown description>
+YECRECGKAFHQSTHLIHHQRIH
+>Q8N9F8_PF00096_244 <unknown description>
+YECKECGKAFSVSSSLTYHQKIH
+>Q8N9F8_PF00096_272 <unknown description>
+FECNLCGKAFIRNIHLAHHHRIH
+>Q8N9F8_PF00096_328 <unknown description>
+YKCNECGKAFNQSTSFLQHQRIH
+>Q8N9F8_PF00096_356 <unknown description>
+FECNECGKAFRVNSSLTEHQRIH
+>Q8N9F8_PF00096_384 <unknown description>
+YKCNECGKAFRDNSSFARHRKIH
+>Q8N9F8_PF00096_412 <unknown description>
+YRCGLCEKAFRDQSALAQHQRIH
+>Q8N9F8_PF00096_440 <unknown description>
+YTCNICEKAFSDHSALTQHKRIH
+>Q8N9F8_PF00096_468 <unknown description>
+YKCKICEKAFIRSTHLTQHQRIH
+>Q8N9F8_PF00096_496 <unknown description>
+YKCNKCGKAFNQTANLIQHQRHH
+>Q14592_PF01352_12 <unknown description>
+SVTFEDVAVTFTQEEWGQLDVTQRALYVEVMLETCGLLVALG
+>Q14592_PF00096_196 <unknown description>
+YDCPECGKAFGKSKHLLQHHIIH
+>Q14592_PF00096_224 <unknown description>
+YKCLECGKDFNRRSHLTRHQRTH
+>Q14592_PF00096_252 <unknown description>
+FVCSECGRTFNRGSHLTRHQRVH
+>Q14592_PF00096_280 <unknown description>
+FVCNECGKAFTYRSNFVLHNKSH
+>Q14592_PF00096_364 <unknown description>
+FVCSQCGKAFTHYSTYVLHERAH
+>Q14592_PF00096_392 <unknown description>
+FECKECGKAFSIRKDLIRHFNIH
+>Q14592_PF00096_420 <unknown description>
+YECLQCGKAFTRMSGLTRHQ
+>Q14592_PF00096_476 <unknown description>
+YECVECGKAFNRRSPLTRHQRIH
+>Q8TAF7_PF01352_5 <unknown description>
+LVMFRDVAIDVSQEEWECLNPAQRNLYKEVMLENYSNLVSLG
+>Q8TAF7_PF00096_273 <unknown description>
+YECNECGKAFNYGSELTLHQRIH
+>Q8TAF7_PF00096_301 <unknown description>
+YECKECGKAFRQRSQLTQHQRLH
+>Q8TAF7_PF00096_329 <unknown description>
+YECKQCGKAFIRGFQLTEHLRLH
+>Q8TAF7_PF00096_357 <unknown description>
+YECKECGKTFRHRSHLTIHQRIH
+>Q8TAF7_PF00096_385 <unknown description>
+YECRECGKAFSYHSSFSHHQKIH
+>Q8TAF7_PF00096_413 <unknown description>
+YECHECGKAFCDGLQLTLHQRIH
+>Q8TAF7_PF00096_441 <unknown description>
+YECKECGKTFRQCSHLKRHQRIH
+>Q8TAF7_PF00096_469 <unknown description>
+HECMICGKAFRLHSHLIQHQRIH
+>Q8TAF7_PF00096_497 <unknown description>
+YECKECGKAFSYHSSFSHHQRIH
+>Q7Z7K2_PF00096_190 <unknown description>
+CPDCGRSFTQRAHMLLHQRSH
+>Q7Z7K2_PF00096_216 <unknown description>
+FPCSECDKRFSKKAHLTRHLRTH
+>Q7Z7K2_PF00096_244 <unknown description>
+YPCAECGKRFSQKIHLGSHQKTH
+>Q7Z7K2_PF00096_272 <unknown description>
+FPCTECEKRFRKKTHLIRHQRIH
+>Q7Z7K2_PF00096_300 <unknown description>
+YQCAQCARSFTHKQHLVRHQRVH
+>Q7Z7K2_PF00096_487 <unknown description>
+FACAQCGRRFSRKSHLGRHQAVH
+>Q7Z7K2_PF00096_516 <unknown description>
+ACAVCARSFSSKTNLVRHQAIH
+>Q7Z7K2_PF00096_543 <unknown description>
+FSCPQCGKSFSRKTHLVRHQLIH
+>Q5VIY5_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q5VIY5_PF00096_271 <unknown description>
+YKCNECGKTFGHNSSLFIHKALH
+>Q5VIY5_PF00096_299 <unknown description>
+YECEECDKVFSRKSHLERHKRIH
+>Q5VIY5_PF00096_327 <unknown description>
+YKCKVCDEAFAYNSYLAKHTILH
+>Q5VIY5_PF00096_355 <unknown description>
+YTCNECGKVFNRLSTLARHHRLH
+>Q5VIY5_PF00096_383 <unknown description>
+YKCEECDKVFSRKSHLERHRRIH
+>Q5VIY5_PF00096_411 <unknown description>
+YKCEECCKVFSRKSNLERHRRIH
+>Q5VIY5_PF00096_439 <unknown description>
+YKCKVCDKAFQRDSHLAQHQRVH
+>Q5VIY5_PF00096_467 <unknown description>
+YKCNECGKTFGQTSSLIIHRRLH
+>Q5VIY5_PF00096_495 <unknown description>
+YKCNECGKTFSQMSSLVYHHRLH
+>Q6ECI4_PF01352_22 <unknown description>
+SVTFTDVAIDFSQDEWEWLNLAQRSLYKKVMLENYRNLVSVG
+>Q6ECI4_PF00096_229 <unknown description>
+KCNDCEKIFSKISTLTLHQRIH
+>Q6ECI4_PF00096_256 <unknown description>
+YECIECGKAFSQSAHLAQHQRIH
+>Q6ECI4_PF00096_284 <unknown description>
+FECTECGKAFSQNAHLVQHQRVH
+>Q6ECI4_PF00096_312 <unknown description>
+YQCKQCNKAFSQLAHLAQHQRVH
+>Q6ECI4_PF00096_340 <unknown description>
+YECIECGKAFSDCSSLAHHRRIH
+>Q6ECI4_PF00096_368 <unknown description>
+YECIDCGKAFRQNASLIRHRRYYH
+>Q6ECI4_PF00096_425 <unknown description>
+YKCNVCGKAFSHGSSLTVHQRIH
+>Q6ECI4_PF00096_453 <unknown description>
+YECNICEKAFSHRGSLTLHQRVH
+>Q6ECI4_PF00096_481 <unknown description>
+YECKECGKAFRQSTHLAHHQRIH
+>Q6ECI4_PF00096_509 <unknown description>
+YECKECSKTFSQNAHLAQHQKIH
+>Q6ECI4_PF00096_537 <unknown description>
+YECKECGKAFSQIAHLVQHQRVH
+>Q6ECI4_PF00096_565 <unknown description>
+YECIECGKAFSDGSYLVQHQRLH
+>Q6ECI4_PF00096_621 <unknown description>
+YECNVCGKAFSHRKSLTLHQRIH
+>Q6ECI4_PF00096_649 <unknown description>
+YECKECSKAFSQVAHLTLHKRIH
+>Q6ECI4_PF00096_677 <unknown description>
+YECKECGKAFRQSVHLAHHQRIH
+>Q9BX82_PF01352_13 <unknown description>
+LVTFKDVAIDFSQEEWQWMNPAQKRLYRSMMLENYQSLVSLG
+>Q9BX82_PF00096_206 <unknown description>
+FKCNECDKTFTHSSSLTVHFRIH
+>Q9BX82_PF00096_234 <unknown description>
+YACEECGKAFKQRQHLAQHHRTH
+>Q9BX82_PF00096_262 <unknown description>
+FECKECRKAFKQSEHLIQHQRIH
+>Q9BX82_PF00096_290 <unknown description>
+YKCKECRKAFRQPAHLAQHQRIH
+>Q9BX82_PF00096_318 <unknown description>
+YECKECGKAFSDGSSFARHQR
+>Q9BX82_PF00096_403 <unknown description>
+YKCGVCGKTFSSGSSRTVHQRIH
+>Q9BX82_PF00096_431 <unknown description>
+YECDICGKDFSHHASLTQHQRVH
+>Q9BX82_PF00096_459 <unknown description>
+YECKECGKAFRQNVHLVSHLRIH
+>Q9BX82_PF00096_487 <unknown description>
+YECKECGKAFRISSQLATHQRIH
+>Q9BX82_PF00096_515 <unknown description>
+YECIECGNAFKQRSHLAQHQKTH
+>Q9BX82_PF00096_543 <unknown description>
+YECNECGKAFSQTSNLTQHQRIH
+>Q9BX82_PF00096_571 <unknown description>
+YKCTECGKAFSDSSSCAQHQRLH
+>Q8WTR7_PF01352_6 <unknown description>
+VTLKDVGMDFTLGDWEQLGLEQGDTFWDTALDNCQDLFLL
+>Q8WTR7_PF13894_209 <unknown description>
+YQCSECGKSFSGSYRLTQHWITH
+>Q8WTR7_PF00096_320 <unknown description>
+YNCNECGKAFTRIFHLTRHQKIH
+>Q8WTR7_PF00096_376 <unknown description>
+ECQECGKIFRHSSLLIEHQALH
+>Q8WTR7_PF00096_431 <unknown description>
+YKCSECGKAFHRHTHLNEHRRIH
+>Q8WTR7_PF00096_459 <unknown description>
+HKCQECVRSFSRPSHLMRHQAIH
+>Q8WTR7_PF00096_591 <unknown description>
+FECDQCGKAFGQSTRLIHHQRIH
+>Q8WTR7_PF00096_646 <unknown description>
+FKCNECGKTFSHSAHLSKHQLIH
+>Q8WTR7_PF00096_674 <unknown description>
+FKCSKCDRVFTQRNYLVQHERTH
+>Q8WTR7_PF00096_703 <unknown description>
+VCNECGKTFRQSSCLSKHQRIH
+>Q8WTR7_PF00096_730 <unknown description>
+YVCDYCGKAFGLSAELVRHQRIH
+>Q8WTR7_PF00096_758 <unknown description>
+YVCQECGKAFTQSSCLSIHRRVH
+>Q8WTR7_PF00096_786 <unknown description>
+YRCGECGKAFAQKANLTQHQRIH
+>Q8WTR7_PF00096_814 <unknown description>
+YSCNVCGKAFVLSAHLNQHLRVH
+>Q6S9Z5_PF13913_96 <unknown description>
+VCYICGREFGSQSIAIHEPQC
+>Q6S9Z5_PF13913_164 <unknown description>
+QLLPCESCGRTFLPDHLLVHHRSCK
+>Q6S9Z5_PF13913_221 <unknown description>
+TVICYICGKEFGTLSLPIHEPKC
+>Q6S9Z5_PF13913_283 <unknown description>
+QLVFCPHCSRIFTSDRLLVHQRSCK
+>Q96JC4_PF01352_16 <unknown description>
+LTFRDIAIEFSLEEWQCLDCAQRNLYRDVMLENYRNLVSLG
+>Q96JC4_PF00096_241 <unknown description>
+YRCEECGKAFSWSANLTRHKRTH
+>Q96JC4_PF00096_269 <unknown description>
+YTCEECGQAFRRSSALTNHKRIH
+>Q96JC4_PF00096_297 <unknown description>
+YKCEECGKAFSVSSTLTDHKRIH
+>Q96JC4_PF00096_326 <unknown description>
+RCEECGKAFSWSSNLTRHKRIH
+>Q96JC4_PF00096_353 <unknown description>
+YACEECGQAFSLSSNLMRHRRIH
+>Q96JC4_PF00096_381 <unknown description>
+YTCEECGQDFRRSSALTIHKRIH
+>Q96JC4_PF00096_409 <unknown description>
+YKCEECGKVFSLSSTLTDHKRIH
+>Q96JC4_PF00096_437 <unknown description>
+YKCEECGKAFSLSSTLTDHKRIH
+>Q96JC4_PF00096_465 <unknown description>
+YTCEECGKAFNCSSTLMQHKRIH
+>Q8WV37_PF01352_27 <unknown description>
+LTFRDVAIEFSQAEWKCLDPAQRALYKDVMLENYRNLVSLG
+>Q8WV37_PF00096_231 <unknown description>
+YKCNSCGKVFSRNSHLAEHCRIH
+>Q8WV37_PF00096_259 <unknown description>
+YKCNVCGKVFSYNSNFARHQRIH
+>Q8WV37_PF00096_287 <unknown description>
+YECNECGKVFSNNSYLARHQRIH
+>Q8WV37_PF00096_315 <unknown description>
+YKCNECGKGFSHKSSLANHWR
+>Q8WV37_PF00096_343 <unknown description>
+YKCDECGKAFYRIALLVRHQKIH
+>Q8WV37_PF00096_371 <unknown description>
+YKCNECGKVFIQNSHLAQHWRIH
+>Q8WV37_PF00096_399 <unknown description>
+YKCNECGKVFNQLSNLARHRRIH
+>Q8WV37_PF00096_427 <unknown description>
+YKCNECGKAFSEYSGLSAHLVIH
+>Q8WV37_PF00096_455 <unknown description>
+YKCSECGKAFRHKLSLTNHQRIH
+>Q8WV37_PF00096_483 <unknown description>
+YKCNECGKVFNRIAHLARHRKIH
+>Q8WV37_PF00096_511 <unknown description>
+YKCNECGKAFSRISYLAQHWTIH
+>Q8TF39_PF02023_49 <unknown description>
+ESFRQRFRWFCYSEVAGPRKALSQLWELCNQWLRPDIHTKEQILELLVFEQFLTILPGEI
+RIWVKSQHPESSEEVVTLIEDLTQMLEE
+>Q8TF39_PF01352_169 <unknown description>
+SITLKDVAVNFSRGEWKKLEPFQKELYKEVLLENLRNLEFLD
+>Q8TF39_PF00096_439 <unknown description>
+HKCSKCGKAFGYSASLTKHRRIH
+>Q8TF39_PF00096_467 <unknown description>
+YMCNECGKAFSDSSSLTPHHRTH
+>Q8TF39_PF00096_495 <unknown description>
+FKCDDCGKGFTLSAHLIKHQRIH
+>Q8TF39_PF00096_523 <unknown description>
+YKCKDCGRPFSDSSSLIQHQRIH
+>Q8TF39_PF00096_551 <unknown description>
+YTCSNCGKSFSHSSSLSKHQRIH
+>Q8TF39_PF00096_579 <unknown description>
+YKCGECGKAFRQNSCLTRHQRIH
+>Q8TF39_PF00096_635 <unknown description>
+YKCNQCEKAFPTHSLLSRHQRIH
+>Q8TF39_PF00096_663 <unknown description>
+YKCKECGKSFSQSSSLNEHHRIH
+>Q8TF39_PF00096_691 <unknown description>
+YECNYCGATFSRSSILVEHLKIH
+>Q8TF39_PF00096_719 <unknown description>
+YECNECEKTFKSNSGLIRHRG
+>Q5JVG2_PF01352_7 <unknown description>
+SVSFKDVTVDFSRDEWQQLDLAQKSLYREVMLENYFNLISVG
+>Q5JVG2_PF00096_384 <unknown description>
+FECTECGKAFTRKSTLSMHQKIH
+>Q5JVG2_PF00096_412 <unknown description>
+YVCTECGKAFIRKSHFITHERIH
+>Q5JVG2_PF00096_440 <unknown description>
+YECSDCGKSFIKKSQLHVHQRIH
+>Q5JVG2_PF00096_468 <unknown description>
+FICSECGKVFTHKTNLIIHQKIH
+>Q5JVG2_PF00096_496 <unknown description>
+YICTVCGKAFTDRSNLIKHQKIH
+>Q5JVG2_PF00096_552 <unknown description>
+YECSECGKAFIQKSTLSMHQRIH
+>Q5JVG2_PF00096_580 <unknown description>
+YVCTECGKAFFHKSHFITHERIH
+>Q5JVG2_PF00096_608 <unknown description>
+YECSICGKSFTKKSQLHVHQQIH
+>Q5JVG2_PF00096_636 <unknown description>
+YRCAECGKAFTDRSNLFTHQKIH
+>Q5JVG2_PF00096_664 <unknown description>
+YKCSDCGKAFTRKSGLHIHQQSH
+>Q5JVG2_PF00096_692 <unknown description>
+YECSECGKAFARKSTLIMHQRIH
+>Q5JVG2_PF00096_720 <unknown description>
+YICNECGKSFIQKSHLNRHRRIH
+>Q5JVG2_PF00096_748 <unknown description>
+YECSDCGKSFIKKSQLHEHHRIH
+>Q5JVG2_PF00096_776 <unknown description>
+YICAECGKAFTIRSNLIKHQKIH
+>Q8NCK3_PF01352_10 <unknown description>
+PLTFGDVAVAFTRIEWRHLDAAQRALYRDVMLENYGNLVSVG
+>Q8NCK3_PF00096_130 <unknown description>
+YKCKECGKVFKYNSSFISHQRNH
+>Q8NCK3_PF00096_158 <unknown description>
+HKCKECGIAFMNSSSLLNHHKVH
+>Q8NCK3_PF00096_242 <unknown description>
+YKCNDCGKAFAQNAALTRHERIH
+>Q8NCK3_PF00096_270 <unknown description>
+FKCNKCGRAFRDNSTVLEHQKIH
+>Q8NCK3_PF00096_298 <unknown description>
+YQCNECGKAFRKSSTLISHQRMH
+>Q8NCK3_PF00096_326 <unknown description>
+YHCSKCGKSFRYSSSFAGHQKTH
+>Q8NCK3_PF00096_354 <unknown description>
+YQCRDCGKAFTKSSTLTGHQRIH
+>Q8NCK3_PF00096_382 <unknown description>
+YHCKKCGKAFRHSSGLVEHQRLH
+>Q8NCK3_PF00096_410 <unknown description>
+YKCNECGKAFPRSSALKQHKKIH
+>Q96H40_PF01352_13 <unknown description>
+LQFRDVAVEFSLEEWHCLDTAQQNLYRDVMLENYRHLVFLG
+>Q96H40_PF00096_210 <unknown description>
+YKCEECGKAFNRSSHLTTHKITH
+>Q96H40_PF00096_238 <unknown description>
+YKCEECGKVFKYFSSFTTHKKIH
+>Q96H40_PF00096_266 <unknown description>
+YICEECGKAFMYPYTLTTHKIIH
+>Q96H40_PF00096_294 <unknown description>
+YKCKECDKAFNHPATLSSHKKIH
+>Q96H40_PF00096_322 <unknown description>
+YTCDKCGKAFISSSILSKHEKIH
+>Q96H40_PF00096_350 <unknown description>
+YKCEECGKAFTRSSHLTMHKIIH
+>Q96H40_PF00096_378 <unknown description>
+YKCEECGKAFTWSAGLHKHRRTH
+>Q96H40_PF00096_406 <unknown description>
+YKCEECGKAYTTSSNLTEHKTTH
+>Q96H40_PF00096_434 <unknown description>
+YKCKECGKAFNWSSDLNKHKRIH
+>Q9ULM2_PF01352_57 <unknown description>
+ISLEDVAVNFTLEEWALLDPGQRNIYRDVMRATFKNLACIG
+>Q9ULM2_PF13912_158 <unknown description>
+CSVCGEVFMHQVSLNRHMRSHT
+>Q9ULM2_PF00096_194 <unknown description>
+HKCKECGKTFTRSSSIRTHERIH
+>Q9ULM2_PF00096_222 <unknown description>
+YECKECGKAFAFLFSFRNHIRIH
+>Q9ULM2_PF13912_250 <unknown description>
+YECKECGKAFRYLTALRRHEKNH
+>Q9ULM2_PF13912_278 <unknown description>
+YKCKQCGKAFIYYQPFLTHERTH
+>Q9ULM2_PF13894_306 <unknown description>
+YECKQCGKAFSCPTYLRSHEKTH
+>Q9ULM2_PF00096_334 <unknown description>
+FVCRECGRAFFSHSSLRKHVKTH
+>Q9ULM2_PF00096_362 <unknown description>
+YTCKKCGEAFKSSSSCEVHERTH
+>Q9ULM2_PF00096_390 <unknown description>
+YECKQCGKAFNSSSYLQLHERVH
+>Q9ULM2_PF00096_446 <unknown description>
+YECKQCGRVFIYFSHLRRHERSH
+>Q9ULM2_PF00096_502 <unknown description>
+FQCRQCGKAFSYSKSLHVHERTH
+>Q8N8L2_PF00096_187 <unknown description>
+YECKECGKSFNFSSSFRRHERTH
+>Q8N8L2_PF00096_215 <unknown description>
+YKCKECGKAFNCPSSFHRHERTH
+>Q8N8L2_PF00096_271 <unknown description>
+HKCKICGKAFYSPSSFQRHERSH
+>Q8N8L2_PF00096_411 <unknown description>
+YQCKECGKAFIRSSYCRKHERTH
+>Q9P255_PF00096_141 <unknown description>
+FKCKECEKSFCMLSHLAQHKRIH
+>Q9P255_PF00096_169 <unknown description>
+YKCKECGKAYNETSNLSTHKRIH
+>Q9P255_PF00096_197 <unknown description>
+YKCEECGKAFNRLSHLTTHKIIH
+>Q9P255_PF00096_225 <unknown description>
+YKCEECGKAFNQSANLTTHKRIH
+>Q9P255_PF00096_253 <unknown description>
+YKCEECGRAFSQSSTLTAHKIIH
+>Q9P255_PF00096_281 <unknown description>
+YKCEECGKAFSQSSTLTTHKIIH
+>Q9P255_PF00096_309 <unknown description>
+YKCEECGKAFSQLSHLTTHKRIH
+>Q9P255_PF00096_337 <unknown description>
+YKCEECGKAFKQSSTLTTHKRIH
+>Q9P255_PF00096_365 <unknown description>
+YKCEVCSKAFSRFSHLTTHKRIH
+>Q9P255_PF00096_393 <unknown description>
+YKCEECGKAFNLSSQLTTHKIIH
+>Q9P255_PF00096_421 <unknown description>
+YKCEECGKAFNQSSTLSKHKVIH
+>Q9P255_PF00096_453 <unknown description>
+ECGKAFNQSSHLTTHKMIH
+>Q9P255_PF00096_477 <unknown description>
+YKCEECGKAFNNSSILNRHKMIH
+>Q6ZR52_PF01352_12 <unknown description>
+PLTFRDVAIEFSLEEWQCLDTAQQDLYRKVMLENYRNLVFLG
+>Q6ZR52_PF00096_210 <unknown description>
+YRCEECGKAFIWFSTLTRHRRVH
+>Q6ZR52_PF00096_241 <unknown description>
+ECGKSFNQDSNLTTHKRIH
+>Q6ZR52_PF00096_265 <unknown description>
+YKCEECGTSFYQFSYLTRHKLIH
+>Q6ZR52_PF00096_377 <unknown description>
+YKCEECGKAFSIFSTLTKHKIIH
+>Q6ZR52_PF00096_405 <unknown description>
+HRCEECGKAYKESSHLTTHKRIH
+>Q6ZR52_PF13912_433 <unknown description>
+YKCEECGKTFSVFSILTKHKIIH
+>Q6ZR52_PF00096_461 <unknown description>
+YKCEECGKAFKRSSTLTKHRIIH
+>Q6ZR52_PF00096_489 <unknown description>
+YKCEECGKAFNQSSTLSIHKIIH
+>Q6ZR52_PF00096_517 <unknown description>
+YKCEECGKAFKRSSTLTIHKMIH
+>Q6ZR52_PF00096_545 <unknown description>
+YKCEECGKAFNRSSHLTTHKRIH
+>Q6ZR52_PF00096_573 <unknown description>
+YKCKECGKSFSVFSTLTKHKIIH
+>Q6ZR52_PF00096_601 <unknown description>
+YKCEECGKAFNRSSILSIHKKIH
+>Q6ZR52_PF00096_629 <unknown description>
+YKCEECGKAFKRSSHLAGHKQIH
+>Q6ZR52_PF00096_657 <unknown description>
+YKCEECGKAFSIFSTLTKHKIIH
+>Q6ZR52_PF00096_685 <unknown description>
+YKCEKCGKTFYRFSNLNTHKIIH
+>Q6ZR52_PF00096_714 <unknown description>
+KCEECGKAFNHSSNLIKHKLIH
+>Q6ZR52_PF00096_741 <unknown description>
+YKCEACGKAFRRSSHLSRHKIIH
+>Q96IT1_PF02023_38 <unknown description>
+PESSRRLFRRFRYQEAAGPREALQRLWDLCGGWLRPERHTKEQILELLVLEQFLAILPRE
+IQSWVRAQEPESGEQAVAAVEALEREPGR
+>Q96IT1_PF01352_223 <unknown description>
+FKDMILCFSEEDWSLLDPAQTGFYGE
+>Q96IT1_PF00096_463 <unknown description>
+YRCGACGKSFRLNSHLLSHRRIH
+>Q96IT1_PF00096_553 <unknown description>
+FQCRYCVKSFTQNYDLLRHERLH
+>Q6ZNH5_PF00096_107 <unknown description>
+RCGECGKAFSQGSYLLQHRRVH
+>Q6ZNH5_PF00096_134 <unknown description>
+YTCPECGKAFAWSSNLSQHQRIH
+>Q6ZNH5_PF00096_162 <unknown description>
+YACRECGKAFRAHSQLIHHQETH
+>Q6ZNH5_PF00096_190 <unknown description>
+FRCPDCGKSFGRSTTLVQHRRTH
+>Q6ZNH5_PF00096_218 <unknown description>
+YECPECGKAFSWNSNFLEHRRVH
+>Q6ZNH5_PF00096_246 <unknown description>
+HACRDCGKAFSQSSNLAEHLKIH
+>Q6ZNH5_PF00096_274 <unknown description>
+HACPDCGKAFVRVAGLRQHRRTH
+>Q6ZNH5_PF00096_302 <unknown description>
+FPCAECGKAFRESSQLLQHQRTH
+>Q6ZNH5_PF00096_359 <unknown description>
+ACAQCGKAFSQRSNLLSHRRTH
+>Q6ZNH5_PF00096_386 <unknown description>
+FACADCGKAFRGSSGLAHHRLSH
+>Q6ZNH5_PF00096_414 <unknown description>
+FACAECGKAFRGSSELRQHQRLH
+>Q6ZNH5_PF00096_442 <unknown description>
+FVCAHCSKAFVRKSELLSHRRTH
+>Q6ZNH5_PF00096_470 <unknown description>
+YACGECGKPFSHRCNLNEHQKRH
+>O60304_PF02023_46 <unknown description>
+PETFRQLFRLFCYQEVAGPREALSRLWELCCRWLRPELRTKEQILELLVLEQFLTVLPGE
+IQARVREQQPESGEEAVVLVEGLQRKPR
+>O60304_PF01352_223 <unknown description>
+PVNLEDVAVYLSGEEPRCMDPAQRD
+>O60304_PF00096_325 <unknown description>
+YTCPECGKGFSKTSHLTKHQRTH
+>O60304_PF00096_353 <unknown description>
+YKCLVCGKGFSDRSNFSTHQRVH
+>O60304_PF00096_381 <unknown description>
+YPCPECGKRFSQSSSLVIHRRTH
+>O60304_PF00096_409 <unknown description>
+YACTQCGKRFNNSSHFSAHRRTH
+>O60304_PF00096_437 <unknown description>
+YTCPACGRGFRRGTDLHKHQRTH
+>Q96CX3_PF00096_23 <unknown description>
+KCSECGKFFTQRSSLTQHQRIH
+>Q96CX3_PF00096_50 <unknown description>
+YVCSECGSCFRKQSNLTQHLRIH
+>Q96CX3_PF00096_78 <unknown description>
+YKCNECEKAFQTKAILVQHLRIH
+>Q96CX3_PF00096_106 <unknown description>
+YKCNECGKAFCQSPSLIKHQRIH
+>Q96CX3_PF00096_134 <unknown description>
+YKCTECGKAFSQSICLTRHQRSH
+>Q96CX3_PF00096_162 <unknown description>
+FKCNECGKAFNQSACLMQHQRIH
+>Q96CX3_PF00096_190 <unknown description>
+YTCTECGKAFTQNSSLVEHERTH
+>Q96CX3_PF00096_218 <unknown description>
+YKCSECEKTFRKQAHLSEHYRIH
+>Q96CX3_PF00096_246 <unknown description>
+YECVGCGKSFRHSSALLRHQRLH
+>Q8TBZ5_PF00096_156 <unknown description>
+KCNECGKTFTQSSSLTQHQRTH
+>Q8TBZ5_PF00096_183 <unknown description>
+YTCEECGKAFSRSSFLVQHQRIH
+>Q8TBZ5_PF00096_239 <unknown description>
+YKCNECGNSFRNHSHLTEHQRIH
+>Q8TBZ5_PF00096_267 <unknown description>
+YKCNRCGKAFNQNTHLIHHQRIH
+>Q8TBZ5_PF00096_295 <unknown description>
+YICSECGSSFRKHSNLTQHQRIH
+>Q8TBZ5_PF00096_323 <unknown description>
+HKCDECGKTFQTKANLSQHQRIH
+>Q8TBZ5_PF00096_351 <unknown description>
+YKCKECGKAFCQSPSLIKHQRIH
+>Q8TBZ5_PF00096_379 <unknown description>
+YKCKECGKAFTQSTPLTKHQRIH
+>Q8TBZ5_PF00096_407 <unknown description>
+YKCSECGKAFIQSICLIRHQRSH
+>Q8TBZ5_PF00096_435 <unknown description>
+YKCNECGKGFNQNTCLTQHMRIH
+>Q8TBZ5_PF00096_463 <unknown description>
+YKCKECGKAFAHSSSLTEHHRTH
+>Q8TBZ5_PF00096_491 <unknown description>
+YKCSECEKTFRKYAHLSEHYRIH
+>Q96F45_PF12402_355 <unknown description>
+AGMTYPGSLAGAYAGYPPQFLPHGVALDPTKPGSLVGAQLAAAAAGSLGCSKPAGSSPLA
+G
+>Q5JVG8_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDAAQRNLYRDVMLENYRNLIFLG
+>Q5JVG8_PF00096_201 <unknown description>
+YKCEECGKAYKQSSHLTTHKKIH
+>Q5JVG8_PF00096_229 <unknown description>
+YKCEECGKAYKQSCNLTTHKIIH
+>Q5JVG8_PF00096_257 <unknown description>
+YRCRECGKAFNHPATLFSHKKIH
+>Q5JVG8_PF00096_285 <unknown description>
+YKCDKCGKAFISSSTLTKHEIIH
+>Q5JVG8_PF00096_313 <unknown description>
+YKCEECGKAFNRSSNLTKHKRIH
+>Q5JVG8_PF13912_341 <unknown description>
+YKCDECGKTFTWYSSLSKHKRAH
+>Q5JVG8_PF00096_369 <unknown description>
+YKCEECGKAFTAFSTLTEHKIIH
+>Q5JVG8_PF00096_397 <unknown description>
+YKCEECGKAFNWSSALNKHKKIH
+>Q8TCN5_PF00096_697 <unknown description>
+YQCKQCEESFHYKSQLRNHEREQH
+>Q9Y2H8_PF01352_45 <unknown description>
+SVSFKDVTIEFTQEEWQQMAPVQKNLYRDVMLENYSNLVSVG
+>Q9Y2H8_PF00096_404 <unknown description>
+YKCNECGKSFCQKGHLIQHQRTH
+>Q9Y2H8_PF00096_432 <unknown description>
+FECSECGKTFSQKSHLSTHQRIH
+>Q9Y2H8_PF00096_460 <unknown description>
+YKCNECGKTFVQKSTLRGHQRIH
+>Q9Y2H8_PF00096_488 <unknown description>
+YECSECGKTFVQKSTLRDHHRIH
+>Q9Y2H8_PF00096_516 <unknown description>
+FQCNQCGKTFGQKSNLRIHQRTH
+>Q9Y2H8_PF00096_544 <unknown description>
+YQCNECEKSFWRKDHLIQHQKTH
+>Q9Y2H8_PF00096_572 <unknown description>
+FKCNECGKTFARTSTLRVHQRIH
+>Q9Y2H8_PF00096_600 <unknown description>
+FKCNECGKKFVRKAILSDHQRIH
+>Q9Y2H8_PF00096_628 <unknown description>
+FQCNKCGKTFGQKSNLRIHQRTH
+>Q96ME7_PF00096_288 <unknown description>
+KCHHCGKPYRSKAGLAYHLRSEH
+>Q8N8E2_PF00096_178 <unknown description>
+FRCGRCPYASAQLVNLTRHTRTH
+>Q8N8E2_PF00096_206 <unknown description>
+YRCPHCPFACSSLGNLRRHQRTH
+>Q8N8E2_PF13465_402 <unknown description>
+NLKRHQRVHTGEKPYKCPLCPYACG
+>Q8N8E2_PF00096_444 <unknown description>
+FRCSLCNYSCNQSMNLKRHMLRH
+>Q96K75_PF01352_1 <unknown description>
+MTFEDVAVEFSQWEWGQLNPAQKDLYREVMLENFRNLAILG
+>Q96K75_PF13912_205 <unknown description>
+KCNECGKSFHFQSELRRHQRCH
+>Q96K75_PF00096_232 <unknown description>
+YECSDCGRAFGHISSLIKHQRTH
+>Q96K75_PF00096_260 <unknown description>
+YECSECGRAFSQSSSLVLHYRFH
+>Q96K75_PF00096_288 <unknown description>
+YKCNECGRAFGHTSSLIKHQRTH
+>Q96K75_PF00096_316 <unknown description>
+YECRECGRTFSQSSSLIVHYRFH
+>Q96K75_PF00096_344 <unknown description>
+YKCNKCGRAFSQSSSLTQHYRFH
+>Q96K75_PF00096_372 <unknown description>
+YKCNECGRAFAHTASLIKHQRSH
+>Q92618_PF00096_34 <unknown description>
+HTCCICGKSFPFQSSLSQHMRKH
+>Q92618_PF13909_62 <unknown description>
+YKCPYCDHRASQKGNLKIHIRSHR
+>Q92618_PF00096_248 <unknown description>
+FPCEVCGQAFSQTWFLKAHMKKH
+>Q92618_PF00096_278 <unknown description>
+CHICGRRFKEPWFLKNHMKAH
+>Q92618_PF00096_1098 <unknown description>
+FVCIECGKSFHQPGHLRAHMRAH
+>Q6ZMY9_PF01352_14 <unknown description>
+VVFEDVAVYFTRIEWSCLAPDQQALYRDVMLENYGNLASLG
+>Q6ZMY9_PF00096_205 <unknown description>
+FQCTECGKAFKQSSILLRHQLIH
+>Q6ZMY9_PF00096_233 <unknown description>
+FQCGECGKAFRQSTQLAAHHRVH
+>Q6ZMY9_PF00096_261 <unknown description>
+YACGECGKAFSRSSRLLQHQKFH
+>Q6ZMY9_PF00096_289 <unknown description>
+FACTECGKAFCRRFTLNEHGRIH
+>Q6ZMY9_PF00096_368 <unknown description>
+HECPVCGRPFRHNSLLLLHLRLH
+>Q6ZMY9_PF00096_396 <unknown description>
+FECAECGKAFGRKSNLTLHQKIH
+>Q6ZMY9_PF00096_424 <unknown description>
+FACTECGKAFRRSYTLNEHYRLH
+>Q6ZMY9_PF00096_452 <unknown description>
+YRCRACGRACSRLSTLIQHQKVH
+>Q8TB69_PF01352_4 <unknown description>
+LTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLVSL
+>Q8TB69_PF00096_292 <unknown description>
+YKCKKCDKAFNKSSHLAQHQRIH
+>Q8TB69_PF00096_320 <unknown description>
+FKCKECGKAFNRGSYLTQHQRIH
+>Q8TB69_PF00096_348 <unknown description>
+FKCEECGKAFNRGSYLTQHQRIH
+>Q8TB69_PF00096_376 <unknown description>
+FRCKECGKAFNRSSYVTQHQRMH
+>Q8TB69_PF00096_404 <unknown description>
+FKCKECGKAFNRASHLTQHQRIH
+>Q8TB69_PF00096_432 <unknown description>
+FKCKECGKAFNRGSHLTRHQRIH
+>Q8TB69_PF00096_460 <unknown description>
+FKCEECGKAFIWGSHLTQHQRVH
+>Q8TB69_PF00096_488 <unknown description>
+FKCKECGKAFTRSSHLTQHQRIH
+>Q8TB69_PF00096_516 <unknown description>
+FKCKECGKAFNRRSTLTQHQIIH
+>Q96K83_PF13912_46 <unknown description>
+VHSCDSCLQVFESLSDITEHKI
+>Q96K83_PF00096_118 <unknown description>
+YPCQFCDKSFSRLSYLKHHEQSH
+>Q96K83_PF00096_174 <unknown description>
+YHCSECDAAFSRSDHLKIHLKTH
+>Q96K83_PF13912_312 <unknown description>
+CSICSESFHTVEELYSHMDSHQQ
+>Q96K83_PF13912_436 <unknown description>
+AHICQYCLEVLPSLYNLNEHLKQVHEA
+>Q96K83_PF13912_634 <unknown description>
+YICNQCGAKYTSLDSFQTHLKTHLD
+>Q96K83_PF00096_665 <unknown description>
+TCPQCNKEFPNQESLLKHVTIH
+>Q96K83_PF13912_693 <unknown description>
+YYICESCDKQFTSVDDLQKHL
+>Q96K83_PF13912_930 <unknown description>
+YKCNVCSRTFFSENGLREHMQTHL
+>Q96K83_PF13912_958 <unknown description>
+HYMCPICGERFPSLLTLTEHKVTHSK
+>Q96C55_PF13912_115 <unknown description>
+FCPVCLRAFPYLSDLERHSISHS
+>Q96C55_PF00096_142 <unknown description>
+HQCKVCGKTFKRSSHLRRHCNIH
+>Q96C55_PF00096_170 <unknown description>
+FRCPLCPRRFREAGELAHHHRVH
+>Q96C55_PF13894_198 <unknown description>
+YQCPICRLRFTEANTLRRHAKRKH
+>Q8N782_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLG
+>Q8N782_PF00096_243 <unknown description>
+YKCDVCDKVFIRKRYLARHRR
+>Q8N782_PF00096_271 <unknown description>
+YKCNECGKSFSQMSSLTYHHRLH
+>Q8N782_PF00096_299 <unknown description>
+YKCEECDKAFRHNSALQRHRRIH
+>Q8N782_PF00096_355 <unknown description>
+YECEECDKAFSFKSNLESHRITH
+>Q8N782_PF00096_383 <unknown description>
+YKCNDCGKTFSHMSTLTCHRRLH
+>Q8N782_PF00096_411 <unknown description>
+YKCEECDEAFRFKSSLERHRRIH
+>Q8TF50_PF13912_332 <unknown description>
+HECTTCSKVFKKAASLEQHLRLHRG
+>Q8TF50_PF13894_443 <unknown description>
+PCPQCSKSFASASRLSRHRRAVH
+>Q8TF50_PF00096_472 <unknown description>
+HRCGVCGKGFKKLIHVRNHLRTH
+>Q8TF50_PF00096_500 <unknown description>
+FQCHSCGKTFASLANLSRHQLTH
+>Q8TF50_PF00096_528 <unknown description>
+YQCLDCGKRFTQSSNLQQHRRLH
+>Q8NB42_PF01352_13 <unknown description>
+LVTFRDVALDFSQEEWEWLKPSQKDLYRDVMLENYRNLVWLG
+>Q8NB42_PF00096_302 <unknown description>
+YACNDCGKAFSHDFFLSEHQRTH
+>Q8NB42_PF00096_330 <unknown description>
+YECKECNKAFRQSAHLAQHQRIH
+>Q8NB42_PF00096_358 <unknown description>
+FACNECGKAFSRYAFLVEHQRIH
+>Q8NB42_PF00096_386 <unknown description>
+YECKECNKAFRQSAHLNQHQRIH
+>Q8NB42_PF00096_414 <unknown description>
+YECNQCGKAFSRRIALTLHQRIH
+>Q8NB42_PF00096_442 <unknown description>
+FKCSECGKTFGYRSHLNQHQRIH
+>Q8NB42_PF00096_470 <unknown description>
+YECIKCGKFFRTDSQLNRHHRIH
+>Q8NB42_PF00096_498 <unknown description>
+FECSKCGKAFSDALVLIHHKRSH
+>Q8NB42_PF00096_554 <unknown description>
+YECSECGKAFHQILSLRLHQRIH
+>Q3MIS6_PF01352_8 <unknown description>
+LKFMDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLG
+>Q3MIS6_PF00096_213 <unknown description>
+YKCSECGKVFSCSSKLVIHRRMH
+>Q3MIS6_PF00096_241 <unknown description>
+YKCHECGKLFSSNSNLSQHQRIH
+>Q3MIS6_PF00096_269 <unknown description>
+YKCHECDKVFRSSSKLAQHQRIH
+>Q3MIS6_PF00096_297 <unknown description>
+YKCHECDKVFNQIAHLVRHQKIH
+>Q3MIS6_PF00096_325 <unknown description>
+YSCNKCGKVFSRHSYLAEHQTVH
+>Q3MIS6_PF00096_353 <unknown description>
+YKCEECGKAFSVRSSLITHQLIH
+>Q3MIS6_PF00096_381 <unknown description>
+YKCKECDKVFGRKCFLTSHQRIH
+>Q3MIS6_PF00096_411 <unknown description>
+CSQCGKIFSQKSDLIRHRKTH
+>Q3MIS6_PF00096_437 <unknown description>
+YKCNKCGTAFREFSDLTAHFLIH
+>Q3MIS6_PF00096_465 <unknown description>
+YECKECGKVFRYKSSLTSHHRIH
+>Q3MIS6_PF00096_493 <unknown description>
+YKCNRCGKVFSRSSNLVCHQKIH
+>Q3MIS6_PF00096_521 <unknown description>
+YKCNQCGKVFNQASYLTRHQIIH
+>Q3MIS6_PF00096_549 <unknown description>
+YRCSKCGKAFRGCSGLTAHLAIH
+>Q3MIS6_PF00096_577 <unknown description>
+HECKECGKIFTQKSSLTNHHRIH
+>Q3MIS6_PF00096_605 <unknown description>
+YKCTLCSKVFSHNSDLAQHQRVH
+>Q6P280_PF01352_38 <unknown description>
+LVTLRDVVINFSQEEWEYLDSAQRNLYWDVMMENYSNLLSLD
+>Q6P280_PF00096_282 <unknown description>
+FECSFCGKSFRVHAQLTRHQKIH
+>Q6P280_PF00096_310 <unknown description>
+YKCMECGKDFRFHSQLTEHQRIH
+>Q6P280_PF00096_338 <unknown description>
+YKCMHCEKVFRISSQLIEHQRIH
+>Q6P280_PF00096_366 <unknown description>
+YACKECGKAFGVCRELARHQRIH
+>Q6P280_PF00096_394 <unknown description>
+YECKACGKVFRNSSSLTRHQRIH
+>Q6P280_PF00096_422 <unknown description>
+YKCKECEKAFGVGSELTRHERIH
+>Q6P280_PF00096_450 <unknown description>
+YECKECGKFFRLTSALIQHQRIH
+>Q6P280_PF00096_478 <unknown description>
+YECKVCGKAFRHSSALTEHQRIH
+>Q6P280_PF00096_506 <unknown description>
+YECKACGKAFRHSSSFTKHQRIH
+>Q9HCE3_PF16622_1199 <unknown description>
+DGSSYQCRECGLCYTSHVSLSRHLFIVHK
+>Q9HCE3_PF13912_1265 <unknown description>
+KCKVCAKTFETEAALNTHMRTH
+>Q76KX8_PF01352_8 <unknown description>
+LSFSDVAIEFSQEEWKCLDPGQKALYRDVMLENYRNLVSLG
+>Q76KX8_PF00096_214 <unknown description>
+YKCSDCGEIFSSNSNFAQHQRIH
+>Q76KX8_PF00096_246 <unknown description>
+ECGKVFNQNSHLAQHQKIH
+>Q76KX8_PF00096_298 <unknown description>
+YKCSECGKAFSVCSSLTAHLVIH
+>Q76KX8_PF00096_326 <unknown description>
+YDCKECGKVFRHKSSLTTHQTVH
+>Q76KX8_PF00096_354 <unknown description>
+YKCNECGKGFSRIAFLARHRKVH
+>Q76KX8_PF00096_382 <unknown description>
+YKCNECGKVFIGNSRLARHRKIH
+>Q76KX8_PF00096_410 <unknown description>
+YKCNECGKAFRTCSDLTAHLLIH
+>Q76KX8_PF00096_466 <unknown description>
+YKCNECGKVFSQNSNLQRHRKIH
+>Q76KX8_PF00096_494 <unknown description>
+YKCNECGKVFRQNSHLAQHRDIH
+>Q76KX8_PF00096_522 <unknown description>
+YSCNECGKVFRRNSHLVRHRNVH
+>Q76KX8_PF00096_550 <unknown description>
+YSCNECGKVFSRNSHLARHRNIH
+>Q76KX8_PF00096_578 <unknown description>
+HSCNECGKVFSRNSHLARHRKIH
+>Q76KX8_PF00096_606 <unknown description>
+YKCNECSKVFSRNSRLAQHRNIH
+>O15090_PF00096_130 <unknown description>
+YPCPLCGKRFRFNSILSLHMRTH
+>O15090_PF13909_158 <unknown description>
+FKCPYCDHRAAQKGNLKIHLRTHK
+>O15090_PF00096_345 <unknown description>
+FRCEVCGQVFSQAWFLKGHMRKH
+>O15090_PF00096_632 <unknown description>
+ECPDCGRVFRTYHQVVVHSRVH
+>O15090_PF16606_657 <unknown description>
+RKGEEDGLHVGLDERRGSGSDQESQSVSRSTTPGSSNVTEESGVGGGLSQTGSAQEDSPH
+PSSPSSSDIGEEAGRSAGVQQ
+>O15090_PF00096_753 <unknown description>
+CPYCGKTFRTSHHLKVHLRIH
+>Q8NDQ6_PF01352_5 <unknown description>
+LVTFRDVAIDFSQKEWECLDTTQRKLYRDVMLENYNNLVSLG
+>Q8NDQ6_PF00096_216 <unknown description>
+KCEKCGKVFSHSYQLTLHQRFH
+>Q8NDQ6_PF00096_243 <unknown description>
+YECQECGKTFTLYPQLNRHQKIH
+>Q8NDQ6_PF13912_299 <unknown description>
+YECKECGKVFQ
+>Q8NDQ6_PF00096_327 <unknown description>
+YECKECGKAFSVCGQLTRHQKIH
+>Q8NDQ6_PF00096_355 <unknown description>
+YECKECGKTFRLSFYLTEHRRTH
+>Q8NDQ6_PF00096_383 <unknown description>
+YECKECGKSFNVRGQLNRHKTIH
+>Q8NDQ6_PF00096_411 <unknown description>
+FACKVCEKAFSYSGDLRVHSRIH
+>Q8NDQ6_PF00096_439 <unknown description>
+YECKECGKAFMLRSVLTEHQRLH
+>Q8NDQ6_PF00096_467 <unknown description>
+YECKECGKTFRVRSQISLHKKIH
+>Q8NDQ6_PF00096_495 <unknown description>
+YKCVRCGKTFRFGFYLTEHQRIH
+>Q8NDQ6_PF00096_523 <unknown description>
+YKCKECGKAFIRRGNLKEHLKIH
+>Q8NDQ6_PF00096_551 <unknown description>
+YDCKECGKSFSRRGQFTEHQKIH
+>Q8NDQ6_PF00096_579 <unknown description>
+YKCKECGKAFSRSVDLRIHQRIH
+>Q8NDQ6_PF00096_607 <unknown description>
+YECKQCGKAFRLNSHLTEHQRIH
+>Q8NDQ6_PF00096_635 <unknown description>
+YECKVCRKAFRQYSHLYQHQKTH
+>Q9H0D2_PF00096_142 <unknown description>
+CSLCGKVFSSASSLSKHYLTH
+>Q9H0D2_PF13912_168 <unknown description>
+HVCKICSKAFKRQDHLTGHMLTHQK
+>Q9H0D2_PF01448_1055 <unknown description>
+INIGSRFQAEIPELQERSLAGTDEHVASLVWKPWGDMMISSETQDRVTELCNVACS
+>Q9H0D2_PF13912_1289 <unknown description>
+FPCRECERVFDKIKSRNAHMKRHR
+>Q08ER8_PF01352_8 <unknown description>
+SVTFEDVAVTFTQEEWGQLDAAQRTLYQEVMLETCGLLMSLG
+>Q08ER8_PF00096_199 <unknown description>
+YKCEECGKVFKKNALLVQHERIH
+>Q08ER8_PF00096_227 <unknown description>
+YECTECGKTFSKSTHLLQHLIIH
+>Q08ER8_PF00096_255 <unknown description>
+YKCMECGKAFNRRSHLTRHQRIH
+>Q08ER8_PF00096_283 <unknown description>
+YKCSECGKAFTHRSTFVLHHRSH
+>Q08ER8_PF00096_311 <unknown description>
+FVCKECGKAFRDRPGFIRHYIIH
+>Q08ER8_PF00096_339 <unknown description>
+YECIECGKAFNRRSYLTWHQQIH
+>Q08ER8_PF00096_367 <unknown description>
+FECNECGKAFCESADLIQHYIIH
+>Q08ER8_PF00096_395 <unknown description>
+YKCMECGKAFNRRSHLKQHQRIH
+>Q08ER8_PF00096_423 <unknown description>
+YECSECGKAFTHCSTFVLHKRTH
+>Q08ER8_PF00096_451 <unknown description>
+YECKECGKAFSDRADLIRHFSIH
+>Q08ER8_PF00096_479 <unknown description>
+YECVECGKAFNRSSHLTRHQQIH
+>Q08ER8_PF00096_507 <unknown description>
+YECIQCGKAFCRSANLIRHSIIH
+>Q08ER8_PF00096_535 <unknown description>
+YECSECGKAFNRGSSLTHHQRIH
+>Q6NX49_PF01352_13 <unknown description>
+SVCFEDVAMAFTQEEWEQLDLAQRTLYREVTLETWEHIVSLG
+>Q6NX49_PF00096_380 <unknown description>
+FECTQCGKSFSQSYDLVIHQRTH
+>Q6NX49_PF00096_408 <unknown description>
+YECDLCGKSFTQRSKLITHQRIH
+>Q6NX49_PF00096_464 <unknown description>
+YECTHCGKSFSQSYELVTHKRTH
+>Q6NX49_PF00096_492 <unknown description>
+FKCTQCGKSFSQKYDLVVHQRTH
+>Q6NX49_PF00096_520 <unknown description>
+YECNLCGKSFSQSSKLITHQRIH
+>Q6NX49_PF00096_548 <unknown description>
+YQCIECGKSFRWNSNLVIHQRIH
+>Q6NX49_PF00096_576 <unknown description>
+YDCTHCGKSFSQSYQLVAHKRTH
+>Q6NX49_PF00096_604 <unknown description>
+YECNECGKAFNRSTQLIRHLQIH
+>Q6NX49_PF00096_632 <unknown description>
+YKCNQCNKAFARSSYLVMHQRTH
+>Q6NX49_PF00096_660 <unknown description>
+FECSQCGKAFSGSSNLLSHHRIH
+>Q6NX49_PF00096_688 <unknown description>
+YECSDCGKSFRQQSQLVVHRRTH
+>Q86UE3_PF01352_59 <unknown description>
+SLAFRDVSIDLSQEEWECLDAVQRDLYKDVMLENYSNLVSLG
+>Q86UE3_PF00096_217 <unknown description>
+YECKECRKAFRQQSYLIQHLRIH
+>Q86UE3_PF00096_245 <unknown description>
+YKCMECGKAFCRVGDLRVHHTIH
+>Q86UE3_PF00096_273 <unknown description>
+YECKECGKAFRLHYHLTEHQRIH
+>Q86UE3_PF00096_301 <unknown description>
+YECKECGKAFSRVRDLRVHQTIH
+>Q86UE3_PF00096_329 <unknown description>
+YECKECGKAFRLHYQLTEHQRIH
+>Q86UE3_PF00096_357 <unknown description>
+YECKVCGKTFRVQRHISQHQKIH
+>Q86UE3_PF00096_385 <unknown description>
+YKCNECGKAFSHGSYLVQHQKIH
+>Q86UE3_PF00096_413 <unknown description>
+YECKECGKSFSFHAELARHRRIH
+>Q86UE3_PF00096_441 <unknown description>
+YECRECGKAFRLQTELTRHHRTH
+>Q86UE3_PF13912_469 <unknown description>
+YECKECGKAFICGYQLTLHLRTH
+>Q86UE3_PF00096_497 <unknown description>
+YECKECGKTFSSRYHLTQHYRIH
+>Q86UE3_PF00096_525 <unknown description>
+YICNECGKAFRLQGELTRHHRIH
+>Q86UE3_PF00096_553 <unknown description>
+YECKECGKAFIHSNQFISHQRIH
+>Q86UE3_PF00096_581 <unknown description>
+YICKECGKIFSRRYNLTQHFKIH
+>Q86UE3_PF00096_609 <unknown description>
+YICNECGKAFRFQTELTQHHRIH
+>Q86UE3_PF00096_637 <unknown description>
+YKCTECGKAFIRSTHLTQHHRIH
+>Q86UE3_PF00096_665 <unknown description>
+YECTECGKTFSRHYHLTQHHRGH
+>Q86UE3_PF00096_721 <unknown description>
+YECKECGKTFSRRYHLTQHFRLH
+>Q86UE3_PF00096_777 <unknown description>
+YKCKECGKAFSVNSELTRHHRIH
+>Q86UE3_PF00096_805 <unknown description>
+YQCKECGKAFIRSDQLTLHQRNH
+>Q8IVP9_PF01352_11 <unknown description>
+VVFEDVAIYFSQEEWGHLDEAQRLLYRDVMLENLALLSSLG
+>Q8IVP9_PF00096_157 <unknown description>
+LCSECGKAFSHKHKLSDHQKIH
+>Q8IVP9_PF00096_184 <unknown description>
+YKCSKCGILFMERSTLNRHQRTH
+>Q8IVP9_PF00096_270 <unknown description>
+CSECGKFFKCNSNLFRHYRIH
+>Q8IVP9_PF00096_298 <unknown description>
+CSECGKFFMERSTLSRHQRVH
+>Q8IVP9_PF00096_324 <unknown description>
+YECNECGKFFSLKSVLIQHQRVH
+>Q8IVP9_PF00096_379 <unknown description>
+QCSECGKFFRYNSTLLRHQKVH
+>Q8NEK5_PF01352_20 <unknown description>
+VVFEDVAIYFSQEEWGHLDEAQRLLYRDVMLENLALLSSLG
+>Q8NEK5_PF00096_237 <unknown description>
+YECNKCGKFFKYSANFMKHQTVH
+>Q8NEK5_PF00096_265 <unknown description>
+YECRECGKSFMYNYRLMRHKRVH
+>Q8NEK5_PF00096_293 <unknown description>
+YECNTCGKFFRYSSTFVRHQRVH
+>Q8NEK5_PF00096_321 <unknown description>
+YECRECGKFFMDSSTLIKHQRVH
+>Q8NEK5_PF00096_349 <unknown description>
+YKCNDCGKFFRYISTLIRHQRIH
+>Q8NEK5_PF00096_377 <unknown description>
+YECSVCGELFRYNSSLVKHWRNH
+>Q8NEK5_PF00096_405 <unknown description>
+YKCSECGKSFRYHCRLIRHQRVH
+>Q8NEK5_PF00096_433 <unknown description>
+YECSECGKFFRYNSNLIKHWRNH
+>Q8NEK5_PF00096_461 <unknown description>
+YECRECGKAFSHKHILVEHQKIH
+>Q8NEK5_PF00096_489 <unknown description>
+YECSECQKAFIRKSHLVHHQKIH
+>Q6P9A3_PF01352_27 <unknown description>
+VTFEDIAVYFSQEEWGLLDEAQRCLYHDVMLENFSLMASVG
+>Q6P9A3_PF00096_275 <unknown description>
+YVCNICGKSFLHKQTLVGHQQRIH
+>Q6P9A3_PF00096_304 <unknown description>
+YVCIECGKSLSSKYSLVEHQRTH
+>Q6P9A3_PF00096_332 <unknown description>
+YVCNVCGKSFRHKQTFVGHQQRIH
+>Q6P9A3_PF00096_361 <unknown description>
+YVCMECGKSFIHSYDRIRHQRVH
+>Q6P9A3_PF00096_389 <unknown description>
+YQCSECGKSFIYKQSLLDHHRIH
+>Q6P9A3_PF00096_417 <unknown description>
+YECKECGKAFIHKKRLLEHQRIH
+>Q6P9A3_PF00096_445 <unknown description>
+YVCIICGKSFIRSSDYMRHQRIH
+>Q6P9A3_PF00096_473 <unknown description>
+YECSDCGKAFISKQTLLKHHKIH
+>Q6P9A3_PF00096_530 <unknown description>
+ECNECGKVFSHQKRLLEHQKVH
+>Q6P9A3_PF00096_558 <unknown description>
+ECSECGKCFRHRTSLIQHQKVH
+>Q6P9A3_PF00096_613 <unknown description>
+YECGKCGKAFNKRYSLVRHQKVH
+>Q7Z398_PF01352_11 <unknown description>
+LVTFKDVAVTFTREEWRQLDLAQRTLYREVMLETCGLLVSLG
+>Q7Z398_PF00096_203 <unknown description>
+YKCKQCGKGFNRKWYLVRHQRVH
+>Q7Z398_PF00096_231 <unknown description>
+YECNACGKAFSQSSTLIRHYLIH
+>Q7Z398_PF00096_259 <unknown description>
+YKCLECGKAFKRRSYLMQHH
+>Q7Z398_PF00096_287 <unknown description>
+YECSQCRKAFTHRSTFIRHNRTH
+>Q7Z398_PF00096_315 <unknown description>
+FECKECEKAFSNRAHLIQHYIIH
+>Q7Z398_PF00096_399 <unknown description>
+YKCIECGKAFKRRSHLLQHQRVH
+>Q7Z340_PF01352_29 <unknown description>
+MTFEDVAIYFSQEEWELLDESQRFLYCDVMLENFAHVTSLG
+>Q7Z340_PF00096_335 <unknown description>
+HECGECRKTFSYKSNLIEHQRVH
+>Q7Z340_PF00096_363 <unknown description>
+YECGECGKSFRQSSSLFRHQRVH
+>Q7Z340_PF00096_391 <unknown description>
+YQCCECGKSFRQIFNLIRHRRVH
+>Q7Z340_PF00096_419 <unknown description>
+YQCSDCGKSFSCKSELIQHQRIH
+>Q7Z340_PF00096_447 <unknown description>
+YECRECGKSFRQFSNLIRHRSIH
+>Q7Z340_PF00096_475 <unknown description>
+YECSECEKSFSRKFILIQHQRVH
+>Q7Z340_PF00096_503 <unknown description>
+YECSECGKSFTRKSDLIQHRRIH
+>Q7Z340_PF00096_531 <unknown description>
+YECSECGKSFRQRSGLIQHRRLH
+>Q7Z340_PF00096_559 <unknown description>
+YECSECGKSFSQSASLIQHQRVH
+>Q7Z340_PF00096_587 <unknown description>
+YECSECGKSFSQSSSLIQHQRGH
+>Q7Z340_PF00096_615 <unknown description>
+YECSQCGKPFTHKSDLIQHQRVH
+>Q7Z340_PF00096_643 <unknown description>
+YECSECGKSFSRKSNLIRHRRVH
+>Q9H707_PF01352_14 <unknown description>
+VTFEDVAVKFTQEEWNLLSEAQRCLYRDVTLENLALMSSLG
+>Q9H707_PF00096_268 <unknown description>
+YTCGICGKLFNSKSHLLVHQRIH
+>Q9H707_PF00096_296 <unknown description>
+YECEVCQKFFRHKYHLIAHQRVH
+>Q9H707_PF00096_324 <unknown description>
+YECSDCGKSFTHSSTFRVHKRVH
+>Q9H707_PF00096_352 <unknown description>
+YECSECGKSFAESSSLTKHRRVH
+>Q9H707_PF00096_382 <unknown description>
+CSECEKKFRQISSLRHHQRVH
+>Q86TJ5_PF01352_44 <unknown description>
+LVTFEDVSMDFSQEEWELLEPAQKNLYREVMLENYRNVVSL
+>Q86TJ5_PF00096_324 <unknown description>
+FECHQCGKVFNRRHSLSEHQRIH
+>Q86TJ5_PF00096_352 <unknown description>
+YECQECGRAFTHSSTLTRHLRTH
+>Q86TJ5_PF00096_382 <unknown description>
+CGECGKAFNRISSLTQHQRIH
+>Q86TJ5_PF00096_408 <unknown description>
+YKCEDCGKSFCQSSYLILHKRTH
+>Q86TJ5_PF00096_436 <unknown description>
+YECSECGKAFSDRSSLNQHERTH
+>Q86TJ5_PF00096_464 <unknown description>
+YECKQCGRAFSQRSSLVRHERTH
+>Q86TJ5_PF00096_492 <unknown description>
+YRCQECGKAFSQSSSLVTHQKTH
+>Q8NEP9_PF01352_3 <unknown description>
+SVVFEDVAVDFTLEEWALLDSAQRDLYRDVMLETFQNLASVD
+>Q8NEP9_PF00096_200 <unknown description>
+YVCKLCGKTFPRTSSLNRHVRIH
+>Q8NEP9_PF00096_228 <unknown description>
+YECKQCGKAFIDFSSLTSHLRSH
+>Q8NEP9_PF00096_256 <unknown description>
+YKCKECGKAFSYSSTFRRHTITH
+>Q8NEP9_PF00096_284 <unknown description>
+YKCKECAEAFSYSSTFRRHMISH
+>Q8NEP9_PF00096_312 <unknown description>
+HKCKECGEAFSYSSAFRRHMITH
+>Q8NEP9_PF00096_340 <unknown description>
+YECKQCGKTFIYLQSFRRHERIH
+>Q8NEP9_PF00096_368 <unknown description>
+YECKQCGKTFIYPQSFRRHERTH
+>Q8NEP9_PF00096_396 <unknown description>
+YECNQCGKAFSHPSSFRGHMRVH
+>Q8NEP9_PF00096_424 <unknown description>
+YECKQCGKTFNWPISLRKHMRTH
+>Q8NEP9_PF00096_508 <unknown description>
+YKCKQCGKAFSWPELLQQHVRTH
+>Q8NEP9_PF00096_536 <unknown description>
+YECKECGKVFKWPSSLPIHMRLH
+>Q8NEP9_PF13894_564 <unknown description>
+YQCKHCGKAFNCSSSLRRHVRIH
+>Q9HAH1_PF01352_4 <unknown description>
+VVFEDVVVDFTLEEWALLNPAQRKLYRDVMLETFKHLASVD
+>Q9HAH1_PF00096_147 <unknown description>
+YECSQCGKLFTHSSSLIRHKRAH
+>Q9HAH1_PF00096_175 <unknown description>
+YKCKECGKAFSRPSYLQTHEKTH
+>Q9HAH1_PF00096_203 <unknown description>
+YACQSCGKTFLRSHSLTEHVRTH
+>Q9HAH1_PF13894_231 <unknown description>
+YECGQCGKGFSCPKSFRAHVMMH
+>Q9HAH1_PF13894_259 <unknown description>
+YECKHCGKAFRCQKSFRVHMIMH
+>Q9HAH1_PF00096_315 <unknown description>
+YKCGKCGKAFGWPSSLHKHARTH
+>Q8N988_PF01352_42 <unknown description>
+LVTFEDVAVEFTQEEWALLDPAQRTLYRDVMLENCRNLASLG
+>Q8N988_PF00096_153 <unknown description>
+ECNQCFKVFSTKSSLTRHRKIH
+>Q8N988_PF00096_182 <unknown description>
+CSECGKSYSSRSYLAVHKRIH
+>Q8N988_PF00096_208 <unknown description>
+YECNDCGKTFSSRSYLTVHKRIH
+>Q8N988_PF00096_236 <unknown description>
+YECSDCGKTFSNSSYLRPHLRIH
+>Q8N988_PF00096_292 <unknown description>
+YVCNQCGKAFGTRSSLSSHYSIH
+>Q8N988_PF13465_334 <unknown description>
+NLTQHIRTHTGEKPYTCNECGKSFTN
+>Q8N988_PF00096_376 <unknown description>
+YECSDCGKSFNVLSSVKKHMRTH
+>Q8N988_PF00096_404 <unknown description>
+YECNYCGKSFTSNSYLSVHTRMH
+>Q96NG5_PF01352_42 <unknown description>
+LVTFEDVAVEFTQEEWALLDPAQRTLYRDVMLENCRNLASLG
+>Q96NG5_PF00096_153 <unknown description>
+ECNQCFKVFSTKSNLTQHKRIH
+>Q96NG5_PF00096_180 <unknown description>
+YDCSQCGKSFSSRSYLTIHKRIH
+>Q96NG5_PF00096_208 <unknown description>
+YECNHCGKAFSDPSSLRLHLRIH
+>Q96NG5_PF13912_263 <unknown description>
+HHECNQCGKAFSTRSSLTGHNSIH
+>Q96NG5_PF00096_292 <unknown description>
+YECHDCGKTFRKSSYLTQHVRTH
+>Q96NG5_PF00096_320 <unknown description>
+YECNECGKSFSSSFSLTVHKRIH
+>Q96NG5_PF00096_348 <unknown description>
+YECSDCGKAFNNLSAVKKHLRTH
+>Q96NG5_PF00096_376 <unknown description>
+YECNHCGKSFTSNSYLSVHKRIH
+>Q9BR84_PF01352_13 <unknown description>
+SVTFEDVAVDFTQEEWTLLDQTQRNLYRDVMLENYKNLVAVD
+>Q9BR84_PF00096_241 <unknown description>
+YECKACGKPFTESSYLTQHLRTH
+>Q9BR84_PF00096_297 <unknown description>
+YVCNECGKEFTCFSKLNIHIRVH
+>Q9BR84_PF00096_325 <unknown description>
+YECNKCGKAFTDSSGLIKHRRTH
+>Q9BR84_PF00096_353 <unknown description>
+YECKECGKAFANSSHLTVHMRTH
+>Q9BR84_PF00096_381 <unknown description>
+YQCKECGKAFINSSSFKSHMQTH
+>Q9BR84_PF00096_409 <unknown description>
+YDCQQCGKAFIRSSFLIRHLRSH
+>Q9BR84_PF00096_437 <unknown description>
+FECEECGKAFRYSSHLSQHKRIH
+>Q9BR84_PF00096_465 <unknown description>
+YKCQKCGQAFSISSGLTVHMRTH
+>Q9BR84_PF00096_493 <unknown description>
+FECQECGKAFTRSTYLIRHLRSH
+>Q96MR9_PF01352_12 <unknown description>
+SVTFEDTAVDFTQEEWILLDPVQRNLYRDVMLENYENVAKVG
+>Q96MR9_PF01352_109 <unknown description>
+LVTFDSVAVEFTQEEWTLLDPAQRNLYSDVMLENYKNLSSVG
+>Q96MR9_PF00096_348 <unknown description>
+YECKECGKDFRYPTHLNNHMQTH
+>Q96MR9_PF00096_376 <unknown description>
+YKCKHCGKTFTVPSGFLEHVRTH
+>Q96MR9_PF13912_404 <unknown description>
+YGCKECGKAFGTSAGLIEHIRCHA
+>Q96MR9_PF13912_432 <unknown description>
+FKCDHCGKAFISYPSLFGHLRVH
+>Q96MR9_PF13912_488 <unknown description>
+FDCDQCGKVFVSFSSLFAHLRTH
+>Q96MR9_PF00096_516 <unknown description>
+FKCYKCGKPFTSSACLRIHMRTH
+>Q96MR9_PF00096_544 <unknown description>
+YQCKKCGKAFTKCSYLTKHLRTH
+>Q96MR9_PF00096_572 <unknown description>
+YECMKCGKAFTERSYLTKHLRRH
+>Q96MR9_PF00096_600 <unknown description>
+YECKKCGKAFTERSDLTKHLRRH
+>Q96MR9_PF00096_632 <unknown description>
+DCGKAFVVSSSLVDHLRTH
+>Q96MR9_PF00096_712 <unknown description>
+YKCKDCGKAFTCHSDLTNHVRIH
+>Q96MR9_PF00096_740 <unknown description>
+YKCKECGKAFRTSSGRIQHLRTH
+>Q96MR9_PF13912_768 <unknown description>
+FECDQCGKAFASFSARIAHLKTH
+>Q8N587_PF01352_40 <unknown description>
+SVTFDDVAVDFTPEEWALLDTTEKYLYRDVMLENYMNLASV
+>Q8N587_PF00096_197 <unknown description>
+YKCKECGKGFKYFASLDNHMGIH
+>Q8N587_PF00096_281 <unknown description>
+FECKECGRSFRNSSCLNDHIQIH
+>Q8N587_PF00096_309 <unknown description>
+HKCTYCGKAFTRSTQLTEHVRTH
+>Q8N587_PF00096_337 <unknown description>
+YECKECGQAFAQYSGLSIHIRSH
+>Q8N587_PF00096_365 <unknown description>
+YQCKECGKAFTTSTSLIQHTRIH
+>Q8N587_PF00096_393 <unknown description>
+YECVECGKTFITSSRRSKHLKTH
+>Q8N587_PF00096_421 <unknown description>
+FVCKICGKAFLYSSRLNVHLRTH
+>Q8N587_PF00096_449 <unknown description>
+FVCKECGKAFAVSSRLSRHERIH
+>Q6V9R5_PF01352_40 <unknown description>
+SVTFDDVAVEFTPEEWALLDTTQKYLYRDVMLENYMNLASVD
+>Q6V9R5_PF00096_204 <unknown description>
+YKCKECGKGFKYFASLDNHMGIH
+>Q6V9R5_PF00096_264 <unknown description>
+NCGKSFTNFSQLSAHAKTH
+>Q6V9R5_PF00096_288 <unknown description>
+FECKECGRSFRNSSSFNVHIQIH
+>Q6V9R5_PF00096_316 <unknown description>
+HKCTECGKAFTRSTHLTQHVRTH
+>Q6V9R5_PF00096_344 <unknown description>
+YECKECGQAFTQYTGLAIHIRNH
+>Q6V9R5_PF00096_372 <unknown description>
+YQCKECGKAFNRSSTLTQHRRIH
+>Q6V9R5_PF00096_400 <unknown description>
+YECVECGKTFITSSHRSKHLKTH
+>Q8TA94_PF01352_4 <unknown description>
+VAFEDVAVNFTQEEWALLGPSQKNLYRYVMQETIRNLD
+>Q8TA94_PF00096_169 <unknown description>
+YECKECGKTFSSRRNLRRHM
+>Q8TA94_PF00096_197 <unknown description>
+YKCKLCGKAFFWPSLLRMHERTH
+>Q8TA94_PF00096_225 <unknown description>
+YECKQCSKAFPFYSSYRRHERMH
+>Q8TA94_PF00096_253 <unknown description>
+YECKQCSKALPDSSSYIRHERTH
+>Q8TA94_PF00096_281 <unknown description>
+YTCKQCGKAFSVSSSLRRHETTH
+>Q8TA94_PF00096_309 <unknown description>
+YECKQCGKTFHHLGSFQIHMKRH
+>Q8TA94_PF00096_337 <unknown description>
+HKCKICGKGFDRPSLVRYHERIH
+>Q8TA94_PF00096_365 <unknown description>
+YECKQCGKTLSHSSSFRRHMIMH
+>Q8TA94_PF00096_421 <unknown description>
+YECKQCGKALSHSSSFRRHMVMH
+>Q8TBZ8_PF01352_3 <unknown description>
+SVASEDVAVNFTLEEWALLDPSQKKLYRDVMRETFRNLACVG
+>Q8TBZ8_PF00096_104 <unknown description>
+ECSLCGKVFMHHSSLSRHIRSH
+>Q8TBZ8_PF13894_141 <unknown description>
+YKCKQCGKAFSSCQSFRRHERTH
+>Q8TBZ8_PF00096_169 <unknown description>
+YACPECGKAFISLPSVRRHMIKH
+>Q8TBZ8_PF00096_197 <unknown description>
+YKCQECGKAFDRPSLFQIHERTH
+>Q8TBZ8_PF00096_225 <unknown description>
+YECQECAKAFISLPSFQRHMIRH
+>Q8TBZ8_PF00096_253 <unknown description>
+YKCQECGKAFDRPSLFRIHERTH
+>Q8TBZ8_PF00096_281 <unknown description>
+HECKQCGKAFISFTNFQSHMIRH
+>Q8TBZ8_PF00096_309 <unknown description>
+YKCKVCGRAFIFPSYVRKHERTH
+>Q8TBZ8_PF00096_337 <unknown description>
+YECNKCGKTFSSSSNVRTHERTH
+>Q8TBZ8_PF00096_365 <unknown description>
+YECKECGKAFISLPSVRRHMIKH
+>Q8TBZ8_PF13912_421 <unknown description>
+YECQVCGKAFISLKRIRKHMILH
+>Q8TBZ8_PF00096_477 <unknown description>
+YECKECGKAFNYASSIRIHERTH
+>Q8TBZ8_PF00096_505 <unknown description>
+YECKQCGKTFSYSSSFQRHERAH
+>Q8N9K5_PF01352_5 <unknown description>
+LVTFRDVAIEFSLEEWKCLEPAQRDLYREVTLENFGHLASLG
+>Q8N9K5_PF00096_168 <unknown description>
+ECHECGKAFSRGSHLIQHQKIH
+>Q8N9K5_PF00096_197 <unknown description>
+CKECGKAFSRASHLVQHQRIH
+>Q8N9K5_PF00096_223 <unknown description>
+YDCKDCGKAFGRTSELILHQRLH
+>Q8N9K5_PF00096_251 <unknown description>
+YECKECGKTFRQHSQLILHQRTH
+>Q8N9K5_PF00096_279 <unknown description>
+YVCKDCGKAFIRGSQLTVHRRIH
+>Q8N9K5_PF00096_307 <unknown description>
+YECKECGKAFRQHSQLTVHQRIH
+>Q8N9K5_PF00096_335 <unknown description>
+YECKECGKGFIHSSEVTRHQRIH
+>Q8N9K5_PF00096_363 <unknown description>
+YECKECGKAFRQHAQLTRHQRVH
+>Q8N9K5_PF00096_391 <unknown description>
+YECKDCGKAFSRSSYLIQHQRIH
+>Q8N9K5_PF00096_419 <unknown description>
+YECKECGKAFIRVSQLTHHQRIH
+>Q8N9K5_PF00096_447 <unknown description>
+YECRECGMAFIRSSQLTEHQRIH
+>Q969W8_PF01352_5 <unknown description>
+SVMFSDVSVDFSQEEWECLNDDQRDLYRDVMLENYSNLVSMG
+>Q969W8_PF00096_199 <unknown description>
+YECKECGKSFRHPSRLTHHQKIH
+>Q969W8_PF13465_269 <unknown description>
+NFTRHQRIHTGEKPYECKECGKAFSS
+>Q969W8_PF00096_311 <unknown description>
+YECKECGNAFSQSSQLIKHQRIH
+>Q969W8_PF00096_339 <unknown description>
+YECKECEKAFRSGSDLTRHQRIH
+>Q969W8_PF00096_367 <unknown description>
+YECKICGKAYSQSSQLISHHRIH
+>Q8N184_PF01352_5 <unknown description>
+SVSFNDVTVDFTQEEWQHLDHAQKTLYMDVMLENYCHLISVG
+>Q8N184_PF00096_253 <unknown description>
+HTCNECGKSFCRKSVLILHQGIH
+>Q8N184_PF00096_281 <unknown description>
+YQCHQCGNAFRRKSYLIDHQRTH
+>Q8N184_PF00096_309 <unknown description>
+FVCNECGKSFRLKTALTDHQRTH
+>Q8N184_PF00096_365 <unknown description>
+YECNDCGKSFRQKTTLSLHQRIH
+>Q8N184_PF00096_393 <unknown description>
+YICKECGKSFHQKANLTVHQRTH
+>Q8N184_PF00096_421 <unknown description>
+YICNECGKSFSQKTTLALHEKTH
+>Q8N184_PF00096_449 <unknown description>
+YICSECGKSFRQKTTLVAHQRTH
+>Q8N184_PF00096_477 <unknown description>
+YECPHCGKAFRMKSYLIDHHRTH
+>Q8N184_PF00096_505 <unknown description>
+YECNECGKSFSQKTNLNLHQRIH
+>Q8N184_PF00096_533 <unknown description>
+YVCNECGKSFRQKATLTVHQKIH
+>Q8N184_PF00096_561 <unknown description>
+YECPQCGKAFSRKSYLIHHQRTH
+>Q8N184_PF00096_589 <unknown description>
+YKCSECGKCFRQKTNLIVHQRTH
+>Q8N184_PF00096_617 <unknown description>
+YVCNECGKSFSYKRNLIVHQRTH
+>Q3ZCX4_PF01352_48 <unknown description>
+VTFKDVAVDLTQEEWEQMKPAQRNLYRDVMLENYSNLVTVG
+>Q3ZCX4_PF00096_222 <unknown description>
+FKCNQCGQDFSHKFDLIRHERIH
+>Q3ZCX4_PF00096_250 <unknown description>
+YECKECGKAFSRKENLITHQKIH
+>Q3ZCX4_PF00096_278 <unknown description>
+YKCNECGKAFIQMSNLIRHHRIH
+>Q3ZCX4_PF00096_306 <unknown description>
+YACKDCWKAFSQKSNLIEHERIH
+>Q3ZCX4_PF00096_334 <unknown description>
+YECKECGKSFSQKQNLIEHEKIH
+>Q3ZCX4_PF00096_362 <unknown description>
+YACNECGRAFSRMSSVTLHMRSH
+>Q3ZCX4_PF00096_390 <unknown description>
+YKCNKCGKAFSQCSVFIIHMRSH
+>Q3ZCX4_PF00096_418 <unknown description>
+YVCSECGKAFSQSSSLTVHMRNH
+>Q3ZCX4_PF00096_446 <unknown description>
+YECKECGKAFSRKENLITHQKIH
+>Q3ZCX4_PF00096_474 <unknown description>
+YECSECGKAFIQMSNLIRHQRIH
+>Q3ZCX4_PF00096_502 <unknown description>
+YACTVCGKAFSQKSNLTEHEKIH
+>Q3ZCX4_PF00096_530 <unknown description>
+YHCNQCGKAFSQRQNLLEHEKIH
+>Q3ZCX4_PF00096_558 <unknown description>
+FKCNECGKAFSRISSLTLHVRSH
+>Q3ZCX4_PF00096_586 <unknown description>
+YECNKCGKAFSQCSLLIIHMRSH
+>Q3ZCX4_PF00096_614 <unknown description>
+FECNECGKAFSQRASLSIHKRGH
+>Q5MCW4_PF01352_8 <unknown description>
+VTFKDVAIDFTQEEWKRLDPAQRKLYRNVMLENYNNLITVG
+>Q5MCW4_PF00096_186 <unknown description>
+FKCNHCGKGFNQTLDLIRHLRIH
+>Q5MCW4_PF00096_214 <unknown description>
+YECSNCRKAFSHKEKLIKHYKIH
+>Q5MCW4_PF00096_242 <unknown description>
+YKCNECGKAFIKMSNLIRHQRIH
+>Q5MCW4_PF00096_270 <unknown description>
+YACKECEKSFSQKSNLIDHEKIH
+>Q5MCW4_PF00096_298 <unknown description>
+YECNECGKAFSQKQSLIAHQKVH
+>Q5MCW4_PF00096_326 <unknown description>
+YACNECGKAFPRIASLALHMRSH
+>Q5MCW4_PF00096_354 <unknown description>
+YKCDKCGKAFSQFSMLIIHVRIH
+>Q5MCW4_PF00096_382 <unknown description>
+YECNECGKAFSQSSALTVHMRSH
+>Q5MCW4_PF00096_410 <unknown description>
+YECKECRKAFSHKKNFITHQKIH
+>Q5MCW4_PF00096_438 <unknown description>
+YECNECGKAFIQMSNLVRHQRIH
+>Q5MCW4_PF00096_466 <unknown description>
+YICKECGKAFSQKSNLIAHEKIH
+>Q5MCW4_PF00096_494 <unknown description>
+YECNECGKAFSQKQNFITHQKVH
+>Q5MCW4_PF00096_522 <unknown description>
+YDCNECGKAFSQIASLTLHLRSH
+>Q5MCW4_PF00096_550 <unknown description>
+YECDKCGKAFSQCSLLNLHMRSH
+>Q5MCW4_PF00096_578 <unknown description>
+YVCNECGKAFSQRTSLIVHMRGH
+>Q5MCW4_PF00096_606 <unknown description>
+YECNKCGKAFSQSSSLTIHIRGH
+>Q5MCW4_PF00096_634 <unknown description>
+FDCSKCGKAFSQISSLTLHMRKH
+>Q5MCW4_PF00096_662 <unknown description>
+YHCIECGKAFSQKSHLVRHQRIH
+>Q96NI8_PF01352_13 <unknown description>
+LVTFRDVAVDFSQEEWDCLDSSQRHLYSNVMLENYRILVSLG
+>Q96NI8_PF00096_219 <unknown description>
+KCNDCEKVFSQSSSLTLHQRIH
+>Q96NI8_PF00096_246 <unknown description>
+YKCIECGKAFSQRSNLVQHQRIH
+>Q96NI8_PF00096_274 <unknown description>
+YECKECRKAFSQNAHLVQHLRVH
+>Q96NI8_PF00096_302 <unknown description>
+YECKVCRKAFSQFAYLAQHQRVH
+>Q96NI8_PF00096_330 <unknown description>
+YECIECGKAFSNRSSIAQHQRVH
+>Q96NI8_PF00096_358 <unknown description>
+YECNVCGKAFSLRAYLTVHQRIH
+>Q96NI8_PF00096_386 <unknown description>
+YECKECGKAFSQNSHLAQHQRIH
+>Q96NI8_PF00096_414 <unknown description>
+YKCQECRKAFSQIAYLAQHQRVH
+>Q96NI8_PF00096_442 <unknown description>
+YECIECGKAFSNDSSLTQHQRVH
+>Q96NI8_PF00096_470 <unknown description>
+YECTVCGKAFSYCGSLAQHQRIH
+>Q96NI8_PF00096_498 <unknown description>
+YECKECKKTFRQHAHLAHHQRIH
+>Q7Z3V5_PF01352_5 <unknown description>
+LVTFRDVAIDFSQEEWECLDPAQRDLYRDVMLENYSNLISLD
+>Q7Z3V5_PF00096_195 <unknown description>
+YECMECGKAFGRTSDLIQHQKIH
+>Q7Z3V5_PF00096_223 <unknown description>
+YQCNACGKAFIRGSQLTEHQRVH
+>Q7Z3V5_PF00096_251 <unknown description>
+YECKKCGKAFSYCSQYTLHQRIH
+>Q7Z3V5_PF00096_279 <unknown description>
+YECKDCGKAFILGSQLTYHQRIH
+>Q7Z3V5_PF00096_307 <unknown description>
+YECKECGKAFILGSHLTYHQRVH
+>Q7Z3V5_PF00096_363 <unknown description>
+YECKECGKTFFRGSQLTYHLRVH
+>Q7Z3V5_PF00096_391 <unknown description>
+YKCKECGKAFISNSNLIQHQRIH
+>Q7Z3V5_PF00096_447 <unknown description>
+FECKECGKAFIRVAYLTQHEKIH
+>Q7Z3V5_PF00096_474 <unknown description>
+YECKECGKTFVRATQLTYHQRIH
+>Q7Z3V5_PF00096_502 <unknown description>
+YKCKECDKAFIYGSQLSEHQRIH
+>Q7Z3V5_PF00096_530 <unknown description>
+YECKQCGKAFIRGSHLTEHLRTH
+>Q7Z3V5_PF00096_558 <unknown description>
+YECKECGRAFSRGSELTLHQRIH
+>Q7Z3V5_PF00096_586 <unknown description>
+YTCVQCGKDFRCPSQLTQHTRLH
+>Q7Z3I7_PF00096_132 <unknown description>
+YKCSECWKSFSNSSHLRTHQRTH
+>Q7Z3I7_PF00096_160 <unknown description>
+YKCSECAKCFCNSSHLIQHLRMH
+>Q7Z3I7_PF00096_188 <unknown description>
+YQCGECGKSFSNTSHLIIHERTH
+>Q7Z3I7_PF00096_216 <unknown description>
+YKCPECGKRFSSSSHLIQHHRSH
+>Q7Z3I7_PF00096_244 <unknown description>
+YECSVCGKGFSHSYVLIEHQRTH
+>Q7Z3I7_PF00096_272 <unknown description>
+YKCPDCGKSFSQSSSLIRHQRTH
+>Q7Z3I7_PF00096_328 <unknown description>
+YQCPECGKNFSRSSNLITHQKMH
+>Q7Z3I7_PF00096_384 <unknown description>
+YRCCECGKSFGLSSHLIRHQRTH
+>Q7Z3I7_PF00096_412 <unknown description>
+YRCSECWKTFSQSSTLVIHQRTH
+>Q7Z3I7_PF00096_440 <unknown description>
+YKCPDCGESFSQSFNLIRHRRTH
+>Q7Z3I7_PF00096_468 <unknown description>
+YKCTSCEKCFSRSAYLSQHRKIH
+>Q86YE8_PF01352_27 <unknown description>
+LVTFRDVAIDFSRQEWEYLDPNQRDLYRDVMLENYRNLVSLG
+>Q86YE8_PF00096_162 <unknown description>
+YECKECGKNFRSGYQLTLHQRFH
+>Q86YE8_PF00096_190 <unknown description>
+YECTECGKNFRSGYQLTVHQRFH
+>Q86YE8_PF00096_218 <unknown description>
+YECRQCGKAFIYASHIVQHERIH
+>Q86YE8_PF00096_246 <unknown description>
+YECQECGRAFSQGGHLRIHQRVH
+>Q86YE8_PF00096_274 <unknown description>
+YKCKECGKTFSRRSNLVEHGQFH
+>Q86YE8_PF00096_302 <unknown description>
+YICEKCGKAFRRGHQLTVHQRVH
+>Q86YE8_PF00096_330 <unknown description>
+YECKECGKGYTTASYFLLHQRIH
+>Q86YE8_PF00096_358 <unknown description>
+YECKECKKTFTLYRNLTRHQNIH
+>Q86YE8_PF00096_386 <unknown description>
+FECKQCGKTYTTGSKLFQHQKTH
+>Q86YE8_PF00096_414 <unknown description>
+YECKECGKAFSLYGYLKQHQKIH
+>Q86YE8_PF00096_442 <unknown description>
+FECKECKKTFTLYRNLTRHQNIH
+>Q86YE8_PF13912_470 <unknown description>
+FECQECGKAYSTGSNLIQHRKTH
+>Q86YE8_PF00096_498 <unknown description>
+YKCKECGKTFSLHGYLNQHQKIH
+>Q86YE8_PF00096_526 <unknown description>
+YECKVCRKTFTFYRNLTLHQSIH
+>Q86YE8_PF00096_554 <unknown description>
+FECKECGKTFRRSSHLTAHQSIH
+>Q86YE8_PF00096_582 <unknown description>
+YECKECGKAFKMYGYLTQHQKIH
+>Q86YE8_PF00096_610 <unknown description>
+YECKECGKAFSRASNLVQHERIH
+>Q86YE8_PF00096_638 <unknown description>
+YVCKQCGKTFRYGSALKAHQRIH
+>Q6ZN55_PF12874_310 <unknown description>
+FCSACDQLFLSPHQLQQHLRSHR
+>Q6ZN55_PF13894_336 <unknown description>
+FKCPLCSRVFPSPSSLDQHLGDH
+>Q6ZN55_PF00096_495 <unknown description>
+HKCSICGKMFKKKSHVRNHLRTH
+>Q6ZN55_PF00096_523 <unknown description>
+FPCPDCSKPFNSPANLARHRLTH
+>Q6ZN55_PF00096_551 <unknown description>
+YRCGDCGKAFTQSSTLRQHRLVH
+>Q6ZN55_PF13912_579 <unknown description>
+YRCQECGVRFHRPYRLLMHRYHH
+>Q6ZN55_PF13912_739 <unknown description>
+ECSECKKLFSTETSLQVHRRIH
+>Q6ZN55_PF00096_766 <unknown description>
+YPCPDCGKAFRQSTHLKDHRRLH
+>Q6ZN55_PF00096_822 <unknown description>
+YSCPDCGKSYRSFSNLWKHRKTH
+>Q86XF7_PF00096_63 <unknown description>
+HRCPDCDKAFSYPSKLATHRLAH
+>Q86XF7_PF00096_91 <unknown description>
+HPCPDCPKAFSYPSKLAAHRLTH
+>Q86XF7_PF00096_119 <unknown description>
+HPCPHCPKSFGHRSKLAAHL
+>Q86XF7_PF00096_147 <unknown description>
+YPCPDCPKSFCYPSKLAAHRHTH
+>Q86XF7_PF00096_177 <unknown description>
+YPCPHCPKAFSFPSKLAAHR
+>Q9H609_PF13912_71 <unknown description>
+FICFTCARSFPSSKALITHQRSH
+>Q9H609_PF00096_112 <unknown description>
+FPCPDCGKTFGQAVSLRRHRQMH
+>Q9BSK1_PF01352_22 <unknown description>
+SLSFEDVAVGFTREEWQFLDQSQKVLYKEVMLENYINLVSIG
+>Q9BSK1_PF00096_158 <unknown description>
+HECSVCGRAFSRKAQLIQHQRT
+>Q9BSK1_PF00096_188 <unknown description>
+CGECGKTFMRKIQLTEHQRTH
+>Q9BSK1_PF00096_214 <unknown description>
+HECSECGKAFSRKSQLMVHQRTH
+>Q9BSK1_PF00096_242 <unknown description>
+YRCSKCGKAFSRKCRLNRHQRSH
+>Q9BSK1_PF00096_272 <unknown description>
+CSVCGKAFSQKAYLTAHQRLH
+>Q9BSK1_PF00096_298 <unknown description>
+YKCSDCGRTFYFKSDLTRHQRIH
+>Q9BSK1_PF00096_326 <unknown description>
+YECSECEKAFRSKSKLIQHQRTH
+>Q9BSK1_PF00096_354 <unknown description>
+YSCRECGKAFAHMSVLIKHEKTH
+>Q96N58_PF01352_24 <unknown description>
+LTFRDVAIEFSLAEWKFLNPAQRALYREVMLENYRNLEA
+>Q96N58_PF13912_287 <unknown description>
+YKCNECGKSFSYKSSLTCHRRCH
+>Q96N58_PF00096_343 <unknown description>
+YKCNECGKSFSYKSSLRCHRRLH
+>Q96N58_PF00096_371 <unknown description>
+YKCNECGKMFGQNSTLVIHKAIH
+>Q96N58_PF00096_399 <unknown description>
+YKCNECGKAFNQQSHLSRHHRLH
+>Q96N58_PF00096_427 <unknown description>
+YKCNDCGKAFIHQSSLARHHRLH
+>Q96N58_PF00096_455 <unknown description>
+YKCEECDRVFSQKSNLERHKIIH
+>Q96N58_PF00096_511 <unknown description>
+YKCNECGKTFNVQSHLSRHHRLH
+>Q8NAF0_PF00096_45 <unknown description>
+PCPTCGRLFRFPYYLSRHRLSH
+>Q8NAF0_PF00096_72 <unknown description>
+HACPLCPKAFRRPAHLSRHLRGH
+>Q8NAF0_PF00096_270 <unknown description>
+HQCSICLKAFARPWSLSRHRLVH
+>Q8NAF0_PF00096_298 <unknown description>
+FVCPDCGLAFRLASYLRQHRRVH
+>Q8NAF0_PF00096_386 <unknown description>
+CPECGKGFRRRAHLRQHGVTH
+>Q8NAF0_PF00096_412 <unknown description>
+FQCVRCQREFKRLADLARHAQVH
+>Q8NAF0_PF00096_441 <unknown description>
+HPCPRCPRRFSRAYSLLRHQR
+>Q9UK33_PF00096_120 <unknown description>
+FTCGACGKAFKRSSHLSRHRATH
+>Q9UK33_PF00096_150 <unknown description>
+HTCPLCPRRFQDAAELAQHVRLH
+>Q9P0T4_PF00096_87 <unknown description>
+YSCPVCSRVFEYMSYLQRHSITH
+>Q9P0T4_PF00096_115 <unknown description>
+FECDICGKAFKRASHLARHHSIH
+>Q9P0T4_PF00096_147 <unknown description>
+CPLCPRRFRDAGELAQHSRVH
+>Q9P0T4_PF13894_173 <unknown description>
+FQCPHCPRRFMEQNTLQKHTRWKH
+>Q96NG8_PF01352_8 <unknown description>
+FRDVAIVFSQEEWQWLAPAQRDLYRDVMLETYSNLVSLG
+>Q96NG8_PF00096_199 <unknown description>
+YKCKECGKAFKYGSRLIQHENIH
+>Q96NG8_PF00096_227 <unknown description>
+YECKECGKAFNSGSNFIQHQRVH
+>Q96NG8_PF00096_255 <unknown description>
+YECKDCEKAFSRSSQLIEHQRTH
+>Q96NG8_PF00096_283 <unknown description>
+YQCKECGKAFNRISHLKVHYRIH
+>Q96NG8_PF00096_311 <unknown description>
+YACKECGKTFSHRSQLIQHQTVH
+>Q96NG8_PF00096_339 <unknown description>
+YECKECGKAFNQGSTLIRHQRIH
+>Q96NG8_PF00096_367 <unknown description>
+YECKVCGKAFRVSSQLKQHQRIH
+>Q96NG8_PF00096_395 <unknown description>
+YQCKVCGRAFKRVSHLTVHYRIH
+>Q96NG8_PF00096_423 <unknown description>
+YECKECGKAFSHCSQLIHHQVIH
+>Q96ND8_PF01352_5 <unknown description>
+LVTFGDVAVNFSQEEWEWLNPAQRNLYRKVMLENYRSLVSLG
+>Q96ND8_PF00096_213 <unknown description>
+KCNDCEKVFNQSSSLTLHQRIH
+>Q96ND8_PF00096_240 <unknown description>
+YACVECGKTFSQSANLAQHKRIH
+>Q96ND8_PF00096_268 <unknown description>
+YECKECRKAFSQNAHLAQHQRVH
+>Q96ND8_PF00096_296 <unknown description>
+YQCKECKKAFSQIAHLTQHQRVH
+>Q96ND8_PF00096_352 <unknown description>
+YVCNVCGKAFSHRGYLIVHQRIH
+>Q96ND8_PF00096_380 <unknown description>
+YECKECRKAFSQYAHLAQHQRVH
+>Q96ND8_PF00096_408 <unknown description>
+YECKVCRKAFSQIAYLDQHQRVH
+>Q96ND8_PF00096_436 <unknown description>
+YECIECGKAFSNSSSLAQHQRSH
+>Q96ND8_PF00096_464 <unknown description>
+YMCKECRKTFSQNAGLAQHQRIH
+>Q96ND8_PF00096_492 <unknown description>
+YECNVCGKAFSYSGSLTLHQRIH
+>Q96ND8_PF00096_520 <unknown description>
+YECKDCRKSFRQRAHLAHHERIH
+>Q8IVC4_PF01352_16 <unknown description>
+LVMFEDVTVYFSREEWGLLNVTQKGLYRDVMLENFALVSSLG
+>Q8IVC4_PF00096_214 <unknown description>
+HVCNECGKAFSYPSKLRKHQKVH
+>Q8IVC4_PF00096_242 <unknown description>
+FKCSDCGKTFNRKDALVLHQRIH
+>Q8IVC4_PF00096_270 <unknown description>
+YECSKCGKTFSVLSTLIRHRKVH
+>Q8IVC4_PF00096_298 <unknown description>
+YECTECGKFFKYNNSFILHQRVH
+>Q8IVC4_PF13894_326 <unknown description>
+FECKQCGKGYVTRSGLYQHWKVH
+>Q8IVC4_PF00096_354 <unknown description>
+YECSLCGKTFTTRSYRNRHQQFH
+>Q8IVC4_PF00096_382 <unknown description>
+YECTECGKAFKHSSTLLQHKKVH
+>Q9NXT0_PF01352_14 <unknown description>
+SVTFEDVAVNFSLEEWSLLNEAQRCLYRDVMLETLTLISSLG
+>Q9NXT0_PF13912_150 <unknown description>
+YECSECGKSFH
+>Q9NXT0_PF00096_178 <unknown description>
+YECIECGKAFAEKSSLINHRKVH
+>Q9NXT0_PF00096_206 <unknown description>
+YECNECGKSFAYTSSLIKHRRIH
+>Q9NXT0_PF00096_234 <unknown description>
+YECSECGRSFAENSSLIKHLRVH
+>Q9NXT0_PF00096_262 <unknown description>
+YECVECGKSFRRSSSLLQHQRVH
+>Q9NXT0_PF00096_290 <unknown description>
+YECSECGKSFSLRSNLIHHQRVH
+>Q9NXT0_PF00096_317 <unknown description>
+HECGQCGKSFSRKSSLIIHLRVH
+>Q9NXT0_PF00096_345 <unknown description>
+YECSDCGKSFAENSSLIKHLRVH
+>Q9NXT0_PF00096_373 <unknown description>
+YECIDCGKSFRHSSSFRRHQRVH
+>Q96SQ5_PF01352_15 <unknown description>
+VTFEDVAVNFSQEEWCLLSEAQRCLYRDVMLENLALISSLG
+>Q96SQ5_PF00096_240 <unknown description>
+YVCSDCGKSFSRYVSFSNHQRDH
+>Q96SQ5_PF00096_268 <unknown description>
+YDCGECGKSYSRKSSLIQHQRVH
+>Q96SQ5_PF00096_296 <unknown description>
+YPCEECGKSFSQKGSLISHQLVH
+>Q96SQ5_PF00096_324 <unknown description>
+YECRECGKSFGQKGNLIQHQQGH
+>Q96SQ5_PF00096_352 <unknown description>
+YHCGECGKSFRQKFCFINHQRVH
+>Q96SQ5_PF00096_380 <unknown description>
+YKCGECGKSFGQKGNLVHHQRGH
+>Q96SQ5_PF00096_408 <unknown description>
+YECKECGKSFRYRSHLTEHQRLH
+>Q96SQ5_PF00096_436 <unknown description>
+YNCRECGKLFNRKYHLLVHERVH
+>Q96SQ5_PF00096_492 <unknown description>
+YECSECGKSFLSSSALHVHKRVH
+>Q96SQ5_PF00096_520 <unknown description>
+YKCSECGKSFSECSSLIKHRRIH
+>Q96SQ5_PF00096_548 <unknown description>
+YECTKCGKTFQRSSTLLHHQSSH
+>Q86UQ0_PF01352_34 <unknown description>
+PVTFEDVAVLFTEAEWKRLSLEQRNLYKEVMLENLRNLVSL
+>Q86UQ0_PF00096_249 <unknown description>
+VCRECGRGFSRKSQLIIHQRTH
+>Q86UQ0_PF00096_276 <unknown description>
+YVCGECGRGFIVESVLRNHLSTH
+>Q86UQ0_PF13894_304 <unknown description>
+YVCSHCGRGFSCKPYLIRHQRTH
+>Q86UQ0_PF00096_332 <unknown description>
+FMCTVCGRGFREKSELIKHQRIH
+>Q92610_PF00096_1013 <unknown description>
+YPCRQCEQSFHTPNSLRKHIRNNH
+>Q92610_PF00096_1043 <unknown description>
+YTCGYCTEDSPSFPRPSLLESHISLMH
+>Q92610_PF16622_1149 <unknown description>
+DSSTAQCLLCGLCYTSASSLSRHLFIVHK
+>O00488_PF12171_61 <unknown description>
+HRCLACARYFIDSTNLKTHFRSKDHK
+>Q96JF6_PF00096_127 <unknown description>
+YECKECEKTFNRSSNLIIHQRIH
+>Q96JF6_PF00096_155 <unknown description>
+YVCNECGKDSNQSSNLIIHQRIH
+>Q96JF6_PF00096_183 <unknown description>
+YICHECGKDFNQSSNLVRHKQIH
+>Q96JF6_PF00096_211 <unknown description>
+YECKECGKAFKGSSNLVLHQRIH
+>Q96JF6_PF00096_239 <unknown description>
+YLCNKCGKAFSQSTDLIIHHRIH
+>Q96JF6_PF00096_267 <unknown description>
+YECYDCGQMFSQSSHLVPHQRIH
+>Q96JF6_PF00096_296 <unknown description>
+KCNECEKAFRQHSHLTEHQRLH
+>Q96JF6_PF00096_323 <unknown description>
+YECHRCGKTFSGRTAFLKHQRLH
+>Q96JF6_PF00096_404 <unknown description>
+YECKECGKTFNQSSDLLRHHRIH
+>Q96JF6_PF00096_433 <unknown description>
+VCSKCGKSFRGSSDLIRHHRVH
+>Q96JF6_PF00096_460 <unknown description>
+YECSECGKAFSQRSHLVTHQKIH
+>Q96JF6_PF00096_488 <unknown description>
+YQCTECGKAFRRRSLLIQHRRIH
+>Q96JF6_PF00096_570 <unknown description>
+CNQCGRAFQGSSDLIRHQVTH
+>Q96JF6_PF00096_596 <unknown description>
+YECKECGKTFNQSSDLLRHHRIH
+>Q96JF6_PF00096_624 <unknown description>
+YVCNKCGKSFRGSSDLIKHHRIH
+>Q96JF6_PF00096_652 <unknown description>
+YECSECGKAFSQRSHLATHQKIH
+>Q96JF6_PF00096_680 <unknown description>
+YQCSECGNAFRRRSLLIQHRRLH
+>Q8IYB9_PF01352_3 <unknown description>
+LVTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLVSLG
+>Q8IYB9_PF00096_200 <unknown description>
+YKCEKCGKAFNRSTSLSKHKRIH
+>Q8IYB9_PF00096_228 <unknown description>
+YTCEECGKAFRRSTVLNEHKKIH
+>Q8IYB9_PF00096_256 <unknown description>
+YKCEECGKAFTRSTTLNEHKKIH
+>Q8IYB9_PF13912_284 <unknown description>
+YKCKECGKAFRWSTSLNEHK
+>Q8IYB9_PF00096_312 <unknown description>
+YKCKECGKAFRQSRSLNEHKNIH
+>Q8IYB9_PF00096_340 <unknown description>
+YTCEKCGKAFNQSSSLIIHRSIH
+>Q8IYB9_PF00096_368 <unknown description>
+YKCEECGKAFTWSSSLNKHKRIH
+>Q8IYB9_PF00096_396 <unknown description>
+YTCEECGKAFYRSSHLAKHKRIH
+>Q8IYB9_PF00096_424 <unknown description>
+YTCEECGKAFNQSSTLILHKRIH
+>Q8IYB9_PF00096_452 <unknown description>
+YKCEECGKAFTRSTTLNEHKKIH
+>Q8IYB9_PF00096_508 <unknown description>
+YKCKECGKAFNQSSGLIIHRSIH
+>Q8IYB9_PF13912_536 <unknown description>
+YKCEECGKAFTRSTALNEHKKIHS
+>Q8IYB9_PF00096_564 <unknown description>
+YKCKECGKAYNLSSTLTKHKRIH
+>Q8IYB9_PF00096_592 <unknown description>
+FTCEECGKAFNWSSSLTKHKIIH
+>Q8IYB9_PF00096_620 <unknown description>
+YKCEECGKAFNRPSTLTVHKRIH
+>Q8TC21_PF01352_6 <unknown description>
+SMTFEDIIVDFTQEEWALLDTSQRKLFQDVMLENISHLVSIG
+>Q8TC21_PF00096_196 <unknown description>
+ECRVCGKTFSKNSNLRRHEMIH
+>Q8TC21_PF00096_225 <unknown description>
+CHLCGKAFTHCSDLRKHERTH
+>Q8TC21_PF00096_253 <unknown description>
+CHLCGKAFSKSSNLRRHEMIH
+>Q8TC21_PF00096_281 <unknown description>
+CHLCGKAFTHCSDLRKHERTH
+>Q8TC21_PF00096_309 <unknown description>
+CLLCGKAFSKCSYLRQHERTH
+>Q8TC21_PF00096_335 <unknown description>
+YECHLCGKAFSHCSHLRQHERSH
+>Q8TC21_PF00096_365 <unknown description>
+CHLCGKAFTESSVLKRHERIH
+>Q8TC21_PF13465_405 <unknown description>
+DLRRHERTHTGEKPYECHLCGKAFNH
+>Q8TC21_PF00096_447 <unknown description>
+YECNICGKAFNRSYNFRLHRRVH
+>Q8TC21_PF00096_475 <unknown description>
+YVCPLCGKAFSKFFNLRQHERTH
+>Q96LX8_PF01352_14 <unknown description>
+ILFEDLAVYFSQEECVTLHPAQRSLSKDGTKESLEDAALM
+>Q96LX8_PF00096_156 <unknown description>
+YKCPECDQNFSDHSYLVLHQKIH
+>Q96LX8_PF00096_184 <unknown description>
+HKCGDCGKIFNHRANLRTHRRIH
+>Q96LX8_PF00096_212 <unknown description>
+YKCAKCSASFRQHSHLSRHMNSH
+>Q96LX8_PF00096_342 <unknown description>
+QCPDCDMTFPCFSELISHQNIH
+>Q96LX8_PF00096_397 <unknown description>
+FKCTVCGKTFKSNLHLITHKRTH
+>Q96NL3_PF01352_8 <unknown description>
+LVSFEDVVVTFTGEEWGHLDLAQRTLYQEVMLETCRLLVSLG
+>Q96NL3_PF00096_199 <unknown description>
+YTCTECGKGFSKKWALVRHQQIH
+>Q96NL3_PF00096_227 <unknown description>
+YECNECGKACRYMADVIRHMRLH
+>Q96NL3_PF00096_255 <unknown description>
+YKCIECGKAFKRRFHLTEHQRIH
+>Q96NL3_PF00096_283 <unknown description>
+YECKECGKAFTHRSSFIQHNMTH
+>Q96NL3_PF00096_312 <unknown description>
+LCKECGKAFYYSSSFAQHMRIH
+>Q96NL3_PF00096_339 <unknown description>
+YECGECGKAFTHRSTFIQHNVTH
+>Q96NL3_PF00096_368 <unknown description>
+LCKECGKTFCLNSSFTQHMRIH
+>Q96NL3_PF00096_395 <unknown description>
+YECGECGKAFTHRSTFIRHKRTH
+>Q96NL3_PF13465_438 <unknown description>
+LIQHMRIHTGEKPYECSECGKAFTH
+>Q96NL3_PF00096_480 <unknown description>
+ECKECAKAFYYSSSFTRHMRIH
+>Q96NL3_PF00096_507 <unknown description>
+YVCRECGKAFTQPANFVRHNRIH
+>Q96NL3_PF00096_535 <unknown description>
+FECKECEKAFCDNFALTQHMRTH
+>Q96NL3_PF00096_563 <unknown description>
+FECNECGKTFSHSSSFTHHRKIH
+>Q86T29_PF01352_6 <unknown description>
+ISFEDVAVDFTLEEWQLLNPTQKNLYRDVMLENYSNLVFL
+>Q86T29_PF00096_169 <unknown description>
+YLCMECGRFFNKKSQLVIHQRTH
+>Q86T29_PF00096_197 <unknown description>
+YQCSECGKAFSQKSLLTVHQRTH
+>Q86T29_PF00096_227 <unknown description>
+CSECQKAFSRKSLLILHQRIH
+>Q86T29_PF00096_255 <unknown description>
+CSECGKAFSRKSQLKRHQITH
+>Q86T29_PF00096_281 <unknown description>
+YSCSECGKAFSQKLKLITHQRAH
+>Q86T29_PF00096_309 <unknown description>
+YPCSHCGKAFFWKSQLITHQRTH
+>Q86T29_PF00096_339 <unknown description>
+CGECQKAFSRNSLLIRHQRIH
+>Q86T29_PF00096_365 <unknown description>
+YECNECGEAFIRKPQLIKHQITH
+>Q86T29_PF00096_393 <unknown description>
+YRCSDCEEAFFKKSELIRHQKIH
+>Q86T29_PF00096_449 <unknown description>
+YECSECGKAFTQKSSLISHQRTH
+>Q86T29_PF00096_477 <unknown description>
+YECSECRKTFSEKSSLIHHQRTH
+>Q86T29_PF00096_533 <unknown description>
+YECSECGKAFVQKVQLIKHQRNH
+>Q86T29_PF00096_589 <unknown description>
+YKCGECGKSFTRKSHLMRHQRIH
+>Q86T29_PF00096_619 <unknown description>
+CNECGTTFNRKSQLMIHQRNH
+>Q8WXB4_PF01352_61 <unknown description>
+PVTFKDVAVDFTQEEWGQLDLVQRTLYRDVMLETYGHLLSVG
+>Q8WXB4_PF00096_428 <unknown description>
+YECDKCGKVFRNRSALTKHERTH
+>Q8WXB4_PF00096_456 <unknown description>
+YECNKCGKAFSWNSHLIVHKRIH
+>Q8WXB4_PF00096_484 <unknown description>
+YVCNECGKSFNWNSHLIGHQRTH
+>Q8WXB4_PF00096_512 <unknown description>
+FECTECGKSFSWSSHLIAHMRMH
+>Q8WXB4_PF00096_540 <unknown description>
+FKCDECEKAFRDYSALSKHERTH
+>Q8WXB4_PF00096_568 <unknown description>
+YKCTECGKSFSWSSHLIAHQRTH
+>Q8WXB4_PF00096_596 <unknown description>
+YNCQECGKAFRERSALTKHEIIH
+>Q8WXB4_PF00096_624 <unknown description>
+YECNKCGKSCSQMAHLVRHQRTH
+>Q8WXB4_PF00096_652 <unknown description>
+YECNKCGKSFSQSCHLVAHRRIH
+>Q8WXB4_PF00096_708 <unknown description>
+YRCNECGKAFNESSSLIVHLRNH
+>Q8WXB4_PF00096_736 <unknown description>
+YKCNHCEKAFCKNSSLIIHQRMH
+>Q8WXB4_PF00096_764 <unknown description>
+FICSECGKAFSGHSALLQHQRNH
+>Q96SK3_PF01352_5 <unknown description>
+SITFGDVAIDFSHQEWEYLSLVQKTLYQEVMMENYDNLVSL
+>Q96SK3_PF00096_114 <unknown description>
+YECKQCQKSFSHLTELMVHQTIH
+>Q96SK3_PF00096_170 <unknown description>
+YECEECGKVFSYPANLAQHGKVH
+>Q96SK3_PF00096_197 <unknown description>
+YECKECGEAFRTSRQLTVHHRFH
+>Q96SK3_PF00096_225 <unknown description>
+YECKECGKAFSVYGRLSRHQSIH
+>Q96SK3_PF00096_253 <unknown description>
+FECNKCGKSFRLKAGLKVHQSIH
+>Q96SK3_PF00096_281 <unknown description>
+HECKECGKAFRQFSHLVGHKRIH
+>Q96SK3_PF00096_365 <unknown description>
+YKCEECGKAFSVHGRLTRHQGIH
+>Q96SK3_PF00096_393 <unknown description>
+YECNKCGKSFRLNSSLKIHQNIH
+>Q96SK3_PF00096_421 <unknown description>
+YKCKECGKAFSQRAHLAHHNRIH
+>Q96SK3_PF00096_449 <unknown description>
+FECKECGKSFRCASYLVIHERIH
+>Q96SK3_PF00096_477 <unknown description>
+YVCQECGKGFSYSHKLTIHRRVH
+>Q96SK3_PF00096_505 <unknown description>
+YECKECGKAFSVSGQLTQHLSIH
+>Q96SK3_PF00096_533 <unknown description>
+FECNKCGKSFRFISVLKAHQNIH
+>Q96SK3_PF13912_561 <unknown description>
+YECKECGKAFRHATSLIYHDRTH
+>Q96SK3_PF00096_589 <unknown description>
+YECKECGETFSHASHLIIHERIH
+>Q96SK3_PF00096_645 <unknown description>
+YMCEECGKAFNSSHELSIHHRVH
+>Q8N9Z0_PF01352_24 <unknown description>
+LTFMDVAIEFSQEEWKSLDPGQRALYRDVMLENYRNLVFLG
+>Q8N9Z0_PF00096_232 <unknown description>
+YKCTECGKVFSRNSHLVEHWRIH
+>Q8N9Z0_PF00096_260 <unknown description>
+YKCSECDKVFNRNSNLARHQRIH
+>Q8N9Z0_PF00096_288 <unknown description>
+HKCNECGKAFRECSGLTTHLVIH
+>Q8N9Z0_PF00096_316 <unknown description>
+YKCNECGKNFRHKFSLTNHQRSH
+>Q8N9Z0_PF00096_344 <unknown description>
+YKCNECGKVFSLLSYLARHQIIH
+>Q8N9Z0_PF00096_372 <unknown description>
+YKCNECGRAFHKRPGLMAHLLIH
+>Q8N9Z0_PF00096_400 <unknown description>
+YKCNECDKVFGRKLYLTNHQRIH
+>Q8N9Z0_PF00096_428 <unknown description>
+YKCNACGKVFNQNPHLSRHRKIH
+>Q8N823_PF01352_24 <unknown description>
+LTFRDVAIEFSLAEWKCLNPSQRALYREVMLENYRNLEA
+>Q8N823_PF00096_287 <unknown description>
+YKCKECGKTFSQESSLTCHRRLH
+>Q8N823_PF00096_343 <unknown description>
+YKCNECDKAFNQQSQLSHHR
+>Q8N823_PF00096_370 <unknown description>
+YKCEECDKVFSRKSTIETHKRIH
+>Q8N823_PF00096_398 <unknown description>
+YRCKVCDTAFTWHSQLARHRRIH
+>Q8N823_PF00096_454 <unknown description>
+YKCKVCDKAFVWSSQLAKHTR
+>Q8N823_PF00096_482 <unknown description>
+YKCNECGKTFGQNSDLLIHKSIH
+>Q8N823_PF00096_510 <unknown description>
+YKCDECEKVFSRKSSLETHKIGH
+>Q8N823_PF00096_622 <unknown description>
+YKCNECGNTFRHCSSLIYHRRLH
+>Q8N823_PF00096_650 <unknown description>
+YKCTICDKAFVRNSLLSRHTRIH
+>Q8N823_PF00096_678 <unknown description>
+YKCNECGKAFNQQSHLSRHHRIH
+>Q6PF04_PF01352_7 <unknown description>
+SLTLEDVAVEFTWEEWQLLGPAQKDLYRDVMLENYSNLVSVG
+>Q6PF04_PF00096_234 <unknown description>
+CSICGKAFSRKSGLTEHQRNH
+>Q6PF04_PF00096_260 <unknown description>
+YECTECDKAFRWKSQLNAHQKIH
+>Q6PF04_PF00096_288 <unknown description>
+YICSDCGKGFIKKSRLINHQRVH
+>Q6PF04_PF00096_318 <unknown description>
+CSLCGKAFSKRSRLTEHQRTH
+>Q6PF04_PF00096_344 <unknown description>
+YECTECDKAFRWKSQLNAHQKAH
+>Q6PF04_PF00096_372 <unknown description>
+YICRDCGKGFIQKGNLIVHQRIH
+>Q6PF04_PF00096_400 <unknown description>
+YICNECGKGFIQKGNLLIHRRTH
+>Q6PF04_PF00096_428 <unknown description>
+YVCNECGKGFSQKTCLISHQRFH
+>Q6PF04_PF00096_456 <unknown description>
+FVCTECGKSCSHKSGLINHQRIH
+>Q6PF04_PF00096_484 <unknown description>
+YTCSDCGKAFRDKSCLNRHRRTH
+>Q8N883_PF01352_7 <unknown description>
+SLTLEDVAVEFSWEEWQLLDTAQKNLYRDVMVENYNHLVSLG
+>Q8N883_PF00096_287 <unknown description>
+YMCSECGKGFTMKRYLIAHQRTH
+>Q8N883_PF00096_315 <unknown description>
+YVCKECGKGFTVKSNLIVHQRTH
+>Q8N883_PF00096_343 <unknown description>
+YICSECGKGFTMKRYLVVHQRTH
+>Q8N883_PF00096_371 <unknown description>
+YMCSECGKGFTVKSNLIVHQRSH
+>Q8N883_PF00096_399 <unknown description>
+YICSECGKGFTVKRTLVIHQRTH
+>Q8N883_PF00096_427 <unknown description>
+YICNECGKGFTTKRTLIIHQRTH
+>Q8N883_PF00096_483 <unknown description>
+FVCTECGKSYSHKYGLITHQRIH
+>Q8N883_PF00096_511 <unknown description>
+YECNECGKAFTTKSVLNVHQRTH
+>Q8N883_PF00096_541 <unknown description>
+CSDCEKAFSHLSNLVKHKKMH
+>Q8N8J6_PF01352_7 <unknown description>
+SLTLEDVAVDFTWEEWQFLSPAQKDLYRDVMLENYSNLVAVG
+>Q8N8J6_PF00096_243 <unknown description>
+HVCSMCGKAFSRKSRLMDHQRTH
+>Q8N8J6_PF00096_271 <unknown description>
+YECTECDKTFLKKSQLNIHQKTH
+>Q8N8J6_PF00096_299 <unknown description>
+YTCSQCGKAFIKKCRLIYHQRTH
+>Q8N8J6_PF00096_329 <unknown description>
+CSVCGKAFSTKFSLTTHQKTH
+>Q8N8J6_PF00096_355 <unknown description>
+YICSECGKGFIEKRRLTAHHRTH
+>Q8N8J6_PF00096_383 <unknown description>
+FICNKCGKGFTLKNSLITHQQTH
+>Q8N8J6_PF00096_411 <unknown description>
+YTCSECGKGFSMKHCLMVHQRTH
+>Q8N8J6_PF00096_439 <unknown description>
+YKCNECGKGFALKSPLIRHQRTH
+>Q8N8J6_PF00096_467 <unknown description>
+YVCTECRKGFTMKSDLIVHQRTH
+>Q8N8J6_PF00096_495 <unknown description>
+YICNDCGKGFTVKSRLIVHQRTH
+>Q8N8J6_PF00096_523 <unknown description>
+YVCGECGKGFPAKIRLMGHQRTH
+>Q8N8J6_PF00096_551 <unknown description>
+YICNECGKGFTEKSHLNVHRRTH
+>Q8N8J6_PF00096_579 <unknown description>
+YVCSECGKGLTGKSMLIAHQRTH
+>Q8N8J6_PF00096_607 <unknown description>
+YICNECGKGFTMKSTLSIHQQTH
+>Q8N8J6_PF00096_635 <unknown description>
+YKCNECDKTFRKKTCLIQHQRFH
+>Q8N8J6_PF00096_691 <unknown description>
+YKCSDCGKAFTTKSGLNVHQRKH
+>Q8N8J6_PF00096_721 <unknown description>
+CSDCGKAFAHLSILVKHRRIH
+>Q08AN1_PF01352_7 <unknown description>
+LTFKDVAIEFSQEEWKCLEPVQKALYKDVMLENYRNLVFLG
+>Q08AN1_PF00096_185 <unknown description>
+YVCNECGKAFKASSSLINHQRIH
+>Q08AN1_PF00096_213 <unknown description>
+YKCNECGKAFHRASLLTVHKVVH
+>Q08AN1_PF00096_241 <unknown description>
+YQCDVCGKIFRKNSYFVRHQRSH
+>Q08AN1_PF00096_269 <unknown description>
+YICNECGKSFSKSSHLAVHQRIH
+>Q08AN1_PF00096_297 <unknown description>
+YKCNLCGKSFSQRVHLRLHQTVH
+>Q08AN1_PF00096_325 <unknown description>
+FKCNECGKTFKRSSNLTVHQVIH
+>Q08AN1_PF00096_353 <unknown description>
+YKCDVCGKAFRHRSNLVCHRRIH
+>Q08AN1_PF00096_381 <unknown description>
+YKCNECGKVFSKRSSLAVHRRIH
+>Q08AN1_PF00096_410 <unknown description>
+KCNECGKVFSKRSSLAVHQRIH
+>Q08AN1_PF00096_437 <unknown description>
+YKCNKCGKVYSKHSHLAVHWRIH
+>Q08AN1_PF00096_465 <unknown description>
+YKCNECGKVFSIHSRLAAHQRIH
+>Q08AN1_PF00096_493 <unknown description>
+YKCNECGKVFSQHSRLAVHRRIH
+>Q08AN1_PF00096_521 <unknown description>
+YKCKECGKVFSDRSAFARHRRIH
+>Q08AN1_PF00096_549 <unknown description>
+YKCKECGKVFSQCSRLTVHRRIH
+>Q08AN1_PF00096_577 <unknown description>
+YKCNECGKVYSQYSHLVGHRRVH
+>Q08AN1_PF00096_605 <unknown description>
+YKCHECGKAFNQGSTLNRHQRIH
+>Q08AN1_PF00096_633 <unknown description>
+YKCNQCGNSFSQRVHLRLHQTVH
+>Q08AN1_PF00096_661 <unknown description>
+YKCNECGKTFKRSSNLTAHQIIH
+>Q08AN1_PF00096_689 <unknown description>
+YKCDECGKVFRHSSHLVSHQRIH
+>Q08AN1_PF00096_717 <unknown description>
+YKCIECGKAFGRLFSLSKHQRIH
+>Q08AN1_PF00096_745 <unknown description>
+YKCNECGKSFICRSGLTKHRIRH
+>Q8N2I2_PF01352_19 <unknown description>
+PVTFEDVAVYFTQNEWASLHPTQRALYREVMLENYANVTSL
+>Q8N2I2_PF00096_232 <unknown description>
+YTCKECGKTFRYNSKLSRHQKIH
+>Q8N2I2_PF00096_260 <unknown description>
+YSCEECGQAFSQNSHLLQHQKLH
+>Q8N2I2_PF00096_288 <unknown description>
+YECTDCGKTFSYNSKLIRHQRIH
+>Q8N2I2_PF00096_344 <unknown description>
+YECKECGKSLSSNSVLIQHQRIH
+>Q8N2I2_PF00096_372 <unknown description>
+YECKECGKAFHRSSVFLQHQRFH
+>Q8N2I2_PF00096_428 <unknown description>
+YECQECGKTFSQKITLVQHQRVH
+>Q6ZNG0_PF01352_10 <unknown description>
+PVTFEDVAVYFTQNEWASLDSVQRALYREVMLENYANVASL
+>Q6ZNG0_PF00096_224 <unknown description>
+FECKECGKYFRYNSLLIRHQIIH
+>Q6ZNG0_PF00096_252 <unknown description>
+FKCKECGKGLSSDTALIQHQRIH
+>Q6ZNG0_PF00096_280 <unknown description>
+YECKECGKAFSSSSVFLQHQRFH
+>Q6ZNG0_PF00096_308 <unknown description>
+YECNECWKTFSCSSSFTVHQRMH
+>Q6ZNG0_PF00096_336 <unknown description>
+YECKECGKRLSSNTALTQHQRIH
+>Q6ZNG0_PF00096_364 <unknown description>
+FECKECGKAFNQKITLIQHQRVH
+>Q6ZSS3_PF01352_10 <unknown description>
+SVTFEDVAVYFTQNQWASLDPAQRALYGEVMLENYANVASL
+>Q6ZSS3_PF00096_151 <unknown description>
+YECKECGKIFRYNSKLIRHQMSH
+>Q6ZSS3_PF00096_179 <unknown description>
+FKCKECGKAFKSSYDCIVHEKNH
+>Q6ZSS3_PF00096_207 <unknown description>
+YECKECGKGLSSNTALTQHQRIH
+>Q6ZSS3_PF00096_235 <unknown description>
+YECKECGKAFRRSAAYLQHQRLH
+>Q6ZSS3_PF00096_291 <unknown description>
+YQCKECGKAFTQKIASIQHQRVH
+>Q969S3_PF12171_68 <unknown description>
+YCTVCSKKFASFNAYENHLKSRRH
+>Q969S3_PF12756_254 <unknown description>
+CLFCSHHSSSLMKNVAHMTKDHSFFIPDIEYLSDIKGLIKYLGEKVGVGKICLWCNEKGK
+SFYSTEAVQAHMNDKSHCKLFTDGDAALEFADFYDFRSS
+>O75123_PF00096_84 <unknown description>
+PCDICGKTFTFNSDLVRHRISH
+>O75123_PF00096_111 <unknown description>
+YTCDQCGKGFGQSSHLMEHQRIH
+>O75123_PF00096_139 <unknown description>
+YVCNVCGKDFIHYSGLIEHQRVH
+>O75123_PF00096_167 <unknown description>
+FKCAQCGKAFCHSSDLIRHQRVH
+>O75123_PF00096_195 <unknown description>
+FECKECGKGFSQSSLLIRHQRIH
+>O75123_PF00096_223 <unknown description>
+YECNECGKSFIRSSSLIRHYQIH
+>O75123_PF00096_251 <unknown description>
+YECKECGKAFRHRSDLIEHQRIH
+>O75123_PF00096_279 <unknown description>
+FECNECGKAFIRSSKLIQHQRIH
+>O75123_PF00096_307 <unknown description>
+YVCNECGKRFSQTSNFTQHQRIH
+>O75123_PF00096_335 <unknown description>
+YECNECGKAFFLSSYLIRHQKIH
+>O75123_PF00096_363 <unknown description>
+YECKECGKAFLQKAHLTEHQKIH
+>O75123_PF00096_391 <unknown description>
+FECKDCGKAFIQSSKLLLHQIIH
+>O75123_PF00096_419 <unknown description>
+YVCSYCGKGFIQRSNFLQHQKIH
+>Q9P2J8_PF01352_53 <unknown description>
+SVTFKDVAIDFTLEEWRLMDPTQRNLHKDVMLENYRNLVSLG
+>Q9P2J8_PF00096_276 <unknown description>
+YKCSTCEKAFHYRSLLIQHQRTH
+>Q9P2J8_PF00096_304 <unknown description>
+YECNECGKTFSQPSYLSQHKKIH
+>Q9P2J8_PF00096_332 <unknown description>
+YKCNECGKAFIASSSLMVHQRIH
+>Q9P2J8_PF00096_360 <unknown description>
+YQCNVCGKSFSQCARLNQHQR
+>Q9P2J8_PF00096_388 <unknown description>
+YKCSECGKAFSDKSKLARHQETH
+>Q9P2J8_PF00096_416 <unknown description>
+YKCDDCGKAFRNKSYLSVHQKTH
+>Q9P2J8_PF00096_444 <unknown description>
+YQCNECGKSFKNTTIFNVHQRIH
+>Q9P2J8_PF00096_472 <unknown description>
+FRCNECGKAYRSNSSLIVHIRTH
+>Q9P2J8_PF00096_500 <unknown description>
+YECNECGKAFNRIANFTEHQRIH
+>Q9P2J8_PF00096_528 <unknown description>
+YKCNECGKAFINYSCLTVHHRMH
+>Q9P2J8_PF00096_556 <unknown description>
+YKCTECGKAFMRSSSLIIHQRIH
+>Q9P2J8_PF00096_584 <unknown description>
+YLCNECGESFRIKSHLTVHQRIH
+>Q9P2J8_PF00096_612 <unknown description>
+YKCTDCERAFTKMVNLKEHQKIH
+>Q9P2J8_PF00096_640 <unknown description>
+YKCYDCGKSFRTKSYLIVHQRTH
+>Q9P2J8_PF00096_668 <unknown description>
+YKCNECEKAFTNTSQLTVHQRRH
+>Q9P2J8_PF00096_696 <unknown description>
+YKCNECGKVFTSNSGFNTHQRTH
+>Q9P2J8_PF00096_724 <unknown description>
+FKCNDCGKAFSQMVHVTEHQKIH
+>Q9P2J8_PF00096_752 <unknown description>
+YKCDVCGKAFRRGSYLTVHWRTH
+>Q9P2J8_PF00096_780 <unknown description>
+YTCKECGKGCITLSQLTLHQRIH
+>Q9P2J8_PF00096_808 <unknown description>
+YKCEECGKAFRTNSDFTVHLRMH
+>Q9P2J8_PF00096_836 <unknown description>
+YKCNECGKAFRSSSSLTVHQRIH
+>Q96I27_PF01352_3 <unknown description>
+SVVFEDVDVNFTQEEWALLDPSQKNLYRDVMQETFRNLASVG
+>Q96I27_PF00096_163 <unknown description>
+YDCEECGKSFISRSSIRRHRIMH
+>Q96I27_PF00096_219 <unknown description>
+YECKQCGKAFSHSGSLRIHERTH
+>Q96I27_PF13912_247 <unknown description>
+YECSECGKAFHSSTCLHAHKITH
+>Q96I27_PF13912_275 <unknown description>
+YECKQCGKAFVSFNSVRYHERTH
+>Q96I27_PF00096_303 <unknown description>
+YECKQCGKAFRSASHLRTHGRTH
+>Q68DY1_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYSNLVFLG
+>Q68DY1_PF00096_201 <unknown description>
+YKCEECGKAFNHSCSLTRHKKIH
+>Q68DY1_PF00096_229 <unknown description>
+YKCEECGKAFKHSSTLTTHKRNH
+>Q68DY1_PF00096_257 <unknown description>
+YKCDKCGKAFMSSSTLSKHEIIH
+>Q68DY1_PF00096_285 <unknown description>
+YKCEECGKAFNRSSTLTTHKIIH
+>Q68DY1_PF00096_313 <unknown description>
+YKCEECDKAFKYSYTLTTHKRIH
+>Q68DY1_PF00096_341 <unknown description>
+YKCEECGKAFKYSSTLTTHKRIH
+>Q68DY1_PF00096_369 <unknown description>
+YKCEECGKAFKRSSDLTTHKIIH
+>Q68DY1_PF00096_397 <unknown description>
+YKCEECGKAFKYSSNLTTHKKIH
+>Q68DY1_PF00096_425 <unknown description>
+YKCEECGKAFNQSSILTTHRRIH
+>Q68DY1_PF00096_453 <unknown description>
+YKCEECGKAFKCSSNLTTHKKIH
+>Q68DY1_PF00096_481 <unknown description>
+YKCEECGKAFNQSSILTTHER
+>Q7L945_PF01352_3 <unknown description>
+SVAFEDVAVNFTLEEWALLDPSQKNLYRDVMRETFRNLASVG
+>Q7L945_PF00096_167 <unknown description>
+YDCKECGETFISLVSIRRHMLTH
+>Q7L945_PF00096_195 <unknown description>
+YKCKVCGKAFDYPSLFRIHERSH
+>Q7L945_PF00096_335 <unknown description>
+YKCKVCGKAFDFPSSFRIHERTH
+>Q7L945_PF00096_391 <unknown description>
+YKCTKCGKAFSRSSYFRIHERTH
+>Q7L945_PF00096_419 <unknown description>
+YECKQCGKAFSRSTYFRVHEKIH
+>Q5EBL2_PF00096_36 <unknown description>
+YECGECGKSFRWSSRLLHHQRTH
+>Q5EBL2_PF00096_64 <unknown description>
+YKCPDCPKAFKGSSALLYHQRGH
+>Q5EBL2_PF00096_92 <unknown description>
+YQCPDCPKAFKRSSLLQIHRSVH
+>Q5EBL2_PF00096_148 <unknown description>
+YPCPDCPKAFKNSSSLRRHRHVH
+>Q5EBL2_PF00096_176 <unknown description>
+YTCGVCGKSFTQSTNLRQHQRVH
+>Q5EBL2_PF00096_204 <unknown description>
+FRCPLCPKTFTHSSNLLLHQRTH
+>Q5EBL2_PF00096_454 <unknown description>
+YKCAECGKSFKGSSGLRYHLRDH
+>Q5EBL2_PF00096_482 <unknown description>
+YQCGECGKAFKRSSLLAIHQRVH
+>Q5EBL2_PF00096_538 <unknown description>
+YACGECGKAFRNTSCLRRHRHVH
+>Q5EBL2_PF00096_566 <unknown description>
+HACGVCGKSFAQTSNLRQHQRVH
+>Q5EBL2_PF00096_594 <unknown description>
+FRCPLCPKTFTHSSNLLLHQRTH
+>Q5EBL2_PF00096_622 <unknown description>
+FTCPICGRGFVMAAYLQRHLRTH
+>Q9UEG4_PF00096_150 <unknown description>
+YICNECGKSFSQWSKLLRHQRIH
+>Q9UEG4_PF00096_179 <unknown description>
+TCSECGKSFTQSSHLVQHQRTH
+>Q9UEG4_PF00096_206 <unknown description>
+YKCPDCGKCFSWSSNLVQHQRTH
+>Q9UEG4_PF00096_234 <unknown description>
+YKCTECEKAFTQSTNLIKHQRSH
+>Q9UEG4_PF00096_262 <unknown description>
+YKCGECRRAFYRSSDLIQHQATH
+>Q9UEG4_PF00096_290 <unknown description>
+YKCPECGKRFGQNHNLLKHQKIH
+>Q9UEG4_PF00096_318 <unknown description>
+YRCTECGKSFIQSSELTQHQRTH
+>Q9UEG4_PF00096_346 <unknown description>
+YECLECGKSFGHSSTLIKHQRTH
+>Q9UEG4_PF00096_374 <unknown description>
+FKCPVCGKTFTLSATLLRHQRTH
+>Q9UEG4_PF00096_402 <unknown description>
+YKCPECGKSFSVSSNLINHQRIH
+>Q9UEG4_PF00096_430 <unknown description>
+YICADCGKSFIMSSTLIRHQRIH
+>Q9UEG4_PF00096_458 <unknown description>
+YKCSDCGKSFIRSSHLIQHRRTH
+>Q9UEG4_PF00096_486 <unknown description>
+YKCPECGKSFSQSSNLITHVRTH
+>Q9UEG4_PF00096_714 <unknown description>
+FKCPECKQSFGLSSELLLHQKVH
+>Q2M218_PF01352_7 <unknown description>
+PVTFEDVAVDFTQEEWQQLNPAQKTLHRDVMLETYNHLVSVG
+>Q2M218_PF00096_264 <unknown description>
+VCSMCGKAFIKKSQLIIHQRIH
+>Q2M218_PF00096_291 <unknown description>
+YVCGDCRKAFSEKSHLIVHQRIH
+>Q2M218_PF00096_347 <unknown description>
+YECFECPKAFSQKSHLIIHQRVH
+>Q2M218_PF00096_403 <unknown description>
+YECTECGKTFPRKTQLIIHQRTH
+>Q2M218_PF00096_431 <unknown description>
+YKCGECGKTFCQQSHLIGHQRIH
+>Q2M218_PF00096_459 <unknown description>
+YVCTDCGKAFSQKSHLTGHQRLH
+>Q2M218_PF00096_487 <unknown description>
+YMCTECGKSFSQKSPLIIHQRIH
+>Q2M218_PF00096_515 <unknown description>
+YQCGECGKTFSQKSLLIIHLRVH
+>Q2M218_PF00096_543 <unknown description>
+YECTECGRAFSLKSHLILHQRGH
+>Q2M218_PF00096_571 <unknown description>
+YECSECGKAFCGKSPLIIHQKTH
+>Q14966_PF00076_679 <unknown description>
+LITELPEDGCTEEDVRKLFQPFGKVNDVLIVPYRKEAYLEMEFKEAITAIMK
+>Q14966_PF12171_1928 <unknown description>
+FFCPICSLFYSGEKAMTNHCKSTRHK
+>Q96N77_PF01352_94 <unknown description>
+LVTIKDVSLCFSQEEWRSLDPSQTDFYGEYVMQENCGIVVSL
+>Q96N77_PF00096_278 <unknown description>
+YSCLKCEKTFGRRHHLIRHQKTH
+>Q96N77_PF00096_358 <unknown description>
+HVCTECGKSFGRRHHLVRHWLTH
+>Q96N77_PF00096_386 <unknown description>
+FQCPRCEKSFGRKHHLDRHLLTH
+>O15015_PF00096_48 <unknown description>
+YRCQQCGRGYRHPGSLVNHRRTH
+>O15015_PF00096_75 <unknown description>
+FPCTTCGKDFSNPMALKSHMRTH
+>O15015_PF00096_239 <unknown description>
+YKCSQCGKTYKHAGSLTNHRQSH
+>O15015_PF00096_374 <unknown description>
+FRCGDCGRTYRHAGSLINHRKSH
+>O15015_PF00096_617 <unknown description>
+FACRDCGKSYRHSGSLINHRQTH
+>O15015_PF13912_848 <unknown description>
+YQCSLCPKEFDSLPALRSHFQNHR
+>O15015_PF00096_1203 <unknown description>
+FSCEVCGRSYKHAGSLINHRQSH
+>O15015_PF00096_1258 <unknown description>
+FRCSECGKAFRLRKQLASHQRVH
+>O15015_PF00096_1299 <unknown description>
+FRCGQCGRTYRHAGSLLNHRRSH
+>O15015_PF00096_1326 <unknown description>
+YSCPTCPKTYSNRMALKDHQRLH
+>O15015_PF00096_1365 <unknown description>
+RCALCGRSFPGRGSLERHLREH
+>O15015_PF00096_1585 <unknown description>
+FACPDCGKAFESHQELASHLQAH
+>O15015_PF00096_1677 <unknown description>
+FRCTQCGRSYRHAGSLLNHQKAH
+>O15015_PF13912_1731 <unknown description>
+RHCCSICGKAFRTAARLEGHG
+>Q5T619_PF00096_279 <unknown description>
+YACELCGKAYSHRGTLQQHRRLH
+>Q5T619_PF00096_335 <unknown description>
+YPCPDCGKAFVRSSDLRKHQRNMH
+>Q5T619_PF00096_364 <unknown description>
+FPCSECGLTFNKPLSLLRHQRTH
+>Q5T619_PF00096_420 <unknown description>
+FPCPTCGKCFTKSSNLSEHQTLH
+>Q5T619_PF00096_448 <unknown description>
+FKCADCGVAFAQPSRLVRHQRIH
+>Q5T619_PF00096_476 <unknown description>
+FPCTQCGQAFARSSTLKRHQQIH
+>Q5T619_PF00096_505 <unknown description>
+LCAECGRAFRIASELAQHIRMH
+>Q5T619_PF00096_532 <unknown description>
+YQCEDCGQAFTRSNHLQRHRAKH
+>Q9BS31_PF01352_7 <unknown description>
+SLTLEDVAVDFTWEEWQFLSPAQKDLYRDVMLENYSNLVSVG
+>Q9BS31_PF00096_178 <unknown description>
+HECTDCGKAFLKKSQLTEHKRIH
+>Q9BS31_PF13912_206 <unknown description>
+HVCSLCGKAFYKKYRLTEHERAHRG
+>Q9BS31_PF00096_264 <unknown description>
+CSECGKAFPRKSELTEHQRIH
+>Q9BS31_PF00096_290 <unknown description>
+HQCSECGRAFSRKSLLVVHQRTH
+>Q9BS31_PF00096_318 <unknown description>
+HTCSECGKGFIQKGNLNIHQRTH
+>Q9BS31_PF00096_350 <unknown description>
+DCGKAFSQKSCLVAHQRYH
+>Q9BS31_PF00096_374 <unknown description>
+FVCPECGQPCSQKSGLIRHQKIH
+>Q9BS31_PF00096_402 <unknown description>
+YKCSDCGKAFLTKTMLIVHHRTH
+>Q9Y2D9_PF00096_246 <unknown description>
+TCEKCPRVFNTRWYLEKHMNVTH
+>Q9Y2D9_PF00096_357 <unknown description>
+FTCETCGKSFKRSMSLKVHSLQH
+>Q9Y2D9_PF00096_385 <unknown description>
+FRCENCDERFQYKYQLRSHMSIH
+>Q9Y2D9_PF00096_441 <unknown description>
+FICEICGKSFTSRPNMKRHRRTH
+>Q96CK0_PF00096_499 <unknown description>
+VCPHPGCGKKFYLSNHLRRHMIIH
+>Q96CK0_PF00096_528 <unknown description>
+FTCETCGKSFKRKNHLEVHRRTH
+>Q96CK0_PF00096_557 <unknown description>
+QCEICGYQCRQRASLNWHMKKH
+>Q8IZM8_PF00096_572 <unknown description>
+FACVICGRKFRNRGLMQKHLKNH
+>Q8N720_PF00096_212 <unknown description>
+YKCDVCGKIFHQSSALTRHQRIH
+>Q8N720_PF00096_240 <unknown description>
+YKCKECEKSFSQSSSLSRHKRIH
+>Q8N720_PF00096_303 <unknown description>
+YKCSSCERVFSRSVHLTQHQKIH
+>Q8N720_PF00096_380 <unknown description>
+YTCSECGKDFRLNSHLIQHQRIH
+>Q8N720_PF00096_408 <unknown description>
+HECNECGKAFSQTSCLIQHHKMH
+>Q5TYW1_PF01352_7 <unknown description>
+SVSFQDVTVEFTREEWQHLGPVERTLYRDVMLENYSHLISVG
+>Q5TYW1_PF00096_440 <unknown description>
+YECNECGKAFCQNSNLSKHLRIH
+>Q5TYW1_PF00096_518 <unknown description>
+YECIECGKTFSKTSHLRAHQRIH
+>Q5TYW1_PF00096_546 <unknown description>
+YECVECEKTFSHKTHLSVHQRVH
+>Q5TYW1_PF00096_574 <unknown description>
+YECNDCGKSFTYNSALRAHQRIH
+>Q5TYW1_PF00096_602 <unknown description>
+YECSDCEKTFAHNSALRAHHRIH
+>Q5TYW1_PF00096_630 <unknown description>
+YECNECGRSFAHISVLKAHQRIH
+>Q5TYW1_PF00096_658 <unknown description>
+YECNECGRSFTYNSALRAHQRIH
+>Q5TYW1_PF00096_686 <unknown description>
+YECSDCEKTFAHNSALKIHQRIH
+>Q5TYW1_PF00096_714 <unknown description>
+YECNECEKTFAHNSALRAHQNIH
+>Q5TYW1_PF00096_742 <unknown description>
+YECSECGKTFFQKTRLSTHRRIH
+>Q5TYW1_PF00096_770 <unknown description>
+YECSKCGKTFSQKSYLSGHERIH
+>Q5TYW1_PF00096_798 <unknown description>
+YECNVCGKTFVYKAALIVHQRIH
+>Q5TYW1_PF00096_826 <unknown description>
+YECNQCGKTFSQRTHLCAHQRIH
+>Q5TYW1_PF00096_854 <unknown description>
+YECNECGKTFADNSALRAHHRIH
+>Q5TYW1_PF00096_882 <unknown description>
+YECNDCGKTFSKTSHLRAHLRT
+>Q5TYW1_PF00096_910 <unknown description>
+YECSECGKTFSEKSYVSAHQRVH
+>Q5TYW1_PF00096_938 <unknown description>
+YECNVCGKPFAHNSTLRVHQRIH
+>Q5TYW1_PF00096_966 <unknown description>
+YECNDCGKTFSQKSHLSAHQRIH
+>Q5TYW1_PF00096_994 <unknown description>
+YECNECGKAFAQNSTLRVHQRIH
+>Q5TYW1_PF00096_1022 <unknown description>
+YECDECGKTFVRKAALRVHHTRMH
+>Q6AZW8_PF00096_50 <unknown description>
+YVCTECGKAFSQSANLTVHERIH
+>Q6AZW8_PF00096_78 <unknown description>
+YKCKECGKAFSHSSNLVVHRRIH
+>Q6AZW8_PF00096_106 <unknown description>
+YTCSECGKSFSGKSHLIRHQGIH
+>Q6AZW8_PF00096_134 <unknown description>
+YECKECGKAFSRSSGLISHHRVH
+>Q6AZW8_PF00096_162 <unknown description>
+YSCIECGKAFSRSSNLTQHQRMH
+>Q6AZW8_PF00096_218 <unknown description>
+YECDECGKTFILRKTLNEHQRLH
+>Q6AZW8_PF00096_246 <unknown description>
+YKCNECGKAFTSNRNLVDHQRVH
+>Q6AZW8_PF00096_274 <unknown description>
+YKCNECGKTFRQTSQVILHLRTH
+>Q6AZW8_PF00096_302 <unknown description>
+YKCSECGKAYRYSSQLIQHQRKH
+>Q6ZS27_PF00096_192 <unknown description>
+YICEECGKCFDQNEDFDQHQKTH
+>Q6ZS27_PF00096_248 <unknown description>
+YECQECAKAFVWKSNLIRHQRIH
+>Q6ZS27_PF00096_276 <unknown description>
+FECKECGKGFSQNTSLTQHQRIH
+>Q6ZS27_PF00096_304 <unknown description>
+YTCKECGKSFTRNPALLRHQRMH
+>Q6ZS27_PF00096_332 <unknown description>
+YECKDCGKGFMWNSDLSQHQRVH
+>Q6ZS27_PF00096_360 <unknown description>
+HECTDCGKSFFCKAHLIRHQRIH
+>Q6ZS27_PF00096_388 <unknown description>
+YKCNDCGKAFSQNSVLIKHQRRH
+>Q8N3J9_PF00096_3 <unknown description>
+YKCPMCREFFSERADLFMHQKIH
+>Q8N3J9_PF00096_59 <unknown description>
+YKCDDCGKDFSTTTKLNRHKKIH
+>Q8N3J9_PF00096_87 <unknown description>
+YKCYECGKAFNWSSHLQIHMRVH
+>Q8N3J9_PF00096_115 <unknown description>
+YVCSECGRGFSNSSNLCMHQRVH
+>Q8N3J9_PF00096_143 <unknown description>
+FKCEECGKAFRHTSSLCMHQRVH
+>Q8N3J9_PF00096_171 <unknown description>
+YKCYECGKAFSQSSSLCIHQRVH
+>Q8N3J9_PF00096_199 <unknown description>
+YRCCGCGKAFSQSSSLCIHQRVH
+>Q8N3J9_PF00096_227 <unknown description>
+FKCDECGKAFSQSTSLCIHQRVH
+>Q9H7R5_PF01352_8 <unknown description>
+LTFKDVAIEFSQEEWTCLDPAQKTLYRDVMLENYRNLVSLD
+>Q9H7R5_PF00096_178 <unknown description>
+YKCDECGKVFSQNSRLTSHKRIH
+>Q9H7R5_PF00096_206 <unknown description>
+YQCNKCGKAFTVRSNLTIHQVIH
+>Q9H7R5_PF00096_234 <unknown description>
+YKCNECGKVFSQPSNLAGHQRIH
+>Q9H7R5_PF00096_262 <unknown description>
+YKCNECGKAFRAHSKLTTHQVIH
+>Q9H7R5_PF00096_290 <unknown description>
+YKCKECGKCFTQNSHLASHRRIH
+>Q9H7R5_PF00096_318 <unknown description>
+YKCNECGKAFSVRSSLTTHQTIH
+>Q9H7R5_PF00096_346 <unknown description>
+YKCNECGKVFRHNSYLAKHRRIH
+>Q9H7R5_PF00096_374 <unknown description>
+YKCNECGKAFSMHSNLTKHQIIH
+>Q9H7R5_PF00096_402 <unknown description>
+FKCNECVKVFTQYSHLANHRRIH
+>Q9H7R5_PF00096_430 <unknown description>
+YRCDECGKAFSVRSSLTTHQAIH
+>Q9H7R5_PF00096_458 <unknown description>
+YKCNDCGKVFTQNSHLASHRGIH
+>Q9H7R5_PF00096_486 <unknown description>
+YKCDECGKAFSQTSQLARHWRVH
+>Q9H7R5_PF00096_514 <unknown description>
+YKCNECGKAFSVHSSLTIHQTIH
+>Q9H7R5_PF00096_542 <unknown description>
+YKCNDCGKVFRHNSYLAIHQRIH
+>Q9H7R5_PF00096_570 <unknown description>
+YKCNECGKAFSVHSNLATHQVIH
+>Q9H7R5_PF00096_598 <unknown description>
+YKCNECGKVFTQNSHLANHRRIH
+>Q9H7R5_PF00096_626 <unknown description>
+YRCNECGKAFSVRSTLTTHMAVH
+>Q9H7R5_PF00096_654 <unknown description>
+YKCNQCGKVFTQNSNLAKHRRIH
+>Q5HYK9_PF01352_13 <unknown description>
+PITFGDLAIYFSQEEWEWLSPIQKDLYEDVMLENYRNLVSLG
+>Q5HYK9_PF00096_145 <unknown description>
+KCNDCGKTFSRSFSLKLHQNIH
+>Q5HYK9_PF00096_200 <unknown description>
+HECNKCGESFNQRTTLILHMRIH
+>Q5HYK9_PF00096_330 <unknown description>
+FKCRKCGKLFNRISPLMLHQRIH
+>Q5HYK9_PF00096_358 <unknown description>
+YKCDKCDKFFRRLSTLILHLRIH
+>Q5HYK9_PF00096_386 <unknown description>
+YRCNKCEKVCNRHSSLIQHQKVH
+>Q5HYK9_PF00096_415 <unknown description>
+FECKECGKMFSGTANLKIHQNIH
+>Q5HYK9_PF00096_443 <unknown description>
+FKCNKCSKVFGRQSFLIEHQRIH
+>Q5HYK9_PF00096_471 <unknown description>
+YQCEECGKAFSHRISLTRHKRIH
+>Q5HYK9_PF00096_499 <unknown description>
+YECDQCGKAFSQSAHLAQHERIH
+>Q5HYK9_PF00096_527 <unknown description>
+YTCKTCGKAFSQRTSLILHERSH
+>Q5HYK9_PF00096_555 <unknown description>
+YECNECGKAFSSGSDLIRHQRSH
+>Q5HYK9_PF00096_583 <unknown description>
+YECSKCGKAYSRSSSLIRHQNTH
+>Q96K58_PF00096_84 <unknown description>
+YACPLCPKAYKTAPELRSHGRSH
+>Q96K58_PF00096_112 <unknown description>
+FPCPECGRRFMQPVCLRVHLASH
+>Q96K58_PF00096_140 <unknown description>
+FRCAHCPKAYGALSKLKIHQRGH
+>Q96K58_PF00096_168 <unknown description>
+YACADCGKSFADPSVFRKHRRTH
+>Q96K58_PF00096_225 <unknown description>
+LCSECGKSFSRSSSLTCHQRIH
+>Q96K58_PF00096_252 <unknown description>
+YRCPACGKGFTQLSSYQSHERTH
+>Q96K58_PF00096_281 <unknown description>
+LCPRCGRMFSDPSSFRRHQRAH
+>Q96K58_PF00096_308 <unknown description>
+YHCEKCGKDFRQPADLAMHRRVH
+>Q96K58_PF00096_336 <unknown description>
+FKCLQCDKTFVASWDLKRHALVH
+>Q96K58_PF00096_364 <unknown description>
+FRCEECGRAFAERASLTKHSRVH
+>Q96K58_PF00096_392 <unknown description>
+FHCNACGKSFVVSSSLRKHERTH
+>Q96K58_PF00096_516 <unknown description>
+FVCRECKETFSTMTLLRRHERSH
+>Q96K58_PF00096_544 <unknown description>
+FPCTQCGKSFSDRAGLRKHSRTH
+>Q96K58_PF13894_572 <unknown description>
+YTCPHCPKAFLSASDLRKHERTH
+>Q96BR6_PF01352_3 <unknown description>
+SVAFEDVAVNFTQEEWALLDSSQKNLYREVMQETCRNLASVG
+>Q96BR6_PF00096_104 <unknown description>
+ECSICGKVFVRHSLLNRHILAH
+>Q96BR6_PF13894_136 <unknown description>
+YKCEQCGKFFVSVPGVRRHMIMH
+>Q96BR6_PF00096_164 <unknown description>
+YKCTICGKAFYFLNSVERHQRTH
+>Q96BR6_PF00096_220 <unknown description>
+YECKECGKTFRFSCSFKTHERTH
+>Q96BR6_PF00096_276 <unknown description>
+YECKQCGKAFSRLSSLCNHRSTH
+>Q96BR6_PF00096_304 <unknown description>
+YECKQCDQAFSRLSSLHLHERIH
+>Q96BR6_PF00096_332 <unknown description>
+YECKKCGKAYTRSSHLTRHERSH
+>Q9BS34_PF01352_3 <unknown description>
+SVSFEDVAVAFTQEEWALLDPSQKNLYRDVMQEIFRNLASVG
+>Q9BS34_PF00096_197 <unknown description>
+YKCKHCDKAFNYSSYLREHERTH
+>Q9BS34_PF00096_225 <unknown description>
+YACKKCGKSFTFSSSLRQHERSH
+>Q9BS34_PF00096_253 <unknown description>
+YECKECGKAFSRSTYLGIHERTH
+>Q9BS34_PF00096_309 <unknown description>
+YECKQCGKAFKYSSNLCEHERTH
+>Q9BS34_PF00096_339 <unknown description>
+CKECGKSFTSSSALRSHERTH
+>Q9BS34_PF00096_365 <unknown description>
+YECKKCGKAFSCSSSLRKHER
+>Q8TAW3_PF01352_49 <unknown description>
+VVFEDVFVYFSREEWELLDDAQRLLYHDVMLENFALLASLG
+>Q8TAW3_PF00096_285 <unknown description>
+HRCGECGKAFTRKDTLARHQRIH
+>Q8TAW3_PF00096_313 <unknown description>
+YECNECGKFFSQSYDLFKHQTVH
+>Q8TAW3_PF00096_341 <unknown description>
+YECSECGKFFRQISGLIEHRRVH
+>Q8TAW3_PF00096_369 <unknown description>
+YQCGKCGKFFSSKSNLIRHQEVH
+>Q8TAW3_PF00096_397 <unknown description>
+YVCSECGKEFSRKHTLVLHQRTH
+>Q8TAW3_PF00096_425 <unknown description>
+YECSECGKAFSQSSHLNVHWRIH
+>Q8TAW3_PF00096_479 <unknown description>
+YECSKCGKAFTQRPNLIRHWKVH
+>Q8TAW3_PF00096_507 <unknown description>
+YVCSECGREFIRKQTLVLHQRVH
+>Q499Z4_PF00096_14 <unknown description>
+YSCSECGKSFCYSSVLLRHERAH
+>Q499Z4_PF00096_42 <unknown description>
+FRCLECGERCARAADLRAHRRTH
+>Q499Z4_PF00096_199 <unknown description>
+HQCGVCGKCFGKSSTLTRHLQTH
+>Q499Z4_PF13894_227 <unknown description>
+FKCPECGKGFLESATLVRHQRTH
+>Q499Z4_PF00096_255 <unknown description>
+YACGDCGRCFSESSTLLRHRRSH
+>Q499Z4_PF00096_284 <unknown description>
+ACATCGKGFGQRSDLVVHQRIH
+>Q499Z4_PF00096_311 <unknown description>
+FACPECGRRFSDRSDLTKHRRTH
+>Q499Z4_PF13894_339 <unknown description>
+YRCELCGKRFTCVSNLNVHRRNH
+>Q499Z4_PF00096_367 <unknown description>
+HKCPECSKAFSVASKLALHRKTH
+>Q499Z4_PF00096_396 <unknown description>
+ECAECGKCFSHSRSLSQHQRAH
+>Q8TD23_PF01352_4 <unknown description>
+LTFRDVAIEFSLEEWQCLDTAQRNLYKNVILENYRNLVFLG
+>Q8TD23_PF00096_172 <unknown description>
+FKCKECGRSFCMLSHLTRHER
+>Q8TD23_PF00096_256 <unknown description>
+YKCEECGKAFNQSSHLTTHKIIH
+>Q8TD23_PF00096_284 <unknown description>
+YKCEECGKAFNQFSNLTTHKKIH
+>Q8TD23_PF00096_312 <unknown description>
+YICEECGKAFTQSSTLTTHKRIH
+>Q8TD23_PF00096_340 <unknown description>
+YKCEECGKAFNRSSKLTEHKNIH
+>Q8TD23_PF00096_368 <unknown description>
+YKCEECGKAFNRSSNLTEHRKIH
+>Q8TD23_PF00096_396 <unknown description>
+YKCKECGKAFKHSSALTTHKRIH
+>Q8TD23_PF00096_424 <unknown description>
+YKCEECGKAFNRSSKLTEHKKLH
+>Q8TD23_PF00096_452 <unknown description>
+YKCEECGKAFIQSSKLTEHKKIH
+>Q8TD23_PF00096_480 <unknown description>
+YKCEECGKAFKHSSSLTTHKRIH
+>Q8TD23_PF00096_508 <unknown description>
+YKCEECGKAFSRSSKLTEHKIIH
+>Q8TD23_PF00096_536 <unknown description>
+YKCERCDKAFNQSANLTKHKKIH
+>Q8N7Q3_PF13912_197 <unknown description>
+YKCEECGKAFSKFSILTKHK
+>Q8N7Q3_PF00096_225 <unknown description>
+YKCEECGKAFNRSSILTKHKIIH
+>Q8N7Q3_PF00096_253 <unknown description>
+YKCEECGKGFSSVSTLNTHKAIH
+>Q8N7Q3_PF00096_281 <unknown description>
+YKCEECGKASNSSSKLMEHKRIH
+>Q8N7Q3_PF00096_309 <unknown description>
+YKCEECGKAFSWSSSLTEHKRIH
+>Q8N7Q3_PF00096_337 <unknown description>
+YKCEECGKAFNRSSILTKHKIIH
+>Q8N7Q3_PF00096_365 <unknown description>
+YKCEGCGKAFSKVSTLNTHKAIH
+>Q8N7Q3_PF00096_393 <unknown description>
+YKCEECGKASNSSSKLMEHKRIH
+>Q8N7Q3_PF00096_421 <unknown description>
+YKCEECGKAFSWSSSLTEHKRIH
+>Q8N7Q3_PF00096_449 <unknown description>
+YKCEECGKAFTWSSSFTKHKRIH
+>Q8N7Q3_PF00096_477 <unknown description>
+YKCEECGKGFSTFSILTKHKIIH
+>Q8N7Q3_PF13465_547 <unknown description>
+SLTRHKRIHTGEKPYKCEECGKAFKS
+>Q86XU0_PF01352_9 <unknown description>
+TFKDVAIEFSQEEWECLDPAQRALYRDVMLENYRNLLSLD
+>Q86XU0_PF00096_263 <unknown description>
+YKCNDCGKAFSKSSNLTNHQRIH
+>Q86XU0_PF00096_291 <unknown description>
+YKCNECGKAFNQCSNLTRHQRVH
+>Q86XU0_PF00096_319 <unknown description>
+YQCNICGKVCSQNSNLASHQRMH
+>Q86XU0_PF00096_347 <unknown description>
+YKCNECGKAFIQRSHLWGHERIH
+>Q86XU0_PF00096_375 <unknown description>
+YKCNECDKAFAERSSLTQHKRIH
+>Q86XU0_PF00096_403 <unknown description>
+YICNECGKAFKQCSHLTRHQNIH
+>Q86XU0_PF00096_431 <unknown description>
+HKCNVCGRAFIQSSSLVEHQRIH
+>Q86XU0_PF00096_459 <unknown description>
+YKCNKCDKAFIKRSHLWGHQRTH
+>Q86XU0_PF00096_487 <unknown description>
+YKCTECGKAFTERSNLTQHKKIH
+>Q86XU0_PF00096_515 <unknown description>
+YKCTECGKAFTQFANLTRHQKIH
+>Q5SXM1_PF00096_125 <unknown description>
+YKCEECGKVFNRCSNLTKHKRIH
+>Q5SXM1_PF00096_153 <unknown description>
+YKCDECGKVFNWWSQLTNHKKIH
+>Q5SXM1_PF00096_181 <unknown description>
+YKCDECDKVFNWWSQLTSHKKIH
+>Q5SXM1_PF00096_209 <unknown description>
+YPCEECGKAFTQFSNLTQHKRIH
+>Q5SXM1_PF13912_237 <unknown description>
+YKCKECCKAFNKFSNLTQHKRIH
+>Q5SXM1_PF00096_265 <unknown description>
+YKCEECGNVFNECSHLTRHRRIH
+>Q5SXM1_PF13465_307 <unknown description>
+SLTRHKRIHTGEKPYQCEECGKTFN
+>Q5SXM1_PF00096_349 <unknown description>
+YKCEECGRTFTQFSNLTQHKRIH
+>Q5SXM1_PF00096_377 <unknown description>
+YKCKECGKAFNKFSSLTQHRRIH
+>Q5SXM1_PF00096_405 <unknown description>
+YKCEECGKVFKQCSHLTSHKRIH
+>Q5SXM1_PF00096_433 <unknown description>
+YKCKECGKAFYQSSILSKHKRIH
+>Q5SXM1_PF00096_461 <unknown description>
+YKCEECGKAFNQFSSLTRHKRIH
+>Q8IYX0_PF01352_16 <unknown description>
+LTFRDVVIEFSLEEWQCLDHAQQNLYRDVMLENYRNLVSLG
+>Q8IYX0_PF00096_212 <unknown description>
+YQCEECGKPFNCSSTLSKHKRIH
+>Q8IYX0_PF00096_240 <unknown description>
+YRCEECGKAFTWSSTLTKHRRIH
+>Q8IYX0_PF00096_268 <unknown description>
+YTCEECGQAFSRSSTLANHKRIH
+>Q8IYX0_PF00096_296 <unknown description>
+YTCEECGKAFSLSSSLTYHKRIH
+>Q8IYX0_PF00096_324 <unknown description>
+YTCEECGKAFNCSSTLKKHKIIH
+>Q8IYX0_PF00096_352 <unknown description>
+YKCKECGKAFAFSSTLNTHKRIH
+>Q8IYX0_PF00096_380 <unknown description>
+YKCEECDKAFKWSSSLANHKSMH
+>Q8NEM1_PF01352_12 <unknown description>
+PLTFRDVAIEFSLEEWQCLDTAQRNLYRKVMFENYRNLVFLG
+>Q8NEM1_PF00096_182 <unknown description>
+FKCKECGKSFCMLSHLTQHIRIH
+>Q8NEM1_PF13912_210 <unknown description>
+YKCEECGKVLNWFSELIKHKGIH
+>Q8NEM1_PF00096_238 <unknown description>
+YKCEECGKAFNQSSTLIKHKKIH
+>Q8NEM1_PF13912_294 <unknown description>
+YKCDECHKAFNWFATLTNHKRIH
+>Q8NEM1_PF00096_322 <unknown description>
+FKCEECGKDFNQFSNLTKHKKIH
+>Q8NEM1_PF00096_350 <unknown description>
+YKCEECGKAFNQFANLTRHKKIH
+>Q8NEM1_PF00096_378 <unknown description>
+YKCEECGKAFIQSSNLTEHMRIH
+>Q8NEM1_PF00096_406 <unknown description>
+YKCEECGKAFNGCSSLTRHKRIH
+>Q8NEM1_PF00096_434 <unknown description>
+YKCEECGKGFTLFSTLTNHKVIH
+>Q8NEM1_PF00096_490 <unknown description>
+YKCEECGKAFNRSSHLTRHKKIH
+>Q96N22_PF01352_4 <unknown description>
+LKFRDVAIEFSLEEWQCLDTIQQNLYRNVMLENYRNLVFLG
+>Q96N22_PF00096_198 <unknown description>
+YKCEDCGKAFNGSSIFTKHKRIH
+>Q96N22_PF00096_314 <unknown description>
+ECGKAFNQSSHLTRHKIIH
+>Q96N22_PF00096_338 <unknown description>
+YKCEECGKAFNQSSHLTRHKIIH
+>Q96N22_PF00096_366 <unknown description>
+YRCEECGKAFRQSSHLTTHKIIH
+>Q96N22_PF00096_394 <unknown description>
+YKCEECGKAFNKSSHLTRHKSIH
+>Q96N22_PF00096_422 <unknown description>
+YQCEKCGKASNQSSNLTEHKNIH
+>Q96N22_PF00096_450 <unknown description>
+YKCEECGKAFNQFSNLTTHKRIH
+>Q96N22_PF00096_478 <unknown description>
+YKCEECGKAFNQSSILTTHKRIH
+>Q96N22_PF00096_506 <unknown description>
+YKCEECGKAFYRSSKLTEHKKIH
+>Q96N22_PF00096_534 <unknown description>
+YTCEECGKAFNHSSHLATHKVIH
+>Q96N22_PF00096_562 <unknown description>
+YQCEECGKAFNQSSHLTRHKRIH
+>Q96N22_PF00096_590 <unknown description>
+YQCEKCGKAFNQSSNLTGHKKIH
+>O95780_PF01352_4 <unknown description>
+LTFRDVTIEFSLEEWEFLNPAQQSLYRKVMLENYRNLVSLG
+>O95780_PF00096_173 <unknown description>
+FKCMQCGKVFKSHSGLSYHKIIH
+>O95780_PF00096_229 <unknown description>
+YKCEECGKAFNWCSSLTKHKRIH
+>O95780_PF00096_285 <unknown description>
+YTCEDCGRAFNRHSHLTKHKTIH
+>O95780_PF00096_313 <unknown description>
+YKCKECGKAFNHCSLLTIHERTH
+>O95780_PF00096_341 <unknown description>
+YKCEECGKAFNSSSILTEHKVIH
+>O95780_PF00096_369 <unknown description>
+YKCEKCDKVFKRFSYLTKHKRIH
+>O95780_PF00096_425 <unknown description>
+YNCEECGKAFNRCSHLTRHKKIH
+>O95780_PF00096_453 <unknown description>
+YKCEECGKAFKRCSHLNEHKR
+>Q8IZ20_PF00096_322 <unknown description>
+YECNICGKSFGQLSNLKVHLRVH
+>Q8IZ20_PF00096_350 <unknown description>
+FQCALCQKSFTQLAHLQKHHLVH
+>Q8IZ20_PF00096_378 <unknown description>
+HKCSVCHKRFSSSSNLKTHLRLH
+>Q5T5D7_PF01352_7 <unknown description>
+SVTFQDVAVDFTAEEWQLLDCAERTLYWDVMLENYRNLISVG
+>Q5T5D7_PF00096_159 <unknown description>
+YECSECGKAFKKKFHFIRHEKNH
+>Q5T5D7_PF00096_187 <unknown description>
+FECNDCGKAYSRKAHLATHQKIH
+>Q5T5D7_PF00096_215 <unknown description>
+FVCNDCGKAFMHKAQLVVHQRLH
+>Q5T5D7_PF00096_243 <unknown description>
+YECSQCGKTFTWNSSFNQHVKSH
+>Q5T5D7_PF00096_271 <unknown description>
+FECKECGKTFRYSSSLYKHSRFH
+>Q5T5D7_PF00096_299 <unknown description>
+YQCIICGKAFGNTSVLVTHQRIH
+>Q5T5D7_PF00096_327 <unknown description>
+YSCIECGKAFIKKSHLLRHQITH
+>Q5T5D7_PF00096_355 <unknown description>
+YECNRCGKAFSQKSNLIVHQKIH
+>Q8N1G0_PF00096_993 <unknown description>
+FPCRLCERSFCSAPSLRRHVRVNH
+>Q8N1G0_PF16622_1134 <unknown description>
+AQQCLDCGLCFASPGSLSRHRFISHK
+>Q8N1G0_PF00096_1201 <unknown description>
+TCKVCGKSCDSPLNLKTHFRTH
+>P0C7X2_PF01352_26 <unknown description>
+VSFADVAVYFSPEEWGCLRPAQRALYRDVMQETYGHLGALG
+>P0C7X2_PF00096_183 <unknown description>
+HVCTDCGRRFTYPSLLVSHRRMH
+>P0C7X2_PF00096_211 <unknown description>
+FPCPECGMRFKRKFAVEAHQ
+>Q96CS4_PF01352_30 <unknown description>
+KFVDVAVYFSPEEWGCLRPAQRALYRDVMRETYGHLGALG
+>Q96CS4_PF00096_177 <unknown description>
+YPCPDCGRRFSYPSLLVSHRRAH
+>Q96CS4_PF00096_205 <unknown description>
+YVCDQCGKRFSQRKNLSQHQVIH
+>Q96CS4_PF00096_233 <unknown description>
+YHCPDCGRCFRRSRSLANHRTTH
+>Q96CS4_PF00096_261 <unknown description>
+HQCPSCGRRFAYPSLLAIHQRTH
+>Q96CS4_PF00096_289 <unknown description>
+YTCLECNRRFRQRTALVIHQRIH
+>Q96CS4_PF00096_317 <unknown description>
+YPCPDCERRFSSSSRLVSHRRVH
+>Q96CS4_PF00096_373 <unknown description>
+YPCPDCGRAFRRSGSLAIHRSTH
+>Q96CS4_PF00096_401 <unknown description>
+HACDDCGRRFAYPSLLASHRRVH
+>Q96CS4_PF00096_429 <unknown description>
+YACDLCSKRFAQWSHLAQHQLLH
+>Q5VV52_PF00096_115 <unknown description>
+FICAQCGKTFNNTSNLRTHQRIH
+>Q5VV52_PF00096_143 <unknown description>
+YKCSECGKSFSRSSNRIRHERIH
+>Q5VV52_PF00096_171 <unknown description>
+YKCPKCQESFRRRSDLTTHQQDH
+>Q5VV52_PF00096_199 <unknown description>
+YRCDICGKSFSQSATLAVHHRTH
+>Q5VV52_PF00096_227 <unknown description>
+YICCECGKSFSNSSSFGVHHRTH
+>Q5VV52_PF00096_255 <unknown description>
+YECTECGRTFSDISNFGAHQRTH
+>Q5VV52_PF00096_283 <unknown description>
+YRCTVCGKHFSRSSNLIRHQKTH
+>Q9BU19_PF00096_359 <unknown description>
+FSCPEPACGKSFNFKKHLKEHMKLH
+>Q9BU19_PF00096_389 <unknown description>
+YICEFCARSFRTSSNLVIHRRIH
+>Q9BU19_PF00096_418 <unknown description>
+QCEICGFTCRQKASLNWHQRKH
+>Q8IW36_PF01352_4 <unknown description>
+LAFRDVALEFSPEEWECLDPAQRSLYRDVMLENYRNLISLG
+>Q8IW36_PF00096_268 <unknown description>
+YRCEECGKAFNLCSVLTKHKKIH
+>Q8IW36_PF00096_296 <unknown description>
+YKCEECGKSFKLFPYLTQHKRIH
+>Q8IW36_PF00096_324 <unknown description>
+YKCEECGKVFKLLSYLTQHRRIH
+>Q8IW36_PF00096_352 <unknown description>
+FRCEECGKAFNQSSHLTEHRRIH
+>Q8IW36_PF00096_380 <unknown description>
+YKCEECGKAFTWFSYLIQHKRIH
+>Q8IW36_PF00096_408 <unknown description>
+YKCEECGKAFTWFSYLTQHKRIH
+>Q8IW36_PF00096_436 <unknown description>
+YKCDECGKAFNWFSYLTNHKRIH
+>Q8IW36_PF00096_464 <unknown description>
+YKCEECGKAFGQSSHLSKHKTIH
+>Q8IW36_PF00096_492 <unknown description>
+YKCEECGKAFNHSAQLAVHEKTH
+>Q9H7X3_PF00096_153 <unknown description>
+YECSDCGKAFIHSSHVVRHQRAH
+>Q9H7X3_PF00096_181 <unknown description>
+YACAECGKAFGQSFNLLRHQRVH
+>Q9H7X3_PF00096_209 <unknown description>
+YACADCGKAFGQRSDAAKHRRTH
+>Q9H7X3_PF00096_237 <unknown description>
+YACGECGKRFLHSSNVVRHRRTH
+>Q9H7X3_PF00096_265 <unknown description>
+YECRECGQAFSQSSNLLQHQRVH
+>Q9H7X3_PF00096_293 <unknown description>
+FACQDCGRAFSRSSFLREHRRIH
+>Q9H7X3_PF00096_321 <unknown description>
+HQCGHCGRAFRALSGFFRHQRLH
+>Q9H7X3_PF00096_349 <unknown description>
+FRCTECGRAFRLSFHLIQHRRVH
+>Q5TEC3_PF00096_261 <unknown description>
+FRCGECGKGFSRNTYLTNHLRLH
+>Q5TEC3_PF00096_291 <unknown description>
+CADCGKSFSWRADLLKHRRLH
+>Q5TEC3_PF00096_317 <unknown description>
+YPCPECGEAFSLSSHLLSHRRAH
+>Q5TEC3_PF00096_353 <unknown description>
+FACGECGKGFVRRSHLANHQRIH
+>Q5TEC3_PF00096_383 <unknown description>
+CGECGKRFSWRSDLVKHQRVH
+>Q5TEC3_PF00096_409 <unknown description>
+YMCSECGETFSVSSHLFTHKRTH
+>Q5TEC3_PF00096_437 <unknown description>
+YVCRECGKGFGRNSHLVNHLRVH
+>Q5TEC3_PF00096_465 <unknown description>
+FRCGQCEKRFSDFSTLTQHQRTH
+>Q5TEC3_PF00096_493 <unknown description>
+YTCIECGKSFIQSSHLIRHRRIH
+>Q5TEC3_PF00096_521 <unknown description>
+HKCAGCGKGFRYKTHLAQHQKLH
+>Q32M78_PF01352_18 <unknown description>
+SVVFEDVAVDFTQEEWALLDLAQRNLYRDVMLENFQNLASLG
+>Q32M78_PF00096_196 <unknown description>
+ECHECGKAFVDHSSLKSHIRSH
+>Q32M78_PF00096_279 <unknown description>
+YECKECGKGFSCSSSLTEHKRIH
+>Q32M78_PF00096_307 <unknown description>
+YECKECGKAFSCSSSLSKHKRIH
+>Q32M78_PF00096_335 <unknown description>
+YECKECGKAFSSSSHLIIHIRIH
+>Q32M78_PF00096_363 <unknown description>
+YECKECGKAFSESSKLTVHGRTH
+>Q32M78_PF00096_391 <unknown description>
+YKCKECGKAYNCPSSLSIHMRKH
+>Q32M78_PF00096_447 <unknown description>
+YECKECGKAFSCPSSFRAHVRDH
+>Q32M78_PF00096_475 <unknown description>
+YECKECGKTFSRSSSLTEHLRTH
+>Q32M78_PF00096_503 <unknown description>
+YECKECGKAFISSSHLTVHIRTH
+>Q32M78_PF00096_531 <unknown description>
+YECKKCGKAFIYPSALRIHMRTH
+>Q32M78_PF00096_559 <unknown description>
+YECKECGKAFRHSSYLTVHARMH
+>Q32M78_PF00096_587 <unknown description>
+FECLECGKAFSCPSSFRRHVRSH
+>Q9H0M5_PF01352_23 <unknown description>
+PVAFEDVAVNFTQEEWTLLDISQKNLFREVMLETFRNLTSIG
+>Q9H0M5_PF00096_194 <unknown description>
+YACKVCGKTFIFHSSIRRHMVMH
+>Q9H0M5_PF00096_250 <unknown description>
+YECKQCGKSFTYSATLQIHERTH
+>Q9H0M5_PF00096_306 <unknown description>
+YQCKECGKAFAYTSSLRRHERTH
+>Q9H0M5_PF00096_362 <unknown description>
+YKCKICGKGFYSAKSFQTHEKTH
+>Q9H0M5_PF00096_390 <unknown description>
+YKCKQCGKAFNLSSSFRYHERIH
+>Q9H0M5_PF00096_418 <unknown description>
+YECKQCGKAFRSASQLRVHGGTH
+>Q9H0M5_PF00096_446 <unknown description>
+YECKECGKAFRSTSHLRVHGRTH
+>Q9H0M5_PF00096_474 <unknown description>
+YECKECGKAFRYVKHLQIHERTEKH
+>Q9H0M5_PF00096_564 <unknown description>
+YECKQCGKAFRSASHLRMHERTH
+>Q9H0M5_PF00096_592 <unknown description>
+YECKQCGKAFSCASNLRKHGRTH
+>Q9H0M5_PF00096_620 <unknown description>
+YECKQCGKAFRSASNLQMHERTH
+>Q9H0M5_PF00096_704 <unknown description>
+YECKECGKAFNYFSSLHIHARTH
+>Q9NV72_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q9NV72_PF00096_270 <unknown description>
+YTCNECGKTFSHNSALLVHKAIH
+>Q9NV72_PF00096_298 <unknown description>
+YKCNECGKVFNQQSNLARHHRVH
+>Q9NV72_PF00096_326 <unknown description>
+YKCEECDKVFSRKSHLERHRRIH
+>Q9NV72_PF00096_354 <unknown description>
+YKCKVCDKAFRRDSHLAQHTVIH
+>Q9NV72_PF00096_382 <unknown description>
+YKCNECGKTFVQNSSLVMHKVIH
+>Q9NV72_PF00096_410 <unknown description>
+YKCNECGKVFNHKSNLACHRRLH
+>Q9NV72_PF00096_438 <unknown description>
+YKCNECGKVFNRKSNLERHHRLH
+>Q9H7S9_PF12402_311 <unknown description>
+SSIGYHGSIVGAYAGYPSQFVPGLDPSKSGLVGGQLSGGLGLPPGKPPSSSPLTG
+>Q9Y5V0_PF04419_1 <unknown description>
+MARGQQKIQSQQKNAKKQAGQKKKQGHDQKAAAKAAL
+>Q9Y5V0_PF12874_39 <unknown description>
+YTCTVCRTQMPDPKTFKQHFESK
+>Q96C28_PF01352_7 <unknown description>
+PVTFRDVAIYFSREEWACLEPSQRALYRDVMLDNFSSVAALG
+>Q96C28_PF00096_204 <unknown description>
+FECPECGQTFRWASNLQRHQKNH
+>Q96C28_PF00096_260 <unknown description>
+YSCGDCGKAFKQKSNLLRHQLVH
+>Q96C28_PF00096_288 <unknown description>
+FYCADCGKAFRTKENLSHHQRVH
+>Q96C28_PF00096_344 <unknown description>
+YECGHCGKGFRHLGFFTRHQRTH
+>P17019_PF01352_3 <unknown description>
+PLTFMDVAIEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLG
+>P17019_PF00096_168 <unknown description>
+FKCKECGKSFCMLSQLTQHEIIH
+>P17019_PF00096_196 <unknown description>
+YKCEECGKAFKKSSNLTNHKIIH
+>P17019_PF00096_224 <unknown description>
+YKCEECGKAFNQSSTLTRHKIIH
+>P17019_PF00096_252 <unknown description>
+YKCEECGKAFNRSSNLTKHKIVH
+>P17019_PF00096_280 <unknown description>
+YKCEECGKAFKQSSNLTNHKKIH
+>P17019_PF00096_308 <unknown description>
+YKCGECGKAFTLSSHLTTHKRIH
+>P17019_PF00096_336 <unknown description>
+YKCEECGKAFSVFSTLTKHKIIH
+>P17019_PF00096_364 <unknown description>
+YKCEECGKAFNRSSHLTNHKVIH
+>P17019_PF00096_392 <unknown description>
+YKCEECGKAFTKSSTLTYHKVIH
+>P17019_PF00096_448 <unknown description>
+YKCEECGKTFNYSSNFTNHKKIH
+>P17019_PF00096_476 <unknown description>
+YKCEECGKSFILSSHLTTHKIIH
+>P17019_PF00096_504 <unknown description>
+YKCKECGKAFNQSSTLMKHKIIH
+>P17019_PF00096_532 <unknown description>
+YKCEECGKAFNQSPNLTKHKRIH
+>Q8N972_PF01352_3 <unknown description>
+SVVFEDVAVNFTQEEWALLGPSQKKLYRDVMQETFVNLASIG
+>Q8N972_PF00096_140 <unknown description>
+YECKECGKRFSFRSSFRIHERTH
+>Q8N972_PF00096_168 <unknown description>
+YKCKQCGKAFSWPSSFQIHERTH
+>Q8N972_PF00096_196 <unknown description>
+YECKECGKAFIYHTTFRGHMRMH
+>Q8N972_PF00096_224 <unknown description>
+YKCKECGKTFSHPSSFRNHERTH
+>Q8N972_PF00096_252 <unknown description>
+YECKQCGKAFRYYQTFQIHERTH
+>Q8N972_PF00096_308 <unknown description>
+YKCKKCGKAFSFPSSFRKHERIH
+>Q8N972_PF00096_336 <unknown description>
+YDCKECGKAFISLPSYRRHMIMH
+>Q8N972_PF00096_364 <unknown description>
+YKCKECGKAFDCPSSFQIHERTH
+>Q8N972_PF00096_476 <unknown description>
+YECKQCGKAFSFSSSFRMHERTH
+>Q8N1W2_PF00096_296 <unknown description>
+QCRMCEKSYTSKYNLVTHILGH
+>Q8N1W2_PF00096_323 <unknown description>
+HSCPHCSKLFKQPSHLQTHLLTH
+>Q8N1W2_PF00096_351 <unknown description>
+HKCQVCHKAFTQTSHLKRHMLLH
+>Q8N1W2_PF00096_379 <unknown description>
+YSCHFCGRGFAYPSELKAHEVKH
+>Q8N1W2_PF00096_407 <unknown description>
+HVCVECGLDFSTLTQLKRHLASH
+>Q8N1W2_PF00096_435 <unknown description>
+YQCLECDKSFHYRSQLQNHMLKH
+>Q8N1W2_PF00096_463 <unknown description>
+FVCTECGMEFSQIHHLKQHSLTH
+>Q8N1W2_PF00096_491 <unknown description>
+FKCEVCGREFTLQANMKRHMLIH
+>Q8N1W2_PF00096_519 <unknown description>
+YQCHICFKTFVQKQTLKTHMIVH
+>Q8N1W2_PF13912_547 <unknown description>
+FKCKVCGKSFNRMYNLLGHM
+>Q8N1W2_PF00096_575 <unknown description>
+FKCPYCSSKFNLKGNLSRHMKVKH
+>Q9Y462_PF04704_66 <unknown description>
+LAAEVVHGPDIITETDVVTEGVIVPEAVLEADVAIEEDLEEDDGDHILTSELITETVRVP
+EQVFVADLVTGPNGHLEHVVQDCVSGVDSPTMVSEEVLVTNSDTETVIQAAGGVPGSTVT
+IKTEDDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTPLKIGSDGSQEDAKEDGFGSEVIK
+VYIFKAEAEDDVEIGGTEIVTESEYTSGHSVAGVLDQSRMQREKMVYMAVKDSSQEEDDI
+SCAEIADEVYMEVIVGEEEGTSLPEIQLEDSDVNKTVVPVVWAAAYGDERRVSRRYEDCQ
+ASGNTLDSALESRSSTAAQYLQICDGINTNKVLKQKAKKRRRGETRQWQ
+>Q9Y462_PF00096_429 <unknown description>
+YPCHICTKKFKSRGFLKRHMKNH
+>Q9Y462_PF13909_522 <unknown description>
+HKCKYCDYETAEQGLLNRHLLAVHSK
+>Q9Y462_PF00096_551 <unknown description>
+HVCVECGKGFRHPSELKKHMRTH
+>Q9Y462_PF00096_636 <unknown description>
+HQCPHCDHKSTNSSDLKRHIISVH
+>Q9Y462_PF00096_665 <unknown description>
+HKCEVCDKGFHRPSELKKHSDIH
+>Q9Y462_PF00096_723 <unknown description>
+KCKRCKRGFRQQNELKKHMKTH
+>Q9Y462_PF00096_750 <unknown description>
+YQCEYCEYSTTDASGFKRHVISIH
+>Q8N859_PF01352_31 <unknown description>
+SLTFQDVAVDFTREEWDQLYPAQKNLYRDVMLENYRNLVALG
+>Q8N859_PF00096_286 <unknown description>
+YKCDECGKRFSQRIHLIQHQRIH
+>Q8N859_PF00096_314 <unknown description>
+FICNGCGKAFRQHSSFTQHLRIH
+>Q8N859_PF00096_342 <unknown description>
+YKCNQCGKAFSRITSLTEHHRLH
+>Q8N859_PF00096_370 <unknown description>
+YECGFCGKAFSQRTHLNQHERTH
+>Q8N859_PF00096_398 <unknown description>
+YKCNECGKAFSQSAHLNQHRKIH
+>A6NP11_PF01352_16 <unknown description>
+LTFRDIAIEFSLAEWQCLDHAQQNLYRDVMLENYRNLVSLG
+>A6NP11_PF00096_213 <unknown description>
+YKCEECGKSFNCSSTLTRHKRIH
+>A6NP11_PF00096_241 <unknown description>
+YRCEECGKAFSWSASLTKHKRIH
+>A6NP11_PF00096_296 <unknown description>
+YTCEECGKAFSRSSTLTNHKRIH
+>A6NP11_PF00096_324 <unknown description>
+YKCEECGKAFSLSSTLKKHKIVH
+>A6NP11_PF00096_352 <unknown description>
+YTCEECGKAFTFSSTLNTHKRIH
+>A6NP11_PF00096_380 <unknown description>
+YTCEECGKAFSLPSTFTYHKRTH
+>A6NP11_PF00096_408 <unknown description>
+YKCEECGKAFNCSSTLKKHKIIH
+>A6NP11_PF00096_436 <unknown description>
+YKCKECGKAFTFSSTLNTHKRIH
+>Q9BY31_PF01352_21 <unknown description>
+LVSFEEVAVHFTWEEWQDLDDAQRTLYRDVMLETYSSLVSLG
+>Q9BY31_PF00096_311 <unknown description>
+YACNWCEKLFSYKSSLIIHQRIH
+>Q9BY31_PF00096_341 <unknown description>
+CNECGKTFRRKSFLTLHERTH
+>Q9BY31_PF00096_395 <unknown description>
+YQCSECGKTFSQKSYLTIHHRTH
+>Q9BY31_PF00096_423 <unknown description>
+YACDHCEEAFSHKSRLTVHQRTH
+>Q9BY31_PF00096_451 <unknown description>
+YECNECGKPFINKSNLRLHQRTH
+>Q9BY31_PF00096_479 <unknown description>
+YECNECGKTFHRKSFLTIHQ
+>Q9BY31_PF00096_507 <unknown description>
+YECNECGKTFRCKSFLTVHQRTH
+>Q9BY31_PF00096_535 <unknown description>
+YACNECGKTYSHKSYLTVHHRTH
+>Q9BY31_PF00096_591 <unknown description>
+YECNECEKTFINKLNLGIHKRTH
+>Q9BY31_PF00096_619 <unknown description>
+YECNECGKTFRQKSNLSTHQGTH
+>Q9BY31_PF00096_647 <unknown description>
+YVCNECGKTFHRKSFLTIHQRTH
+>Q9BY31_PF00096_758 <unknown description>
+YECNECGKTFCHKSNLSTHQGTH
+>Q9BY31_PF00096_786 <unknown description>
+YECDECRKTFYDKTVLTIHQRTH
+>Q9BY31_PF00096_814 <unknown description>
+FECKECRKTFSQKSKLFVHHRTH
+>Q9BY31_PF00096_842 <unknown description>
+FRCNECRKTFSQKSGLSIHQRTH
+>Q9BY31_PF00096_870 <unknown description>
+YECKECGKTFCQKSHLSRHQQTH
+>Q3SXZ3_PF01352_4 <unknown description>
+LTFKDVAIEFSPEEWKCLDTSQQNLYRDVMLENYRNLVSLG
+>Q3SXZ3_PF13912_171 <unknown description>
+FKCKECGKSFHVLSRLTQHKRIH
+>Q3SXZ3_PF00096_227 <unknown description>
+YKCEECGKGFTRSSHLTKHKRIH
+>Q3SXZ3_PF00096_255 <unknown description>
+YICEKCGKAFNQSSTLNLHKRIH
+>Q3SXZ3_PF00096_283 <unknown description>
+YKCEECGKAFKWSSSLNEHKRIH
+>Q3SXZ3_PF00096_311 <unknown description>
+FSCEECGNVFTTSSDFAKHKRIH
+>Q3SXZ3_PF00096_339 <unknown description>
+YKCEECGKSFNRSTTLTTHKRIH
+>Q3SXZ3_PF00096_367 <unknown description>
+YTCEECGKAFNWSSTLNVHKRIH
+>Q3SXZ3_PF00096_395 <unknown description>
+YKCEDCGKAFKVFANLHNHKKIH
+>Q3SXZ3_PF00096_423 <unknown description>
+YICKQCGKAFKQSSHLNKHKKIH
+>Q3SXZ3_PF00096_451 <unknown description>
+YKCKECGKAFKQYSNLPQHKRTH
+>Q8TF20_PF13912_108 <unknown description>
+HFKCNECGKSFQKFSDLTQHK
+>Q8TF20_PF00096_165 <unknown description>
+YKCEECGKAFNRSTNLTAHKRIH
+>Q8TF20_PF00096_221 <unknown description>
+YKCKECGKAFMHSSHLNKHEKIH
+>Q8TF20_PF00096_249 <unknown description>
+YKCKECGKVISSSSSFAKHKRIH
+>Q8TF20_PF00096_277 <unknown description>
+FKCLECGKAFNISTTLTKHRRIH
+>Q8TF20_PF00096_305 <unknown description>
+YTCEVCGKAFRQSANLYVHRRIH
+>Q8TF20_PF00096_333 <unknown description>
+YTCGECGKTFRQSANLYVHRRIH
+>Q8TF20_PF13912_361 <unknown description>
+YKCEDCGKAFGRYTALNQHKKIH
+>Q8TF20_PF00096_389 <unknown description>
+YKCEECGKAFNSSTNLTAHKRIH
+>Q8TF20_PF00096_445 <unknown description>
+YKCKECGKAFIHSLHLNKHEKIH
+>Q8TF20_PF00096_473 <unknown description>
+YKCKQCGKVITSSSSFAKHKRIH
+>Q8TF20_PF00096_501 <unknown description>
+FECLECGKAFTSSTTLTKHRRIH
+>Q8TF20_PF00096_529 <unknown description>
+YTCEVCGKAFRQSAILYVHRRIH
+>Q8TF20_PF00096_557 <unknown description>
+YTCEECGKTFRQSANLYVHRRIH
+>Q8TF20_PF13912_585 <unknown description>
+YKCEECGKAFGRYTDLNQHKKIH
+>Q8TF20_PF00096_641 <unknown description>
+YKCEECGKAFAPSTDLNQHTK
+>Q8TF20_PF13912_669 <unknown description>
+YKCEECGKAFGWSIALNQHKKIH
+>Q8TF20_PF00096_697 <unknown description>
+YKCEECGKAFSRSRNLTTHRRVH
+>Q8TF20_PF00096_753 <unknown description>
+YKCKECGKVFKQSSHLNRHEKIH
+>Q8TF20_PF00096_781 <unknown description>
+YKCKECGKVITSSSSFAKHKRIH
+>Q8TF20_PF16622_809 <unknown description>
+FKCLECGKAFTSSTTLTKHRRI
+>Q8TF20_PF00096_837 <unknown description>
+YTCEECGKAFRQSAILYVHRRIH
+>Q8TF20_PF00096_865 <unknown description>
+YTCGECGKTFRQSANLYAHKKIH
+>Q8TF20_PF00096_893 <unknown description>
+YTCGDCGKTFRQSANLYAHKKIH
+>A0A1W2PQL4_PF01352_16 <unknown description>
+LTFRDIAIEFSLEEWQCLDCAQQNLYRDVMLENYRNLVSLG
+>A0A1W2PQL4_PF00096_213 <unknown description>
+YKCEECGKSFKRSSNCTTHKRIH
+>A0A1W2PQL4_PF00096_241 <unknown description>
+YRCEECGKAFRWPSNLTRHKRIH
+>A0A1W2PQL4_PF00096_269 <unknown description>
+YTCEECGQAFRRSSTLTNHKRIH
+>A0A1W2PQL4_PF00096_297 <unknown description>
+YKCEECGKAFSVSSTLNDHKRIH
+>A0A1W2PQL4_PF00096_325 <unknown description>
+YTCEECGRAFNCSSTLKTHKRIH
+>A0A1W2PQL4_PF00096_353 <unknown description>
+YKCEECDKAFKRHSSLAKHKIIH
+>P0DPD5_PF01352_3 <unknown description>
+PLTFTDVAIKFSLEEWQFLDTAQQNLYRDVMLENYRNLVFLG
+>P0DPD5_PF00096_173 <unknown description>
+FKCKECGKSFCMLSHLTKHERNH
+>P0DPD5_PF00096_201 <unknown description>
+YKCEECGKAFSVPSKLNNHKRIH
+>P0DPD5_PF00096_229 <unknown description>
+YKCEECGKAFNVSSSLNNHKRIH
+>P0DPD5_PF13912_257 <unknown description>
+YKCEECGKTFNMFSSLNNHKRIH
+>P0DPD5_PF00096_285 <unknown description>
+YKCKECGKAFNVFSSLNNHKRIH
+>P0DPD5_PF00096_313 <unknown description>
+YKCEECGKAFNQPSHLATHKRIH
+>P0DPD5_PF00096_341 <unknown description>
+YKCEECGKAFSQSSHITTHKRIH
+>P0DPD5_PF00096_369 <unknown description>
+YKCEECGKAFKVSVHLTTHKRIH
+>P0DPD5_PF00096_397 <unknown description>
+YKCEECGKAFNQSSALTTHKIIH
+>P0DPD5_PF00096_425 <unknown description>
+YKCKQCGKGFSQSSTLTKHKIIH
+>P0DPD5_PF00096_453 <unknown description>
+YKCEECGKAFNQYSTLNKHKIIH
+>P0DPD5_PF00096_481 <unknown description>
+YKCEECGKAFNKSSILNRHKIIH
+>A8MTY0_PF01352_3 <unknown description>
+PLTFMDVAIEFSVEEWQCLDTAQQNLYRNVMLENYRNLVFLG
+>A8MTY0_PF00096_170 <unknown description>
+FKCKECGKSFCVLSHLTQHKRIH
+>A8MTY0_PF00096_226 <unknown description>
+YKCEECGIAFNKSSHLNTHKIIH
+>A8MTY0_PF00096_282 <unknown description>
+YKCKECGKAFNQSSTLTRHKIIH
+>A8MTY0_PF00096_310 <unknown description>
+YICEHCGRAFNQSSNLTKHKRIH
+>A8MTY0_PF00096_338 <unknown description>
+YKCEECGKAFNVSSTLTQHKRIH
+>A8MTY0_PF00096_366 <unknown description>
+YKCEECGKAFNVSSTLTQHKRIH
+>A8MTY0_PF00096_394 <unknown description>
+YKCEECGKAFNTSSHLTTHKRIH
+>A8MTY0_PF00096_422 <unknown description>
+YKCEECGKAFNQFSQLTTHKIIH
+>A8MTY0_PF00096_450 <unknown description>
+YKCKECGKAFKRSSNLTEHRIIH
+>A8MTY0_PF00096_478 <unknown description>
+YKCEECGKAFNLSSHLTTHKKIH
+>A8MTY0_PF00096_506 <unknown description>
+YKCKECGKAFNQSSTLARHKIIH
+>A8MTY0_PF00096_534 <unknown description>
+YKCEECGKAFYQYSNLTQHKIIH
+>A8MTY0_PF00096_562 <unknown description>
+YKCEECGKAFNWSSTLTKHKVIH
+>A8MTY0_PF00096_590 <unknown description>
+YKCKECGKAFNQCSNLTTHKKIH
+>A6NNF4_PF01352_4 <unknown description>
+LTFRDVAIEFSLEEWQCLDTAQKNLYRNVMLENYRNLAFLG
+>A6NNF4_PF00096_201 <unknown description>
+YKCKECGKTFNWSSTLTNHKKTH
+>A6NNF4_PF00096_257 <unknown description>
+YKCEECGKAFSQSSTLTIHKRIH
+>A6NNF4_PF00096_286 <unknown description>
+KCEECGKAFSQPSALTIHKRMH
+>A6NNF4_PF00096_313 <unknown description>
+YKCEECGKAFVWSSTLTRHKRLH
+>A6NNF4_PF00096_341 <unknown description>
+YKCEECAKAFSQFGHLTTHRIIH
+>A6NNF4_PF00096_369 <unknown description>
+YKCEECGKAFIWPSTLTKHKRIH
+>A6NNF4_PF00096_397 <unknown description>
+YKCEECGKAFHRSSNLTKHKIIH
+>A6NNF4_PF00096_425 <unknown description>
+YKCEECGKAFIWSSNLTEHKKIH
+>A6NNF4_PF00096_453 <unknown description>
+YKCEECSKAFSRSSALTTHKRMH
+>A6NNF4_PF00096_481 <unknown description>
+YKCEECGKAFSQSSTLTAHKIIH
+>A6NNF4_PF00096_509 <unknown description>
+YKCEECGKAFILSSTLSKHKRIH
+>A6NNF4_PF00096_537 <unknown description>
+YKCEECGKTFNQSSNLSTHKIIH
+>A6NNF4_PF00096_565 <unknown description>
+YKCEECGKAFNRSSNLSTHKIIH
+>A6NNF4_PF00096_593 <unknown description>
+YKCDECGKSFIWSSTLFKHKRIH
+>A8MUV8_PF01352_4 <unknown description>
+LTFRDVAVEFSPEEWECLDSAQQRLYRDVMLENYGNLFSLG
+>A8MUV8_PF00096_200 <unknown description>
+YKCEECGKACKKFSNLTEHNRVH
+>A8MUV8_PF13894_228 <unknown description>
+YKCEECGKTFTCSSALTKHKRNH
+>A8MUV8_PF00096_340 <unknown description>
+YICEECGKAFTYSSTLISHKRIH
+>A8MUV8_PF00096_368 <unknown description>
+YKCEECGKTFKWFSDLTNHKRIH
+>A8MUV8_PF00096_396 <unknown description>
+YKCEECGKSFTCSSNLIKHKRIH
+>A8MUV8_PF00096_424 <unknown description>
+YKCEECGKTFKWFPDLTNHKRIH
+>A8MUV8_PF13894_452 <unknown description>
+YKCEECGKTFTCSSSLIKHKRSH
+>P0DKX0_PF01352_3 <unknown description>
+SLTFRDVAIQFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLG
+>P0DKX0_PF00096_256 <unknown description>
+YKCEECGKAFTRSSSLIEHKRSH
+>P0DKX0_PF00096_284 <unknown description>
+YKCEECGKAFSKASTLTAHKTIH
+>P0DKX0_PF00096_312 <unknown description>
+YKCEECGKAFNRSSNLMEHKRIH
+>P0DKX0_PF00096_341 <unknown description>
+KCEECGKAFGNFSTLTKHKVIH
+>P0DKX0_PF00096_368 <unknown description>
+YKCEECGKAFSWPSSLTEHKRIH
+>P0DKX0_PF00096_396 <unknown description>
+YKCEECGKTFKWSSTLTKHKIIH
+>P0DKX0_PF00096_424 <unknown description>
+YKCEECGKAFTTFSSLTKHKVIH
+>P0DKX0_PF00096_452 <unknown description>
+YKCEECGKVFSWSSSLTTHKAIH
+>P0DKX0_PF00096_480 <unknown description>
+YKCEECGKAFKWSSNLMEHKRIH
+>P0DKX0_PF00096_508 <unknown description>
+YKCEECGKAFSKVANLTKHKVIH
+>P0DKX0_PF13912_564 <unknown description>
+YKCEECGKAFSWVSVLNKHKKIH
+>P0DKX0_PF00096_592 <unknown description>
+YKCEECGKDFNQSSHLTTHKRIH
+>A6NN14_PF01352_12 <unknown description>
+PLTFRDVTIEFSLEEWQCLDTVQQNLYRDVMLENYRNLVFLG
+>A6NN14_PF00096_264 <unknown description>
+FRCEECGKAFNQSSNLTDHKRIH
+>A6NN14_PF00096_292 <unknown description>
+YKCEECGKAFKGSSNFNAHKVIH
+>A6NN14_PF00096_320 <unknown description>
+YKCEDCGKTFNHFSALRKHKIIH
+>A6NN14_PF00096_376 <unknown description>
+YKCEECGKAFKWSSKLTVHKVVH
+>A6NN14_PF00096_404 <unknown description>
+YKCEECGKAFSQFSTLKKHKIIH
+>A6NN14_PF00096_432 <unknown description>
+YKCEECGKAFNSSSTLMKHKIIH
+>A6NN14_PF00096_460 <unknown description>
+YKCEECGKAFRQSSHLTRHKAIH
+>A6NN14_PF00096_488 <unknown description>
+YKCEECGKAFNHFSDLRRHKIIH
+>A6NN14_PF00096_516 <unknown description>
+YKCEECGKAFSQSSTLRNHQIIH
+>A6NN14_PF00096_544 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A6NN14_PF00096_573 <unknown description>
+KCEECGKAFKHFSALRKHKVIH
+>A6NN14_PF00096_600 <unknown description>
+YKCEECGKAFNNSSILAKHKIIH
+>A6NN14_PF00096_628 <unknown description>
+YKCEECGKAFRQSSHLTRHKAIH
+>A6NN14_PF00096_656 <unknown description>
+YKCEECGKAFSHFSALRRHKIIH
+>A6NN14_PF00096_684 <unknown description>
+YKCEECGKAFSHFSALRRHKIIH
+>A6NN14_PF00096_712 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A6NN14_PF00096_741 <unknown description>
+KCEECGKSFKHFSALRKHKVIH
+>A6NN14_PF13912_768 <unknown description>
+YKCEECVKAFNSFSALMKHK
+>A6NN14_PF00096_796 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A6NN14_PF00096_825 <unknown description>
+KCEECGKAFKHFSALRKHKVIH
+>A6NN14_PF00096_852 <unknown description>
+YKCEECGKAFSQSSSLRKHEIIH
+>A6NN14_PF00096_909 <unknown description>
+KCEECGKAFKHFSALRKHKIIH
+>A6NN14_PF00096_936 <unknown description>
+YKCEECGKAFNDSSTLMKHKIIH
+>A6NN14_PF00096_964 <unknown description>
+YKCAECGKAFKQSSHLTRHKAIH
+>A6NN14_PF00096_992 <unknown description>
+YKCEECGKDFNNSSTLKKHKLIH
+>A6NN14_PF00096_1020 <unknown description>
+YKCEECVKAFNNFSALMKHKIIH
+>A6NN14_PF00096_1104 <unknown description>
+YQCDECGKAFNNSSTLTKHKIIH
+>A6NN14_PF00096_1132 <unknown description>
+YKCEECGKAFSQSSILTKHKIIH
+>A6NN14_PF00096_1160 <unknown description>
+YKCEECGKAFNQSSHLTRHKTIH
+>A6NN14_PF00096_1188 <unknown description>
+YKCEECGKAFIQCSYLIRHKTIH
+>Q6ZMV8_PF01352_4 <unknown description>
+LTFRDVAIEFSLEEWQCLDTEQQNLYRNVMLDNYRNLVFLG
+>Q6ZMV8_PF00096_256 <unknown description>
+YQCEKCGKFFNQSTNLTTHKRIH
+>Q6ZMV8_PF00096_284 <unknown description>
+YKCEECGKAFNQSSNLTEHKKIH
+>Q6ZMV8_PF00096_312 <unknown description>
+YKCEKCGKAFKWSSTLTKHKRIH
+>Q6ZMV8_PF00096_340 <unknown description>
+YKCEECGKAFNRSSTLNRHKITH
+>Q6ZMV8_PF00096_396 <unknown description>
+YKCEECGKAFSRISHLTTHKRIH
+>Q6ZMV8_PF00096_424 <unknown description>
+YKCEECGRAFNQSSTLTTHKRIH
+>Q6ZMV8_PF00096_452 <unknown description>
+YECEECGKAFNRSSTLTTHKIIH
+>Q6ZMV8_PF00096_480 <unknown description>
+YKCKECGKAFRRFSHLTRHKTIH
+>B4DXR9_PF01352_4 <unknown description>
+LTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLISLG
+>B4DXR9_PF00096_223 <unknown description>
+FTCEECGNIFTTSSNFAKH
+>B4DXR9_PF00096_254 <unknown description>
+ECGKAFNRSSTLTKHKRIH
+>B4DXR9_PF00096_278 <unknown description>
+FTCEECGKIITSSSNVAKHKKIH
+>B4DXR9_PF00096_306 <unknown description>
+YKCQECGKVFNRSTTLTKHNRIH
+>B4DXR9_PF00096_334 <unknown description>
+YTCEECGKAFSRSSVLNEHKRIH
+>B4DXR9_PF00096_362 <unknown description>
+YKCEQCGKAFRQSATLNKHKSIH
+>B4DXR9_PF00096_390 <unknown description>
+YTCEECGKAFSRFTTLNEHKRIH
+>B4DXR9_PF13912_418 <unknown description>
+HKCEECGKAFGWSTDLNKHKIIH
+>P0CB33_PF01352_16 <unknown description>
+LTFRDIAIEFSLAEWQCLDHAQQNLYRDVMLENYRNLFSLG
+>P0CB33_PF00096_213 <unknown description>
+YKCEECGKSFNHSSSGTTHKR
+>P0CB33_PF00096_241 <unknown description>
+YRCEECGKAFRWPSNLTRHKRIH
+>P0CB33_PF00096_269 <unknown description>
+YACEECGQAFRRSSTLTNHKRIH
+>P0CB33_PF00096_297 <unknown description>
+YKCEECGKAFSVSSALIYHKRIH
+>P0CB33_PF00096_325 <unknown description>
+YTCEECGKAFNCSSTLKTHKIIH
+>P0CB33_PF00096_381 <unknown description>
+YKCEECDKAFKWHSSLAKHKIIH
+>B4DX44_PF01352_4 <unknown description>
+LTFRDVAVEFSPEEWECLDSAQQRLYRDVMLENYGNLVSLG
+>B4DX44_PF00096_201 <unknown description>
+YKCEECGKAFKKFSNLTEHKRVH
+>B4DX44_PF00096_229 <unknown description>
+YKCEGCGKTFTCSSTLVKHKRNH
+>B4DX44_PF00096_257 <unknown description>
+YKCEECGKAFKCFSDLTNHKRIH
+>B4DX44_PF00096_313 <unknown description>
+YTCNECGKAFKWFSALSKHKRIH
+>B4DX44_PF00096_341 <unknown description>
+YICEECGKAFTRSSTLFNHKRIH
+>B4DX44_PF13912_369 <unknown description>
+YKCEECSKTFKCFSDLTNHKRIH
+>O75373_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYRNLVFLG
+>O75373_PF00096_173 <unknown description>
+FKCIECGKAFNQSSTLTTHKKIH
+>O75373_PF00096_201 <unknown description>
+FKCEECGKAFNWSSHLTTHKRIH
+>O75373_PF00096_229 <unknown description>
+YKCEDCGKAFSRFSYLTAHKIIH
+>O75373_PF00096_257 <unknown description>
+YKCEECGKAFKRSSNLTTHKIIH
+>O75373_PF00096_285 <unknown description>
+YKCEECGKAFKRSSILTAHKIIH
+>O75373_PF00096_313 <unknown description>
+YKCEECGKAFKHPSVLTTHKRIH
+>O75373_PF00096_341 <unknown description>
+YKCEECGRAFKYFSSLTTHKIIH
+>O75373_PF00096_369 <unknown description>
+YKCEECGKAFNWSSHLTTHKRIH
+>O75373_PF00096_397 <unknown description>
+YKCEECGEAFKYSSSLTTHKIIH
+>O75373_PF00096_453 <unknown description>
+YKCEECGKAFNSSSHLTAHKRIH
+>O75373_PF00096_481 <unknown description>
+YKCERCGKAFKRSFILTRHKRIH
+>Q8NE65_PF01352_34 <unknown description>
+PLTFRDVVIEFSQEEWQCLDTAQQDLYRKVMLENFRNLVFLG
+>Q8NE65_PF00096_232 <unknown description>
+YQCEECGKAFKWFSTLTRHKRIH
+>Q8NE65_PF00096_288 <unknown description>
+YKCEKCGKDFKQSSHLTKHKTIH
+>Q8NE65_PF00096_316 <unknown description>
+YKCEGCGKAFCQFSYLTKHKIIH
+>Q8NE65_PF00096_344 <unknown description>
+YKCEECGKAFNWYSHLTRHKIIH
+>Q8NDX6_PF00096_101 <unknown description>
+FVCEHCFGAFRSSYHLKRHILIH
+>Q8NDX6_PF00096_129 <unknown description>
+FECDICDMRFIQKYHLERHKRVH
+>Q6NUN9_PF01352_110 <unknown description>
+PVTFDDVAVYFSEQEWGKLEDWQKELYKHVMRGNYETLVSLD
+>Q6NUN9_PF13912_469 <unknown description>
+FTCATCGKSFQLQVSLSAHQRS
+>Q6NUN9_PF00096_527 <unknown description>
+RCGECGRCFTRPAHLIRHRMLH
+>Q6NUN9_PF00096_554 <unknown description>
+FPCTECEKRFTERSKLIDHYRTH
+>Q6NUN9_PF00096_582 <unknown description>
+FTCTVCGKSFIRKDHLRKHQRNH
+>O43361_PF01352_8 <unknown description>
+MVFEDVAIYFSQEEWGILNDAQRHLHSNVMLENFALLSSVG
+>O43361_PF00096_298 <unknown description>
+YECTQCGKAFLTQAHLVGHQKTH
+>O43361_PF00096_326 <unknown description>
+YECNKCGKFFMYNSKLIRHQKVH
+>O43361_PF00096_354 <unknown description>
+YECSECGKLFMDSFTLGRHQRVH
+>O43361_PF00096_382 <unknown description>
+FECSICGKFFSHRSTLNMHQRVH
+>O43361_PF00096_410 <unknown description>
+YKCSECGKAFSLKHNVVQHLKIH
+>O43361_PF00096_438 <unknown description>
+YECTECEKAFVRKSHLVQHQKIH
+>O43361_PF00096_483 <unknown description>
+YTCSECGKAFLTQAHLVGHQKIH
+>O43361_PF00096_511 <unknown description>
+YECTQCAKAFVRKSHLVQHEKIH
+>O43361_PF00096_584 <unknown description>
+YECNECGKFFLDSYKLVIHQRIH
+>O43361_PF00096_640 <unknown description>
+YECSECGKFFRDSYKLIIHQRVH
+>Q32MQ0_PF15269_5 <unknown description>
+KERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSE
+>P51815_PF02023_45 <unknown description>
+PESACRHFWSFRYHEATGPLETISQLQKLCHQWLRPEIHSKEQILEMLVLEQFLSILPKE
+TQNWVQKHHPQNVKQALVLVEFLQREPD
+>P51815_PF01352_235 <unknown description>
+LTFEDVAVYFSEEEWQLLNPLEKTLYNDVMQDIYETVISLG
+>P51815_PF00096_365 <unknown description>
+FKCQECGKSFRVSSDLIKHHRIH
+>P51815_PF00096_393 <unknown description>
+YKCQQCDRRFRWSSDLNKHFMTH
+>P51815_PF00096_421 <unknown description>
+YRCSWCGKSFSHNTNLHTHQRIH
+>P51815_PF00096_449 <unknown description>
+FKCDECGKRFIQNSHLIKHQRTH
+>P51815_PF00096_477 <unknown description>
+YTCSLCKRNFSRRSSLLRHQKLH
+>Q86XN6_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q86XN6_PF13912_242 <unknown description>
+YKCDVCGKLFNQKRNLACHRRCH
+>Q86XN6_PF00096_270 <unknown description>
+YKCNECGKTFSQTSSLTCHRRLH
+>Q86XN6_PF00096_326 <unknown description>
+YKCNECGKTFRQKSILTRHHRLH
+>Q86XN6_PF00096_382 <unknown description>
+YKCNECGKTFSHKSSLTCHRRLH
+>Q86XN6_PF00096_410 <unknown description>
+YKCEECDKAYSFRSNFEIHRKIH
+>Q86XN6_PF00096_438 <unknown description>
+YKCNECGKTFSRTSSLTCHRRRH
+>Q86XN6_PF00096_466 <unknown description>
+YKCEECDKAFRFKSNLERHRRIH
+>Q86XN6_PF00096_494 <unknown description>
+YKCNECGKTFSRKSYLTCHHRLH
+>Q86XN6_PF00096_550 <unknown description>
+YKCKECGKTFNQQLTLKRHRRLH
+>Q86XN6_PF00096_606 <unknown description>
+YKCNECGKTFSRTSSLTCHRRLH
+>Q86XN6_PF00096_634 <unknown description>
+YKCEECDKAFRVKSNLEGHRRIH
+>Q86XN6_PF00096_690 <unknown description>
+YKCNECGKNFSQKSSLICHHRLH
+>Q86XN6_PF00096_718 <unknown description>
+YKCNECGKTFSQKSNLTCHRRLH
+>Q0D2J5_PF01352_3 <unknown description>
+PVACEDVAVNFTQEEWALLDISQRKLYREVMLETFRNLTSIG
+>Q0D2J5_PF00096_256 <unknown description>
+YECQQCGKAFHSSSSFQAHKRTH
+>Q0D2J5_PF13912_284 <unknown description>
+YECKQCGKSFS
+>Q0D2J5_PF13912_313 <unknown description>
+ECSKCNKAFRSYRSYLRHKRSH
+>Q0D2J5_PF00096_340 <unknown description>
+YQCKECRKAFTYPSSLRRHERTH
+>Q96H86_PF01352_26 <unknown description>
+VSFADVAVYFCREEWGCLRPAQRALYRDVMRETYGHLSALG
+>Q96H86_PF00096_202 <unknown description>
+FHCTDCGKGFGHASSLSKHRAIH
+>Q96H86_PF00096_230 <unknown description>
+HRCLECGRAFTQRSALTSHLRVH
+>Q96H86_PF00096_260 <unknown description>
+CADCGRRFSQSSALYQHRRVH
+>Q96H86_PF00096_286 <unknown description>
+FPCPDCGRAFAYPSDLRRHVRTH
+>Q96H86_PF00096_314 <unknown description>
+YPCPDCGRCFRQSSEMAAHRRTH
+>Q96H86_PF00096_342 <unknown description>
+YPCPQCGRRFGQKSAVAKHQ
+>Q7L2R6_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q7L2R6_PF00096_243 <unknown description>
+YKCDICGKVFNSKRYVARHRR
+>Q7L2R6_PF00096_271 <unknown description>
+YKCNECGKTFSQTYYLTCHRRLH
+>Q7L2R6_PF00096_299 <unknown description>
+YKCEECDKAFHFKSKLQIHRRIH
+>Q7L2R6_PF00096_327 <unknown description>
+YKCNECGKTFSQKSYLTCHRRLH
+>Q7L2R6_PF00096_355 <unknown description>
+YKCNECGKTFSRKSHFTCHHRVH
+>Q7L2R6_PF00096_383 <unknown description>
+YKCNECSKTFSHKSSLTYHRRLH
+>Q7L2R6_PF00096_438 <unknown description>
+YKCEECDKAYSFKSNLEIHQKIH
+>Q7L2R6_PF00096_466 <unknown description>
+YKCNECGKTFSRTSSLTYHHRLH
+>Q7L2R6_PF00096_494 <unknown description>
+YKCEDCDEAFSFKSNLERHRR
+>Q5HY98_PF01352_9 <unknown description>
+LTFRDVAIEFSQEEWKCLDPVQKALYRDVMLENYRNLVSLG
+>Q5HY98_PF00096_216 <unknown description>
+RCHECGKTVRDKSGLAEHWR
+>Q5HY98_PF00096_243 <unknown description>
+YKCKECGKLFNRIAYLARHEKVH
+>Q5HY98_PF00096_271 <unknown description>
+YKCNECGKVFSRITYLVRHQKIH
+>Q5HY98_PF00096_299 <unknown description>
+HKCNKCGKVYSSSSYLAQHWRIH
+>Q5HY98_PF00096_327 <unknown description>
+YKCNKCGKEFSGHSSLTTHLLIH
+>Q5HY98_PF00096_355 <unknown description>
+YKCKECDKAFRHKFSLTVHQRNH
+>Q5HY98_PF00096_383 <unknown description>
+YKCHECGKVFTQVSHLARHQKIH
+>Q5HY98_PF00096_411 <unknown description>
+YKCNECGKVFTQNSHLANHQRIH
+>Q5HY98_PF00096_439 <unknown description>
+YKCHVCGKVFRHSSWFVQHQRSVH
+>Q9H5H4_PF05001_60 <unknown description>
+PQSPGFEPQSPEF
+>Q9H5H4_PF05001_74 <unknown description>
+PQSPRFEPESPGF
+>Q9H5H4_PF05001_118 <unknown description>
+SPRYEPQSPGY
+>Q9H5H4_PF05001_130 <unknown description>
+PRSPGYEPRSPGY
+>Q9H5H4_PF00096_263 <unknown description>
+CGICGKSFGRGSTLIQHQRIH
+>Q9H5H4_PF00096_289 <unknown description>
+YKCEVCSKAFSQSSDLIKHQRTH
+>Q9H5H4_PF00096_317 <unknown description>
+YKCPRCGKAFADSSYLLRHQRTH
+>Q9H5H4_PF00096_345 <unknown description>
+YKCPHCGKAFGDSSYLLRHQRTH
+>Q9H5H4_PF00096_373 <unknown description>
+YSCTECGKCYSQNSSLRSHQRVH
+>Q9H5H4_PF00096_401 <unknown description>
+FSCGICGKSFSQRSALIPHARSH
+>Q9H5H4_PF00096_429 <unknown description>
+FKCPECGKRFGQSSVLAIHARTH
+>Q9H5H4_PF00096_457 <unknown description>
+YSCPDCGKTFNRSSTLIQHQRSH
+>Q9H5H4_PF00096_485 <unknown description>
+YRCAVCGKGFCRSSTLLQHHRVH
+>Q9H5H4_PF00096_513 <unknown description>
+YKCDDCGKAFSQSSDLIRHQRTH
+>Q6IQ21_PF00096_27 <unknown description>
+YVCNICFKHFETPSKLARHYLIH
+>Q6IQ21_PF00096_55 <unknown description>
+FECDVCHKTFRQLVHLERHQLTH
+>Q6IQ21_PF00096_160 <unknown description>
+HACTICGKMFPSQSKLDRHVLIH
+>Q6IQ21_PF00096_188 <unknown description>
+FKCVLCTKSFRQSTHLKIHQLTH
+>Q6IQ21_PF00096_476 <unknown description>
+PCDKCEKVFPSISKLKRHYLIH
+>Q6IQ21_PF00096_505 <unknown description>
+CNICGKSFRQSAHLKRHEQTH
+>Q6IQ21_PF00096_625 <unknown description>
+YRCSVCAKSFRSPSKLERHYLIH
+>Q6IQ21_PF00096_653 <unknown description>
+FECSVCGKTFRQAPHWKRHQLTH
+>Q7L3S4_PF00096_63 <unknown description>
+HACPDCGRAFARRSTLAKHARTH
+>Q7L3S4_PF00096_93 <unknown description>
+CTECGRRFSQKSALTKHGRTH
+>Q7L3S4_PF00096_119 <unknown description>
+YECPECDKRFSAASNLRQHRRRH
+>Q7L3S4_PF00096_147 <unknown description>
+YACAHCGRRFAQSSNYAQHLRVH
+>Q7L3S4_PF00096_175 <unknown description>
+YACPDCGRAFGGSSCLARHRRTH
+>Q7L3S4_PF00096_203 <unknown description>
+YACADCGTRFAQSSALAKHRRVH
+>Q7L3S4_PF00096_231 <unknown description>
+HRCAVCGRRFGHRSNLAEHARTH
+>Q7L3S4_PF00096_259 <unknown description>
+YPCAECGRRFRLSSHFIRHRRAH
+>Q68DY9_PF01352_27 <unknown description>
+VNFEDVFVYFSQEEWVLLDEAQRLLYRDVMLENFALMASLG
+>Q68DY9_PF00096_225 <unknown description>
+YKCSECGKTFSRKDSLVQHQRVH
+>Q68DY9_PF00096_253 <unknown description>
+YECGECGKTFSRKPILAQHQRIH
+>Q68DY9_PF00096_281 <unknown description>
+YECGICGKVFNHSSNLIVHQRVH
+>Q68DY9_PF00096_309 <unknown description>
+YKCSECGKAYSHKSTLVQHESIH
+>Q68DY9_PF00096_337 <unknown description>
+YECSECGKYFGHKYRLIKHWSVH
+>Q68DY9_PF00096_365 <unknown description>
+YECIACGKFFSQSSDLIAHQRVH
+>Q68DY9_PF00096_393 <unknown description>
+YVCSECGKAFSHKHVLVQHHRIH
+>Q68DY9_PF00096_421 <unknown description>
+YKCSECGKAFRQRASLIRHWKIH
+>Q6PK81_PF01352_15 <unknown description>
+VTFEDVAVYFSQEEWRLLDDAQRLLYRNVMLENFTLLASLG
+>Q6PK81_PF00096_191 <unknown description>
+YKCSECGKAFGQKYLLVQHQRLH
+>Q6PK81_PF00096_219 <unknown description>
+YECSECGKLFSHKSNLFIHQIVH
+>Q6PK81_PF00096_249 <unknown description>
+CSDCGKSFSRNADLIQHQRVH
+>Q6PK81_PF00096_275 <unknown description>
+FTCSECGKAFRHNSTLVQHHRIH
+>Q6PK81_PF00096_303 <unknown description>
+YECSECGKLFSFNSSLMKHQRVH
+>Q6PK81_PF00096_331 <unknown description>
+YKCSECGKFYSHKSSLINHWRVH
+>Q6PK81_PF00096_359 <unknown description>
+YECSECGKFFSQSSSLMQHRKVH
+>Q6PK81_PF00096_387 <unknown description>
+FKCNECGRFFSENSSLVKHQRVH
+>Q6PK81_PF00096_415 <unknown description>
+YECRECGKFFRHSSSLVKHRRIH
+>Q6NX45_PF00096_153 <unknown description>
+CAECGKSFNQSSYLIRHLRTH
+>Q6NX45_PF00096_179 <unknown description>
+YTCIECGKGFKQSSDLVTHRRTH
+>Q6NX45_PF00096_207 <unknown description>
+YQCKGCEKKFSDSSTLIKHQRTH
+>Q6NX45_PF00096_235 <unknown description>
+YECPECGKTFGRKPHLIMHQRTH
+>Q6NX45_PF00096_263 <unknown description>
+YACLECHKSFSRSSNFITHQRTH
+>Q6NX45_PF00096_291 <unknown description>
+YRCNDCGESFSQSSDLIKHQRTH
+>Q6NX45_PF00096_319 <unknown description>
+FKCPECGKGFRDSSHFVAHMSTH
+>Q6NX45_PF00096_347 <unknown description>
+FSCPDCHKSFSQSSHLVTHQRTH
+>Q6NX45_PF00096_375 <unknown description>
+FKCENCGKGFADSSALIKHQRIH
+>Q6NX45_PF00096_403 <unknown description>
+YKCGECGKSFNQSSHFITHQRIH
+>Q6NX45_PF00096_431 <unknown description>
+YRCPECGKTFNQRSHFLTHQRTH
+>Q96BV0_PF00096_107 <unknown description>
+FVCLDCGKRFSWWSSLKIHQRTH
+>Q96BV0_PF00096_135 <unknown description>
+YLCGKCGKSFSQKPNLARHQRHH
+>Q96BV0_PF00096_163 <unknown description>
+FCCPECARRFSQKQHLLKHQKTH
+>Q96BV0_PF00096_191 <unknown description>
+HSCPECERCFRHQVGLRIHQRAH
+>Q96BV0_PF00096_281 <unknown description>
+FICNECGKSFTWWSSLNIHQRIH
+>Q96BV0_PF00096_309 <unknown description>
+YACPECGRRFSQKPNLTRHLRNH
+>Q96BV0_PF00096_337 <unknown description>
+HPCPHCGRGFRQKQHLLKHLRTH
+>Q96BV0_PF00096_367 <unknown description>
+PCPSCGKSCRSRAALRAHQRAH
+>Q96BV0_PF00096_446 <unknown description>
+FICNECGKSFSWWSALTIHQRIH
+>Q96BV0_PF00096_474 <unknown description>
+YPCPECGRRFSQKPNLTRHRRNH
+>Q96BV0_PF00096_502 <unknown description>
+YLCPACGRGFSQKQHLLKHQRVH
+>Q68DI1_PF01352_14 <unknown description>
+VTFEDVAVNFSQEEWSLLSEAQRCLYHDVMLENLTLISSLG
+>Q68DI1_PF00096_264 <unknown description>
+YQCGQCDESFWYKAHLTEHQRVH
+>Q68DI1_PF00096_292 <unknown description>
+YECGECDKSFSHKHSLVDHQRVH
+>Q68DI1_PF00096_320 <unknown description>
+YECDECGKSFSHKRSLVHHQRVH
+>Q68DI1_PF00096_348 <unknown description>
+YQCGECGKSFNHKCNLIQHQRVH
+>Q68DI1_PF00096_376 <unknown description>
+FECTACGKLFRSNSHLKEHQRVH
+>Q68DI1_PF00096_404 <unknown description>
+YECKECRKSFRYKSHLTEHQRVH
+>Q68DI1_PF00096_432 <unknown description>
+YECRECGKCFHQKGSLIQHQQIH
+>Q68DI1_PF00096_460 <unknown description>
+HECGECGKCFHQKGSLIRHQQIH
+>Q68DI1_PF00096_488 <unknown description>
+HECGECGKCFRQKGNLIKHQRVH
+>Q9ULD5_PF01352_284 <unknown description>
+PVTFDDVAVHFSEQEWGNLSEWQKELYKNVMRGNYESLVSMD
+>Q9ULD5_PF00096_546 <unknown description>
+FTCMECGKSFRLKINLIIHQRNH
+>Q9ULD5_PF00096_574 <unknown description>
+YECAECEISFRHKQQLTLHQRIH
+>Q9ULD5_PF00096_636 <unknown description>
+YKCPECDSSFSHKSSLTKHQITH
+>Q9ULD5_PF00096_664 <unknown description>
+YTCPECKKSFRLHISLVIHQRVH
+>Q9ULD5_PF00096_694 <unknown description>
+FICSLCGKSFSRPSHLLRHQRTH
+>Q9ULD5_PF00096_722 <unknown description>
+FKCPECEKSFSEKSKLTNHCRVH
+>Q9ULD5_PF00096_750 <unknown description>
+HACPECGKSFIRKHHLLEHRRIH
+>Q9ULD5_PF00096_778 <unknown description>
+YHCAECGKRFTQKHHLLEHQRAH
+>Q6ZMW2_PF01352_7 <unknown description>
+SVSFQDVTVEFSQEEWQHMGPVERTLYRDVMLENYSHLVSVG
+>Q6ZMW2_PF00096_394 <unknown description>
+YECPECGKAFSEKSRLRKHQRTH
+>Q6ZMW2_PF00096_422 <unknown description>
+YKCDGCDKAFSAKSGLRIHQRTH
+>Q6ZMW2_PF00096_450 <unknown description>
+FECHECGKSFNYKSILIVHQRTH
+>Q6ZMW2_PF00096_478 <unknown description>
+FECNECGKSFSHMSGLRNHRRTH
+>Q6ZMW2_PF00096_506 <unknown description>
+YKCDECGKAFKLKSGLRKHHRTH
+>Q6ZMW2_PF00096_534 <unknown description>
+YKCNQCGKAFGQKSQLRGHHRIH
+>Q6ZMW2_PF00096_562 <unknown description>
+YKCNHCGEAFSQKSNLRVHHRTH
+>Q6ZMW2_PF00096_590 <unknown description>
+YQCEECGKTFRQKSNLRGHQRTH
+>Q6ZMW2_PF00096_618 <unknown description>
+YECNECGKAFSEKSVLRKHQRTH
+>Q6ZMW2_PF00096_646 <unknown description>
+YNCNQCGEAFSQKSNLRVHQRTH
+>Q6ZMW2_PF00096_674 <unknown description>
+YKCDKCGRTFSQKSSLREHQKAH
+>Q6ZMS7_PF01352_142 <unknown description>
+PVTFDDVAVYFSELEWGKLEDWQKELYKHVMRGNYETLVSLD
+>Q6ZMS7_PF00096_349 <unknown description>
+FPCPDCGQSFRLKINLTIHQRTH
+>Q6ZMS7_PF00096_468 <unknown description>
+CPYCGKAFRRPSDLFRHQRIH
+>Q6ZMS7_PF00096_494 <unknown description>
+YQCPQCGRTFNRNHHLAVHMQTH
+>Q8NCA9_PF00096_196 <unknown description>
+FACRFCAKPFRRSSDMRDHERVH
+>Q8NCA9_PF00096_224 <unknown description>
+YHCGICGKGFTQSSVLSGHARIH
+>Q8NCA9_PF00096_252 <unknown description>
+FRCTLCDRTFNNSSNFRKHQRTH
+>A8K8V0_PF01352_29 <unknown description>
+VSFADVAVYFSPEEWECLRPAQRALYRDVMRETFGHLGALG
+>A8K8V0_PF00096_172 <unknown description>
+HSCPDCGRNFSYPSLLASHQRVH
+>A8K8V0_PF00096_228 <unknown description>
+YPCPDCGRRFRQRGSLAIHRRAH
+>A8K8V0_PF00096_256 <unknown description>
+YACSDCKSRFTYPYLLAIHQRKH
+>A8K8V0_PF00096_284 <unknown description>
+YSCPDCSLRFAYTSLLAIHRRIH
+>A8K8V0_PF00096_312 <unknown description>
+YPCPDCGRRFTYSSLLLSHRRIH
+>A8K8V0_PF00096_340 <unknown description>
+FPCVECGKGFKRKTALEAHR
+>Q8N393_PF01352_8 <unknown description>
+PLTFEDVAIYFSEQEWQDLEAWQKELYKHVMRSNYETLVSLD
+>Q8N393_PF00096_240 <unknown description>
+FRCGVCGKSFRRKLCLLRHLAAH
+>Q8N393_PF00096_425 <unknown description>
+FSCRKCGKGFAKQCKLTEHIRVH
+>Q8N393_PF00096_453 <unknown description>
+FRCAKCGRNFRQRGQLLRHQRLH
+>Q8N393_PF00096_481 <unknown description>
+FQCPECGLSFRLESMLRAHRLRH
+>Q8N393_PF00096_509 <unknown description>
+FSCSECGRGFTHQCKLREHLRVH
+>Q8N393_PF00096_565 <unknown description>
+FSCGECGKGFTRQSKLTEHLRVH
+>Q8N393_PF00096_593 <unknown description>
+FQCPECNRSFRLKGQLLSHQRLH
+>Q8N393_PF00096_621 <unknown description>
+FQCPECDKRYRVKADMKAHQLLH
+>Q8N393_PF00096_676 <unknown description>
+FQCPKCDKSFRLKAQLLSHQGLH
+>Q8N393_PF00096_704 <unknown description>
+FHCPECDKNFRERGHMLRHQRIH
+>Q8N393_PF00096_732 <unknown description>
+FACGDCGKGFIYKSKLAEHIRVH
+>Q6DD87_PF00096_66 <unknown description>
+YICNECGKSFSHWSKLTRHQRTH
+>Q6DD87_PF00096_96 <unknown description>
+CADCGKTFSQSSHLVQHRRIH
+>Q6DD87_PF00096_122 <unknown description>
+YACLECGKRFSWSSNLMQHQRIH
+>Q6DD87_PF00096_150 <unknown description>
+YTCPDCGRSFTQSKSLAKHRRSH
+>Q6DD87_PF00096_178 <unknown description>
+FVCPRCGRGFSQPKSLARHLRLH
+>Q6DD87_PF13912_316 <unknown description>
+AHICVECGEGFVQGAALRRHKKIH
+>Q5FWF6_PF01352_11 <unknown description>
+LSFEDVAMYFTREEWGHLNWGQKDLYRDVMLENYRNMVLLG
+>Q5FWF6_PF00096_201 <unknown description>
+YECSECGKVIRRKAWFDQHQRIH
+>Q5FWF6_PF00096_229 <unknown description>
+FECKVCGQAFRQRSALTVHK
+>Q5FWF6_PF00096_257 <unknown description>
+YRCHDCGKCFRQLAYLVEHKRIH
+>Q5FWF6_PF00096_285 <unknown description>
+YKCSKCEKTFSQNSTLIRHQVIH
+>Q5FWF6_PF00096_341 <unknown description>
+HKCSECGQSFGRNVDLIQHQRIH
+>Q5FWF6_PF00096_369 <unknown description>
+FQCGECGKTFSFKRNLFRHQVIH
+>Q6PG37_PF01352_5 <unknown description>
+MMFRDVAVDFSQEEWECLDLEQRDLYRDVMLENYSNMVSLG
+>Q6PG37_PF13912_226 <unknown description>
+YECKECGKSFSLRSSLTGHKRIH
+>Q6PG37_PF00096_254 <unknown description>
+FKCKDCGKAFRFHSQLSVHKRIH
+>Q6PG37_PF00096_282 <unknown description>
+YECKECGKAFSCGSDLTRHQRIH
+>Q6PG37_PF00096_310 <unknown description>
+YECNECRKAFSQRSHLIKHQRIH
+>Q6PG37_PF00096_338 <unknown description>
+YECKECGKAFTRGSHLTQHQRIH
+>Q6PG37_PF00096_366 <unknown description>
+HECKECGKAFIRGSNLAQHQNVH
+>Q6PG37_PF00096_394 <unknown description>
+YKCEKCGKAYIWSSHLARHQRIH
+>Q6PG37_PF00096_422 <unknown description>
+YECKQCGKTFTWASYLAQHEKIH
+>Q6PG37_PF00096_478 <unknown description>
+YECKECGKTFFRGSELNRHQKIH
+>Q6PG37_PF00096_534 <unknown description>
+YVCKECGKSFIWGSQLTRHKKIH
+>Q3KP31_PF01352_3 <unknown description>
+SVAFEDVSVSFSQEEWALLAPSQKKLYRDVMQETFKNLASIG
+>Q3KP31_PF00096_100 <unknown description>
+YECTICGKAFMRLSSLTRHMRSH
+>Q3KP31_PF00096_132 <unknown description>
+YKCKECEKAFSYLKSFQRHERSH
+>Q3KP31_PF00096_244 <unknown description>
+YACKECGKAFISHTSVLTHMITH
+>Q3KP31_PF00096_272 <unknown description>
+YKCKECGKAFIFPSFLRVHERIH
+>Q3KP31_PF00096_328 <unknown description>
+YKCKECGKSFSARPAFRVHVRVH
+>Q3KP31_PF00096_356 <unknown description>
+YKCKECGKAFSRISYFRIHERTH
+>Q3KP31_PF00096_384 <unknown description>
+YECKKCGKTFNYPLDLKIHKRNH
+>Q3KP31_PF00096_412 <unknown description>
+YECKECAKTFISLENFRRHMITH
+>Q3KP31_PF00096_440 <unknown description>
+YKCRDCGKVFIFPSALRTHERTH
+>Q3KP31_PF00096_496 <unknown description>
+YECKECGKAFIYPTSFQGHMRMH
+>Q3KP31_PF00096_524 <unknown description>
+YKCKECGKAFSLHSSFQRHTRIH
+>Q3KP31_PF00096_553 <unknown description>
+ECKQCGKAFSVSTSLKKHMRMH
+>Q3KQV3_PF01352_14 <unknown description>
+VTFEDVTIYFSQEEWVLLDEAQRLLYCDVMLENFALIASLG
+>Q3KQV3_PF00096_282 <unknown description>
+YECSKCGIFFTYAADLTQHQKVH
+>Q3KQV3_PF00096_310 <unknown description>
+YECCECGKFFSQHSSLVKHRRVH
+>Q3KQV3_PF00096_338 <unknown description>
+HVCGDCGKFFSRSSNLIQHKRVH
+>Q3KQV3_PF00096_366 <unknown description>
+YECSDCGKFFSQRSNLIHHKRVH
+>Q3KQV3_PF00096_394 <unknown description>
+HECSECGKSFNCNSSLIKHWRVH
+>Q3KQV3_PF00096_422 <unknown description>
+YKCNECGKFFSHIASLIQHQIVH
+>Q3KQV3_PF00096_452 <unknown description>
+CGECGKAFSRSSDLMKHQRVH
+>Q3KQV3_PF00096_478 <unknown description>
+YECNECGKLFSQSSSLNSHRRLH
+>Q3KQV3_PF00096_506 <unknown description>
+YQCSECGKFFNQSSSLNNHRRLH
+>Q3KQV3_PF00096_534 <unknown description>
+YECSECGKTFRQRSNLRQHLKVH
+>Q3KQV3_PF00096_562 <unknown description>
+YECSECGKAFNQRPTLIRHQKIH
+>Q6ZN11_PF01352_7 <unknown description>
+PVSFKDVVVGFTQEEWHRLSPAQRALYRDVMLETYSNLVSVG
+>Q6ZN11_PF00096_227 <unknown description>
+HVCSECGKAFCYKSEFIRHQRSH
+>Q6ZN11_PF00096_257 <unknown description>
+CTDCGKAFSHKSTLIKHQRIH
+>Q6ZN11_PF00096_283 <unknown description>
+FECFFCGKAFTQKSHRTEHQRTH
+>Q6ZN11_PF00096_311 <unknown description>
+FVCSECGKSFGEKSYLNVHRKMH
+>Q6ZN11_PF00096_339 <unknown description>
+YRCRECGKSFSQKSCLNKHWRTH
+>Q6ZN11_PF00096_369 <unknown description>
+CNECGKAFYQKPNLSRHQKIH
+>Q96GE5_PF01352_3 <unknown description>
+SVALEDVAVNFTREEWALLGPCQKNLYKDVMQETIRNLDCVG
+>Q96GE5_PF00096_169 <unknown description>
+YNCKECGKSFSSLGNLQRHMA
+>Q96GE5_PF00096_225 <unknown description>
+YECKQCSKAFSFYSSYLRHERTH
+>Q96GE5_PF00096_281 <unknown description>
+YTCKQCGKAFSASTSLRRHETTH
+>Q96GE5_PF00096_365 <unknown description>
+YECKQCGKALSHSSSFRRHMTMH
+>Q96GE5_PF00096_393 <unknown description>
+HKCKICGKAFVYPSVFQRHEKTH
+>Q96GE5_PF00096_421 <unknown description>
+YKCKQCGKAYRISSSLRRHETTH
+>Q96GE5_PF13912_476 <unknown description>
+YECKECGKAFSCFQYLSQHRRTH
+>Q96GE5_PF00096_504 <unknown description>
+YECNTCKKAFSHFGNLKVHERIH
+>Q96GE5_PF00096_560 <unknown description>
+YECQQCGKAFTHSRFLQGHEKTH
+>Q96GE5_PF13912_588 <unknown description>
+YECKECGKAFASLSSLHRHKKTHWKK
+>Q96GE5_PF13912_621 <unknown description>
+YGCKECGKAFASLSSLHRHKKTH
+>Q2TB10_PF16622_355 <unknown description>
+NLTACKCLLCKRKYSSQIMLKRHMQIVHK
+>Q2TB10_PF16624_391 <unknown description>
+SKREKGPNNTANSSEIKVKVEPADSVESSPPSITHSPQNELKGTNHSNEKKNTPAAQKNK
+VKQDSESPKSTSPSAAGGQQKTRKPKLSAGFDFKQ
+>Q2TB10_PF00096_487 <unknown description>
+YCKLCKRQFTSKQNLTKHIELH
+>Q2TB10_PF00096_618 <unknown description>
+HRCNKCGKAFAKKTYLEHHKKTH
+>Q5CZA5_PF01352_12 <unknown description>
+SVTFDDVAVTFTQEEWGQLDLAQRTLYQEVMLENCGLLVSLG
+>Q5CZA5_PF00096_203 <unknown description>
+FKCNECEKVFNKKRLLARHERIH
+>Q5CZA5_PF00096_231 <unknown description>
+YECTECGKTFSKSTYLLQHHMVH
+>Q5CZA5_PF00096_259 <unknown description>
+YKCMECGKAFNRKSHLTQHQRIH
+>Q5CZA5_PF00096_287 <unknown description>
+YKCSECGKAFTHRSTFVLHNRSH
+>Q5CZA5_PF00096_315 <unknown description>
+FVCKECGKAFRDRPGFIRHYIIH
+>Q5CZA5_PF00096_343 <unknown description>
+YECFECGKVFKHRSYLMWHQQTH
+>Q5CZA5_PF00096_371 <unknown description>
+YECSECGKAFCESAALIHHYVIH
+>Q5CZA5_PF00096_399 <unknown description>
+FECLECGKAFNHRSYLKRHQRIH
+>Q5CZA5_PF00096_427 <unknown description>
+YVCSECGKAFTHCSTFILHKRAH
+>Q5CZA5_PF00096_455 <unknown description>
+FECKECGKAFSNRADLIRHFSIH
+>Q5CZA5_PF00096_483 <unknown description>
+YECMECGKAFNRRSGLTRHQRIH
+>Q5CZA5_PF00096_539 <unknown description>
+YECSECGKAFSRSSSLTQHQRMH
+>Q8N4W9_PF01352_24 <unknown description>
+LTFRDVAIEFSLAEWKFLNPAQRALYREVMLENYRNLEA
+>Q8N4W9_PF00096_287 <unknown description>
+YKCKECGKSFSYKSSLTCHHRLH
+>Q8N4W9_PF00096_315 <unknown description>
+YKCNECGKVFRQNSALVIHKAIH
+>Q8N4W9_PF00096_343 <unknown description>
+YKCNECGKAFNQQSHLSRHQRLH
+>Q8N4W9_PF00096_399 <unknown description>
+YKCNECGKAFNHQSSLARHHILH
+>Q8N4W9_PF00096_427 <unknown description>
+YKCEECDKVFSQKSTLERHKRIH
+>Q8N4W9_PF00096_455 <unknown description>
+YKCKVCDTAFTCNSQLARHRRIH
+>Q8N4W9_PF00096_511 <unknown description>
+YKCNQCGNTFRHRASLVYHRRLH
+>Q8N4W9_PF00096_539 <unknown description>
+YKCTVCNKVFMRNSVLAVHTRIH
+>Q8N4W9_PF00096_567 <unknown description>
+YKCNECGKAFNQQSHLSRHRRLH
+>Q8N4W9_PF00096_595 <unknown description>
+YKCEACDKVFGQKSALESHKRIH
+>Q8N4W9_PF00096_623 <unknown description>
+YRCQVCDTAFTWNSQLARHTRIH
+>Q8N4W9_PF00096_651 <unknown description>
+YKCNECGKTFSYKSSLVWHRRLH
+>Q8N4W9_PF00096_707 <unknown description>
+YKCNECSKTFSNRSSLVCHRRIH
+>Q8N4W9_PF00096_763 <unknown description>
+YKCNDCGNTFRHWSSLVYHRRLH
+>Q8N4W9_PF00096_791 <unknown description>
+YKCTVCDKAFVRNSYLARHIRIH
+>Q8N4W9_PF00096_819 <unknown description>
+YKCNECGKAFNEQSHLSRHHRIH
+>Q8N4W9_PF00096_847 <unknown description>
+YKCEACDKVFSRKSHLKRHRIIH
+>Q8N4W9_PF00096_875 <unknown description>
+YKCNECGKAFSDRSTLIHHQAIH
+>Q6ZN06_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q6ZN06_PF13912_243 <unknown description>
+YKCDVCGKVFNRKRNLVCHRRCH
+>Q6ZN06_PF00096_271 <unknown description>
+YRCNECGKTFSQTYSLTCHRRLH
+>Q6ZN06_PF00096_299 <unknown description>
+YKCEECDKAFSFKSNLKRHRRIH
+>Q6ZN06_PF00096_327 <unknown description>
+YKCNECGKTFSQTSSLTCHRRLH
+>Q6ZN06_PF00096_355 <unknown description>
+FKCNECGKTFSRKSSLTCHHRLH
+>Q6ZN06_PF00096_411 <unknown description>
+YKCNECGKVFNKKANLARHHRLH
+>Q6ZN06_PF00096_439 <unknown description>
+YKCTECVKTFSRNSALVIHKAIH
+>Q6ZN06_PF00096_467 <unknown description>
+YKCNECGKTFSRISALVIHTAIH
+>Q6ZN06_PF00096_523 <unknown description>
+YKCNECGKVFNRKTHLAHHHRLH
+>Q6ZN06_PF00096_551 <unknown description>
+YKCNECGKVFNQKAHLARHHRLH
+>Q6ZN06_PF00096_579 <unknown description>
+YKCNECGKVFNQKANLARHHRLH
+>B7Z6K7_PF01352_15 <unknown description>
+VTFEDVAVNFTWEEWNLLSEAQRCLYRDVTLENLALISSLG
+>B7Z6K7_PF00096_242 <unknown description>
+YVCCECGKSFSKYASLSNHQRVH
+>B7Z6K7_PF00096_269 <unknown description>
+HECGECGKSFSKYVSFSNHQRVH
+>B7Z6K7_PF00096_296 <unknown description>
+HECGECGKSFSKYVSFSNHQRVH
+>B7Z6K7_PF00096_324 <unknown description>
+YECGECGKSFSKYASFSNHQRVH
+>B7Z6K7_PF00096_352 <unknown description>
+YECGECGKSFSKYVSFSNHQRVH
+>B7Z6K7_PF00096_380 <unknown description>
+YECGECGKSFSKYASFSNHQRVH
+>B7Z6K7_PF00096_408 <unknown description>
+YECGECGKSFSQKSSLIQHQRFH
+>B7Z6K7_PF00096_438 <unknown description>
+CEECGKSFSSEGHLRSHQRVH
+>B7Z6K7_PF00096_464 <unknown description>
+FKCGECVKSFSHKRSLVHHQRVH
+>B7Z6K7_PF00096_492 <unknown description>
+YQCGECGKSFSQKGNLVLHQRVH
+>B7Z6K7_PF00096_520 <unknown description>
+YECGECGKSFSSKGHLRNHQQIH
+>B7Z6K7_PF00096_548 <unknown description>
+YECGECGKSFSHKGTLILHQRVH
+>B7Z6K7_PF00096_578 <unknown description>
+CGECGKSFSSIGHLRSHQRVH
+>B7Z6K7_PF00096_604 <unknown description>
+YECGECGKSFSHKRSLVHHQRMH
+>B7Z6K7_PF00096_632 <unknown description>
+YKCGDCGKSFNEKGHLRNHQRVH
+>B7Z6K7_PF00096_660 <unknown description>
+FKCGECGKCFSHKGNLILHQHGH
+>B7Z6K7_PF00096_688 <unknown description>
+YVCRECGKLFKKKSHLLVHQRIH
+>B7Z6K7_PF00096_716 <unknown description>
+YACEACQKFFRNKYQLIAHQRVH
+>B7Z6K7_PF00096_744 <unknown description>
+YECNDCGKSFTHSSTFCVHKRIH
+>B7Z6K7_PF00096_772 <unknown description>
+YECSECGKSFAESSSFTKHKRVH
+>B7Z6K7_PF00096_800 <unknown description>
+YECSECGKSFAESSSLTKHKRVH
+>B7Z6K7_PF00096_828 <unknown description>
+YKCEKCGKLFNKKSHLLVHQSSH
+>Q0VGE8_PF01352_24 <unknown description>
+LTFRDVAIEFSLEEWKCLNPAQRALYRAVMLENYRNLEFV
+>Q0VGE8_PF00096_229 <unknown description>
+CQSNECGKAFNYSSLLRRHHITH
+>Q0VGE8_PF00096_285 <unknown description>
+YKCNECGKTFTQMSSLVCHRRLH
+>Q0VGE8_PF00096_313 <unknown description>
+YKCNECGKTFSEKSSLRCHRRLH
+>Q0VGE8_PF00096_341 <unknown description>
+YKCNECGKTFGRNSALVIHKAIH
+>Q0VGE8_PF00096_369 <unknown description>
+YKCNECGKTFSQKSSLQCHHILH
+>Q0VGE8_PF00096_397 <unknown description>
+YKCEECDNVYIRRSHLERHRKIH
+>Q0VGE8_PF00096_425 <unknown description>
+YKCKVCDKVFRSDSYLAEHQRVH
+>Q0VGE8_PF00096_453 <unknown description>
+YKCNKCGRSFSRKSSLQYHHTLH
+>Q0VGE8_PF00096_481 <unknown description>
+YTCNECGKVFSRRENLARHHRLH
+>Q0VGE8_PF00096_509 <unknown description>
+YKCEECDKVFSRRSHLERHRRIH
+>Q0VGE8_PF00096_537 <unknown description>
+YKCKVCDKAFRSDSCLANHTRVH
+>Q0VGE8_PF00096_565 <unknown description>
+YKCNKCAKVFNQKGILAQHQRVH
+>Q0VGE8_PF00096_593 <unknown description>
+YKCNECGKVFNQKASLAKHQRVH
+>Q0VGE8_PF00096_621 <unknown description>
+YKCNECGKAFTGQSTLIHHQAIH
+>P16415_PF01352_3 <unknown description>
+SVAFEDVAVNFTQEEWALLGPSQKSLYRNVMQETIRNLDC
+>P16415_PF13912_164 <unknown description>
+FDCKECAKTFSSLGNLRRHMAAHH
+>P16415_PF00096_220 <unknown description>
+YECKQCSKAFPFYSSYLRHERIH
+>P16415_PF00096_248 <unknown description>
+YECKQCSKAFPDYSTYLRHERTH
+>P16415_PF00096_304 <unknown description>
+YACKQCGKTFYHHTSFRRHMIRH
+>P16415_PF00096_360 <unknown description>
+YECKQCGKVLSHSSSFRSHMITH
+>P16415_PF00096_416 <unknown description>
+YQCKQCGKAFSLAGSLRRHEATH
+>P16415_PF13912_471 <unknown description>
+YECKECGKAFSCFKYLSQHKRTH
+>P16415_PF00096_499 <unknown description>
+YECKTCRKAFSHFSNLKVHERIH
+>P16415_PF00096_555 <unknown description>
+YECLQCGKAFTRSRFLRGHEKTH
+>P16415_PF13912_583 <unknown description>
+YECKECGKALSSLRSLHRHKRTHWK
+>Q17R98_PF00096_374 <unknown description>
+FQCPICGLVIKRKSYWKRHMVIH
+>Q17R98_PF00096_402 <unknown description>
+HQCPLCPFRCARKDNLKSHMKVH
+>Q17R98_PF00096_817 <unknown description>
+FPCDVCGKVFGRQQTLSRHLSLH
+>Q3KNS6_PF01352_34 <unknown description>
+PVMFRDVSIDFSQEEWECLDADQMNLYKEVMLENFSNLVSVG
+>Q3KNS6_PF00096_157 <unknown description>
+ECKICGKTFNQNSQFIQHQRIH
+>Q3KNS6_PF00096_212 <unknown description>
+YECKECGKAFSCSSYFSQHQRIH
+>Q3KNS6_PF00096_240 <unknown description>
+YECKECGKAFKYCSNLNDHQRIH
+>Q3KNS6_PF00096_268 <unknown description>
+YECKVCGKAFTKSSQLFLHLRIH
+>Q3KNS6_PF00096_296 <unknown description>
+YECKECGKAFTQHSRLIQHQRMH
+>Q3KNS6_PF00096_324 <unknown description>
+YECKQCGKAFNSASTLTNHHRIH
+>Q3KNS6_PF00096_352 <unknown description>
+YECEECRKAFIQSSELIQHQRIH
+>Q3KNS6_PF00096_380 <unknown description>
+YECNECGKAFNKGSNLTRHQRIH
+>Q3KNS6_PF00096_408 <unknown description>
+YDCKECGKAFGSRSDLIRHEGIH
+>Q96NB3_PF12874_52 <unknown description>
+SCALCNTPVKSELLWQTHVLGKQH
+>Q5JPB2_PF00096_172 <unknown description>
+FPCATCGIAFKTQSNLYKHRRTQTH
+>Q9Y2P0_PF00096_111 <unknown description>
+KCGDCGKAFSYCSAFILHQRIH
+>Q9Y2P0_PF00096_138 <unknown description>
+FACPECGKAFSQSVHLTLHQRTH
+>Q9Y2P0_PF00096_166 <unknown description>
+YACHECGKAFSQGSYLASHWRTH
+>Q9Y2P0_PF00096_194 <unknown description>
+HRCADCGKAFTRVTHLTQHRRVH
+>Q9Y2P0_PF00096_222 <unknown description>
+YACAQCAKAFRNRSSLIEHQRIH
+>Q9Y2P0_PF00096_250 <unknown description>
+YECSACAKAFRFSSALIRHQRIH
+>Q9Y2P0_PF00096_278 <unknown description>
+YRCGQCAKAFAQIAHLTQHRRVH
+>Q9Y2P0_PF00096_306 <unknown description>
+YTCQDCGALFSQSASLAEHRRIH
+>Q9Y2P0_PF00096_334 <unknown description>
+YACGQCAKAFTQVSHLTQHQRTH
+>Q9Y2P0_PF00096_362 <unknown description>
+YPCHDCGKRFSNRSHLLQHRLVH
+>Q9Y2P0_PF00096_390 <unknown description>
+YRCLQCGAAFSHVSSLIEHQKIH
+>Q9Y2P0_PF00096_418 <unknown description>
+YKCGECGKAFSQGSSLALHQRTH
+>Q9Y2P0_PF00096_446 <unknown description>
+YTCPECGKAFSNRSYLIQHHIVH
+>Q9Y2P0_PF00096_474 <unknown description>
+YECSGCGKAFSFSSALIRHQRTH
+>Q6ZNA1_PF01352_7 <unknown description>
+PLTFRDVAIEFSQEEWKSLDPVQKALYWDVMLENYRNLVFLG
+>Q6ZNA1_PF00096_218 <unknown description>
+YMCKGCGKAFRVSSSLINHQMVH
+>Q6ZNA1_PF00096_246 <unknown description>
+YKCNECGKAFHRGSLLTIHQIVH
+>Q6ZNA1_PF00096_274 <unknown description>
+YQCGVCGKIFRQNSDLVNHRRSH
+>Q6ZNA1_PF00096_302 <unknown description>
+YKCNECGKSFSQSYNLAIHQRIH
+>Q6ZNA1_PF00096_330 <unknown description>
+YKCNECGKTFKQGSCLTTHQIIH
+>Q6ZNA1_PF00096_358 <unknown description>
+YQCDICGKVFRQNSNLVNHQRIH
+>Q6ZNA1_PF00096_386 <unknown description>
+YKCNICGKSFSQSSNLATHQTVH
+>Q6ZNA1_PF00096_414 <unknown description>
+YKCDECGKTFKRSSSLTTHQIIH
+>Q6ZNA1_PF00096_442 <unknown description>
+YTCDVCDKVFSQRSQLARHQRSH
+>Q6ZNA1_PF00096_470 <unknown description>
+YKCNECGKVFSQTSHLVGHRRIH
+>Q6ZNA1_PF00096_498 <unknown description>
+YKCDKCGKAFKQGSLLTRHKIIH
+>Q6ZNA1_PF00096_526 <unknown description>
+YQCGECGKVFSENSCLVRHLRIH
+>Q6ZNA1_PF00096_554 <unknown description>
+YKCNVCGKVFNYSGNLSIHKRIH
+>Q6ZNA1_PF00096_582 <unknown description>
+FQCNECGTVFRNYSCLARHLRIH
+>Q6ZNA1_PF00096_610 <unknown description>
+YKCNVCGKVFNDSGNLSNHKRIH
+>Q6ZNA1_PF00096_638 <unknown description>
+FQCNECGKVFSYYSCLARHRKIH
+>Q6ZNA1_PF00096_666 <unknown description>
+YKCNDCGKAYTQRSSLTKHLIIH
+>Q6ZNA1_PF00096_750 <unknown description>
+YKCIECGQVFNSTSNLARHRRIH
+>Q6ZNA1_PF00096_778 <unknown description>
+YKCNECGKVFRHQSTLARHRSIH
+>Q6ZNA1_PF00096_806 <unknown description>
+YVCNECGKAFRVRSILVNHQKMH
+>Q6ZNA1_PF00096_834 <unknown description>
+YKCNECGKAFIERSKLVYHQRNH
+>Q6ZNA1_PF00096_890 <unknown description>
+YKCNECGKSFISRSGLTKHQTKH
+>Q96EG3_PF00096_271 <unknown description>
+YACDECGKAFTRTSSLLQHQRIH
+>Q96EG3_PF00096_299 <unknown description>
+YECAECGKAFVRCSGLYRHQKTH
+>Q96EG3_PF00096_391 <unknown description>
+YACPECGKAFNQRSNLSRHQRTH
+>Q96EG3_PF00096_419 <unknown description>
+YACPLCEKAFKGRSGLVQHQRAH
+>Q96EG3_PF00096_449 <unknown description>
+CSECGKTFRGCSELRQHERLH
+>Q96EG3_PF00096_475 <unknown description>
+YICRDCGKAFVRNCSLVRHLRTH
+>Q96EG3_PF00096_503 <unknown description>
+YACGDCGRAFSQRSNLNEHRKRH
+>A8K0R7_PF15961_123 <unknown description>
+IQPQTARKSQLPRGNSCLVGLHIASPQLLRVQPLVRTEPQSCFLSDLCQPPAQGFVQRPL
+PALQVVPAKRVPAPKAPDEQGSMLTPLSASDPLAVTSLSSSSAHPFISNLHTRHTEKLKK
+SLKVKTRSGRVSRPPKYKAKDYKFIKTEDLADGHLSDSDDYSELCVEEDEDQRERHALFD
+LSSCSLRPKSFKCQTCEKSYIGKGGLARHFKLNPGHGQLDPEMVLSEKASGSTLRGCTEE
+RTLSLTSLGLSMPADPCEGGARSCLVTESARGGLQNGQSVDVEETLPSEPENGALLRSER
+YQGPRRRACSETLAESRTAVLQQRRAAQLPGGPAAAGEQRASPSKARLKEFLQQCDREDL
+VELALPQLAQVVTVYEFLLMKVEKDHLAKPFFPAIYKEFEELHKMVKKMCQDYLSSSGLC
+SQETLEINNDKVAESLGITEFLRKKEIHPDNLGPKHLSRDMDGEQLEGASSEKREREAAE
+EGLASVKRPRREALSNDTTESLAANSRGREKPRPLHALAAGFSPPVNVTVSPRSEESHTT
+TVSGGNGSVFQAGPQLQALANLEARRGSIGAALSSRDVSGLPVYAQSGEPRRLTQAQVAA
+FPGENALEHSSDQDTWDSLRSPGFCSPLSSGGGAESLPPGGPGHAEAGHLGKVCDFHLNH
+QQPSPTSVLPTEVAAPPLEKILSVDSVAVDCAYRTVPKPGPQPGPHGSLLTEGCLRSLSG
+DLNRFPCGMEVHSGQRELESVVAVGEAMAFEISNGSHELLSQGQKQIFIQTSDGLILSPP
+GTIVSQEEDIVTVTDAEG
+>Q6ZN19_PF01352_7 <unknown description>
+SLTFRDVAVEFSQEEWKCLDPVQKALYRDVMLENYRNLGFLG
+>Q6ZN19_PF00096_317 <unknown description>
+YQCDVCGRIFRQNSDLVNHRRSH
+>Q6ZN19_PF00096_345 <unknown description>
+YICNECGKSFSKSSHLAVHQRIH
+>Q6ZN19_PF00096_373 <unknown description>
+YKCNRCGKCFSQSSSLATHQTVH
+>Q6ZN19_PF00096_401 <unknown description>
+YKCNECGKTFKRNSSLTAHHIIH
+>Q6ZN19_PF00096_429 <unknown description>
+YTCDVCGKVFYQNSQLVRHQIIH
+>Q6ZN19_PF00096_457 <unknown description>
+YKCNECGKVFFQRSRLAGHRRIH
+>Q6ZN19_PF00096_485 <unknown description>
+YKCNECGKVFSQHSHLAVHQRVH
+>Q6ZN19_PF00096_513 <unknown description>
+YKCNECGKAFNWGSLLTVHQRIH
+>Q6ZN19_PF13912_541 <unknown description>
+YKCNVCGKVFNYGGYLSVHMRCH
+>Q6ZN19_PF00096_571 <unknown description>
+CNKCGMVFTYYSCLARHQRMH
+>Q6ZN19_PF00096_597 <unknown description>
+YKCNVCGKVFIDSGNLSIHRRSH
+>Q6ZN19_PF00096_625 <unknown description>
+FQCNECGKVFSYYSCLARHRKIH
+>Q6ZN19_PF00096_653 <unknown description>
+YKCNDCGKAYTQRSSLTKHLVIH
+>Q6ZN19_PF00096_709 <unknown description>
+HKCSECGRTFSHKTSLVYHQRRH
+>Q6ZN19_PF00096_737 <unknown description>
+YKCIECGKVFNSTTTLARHRRIH
+>Q6ZN19_PF00096_765 <unknown description>
+YKCNECGKVFRYRSGLARHWSIH
+>Q6ZN19_PF00096_793 <unknown description>
+YKCNECGKAFRVRSILLNHQMMH
+>Q6ZN19_PF00096_821 <unknown description>
+YKCNECGKAFIERSNLVYHQRNH
+>Q6ZN19_PF00096_849 <unknown description>
+YKCMECGKAFGRRSCLTKHQRIH
+>Q6ZN19_PF00096_877 <unknown description>
+YKCNECGKSYISRSGLTKHQIKH
+>Q08AG5_PF01352_3 <unknown description>
+LVAFEDVAVNFTQEEWSLLDPSQKNLYREVMQETLRNLASIG
+>Q08AG5_PF00096_169 <unknown description>
+YDCKECGKTFISHSSIQRHMIMH
+>Q08AG5_PF00096_225 <unknown description>
+YKCKQCGKAFSYSTSLQIHERTH
+>Q08AG5_PF13912_253 <unknown description>
+YECKECGKAFGSPNSLYEHRRTH
+>Q96IR2_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>Q96IR2_PF00096_299 <unknown description>
+YKCSECGKTFSRNSALVIHKAIH
+>Q96IR2_PF00096_327 <unknown description>
+YKCNECGKTFSQTSYLVYHRRLH
+>Q96IR2_PF00096_355 <unknown description>
+YKCEECDKAFSFKSNLERHRKIH
+>Q96IR2_PF00096_383 <unknown description>
+YKCNECSRTFSRKSSLTRHRRLH
+>Q96IR2_PF00096_411 <unknown description>
+YKCNDCGKTFSQMSSLVYHRRLH
+>Q96IR2_PF00096_439 <unknown description>
+YKCEECDEAFSFKSNLERHRRIH
+>Q96IR2_PF00096_467 <unknown description>
+YKCNDCGKTFSQTSSLVYHRRLH
+>Q96IR2_PF00096_495 <unknown description>
+YKCEECDEAFSFKSNLERHRIIH
+>Q96IR2_PF00096_523 <unknown description>
+YKCNECGKTFSRKSSLTRHCRLH
+>Q96IR2_PF00096_551 <unknown description>
+YQCNECGKAFRGQSALIYHQAIH
+>Q96IR2_PF00096_579 <unknown description>
+YKCNDCHQVFSNATTIANHWRIH
+>Q96IR2_PF00096_607 <unknown description>
+YKCNRCGKFFRHRSYLAVHWRTH
+>Q96IR2_PF00096_635 <unknown description>
+YKCEECDEAFSFKSNLQRHRRIH
+>Q96IR2_PF00096_663 <unknown description>
+YRCNECGKTFSRKSYLTCHRRLH
+>Q96IR2_PF00096_691 <unknown description>
+YKCNECGKTFGRNSALIIHKAIH
+>Q96IR2_PF00096_719 <unknown description>
+YKCNECGKAFSQKSSLTCHLRLH
+>Q96IR2_PF00096_747 <unknown description>
+YKCEECDKVFSRKSSLEKHRRIH
+>Q96IR2_PF00096_775 <unknown description>
+YKCKVCDKAFGRDSHLAQHTRIH
+>Q96IR2_PF00096_803 <unknown description>
+YKCNECGKNFRHNSALVIHKAIH
+>Q96IR2_PF00096_831 <unknown description>
+YKCNECGKTFRHNSALEIHKAIH
+>Q96IR2_PF00096_859 <unknown description>
+YKCSECGKVFNRKANLSRHHRLH
+>Q96IR2_PF00096_887 <unknown description>
+YKCNKCGKVFNQQAHLACHHRIH
+>Q96IR2_PF00096_915 <unknown description>
+YKCNECGKTFRHNSVLVIHKTIH
+>Q96IR2_PF00096_943 <unknown description>
+YKCNECGKVFNRKAKLARHHRIH
+>Q147U1_PF01352_7 <unknown description>
+LVTFEDVAVDFTQEEWTLLDQAQRDLYRDVMLENYKNLIIL
+>Q147U1_PF00096_199 <unknown description>
+ECKDCWRTFLNQSSLKLHIRSH
+>Q147U1_PF00096_226 <unknown description>
+YVCKECGKAFSNSSHLIGHGRIH
+>Q147U1_PF00096_254 <unknown description>
+YVCKECGKAFTQSTGLKLHIRTH
+>Q147U1_PF00096_282 <unknown description>
+YKCKECGKAFTHSSYLTDHTRIH
+>Q147U1_PF00096_310 <unknown description>
+YVCMECGKAFTRSTGLILHMRIH
+>Q147U1_PF00096_338 <unknown description>
+YECKECGKAFIHSSYLTKHVRIH
+>Q147U1_PF13465_381 <unknown description>
+LVLHMRTHTGEKPYECKECGKAFNN
+>Q147U1_PF00096_422 <unknown description>
+YECKECGKAFTQSSGLSTHLRTH
+>Q147U1_PF00096_451 <unknown description>
+ECKECGKAFARSTNLNMHMRTH
+>Q147U1_PF00096_478 <unknown description>
+YACKECGKAFRYSTYLNVHTRTH
+>Q147U1_PF00096_506 <unknown description>
+YECKKCGKNFTQSSALAKHLRT
+>A8MQ14_PF01352_6 <unknown description>
+LVMFQDLSIDFSQEEWECLDAAQKDLYRDVMMENYSSLVSLG
+>A8MQ14_PF00096_197 <unknown description>
+YKCKECGKAFHHFSYLVKHQRIH
+>A8MQ14_PF00096_281 <unknown description>
+YECKECGKSFTSGSTLNQHQQIH
+>A8MQ14_PF00096_309 <unknown description>
+YHCKQCGKSFTVGSTLIRHQQIH
+>A8MQ14_PF00096_337 <unknown description>
+YDCKECGKSFASGSALIRHQRIH
+>A8MQ14_PF00096_365 <unknown description>
+YDCKECGKSFTFHSALIRHQRIH
+>A8MQ14_PF00096_393 <unknown description>
+YDCKECGKSFTFRSGLIGHQAIH
+>A8MQ14_PF00096_421 <unknown description>
+YDCKECGKSFTAGSTLIQHQRIH
+>A8MQ14_PF00096_449 <unknown description>
+YDCKECGKSFASGSALLQHQRIH
+>A8MQ14_PF00096_477 <unknown description>
+YCCKECGKSFTFRSTRNRHQRIH
+>A8MQ14_PF00096_505 <unknown description>
+YNCKECGKSFASGSALLQHQRIH
+>A8MQ14_PF00096_533 <unknown description>
+YHCKECGKSFTFRSGLIGHQAVH
+>A8MQ14_PF00096_561 <unknown description>
+YDCKECGKSFTSRSALIQHQRIH
+>A8MQ14_PF00096_589 <unknown description>
+YHCKECGKSFTVGSTLLQHQQIH
+>A8MQ14_PF00096_645 <unknown description>
+YQCQECGKAFVSVSGLTQHHRIH
+>A8MQ14_PF00096_673 <unknown description>
+YECPDCGKAFRQRTYLNQHRRIH
+>A8MQ14_PF00096_701 <unknown description>
+YECKECGKSFTFCSGLIQHQQNH
+>A8MQ14_PF00096_733 <unknown description>
+ECGKSFTSHSTLIQHQQIH
+>A8MQ14_PF00096_757 <unknown description>
+YDCKECGKSFTSHSTLIQHQQIH
+>A8MQ14_PF00096_785 <unknown description>
+YDCKECGKSFTSHSTLIQHQ
+>A8MQ14_PF00096_841 <unknown description>
+YSCKECGKSFTSRSTLIEHQRIH
+>A8MQ14_PF00096_869 <unknown description>
+YHCKECGKSFAFRSAIIQHRRIH
+>A8MQ14_PF00096_897 <unknown description>
+YDCKECGKAFRRRSKLTQHQRIH
+>A8MQ14_PF00096_925 <unknown description>
+YRCHECGKAFVRFSGLTKHHSIH
+>A8MQ14_PF00096_953 <unknown description>
+YECKTCGKSFRQRTHLTLHQRIH
+>A8MQ14_PF00096_981 <unknown description>
+YECKECGKSFTCGSELIRHQRTH
+>A8MQ14_PF00096_1009 <unknown description>
+YDCKECGKAFRCPSQLSQHKRIH
+>A8MQ14_PF00096_1037 <unknown description>
+YQCPECGKAFFYASGLSRHQSVH
+>A8MQ14_PF00096_1065 <unknown description>
+YECKTCGKAFKQLTQLTRHQRIH
+>P0CG23_PF00096_487 <unknown description>
+CGECGKGFSRSTDLVRHQATH
+>P0CG23_PF00096_513 <unknown description>
+HRCGECGKGFSQHSNLVTHQRIH
+>P0CG23_PF00096_541 <unknown description>
+YACSYCAKRFSESSALVQHQRTH
+>P0CG23_PF00096_569 <unknown description>
+YACGDCGKRFSVSSNLLRHRRTH
+>P0CG23_PF00096_597 <unknown description>
+YVCEDCGERFRHKVQIRRHERQLH
+>A6NHJ4_PF01352_24 <unknown description>
+LTFRDVAIEFSLEEWKCLDPTQRALYRAMMLENYRNLHSVD
+>A6NHJ4_PF00096_286 <unknown description>
+YKCNECGKVFNQQSNLASHHRLH
+>A6NHJ4_PF00096_314 <unknown description>
+YKCEECDKVFSRKSNLERHRRIH
+>A6NHJ4_PF00096_342 <unknown description>
+YKCKVCEKAFRRDSHLTQHTRIH
+>A6NHJ4_PF00096_370 <unknown description>
+YKCNECGKAFSGQSTLIHHQAIH
+>A6NHJ4_PF00096_398 <unknown description>
+YKCNDCHKVFSNATTIANHWRIH
+>A6NHJ4_PF00096_426 <unknown description>
+YKCNKCGKFFRRRSYLVVHWRTH
+>A6NHJ4_PF00096_454 <unknown description>
+YKCNECGKTFHHNSALVIHKAIH
+>A6NHJ4_PF00096_482 <unknown description>
+YKCNECGKTFRHNSALVIHKAIH
+>A6NHJ4_PF00096_510 <unknown description>
+YKCNECGKVFNQQATLARHHRLH
+>A6NHJ4_PF00096_594 <unknown description>
+HKCDDCGKAFTSHSHRIRHQRIH
+>O60290_PF01352_10 <unknown description>
+PVTFDDITVYLLQEEWVLLSQQQKELCG
+>O60290_PF01352_332 <unknown description>
+PVVFEDVAVYFTREEWGMLDKRQKELYRDVMRMNYELLASLG
+>O60290_PF14291_601 <unknown description>
+QFIKYISETLKREILEDVRNSPCVSVLLDSSTDASEQACVGIYIRYFKQMEVKESYITLA
+PLYSETADGYFETIVSALDELDIPFRKPGWVVGLGTDGSAMLS
+>O60290_PF05699_1004 <unknown description>
+PFSMLCKNALAQHCRFPLLSKLMAVVVCVPISTSCCERGFKAMNRIRTDERTKLSNEVLN
+MLMMT
+>P0CJ78_PF00096_224 <unknown description>
+FPCGVCQKSFKQSSHLVQHMLVH
+>P0CJ78_PF13912_252 <unknown description>
+YECGVCGRTYNHVSSLIRHRRCHKD
+>P0CJ78_PF00096_350 <unknown description>
+FACPLCWKVFKKPSHLHQHQIIH
+>P0CJ78_PF00096_378 <unknown description>
+FSCSVCSKSFNRRESLKRHVKTH
+>P0CJ78_PF00096_408 <unknown description>
+PCGICGKAFRDASYLLKHQAAH
+>P0CJ78_PF13912_440 <unknown description>
+VYPCDLCGKSYSAPQSLLRHKAAH
+>P0CJ78_PF00096_550 <unknown description>
+FCCGICGRGFGRRETLKRHERIH
+>P0CJ78_PF00096_606 <unknown description>
+YKCELCGKVFGYPQSLTRHRQVH
+>P0CJ78_PF13912_697 <unknown description>
+YGCDACGKTFGFIENLMWHKLV
+>P0CJ78_PF00096_904 <unknown description>
+FKCGVCAKRFAQSSSLAEHRRLH
+>P0CJ78_PF00096_933 <unknown description>
+RCSACGKTFRYRSNLLEHQRLH
+>P0CJ78_PF00096_960 <unknown description>
+YRCEHCGKGFFYLSSVLRHQRAH
+>P10072_PF01352_14 <unknown description>
+VAFRDVAVYFTQEEWRLLSPAQRTLHREVMLETYNHLVSL
+>P10072_PF00096_282 <unknown description>
+YVCRECGRGFTWKSNLITHQRTH
+>P10072_PF00096_310 <unknown description>
+YVCKDCGRGFTWKSNLFTHQRTH
+>P10072_PF00096_338 <unknown description>
+YVCKECGQSFSLKSNLITHQRAH
+>P10072_PF00096_366 <unknown description>
+YVCRECGRGFRQHSHLVRHKRTH
+>P10072_PF00096_394 <unknown description>
+YICRECEQGFSQKSHLIRHLRTH
+>P10072_PF00096_422 <unknown description>
+YVCTECGRHFSWKSNLKTHQRTH
+>P10072_PF00096_450 <unknown description>
+YVCLECGQCFSLKSNLNKHQRSH
+>P10072_PF00096_478 <unknown description>
+FVCTECGRGFTRKSTLSTHQRTH
+>P10072_PF00096_506 <unknown description>
+FVCAECGRGFNDKSTLISHQRTH
+>P10072_PF00096_534 <unknown description>
+FMCRECGRRFRQKPNLFRHKRAH
+>P10072_PF00096_588 <unknown description>
+HVCRECGQGFSRQSHLIRHQRTH
+>P10072_PF00096_616 <unknown description>
+YICRKCGRGFSRKSNLIRHQRTH
+>C9JN71_PF01352_3 <unknown description>
+SVAFEDVAVNFTQEEWALLDPSQKNLYREVMQETLRNLTSIG
+>C9JN71_PF00096_143 <unknown description>
+YECKECGKAFRFPSSVRRHERIH
+>C9JN71_PF00096_171 <unknown description>
+YECKQCGKAFSFPSSVRRHERIH
+>C9JN71_PF00096_199 <unknown description>
+YECKQCGKALSYLVSFQTHMRMH
+>C9JN71_PF00096_227 <unknown description>
+HKCNICGKAFFSPSSLKRHEKSH
+>C9JN71_PF00096_283 <unknown description>
+YECTQCRKAFRSVKYLRVHERKH
+>C9JN71_PF00096_311 <unknown description>
+YECKLCGKGFISSTSFRYHEKTH
+>C9JN71_PF00096_367 <unknown description>
+FECKQCGKTFTSSNSFHYHERTH
+>C9JN71_PF00096_395 <unknown description>
+YECKQCGKAFRSASVLQKHIRTH
+>C9JN71_PF00096_425 <unknown description>
+CKQCGKVFRVASQLKMHERTH
+>C9JN71_PF00096_451 <unknown description>
+YECKQCGKAFISSNSIRYHKRTH
+>C9JN71_PF00096_479 <unknown description>
+YKCKQCGKAFISSNSFLYHERIH
+>C9JN71_PF00096_507 <unknown description>
+YECKQCGKAFRSASILQKHVRTH
+>B4DU55_PF01352_13 <unknown description>
+SVTFRDVAVFFSQDEWLHLDSAQRALYREVMLENYSILVSLG
+>B4DU55_PF00096_204 <unknown description>
+YKCNICGKIFLHSSSLSKHQRIH
+>B4DU55_PF00096_232 <unknown description>
+YKCKECRKAFSQSSSLTQHLRVH
+>B4DU55_PF13912_260 <unknown description>
+YICSECGKAFSFTTSLIGHQRMH
+>B4DU55_PF00096_288 <unknown description>
+YKCKECGKTFKGSSSLNNHQRIH
+>B4DU55_PF00096_316 <unknown description>
+YKCNECGRAFSQCSSLIQHHRIH
+>B4DU55_PF00096_344 <unknown description>
+YECTQCGKAFTSISRLSRHHRIH
+>B4DU55_PF00096_372 <unknown description>
+FHCNECGKVFSYHSALIIHQRIH
+>B4DU55_PF00096_400 <unknown description>
+YACKECGKAFSQSSALIQHQRIH
+>B4DU55_PF00096_456 <unknown description>
+YNCKECGKAFSSHSGVNTHRKIH
+>B4DU55_PF00096_484 <unknown description>
+YKCNDCEKAFNQSSALIQHQRIH
+>B4DU55_PF00096_512 <unknown description>
+YNCKVCGKAFRQSSSLMTHMRIH
+>B4DU55_PF00096_540 <unknown description>
+YKCKECGKAFSQSSSLTNHQRTH
+>Q6PDB4_PF01352_7 <unknown description>
+LAFRDVAIEFPQEEWKCLDPAQRTLYREVMVENYRNLVFLG
+>Q6PDB4_PF00096_212 <unknown description>
+YKCNECDKVFSNSSNLVQHQRIH
+>Q6PDB4_PF00096_240 <unknown description>
+YKCHECGKLFNRISLLARHQRIH
+>Q6PDB4_PF00096_268 <unknown description>
+YKCHECGKVFTQNSHLANHHRIH
+>Q6PDB4_PF00096_296 <unknown description>
+YKCNECGKVFNRNAHLARHQKIH
+>Q6PDB4_PF00096_324 <unknown description>
+YKCKECGKAFSGGSGLTAHLVIH
+>Q6PDB4_PF00096_352 <unknown description>
+YKCNKCGKVFNRNAHLTRHQRIH
+>Q6PDB4_PF00096_380 <unknown description>
+YECKECGKVFRHKFCLTNHHRMH
+>Q6PDB4_PF00096_408 <unknown description>
+YKCNECGKAFRDCSGLTAHLLIH
+>Q6PDB4_PF00096_464 <unknown description>
+YRCDECGKDFTRNSNLANHHRIH
+>Q6PDB4_PF00096_492 <unknown description>
+YKCSECHKVFSHNSHLARHRQIH
+>Q6PDB4_PF00096_520 <unknown description>
+YKCNECGKVFSHKLYLKKHERIH
+>Q6PDB4_PF00096_548 <unknown description>
+YRCHECGKDFTRNSNLANHHRIH
+>P0CJ79_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>P0CJ79_PF00096_270 <unknown description>
+YMCNKCGKVFNKKAYLARHYRRH
+>P0CJ79_PF00096_298 <unknown description>
+YKCNECGKTFSDKSALLVHKTIH
+>P0CJ79_PF00096_326 <unknown description>
+YKCNECGKVFNQQSNLARHHRVH
+>P0CJ79_PF00096_354 <unknown description>
+YQCKECDKVFSRKSYLERHRRIH
+>P0CJ79_PF00096_382 <unknown description>
+YKCKVCDKAFRHDSHLAQHIVIH
+>P0CJ79_PF00096_410 <unknown description>
+YKCNECGKTFGENSALLVHKTIH
+>P0CJ79_PF00096_438 <unknown description>
+YKCNECGKVFNQQSNLARHHRLH
+>P0CJ79_PF00096_466 <unknown description>
+YKCKECDKVFSRKSHLERHRRIH
+>P0CJ79_PF00096_494 <unknown description>
+YKCKVCDKAFRRDSHLAQHTVIH
+>P0CJ79_PF00096_522 <unknown description>
+YKCNECGKTFVQNSSLVMHKVIH
+>P0CJ79_PF00096_550 <unknown description>
+YKCNECGKSFNHKSSLAYHHRLH
+>P0CJ79_PF00096_578 <unknown description>
+YKCNECGKVFRTQSQLACHHRLH
+>P0CJ79_PF00096_606 <unknown description>
+YKCEECDKVFNIKSHLEIHRRVH
+>P0CJ79_PF00096_634 <unknown description>
+YKCRVCDKAFGRDSYLAQHQRVH
+>P0CJ79_PF00096_690 <unknown description>
+FKCSECGKAFRAQSTLIHHQAIH
+>A8MT65_PF01352_42 <unknown description>
+PMTFKDVAVEFTQEEWMMLDSAQRSLYRDVMLENYRNLTSV
+>A8MT65_PF13912_320 <unknown description>
+HECNQCGKAFKRISNLTLYKKSHMG
+>A8MT65_PF00096_348 <unknown description>
+YECKECGKVFNDSSTLRRHVRTH
+>A8MT65_PF00096_376 <unknown description>
+YECNQCGKAFSQKTSLKAHMRTH
+>A8MT65_PF00096_404 <unknown description>
+YECNQCGKSFGTSSYLIVHKRIH
+>A8MT65_PF00096_432 <unknown description>
+YECSECGKAFNTSSHLKVHKKIH
+>A8MT65_PF00096_460 <unknown description>
+YECSDCGKVFSGVSSLRMHIRTH
+>A8MT65_PF13465_502 <unknown description>
+SLRRHVRIHTGEKPYECIQCGKAFS
+>P21506_PF01352_13 <unknown description>
+LVTFKDVFVDFTREEWKLLDTAQQIVYRNVMLENYKNLVSLG
+>P21506_PF00096_265 <unknown description>
+YECKECGKFFSWRSNLTRHQLIH
+>P21506_PF00096_293 <unknown description>
+YECKECGKSFSRSSHLIGHQKTH
+>P21506_PF00096_321 <unknown description>
+YECKECGKSFSWFSHLVTHQRTH
+>P21506_PF00096_349 <unknown description>
+YTCNQCGKSFVHSSRLIRHQRTH
+>P21506_PF00096_377 <unknown description>
+YECPECGKSFRQSTHLILHQRTH
+>P21506_PF00096_405 <unknown description>
+YECNECGKSYSQRSHLVVHHRIH
+>P21506_PF00096_433 <unknown description>
+FECKDCGKCFSRSSHLYSHQRTH
+>P21506_PF00096_461 <unknown description>
+YECHDCGKSFSQSSALIVHQRIH
+>P21506_PF00096_489 <unknown description>
+YECCQCGKAFIRKNDLIKHQRIH
+>P21506_PF00096_517 <unknown description>
+YKCNQCGIIFSQNSPFIVHQIAH
+>P17014_PF01352_7 <unknown description>
+PVSFKDVAVDFTQEEWQQLDPEQKITYRDVMLENYSNLVSVG
+>P17014_PF00096_269 <unknown description>
+YECSECGKSFCKKSKFIIHQRTH
+>P17014_PF00096_297 <unknown description>
+YECNQCGKSFCQKGTLTVHQRTH
+>P17014_PF00096_325 <unknown description>
+YECNECGKNFYQKLHLIQHQRTH
+>P17014_PF00096_353 <unknown description>
+YECSYCGKSFCQKTHLTQHQRTH
+>P17014_PF00096_381 <unknown description>
+YVCHDCGKTFSQKSALNDHQKIH
+>P17014_PF00096_409 <unknown description>
+YKCSECGKCFCRKSTLTTHLRTH
+>P17014_PF00096_437 <unknown description>
+YECNECGKFFSRLSYLTVHYRTH
+>P17014_PF00096_465 <unknown description>
+YECNECGKTFYLNSALMRHQRVH
+>P17014_PF00096_493 <unknown description>
+YECNECGKLFSQLSYLTIHHRTH
+>P17014_PF00096_521 <unknown description>
+YECSECGKTFYQNSALCRHRRIH
+>P17014_PF00096_549 <unknown description>
+YECYICGKFFSQMSYLTIHHRIH
+>P17014_PF00096_577 <unknown description>
+YECSECGKTFCQNSALNRHQRTH
+>P17014_PF00096_605 <unknown description>
+YECYECGKCFSQMSYLTIHHRIH
+>P17014_PF00096_633 <unknown description>
+FECNECGKAFSRMSYLTVHYRTH
+>P17014_PF00096_661 <unknown description>
+YECTECGKKFYHKSAFNSHQRIH
+>P17017_PF01352_3 <unknown description>
+SVSFEDVAVNFTLEEWALLDSSQKKLYEDVMQETFKNLVCLG
+>P17017_PF00096_103 <unknown description>
+HECSFCGRDFIHHSSLNRHMRSH
+>P17017_PF13912_169 <unknown description>
+YECKQCGKAFIYYQPFQRHERTH
+>P17017_PF13912_197 <unknown description>
+YECKQCGKTFIYYQSFQKH
+>P17017_PF13912_223 <unknown description>
+YECKQCGKAFICYQSFQRHKRTH
+>P17017_PF00096_279 <unknown description>
+YKCKECGKAFSFLSSFRRHKRTH
+>P17017_PF00096_307 <unknown description>
+YECKECGKAFFYSASFRAHVIIH
+>P17017_PF00096_335 <unknown description>
+YKCKECGKAFNSSNSCRVHERTH
+>P17017_PF00096_363 <unknown description>
+YECKRCGKSFSWSISLRLHERTH
+>P17017_PF00096_391 <unknown description>
+YECKQCHKTFSFSSSLREHETTH
+>P17017_PF00096_419 <unknown description>
+YECKQCGKTFSFSSSLQRHERTH
+>P17017_PF00096_475 <unknown description>
+YECKQCGKVFIRSSSFRLHERTH
+>P17017_PF00096_503 <unknown description>
+YECKLCGKTFSFSSSLREHEKIH
+>P17017_PF00096_559 <unknown description>
+YQCKQCGKAFISSSKFRMHERTH
+>P17017_PF00096_587 <unknown description>
+YRCKQCGKAFRFSSSVRIHERSH
+>P17017_PF00096_615 <unknown description>
+YECKQCGKAFISSSHFRLHERTH
+>P17020_PF00096_265 <unknown description>
+YQCSECGKAFRGHSDFSRHQSHH
+>P17020_PF00096_293 <unknown description>
+YMCNECGKAFSQNSSLKKHQKSH
+>P17020_PF00096_321 <unknown description>
+YECNECGKAFRRSSNLIQHQRIH
+>P17020_PF00096_349 <unknown description>
+YVCSECGKAFRRSSNLIKHHRTH
+>P17020_PF00096_377 <unknown description>
+FECGECGKAFSQSAHLRKHQRVH
+>P17020_PF00096_405 <unknown description>
+YECNDCGKPFSRVSNLIKHHRVH
+>P17020_PF00096_433 <unknown description>
+YKCSDCGKAFSQSSSLIQHRRIH
+>P17020_PF00096_461 <unknown description>
+HVCNVCGKAFSYSSVLRKHQIIH
+>P17020_PF00096_489 <unknown description>
+YRCSVCGKAFSHSSALIQHQGVH
+>P17020_PF00096_517 <unknown description>
+YACHECGKTFGRSSNLILHQRVH
+>P17020_PF00096_545 <unknown description>
+YECTECGKTFSQSSTLIQHQRIH
+>P17020_PF00096_573 <unknown description>
+HECNQCGKAFNRSSNLIHHQKVH
+>P17020_PF00096_601 <unknown description>
+YTCVECGKGFSQSSHLIQHQIIH
+>P17020_PF00096_629 <unknown description>
+YKCSECGKAFSQRSVLIQHQRIH
+>P17020_PF00096_657 <unknown description>
+YDCAACGKAFSQRSKLIKHQLIH
+>P17021_PF01352_10 <unknown description>
+MVFEDVAIHFSQEEWGILNDVQRHLHSDVMLENFALLSSVG
+>P17021_PF00096_220 <unknown description>
+YECSECGKLFRYNSDLIKHQRNH
+>P17021_PF00096_248 <unknown description>
+YKCSECGKAFSLKYNVVQHQKIH
+>P17021_PF00096_276 <unknown description>
+YECSECGKAFLRKSHLLQHQRIH
+>P17021_PF00096_304 <unknown description>
+YVCSECGKAFLTQAHLVGHQKIH
+>P17021_PF00096_334 <unknown description>
+CNECGKYFMYSSALIRHQKVH
+>P17021_PF00096_388 <unknown description>
+YECNECGKFFRYRSTLIRHQKVH
+>P17021_PF00096_416 <unknown description>
+YECSECGKFFMDTSTLIIHQRVH
+>P17021_PF00096_444 <unknown description>
+YECNKCGKFFRYCFTLNRHQRVH
+>P17021_PF00096_472 <unknown description>
+YECSECGKFFVDSCTLKSHQRVH
+>P17021_PF00096_500 <unknown description>
+FECSICGKSFRCRSTLDTHQRIH
+>P17021_PF00096_556 <unknown description>
+FECTECGRVFSQNSHLIRHQKVH
+>P17021_PF00096_584 <unknown description>
+YKCSKCGKFFMDSSTLISHERVH
+>P17021_PF00096_612 <unknown description>
+YECSECGKVFRYNSSLIKHRRIH
+>P17021_PF00096_640 <unknown description>
+YQCSECGRVFNQNSHLIQHQKVH
+>P17022_PF02023_37 <unknown description>
+PETARQLFRQFRYQVMSGPHETLKQLRKLCFQWLQPEVHTKEQILEILMLEQFLTILPGE
+IQMWVRKQCPGSGEEAVTLVESLKGDP
+>P17022_PF01352_222 <unknown description>
+QEQWRQLDSTQKEQYWDLMLETYGKMVS
+>P17022_PF00096_409 <unknown description>
+TCRECGKTFYRNSQLIFHQRTH
+>P17022_PF00096_436 <unknown description>
+FQCTICKKAFLRSSDFVKHQRTH
+>P17022_PF00096_465 <unknown description>
+KCDYCGKGFSDFSGLRHHEKIH
+>P17022_PF00096_492 <unknown description>
+YKCPICEKSFIQRSNFNRHQRVH
+>P17022_PF00096_520 <unknown description>
+YKCSHCGKSFSWSSSLDKHQRSH
+>P17023_PF01352_14 <unknown description>
+VTFEDVAVHFTKTEWTGLSPAQRALYRSVMLENFGNLTALG
+>P17023_PF00096_161 <unknown description>
+FICEECGKSFSYFSYYARHQRIH
+>P17023_PF00096_189 <unknown description>
+FECSECGKAFNGNSSLIRHQRIH
+>P17023_PF00096_217 <unknown description>
+YQCEECGRAFNDNANLIRHQRIH
+>P17023_PF00096_245 <unknown description>
+YYCTECGNSFTSSSEFVIHQRIH
+>P17023_PF00096_273 <unknown description>
+YECNECGKAFVGNSPLLRHQKIH
+>P17023_PF00096_301 <unknown description>
+YECNECGKSFGRTSHLSQHQRIH
+>P17023_PF00096_329 <unknown description>
+YSCKVCGQAFNFHTKLTRHQRIH
+>P17023_PF00096_357 <unknown description>
+FDCVDCGKAFSAQEQLKRHLRIH
+>P17023_PF00096_385 <unknown description>
+YVCDECGKALTSKRNLHQHQRIH
+>P17024_PF01352_6 <unknown description>
+SVAFEDVAVSFTQEEWALLDPSQKNLYRDVMQETFKNLTSVG
+>P17024_PF00096_227 <unknown description>
+YKCKQCGKAFTRSTTLPVHERTH
+>P17024_PF00096_256 <unknown description>
+ECKECGNAFSFPSEIRRHKRSH
+>P17024_PF00096_283 <unknown description>
+YECKQCGKVFISFSSIQYHKMTH
+>P17024_PF13894_339 <unknown description>
+YECRQCGKAFRCTSDLQRHEKTH
+>P17024_PF13912_395 <unknown description>
+HECKECGKVFKYFSSLRIHERTH
+>P17024_PF00096_423 <unknown description>
+HECKQCGKAFRYFSSLHIHERTH
+>P17024_PF00096_506 <unknown description>
+YQCKQCGKAFIRASSCREHERTH
+>P17026_PF00096_55 <unknown description>
+YKCTECEKSFSQSSTLFQHQKIH
+>P17026_PF00096_83 <unknown description>
+HKCADCGKSFFQSSNLIQHRRIH
+>P17026_PF00096_111 <unknown description>
+YKCDECGESFKQSSNLIQHQRIH
+>P17026_PF00096_139 <unknown description>
+YQCDECGRCFSQSSHLIQHQRTH
+>P17026_PF00096_167 <unknown description>
+YQCSECGKCFSQSSHLRQHMKVH
+>P17028_PF02023_48 <unknown description>
+PEIFRQRFRQFGYQDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKE
+LQTWVRDHHPENGEEAVTVLEDLESELDD
+>P17028_PF00096_251 <unknown description>
+HICDECGKHFSQGSALILHQRIH
+>P17028_PF00096_281 <unknown description>
+CVECGKAFSRSSILVQHQRVH
+>P17028_PF00096_307 <unknown description>
+YKCLECGKAFSQNSGLINHQRIH
+>P17028_PF00096_335 <unknown description>
+YECVQCGKSYSQSSNLFRHQRRH
+>P17030_PF01352_7 <unknown description>
+PVTLKDVIVEFTKEEWKLLTPAQRTLYKDVMLENYSHLVSVG
+>P17030_PF00096_119 <unknown description>
+ECKECGKFFCQKSALIVHQHTH
+>P17030_PF00096_146 <unknown description>
+YDCDKCGKSFSKNEDLIRHQKIH
+>P17030_PF00096_174 <unknown description>
+YECKECKKIFYHLSSLSRHLRTH
+>P17030_PF00096_202 <unknown description>
+YECNQCEKSFYQKPHLTEHQKTH
+>P17030_PF00096_230 <unknown description>
+FECTECGKFFYVKAYLMVHQKTH
+>P17030_PF00096_258 <unknown description>
+YECKECGKAFSQKSHLTVHQRMH
+>P17030_PF00096_286 <unknown description>
+YKCKECGKFFSRNSHLKTHQRSH
+>P17030_PF00096_314 <unknown description>
+YECKECRKCFYQKSALTVHQRTH
+>P17030_PF00096_342 <unknown description>
+FECNKCGKTFYYKSDLTKHQRKH
+>P17030_PF00096_370 <unknown description>
+YECTECGKSFAVNSVLRLHQRTH
+>P17030_PF00096_398 <unknown description>
+YACKECGKSFSQKSHFIIHQRKH
+>P17030_PF00096_426 <unknown description>
+YECQECGETFIQKSQLTAHQKTH
+>P17031_PF01352_14 <unknown description>
+LSFKDISMEFTWDEWQLLDSTQKYLYRDVILENYHNLISVG
+>P17031_PF00096_175 <unknown description>
+VCSECGKAFRCKSQLIVHLRIH
+>P17031_PF00096_202 <unknown description>
+YECSKCERAFSAKSNLNAHQRVH
+>P17031_PF00096_230 <unknown description>
+YSCSECEKVFSFRSQLIVHQEIH
+>P17031_PF00096_286 <unknown description>
+YECSECGKAFSLKSPFVVHQRTH
+>P17031_PF00096_314 <unknown description>
+HKCSECGKAFRSKSYLLVHIRMH
+>P17031_PF00096_342 <unknown description>
+YQCSDCGKAFNMKTQLIVHQGVH
+>P17031_PF00096_370 <unknown description>
+YQCGECGKAFGRKEQLTAHLRAH
+>P17031_PF00096_400 <unknown description>
+CSECGKAFSSKSYLVIHRRTH
+>P17031_PF00096_426 <unknown description>
+YECSLCERAFCGKSQLIIHQRTH
+>P17031_PF00096_454 <unknown description>
+YECNECEKAYPRKASLQIHQKTH
+>P17031_PF00096_482 <unknown description>
+FKCSECGKAFTQKSSLSEHQRVH
+>P17031_PF00096_511 <unknown description>
+KCSECGKSFCWNSGLRIHRKTH
+>P17035_PF01352_8 <unknown description>
+LTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLD
+>P17035_PF00096_271 <unknown description>
+YKCNECGKIFGHNTSLFLHKALH
+>P17035_PF00096_299 <unknown description>
+YECEECDKVFSRKSHLETHK
+>P17035_PF00096_355 <unknown description>
+YKCNECGKVFNRLSTLARHRRLH
+>P17035_PF00096_383 <unknown description>
+YECEECEKVFSRKSHLERHKRIH
+>P17035_PF00096_411 <unknown description>
+YKCKVCDKAFAYNSYLAKHSIIH
+>P17035_PF00096_439 <unknown description>
+YKCNECGKVFNQQSTLARHHRLH
+>P17035_PF00096_467 <unknown description>
+YKCEECDKVFRCKSHLERHRRIH
+>P17035_PF00096_495 <unknown description>
+YKCKVCDKAFRSDSCLTEHQRVH
+>P17035_PF00096_523 <unknown description>
+YMCNECGKVFSTKANLACHHKLH
+>P17035_PF00096_551 <unknown description>
+YKCEECEKVFSRKSHMERHRRIH
+>P17035_PF00096_579 <unknown description>
+YKCKVCDKAFRRDSHLAQHQRVH
+>P17035_PF00096_607 <unknown description>
+YKCNECGKTFRQTSSLIIHRRLH
+>P17035_PF00096_635 <unknown description>
+YKCNECGKTFSQMSSLVYHHRLH
+>P17035_PF00096_663 <unknown description>
+YKCNECGKVFNQQAHLAQHQRVH
+>P17035_PF00096_691 <unknown description>
+YKCNECGKTFSQMSNLVYHHRLH
+>Q9BSG1_PF01352_13 <unknown description>
+SVTFEDVAVVFTDEEWSRLVPIQRDLYKEVMLENYNSIVSLG
+>Q9BSG1_PF00096_174 <unknown description>
+ECSDCGKTFFDHSSLTRHQRTH
+>Q9BSG1_PF00096_201 <unknown description>
+YDCRECGKAFSHRSSLSRHLMSH
+>Q9BSG1_PF00096_229 <unknown description>
+YECSVCSKAFFDRSSLTVHQRIH
+>Q9BSG1_PF00096_257 <unknown description>
+FQCNECGKAFFDRSSLTRHQRIH
+>Q9BSG1_PF00096_285 <unknown description>
+YECHQCGKAFSQKSILTRHQLIH
+>Q9BSG1_PF00096_313 <unknown description>
+YECNECGKAFYGVSSLNRHQKAH
+>Q9BSG1_PF00096_341 <unknown description>
+YQCNECGKAFFDRSSLTQHQKIH
+>Q9BSG1_PF00096_369 <unknown description>
+YECSECGKAFSQRCRLTRHQRVH
+>Q9BSG1_PF00096_397 <unknown description>
+FECTVCGKVFSSKSSVIQHQRR
+>P17039_PF01352_13 <unknown description>
+SVTFEDVAIAFSQQEWESLDSSQRGLYRDVMLENYRNLVSMG
+>P17039_PF00096_149 <unknown description>
+RCKECGKNFSNGHQLTIHQRLH
+>P17039_PF00096_262 <unknown description>
+CEECGKAFSTFSYLVQHQRIH
+>P17039_PF00096_288 <unknown description>
+YECKECGKAFSTSSPLAKHQRIH
+>P17039_PF00096_316 <unknown description>
+YECKECGKSFTVYGQLTRHQSIH
+>P17039_PF00096_344 <unknown description>
+FECKECGKAFRLSSFLHAHQRIH
+>P17039_PF00096_374 <unknown description>
+CKECGRTFSRASYLVQHGRLH
+>P17039_PF00096_400 <unknown description>
+YECKECGKAFSTGSYLVQHQRIH
+>P17039_PF00096_428 <unknown description>
+YECKECGKAFISRHQLTVHQRVH
+>P17039_PF00096_456 <unknown description>
+YECKECGKAFRVHVHLTQHRKIH
+>P17039_PF00096_484 <unknown description>
+YECKECGKTFSRASYLVQHSRIH
+>P17039_PF00096_512 <unknown description>
+YECKECGKAFSSGSYLVQHQRIH
+>P17039_PF00096_540 <unknown description>
+YECNKCGKAFTVYGQLIGHQSVH
+>P17039_PF00096_568 <unknown description>
+FECKECGKAFRLNSFLTEHQRVH
+>P17039_PF00096_596 <unknown description>
+FKCKKCGKTFRYSSALKVHLRKH
+>P17041_PF00096_77 <unknown description>
+YECQECGKSFRQKGSLTLHERIH
+>P17041_PF00096_105 <unknown description>
+FECTHCGKSFRAKGNLVTHQRIH
+>P17041_PF00096_133 <unknown description>
+YQCKECGKSFSQRGSLAVHERLH
+>P17041_PF00096_161 <unknown description>
+YECAICQRSFRNQSNLAVHRRVH
+>P17041_PF00096_189 <unknown description>
+YRCDQCGKAFSQKGSLIVHIRVH
+>P17041_PF00096_245 <unknown description>
+YLCGQCGKSFTQRGSLAVHQRS
+>P13682_PF00096_222 <unknown description>
+FTCSVCGKGFSQSANLVVHQRIH
+>P13682_PF00096_250 <unknown description>
+FECHECGKAFIQSANLVVHQRIH
+>P13682_PF00096_278 <unknown description>
+YVCSKCGKAFTQSSNLTVHQKIH
+>P13682_PF00096_306 <unknown description>
+FKCNECEKAFSYSSQLARHQKVH
+>P13682_PF00096_334 <unknown description>
+YECNECGKTFTRSSNLIVHQRIH
+>P13682_PF00096_362 <unknown description>
+FACNDCGKAFTQSANLIVHQRSH
+>P13682_PF00096_390 <unknown description>
+YECKECGKAFSCFSHLIVHQRIH
+>P13682_PF00096_418 <unknown description>
+YDCSECGKAFSQLSCLIVHQRIH
+>P13682_PF00096_446 <unknown description>
+YVCNECGKAFTCSSYLLIHQRIH
+>P13682_PF00096_474 <unknown description>
+YTCNECGKAFRQRSSLTVHQRTH
+>P13682_PF00096_502 <unknown description>
+YECEKCGAAFISNSHLMRHHRTH
+>P17036_PF01352_50 <unknown description>
+LVTFEDVAVYFIRKEWKRLEPAQRDLYRDVMLENYGNVFSLD
+>P17036_PF00096_200 <unknown description>
+HKCDECSKSFNRTSDLIQHQRIH
+>P17036_PF00096_228 <unknown description>
+YECNECGKAFSQSSHLIQHQRIH
+>P17036_PF00096_256 <unknown description>
+YECSDCGKTFSCSSALILHRRIH
+>P17036_PF00096_284 <unknown description>
+YECNECGKTFSWSSTLTHHQRIH
+>P17036_PF00096_312 <unknown description>
+YACNECGKAFSRSSTLIHHQRIH
+>P17036_PF00096_340 <unknown description>
+YECNECGKAFSQSSHLYQHQRIH
+>P17036_PF00096_368 <unknown description>
+YECMECGGKFTYSSGLIQHQRIH
+>P17036_PF00096_396 <unknown description>
+YECSECGKAFRYSSALVRHQRIH
+>P51814_PF01352_26 <unknown description>
+SVSFEDVTVDFSKEEWQHLDPAQRRLYWDVTLENYSHLLSVG
+>P51814_PF00096_271 <unknown description>
+YVCTECVMGFTQKSHLFEHQRIH
+>P51814_PF00096_327 <unknown description>
+YLCTQCGKVFTLKSNLITHQKIH
+>P51814_PF00096_355 <unknown description>
+YKCSECGKAFFQRSDLFRHLRIH
+>P51814_PF00096_383 <unknown description>
+YECSECGKGFSQNSDLSIHQKTH
+>P51814_PF00096_411 <unknown description>
+YECNECGKAFTRKSALRMHQRIH
+>P51814_PF00096_439 <unknown description>
+YVCADCGKAFIQKSHFNTHQRIH
+>P51814_PF00096_467 <unknown description>
+YECSDCGKSFTKKSQLHVHQRIH
+>P51814_PF00096_495 <unknown description>
+YICTECGKVFTHRTNLTTHQKTH
+>P51814_PF00096_523 <unknown description>
+YMCAECGKAFTDQSNLIKHQKTH
+>P51814_PF00096_551 <unknown description>
+YKCNGCGKAFIWKSRLKIHQKSH
+>P51814_PF00096_579 <unknown description>
+YECKDCGKAFIQKSTLSVHQRIH
+>P51814_PF00096_607 <unknown description>
+YVCPECGKAFIQKSHFIAHHRIH
+>P51814_PF00096_635 <unknown description>
+YECSDCGKCFTKKSQLRVHQKIH
+>P51814_PF00096_665 <unknown description>
+CAECGKAFTDRSNLITHQKIH
+>P51814_PF00096_691 <unknown description>
+YECGDCGKTFTWKSRLNIHQKSH
+>P51814_PF00096_719 <unknown description>
+YECSKCGKAFIQKATLSMHQIIH
+>P51814_PF00096_747 <unknown description>
+YACTECQKAFTDRSNLIKHQKMH
+>P17038_PF01352_3 <unknown description>
+PLTFMDVAIEFCLEEWQCLDIAQQNLYRNVMLENYRNLVFLG
+>P17038_PF00096_286 <unknown description>
+YKCKECAKAFNQSSNLTEHKKIH
+>P17038_PF00096_314 <unknown description>
+YKCEECGKAFNWPSTLTKHKRIH
+>P17038_PF00096_342 <unknown description>
+YTCEECGKAFNQFSNLTTHKRIH
+>P17038_PF00096_370 <unknown description>
+YKCTECGEAFSRSSNLTKHKKIH
+>P17038_PF00096_398 <unknown description>
+YKCEECGKAFKWSSKLTEHKLTH
+>P17038_PF00096_426 <unknown description>
+YKCEECGKAFNWPSTLTKHNRIH
+>P17038_PF00096_454 <unknown description>
+YKCEVCGKAFNQFSNLTTHKRIH
+>P17038_PF00096_482 <unknown description>
+YKCEECGKAFSRSSNLTKHKKIH
+>P17038_PF00096_510 <unknown description>
+YKCEECGKAFKWSSKLTEHKITH
+>P17038_PF00096_538 <unknown description>
+YKCEECGKAFNHFSILTKHKRIH
+>P17038_PF00096_566 <unknown description>
+YKCEECGKAFTQSSNLTTHKKIH
+>P17038_PF00096_594 <unknown description>
+YKCEECGKAFTQSSNLTTHKKIH
+>P17038_PF00096_622 <unknown description>
+YKCEECGKAFNQFSTLTKHKIIH
+>P17038_PF00096_650 <unknown description>
+YKCEECGKAFKWSSTLTKHKIIH
+>P17038_PF00096_678 <unknown description>
+YKCEECGKAFKLSSTLSTHKIIH
+>P17038_PF00096_706 <unknown description>
+YKCEKCGKAFNRSSNLIEHKKIH
+>P17038_PF00096_734 <unknown description>
+YKCEECGKAFNYSSHLNTHKRIH
+>P17038_PF13912_762 <unknown description>
+YKCKECGKAFNQYSNLTTHNKIH
+>Q02386_PF01352_8 <unknown description>
+VTFKDVAVVFSEEELQLLDLAQRKLYRDVMLENFRNVVSVG
+>Q02386_PF00096_192 <unknown description>
+YKCEKCDNAFRRFSSLQAHQRVH
+>Q02386_PF00096_276 <unknown description>
+YKCEECGVGFSQRSYLQVHLKVH
+>Q02386_PF00096_304 <unknown description>
+YKCEECGKSFSWRSRLQAHERIH
+>Q02386_PF00096_332 <unknown description>
+YKCNACGKSFSYSSHLNIHCRIH
+>Q02386_PF00096_360 <unknown description>
+YKCEECGKGFSVGSHLQAHQISH
+>Q02386_PF00096_388 <unknown description>
+YKCEECGKGFCRASNLLDHQRGH
+>Q02386_PF00096_416 <unknown description>
+YQCDACGKGFSRSSDFNIHFRVH
+>Q02386_PF00096_444 <unknown description>
+YKCEECGKGFSQASNLLAHQRGH
+>Q02386_PF00096_472 <unknown description>
+YKCGTCGKGFSRSSDLNVHCRIH
+>Q02386_PF00096_500 <unknown description>
+YKCERCGKAFSQFSSLQVHQRVH
+>Q02386_PF00096_528 <unknown description>
+YQCAECGKGFSVGSQLQAHQR
+>Q02386_PF00096_556 <unknown description>
+YQCEECGKGFCRASNFLAHRGVH
+>Q02386_PF00096_584 <unknown description>
+YRCDVCGKRFRQRSYLQAHQRVH
+>Q02386_PF00096_612 <unknown description>
+YKCEECGKVFSWSSYLQAHQRVH
+>Q02386_PF00096_640 <unknown description>
+YKCEECGKGFSWSSSLIIHQRVH
+>Q96MX3_PF00096_113 <unknown description>
+VCGECGKSFRQMSDLVKHQRTH
+>Q96MX3_PF00096_140 <unknown description>
+YKCGVCGKGFGDSSARIKHQRTH
+>Q96MX3_PF00096_194 <unknown description>
+CGECGKSFRQSSDLVKHQRTH
+>Q96MX3_PF00096_220 <unknown description>
+YKCGICGKGFGDSSARIKHQRTH
+>Q96MX3_PF00096_275 <unknown description>
+YICTDCGKRFVLSCSLLSHQRSH
+>Q96MX3_PF00096_305 <unknown description>
+CDVCGKEFARGSDLVKHLRVH
+>Q96MX3_PF00096_331 <unknown description>
+YLCPECGKGFADSSARVKHLRTH
+>Q96MX3_PF00096_359 <unknown description>
+HACPECDRTFSLSSTLLRHRLTH
+>Q96MX3_PF00096_451 <unknown description>
+HKCPECGKGFRRSSDLVKHHRVH
+>Q96MX3_PF00096_479 <unknown description>
+YLCPECGKGFADSSARVKHLRTH
+>Q96MX3_PF00096_543 <unknown description>
+HVCGFCGKEFPRSSDLVKHRRTH
+>Q96MX3_PF00096_571 <unknown description>
+YKCAECGKGFGDSSARIKHQRGH
+>Q68EA5_PF01352_3 <unknown description>
+SVVFEDVAVDFTLEEWALLDSAQRDLYRDVMLETFRNLASVD
+>Q68EA5_PF00096_140 <unknown description>
+YECTKCRTVFTHLSSLKRHVKSH
+>Q68EA5_PF00096_252 <unknown description>
+YKCQECGRAFIYPSTFQRHMTTH
+>Q68EA5_PF00096_280 <unknown description>
+YKCQHCGKAFTYPQAFQRHEKTH
+>Q68EA5_PF00096_308 <unknown description>
+YECKQCGKTFSWSETLRVHMRIH
+>Q68EA5_PF00096_336 <unknown description>
+YKCEHCGKAFTSSRSFQGHLRTH
+>Q68EA5_PF00096_364 <unknown description>
+YECKQCGKAFTWSSTFREHVRIH
+>Q68EA5_PF13894_392 <unknown description>
+YKCEQCGKAFTSSRSFRGHLRTH
+>Q68EA5_PF00096_420 <unknown description>
+YECKQCGKTFTWSSTFREHVRIH
+>Q68EA5_PF00096_448 <unknown description>
+HKCEHCGKAFTSSRAFQGHLRMH
+>Q68EA5_PF00096_476 <unknown description>
+YECKQCGKTFTWSSTLHNHVRMH
+>Q6ZN08_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDMAQRNLYRDVMLENYRNLVFLG
+>Q6ZN08_PF00096_173 <unknown description>
+CKFTECGKAFNRSSTFTTHKKIH
+>Q6ZN08_PF00096_201 <unknown description>
+YKCIECGKAFNRSSHLTTHKIIH
+>Q6ZN08_PF00096_229 <unknown description>
+YKCEDCGKAFNRSSNLTTHKKIH
+>Q6ZN08_PF00096_257 <unknown description>
+YKCEECGKAFKRSSILTTHKRIH
+>Q6ZN08_PF13912_285 <unknown description>
+YKCEECGKVFKYLSSLSTHKIIH
+>Q6ZN08_PF00096_313 <unknown description>
+YKCEECGKAFNWSSHLTTHKRIH
+>Q6ZN08_PF00096_341 <unknown description>
+YKCEECGKGFKYSSTLTKHKIIH
+>Q6ZN08_PF00096_369 <unknown description>
+YKCEECGEAFKYSCSLTAHKIIH
+>Q6ZN08_PF00096_397 <unknown description>
+YKCEECGKVFKHSSPLSKHKRIH
+>Q6ZN08_PF00096_425 <unknown description>
+YKCEECGKAFSRSSILTTHKIIH
+>Q6ZN08_PF00096_453 <unknown description>
+YECEDCGKAFNRSSNLTKHKKIH
+>Q6ZN08_PF00096_509 <unknown description>
+YKCEECGKDFKYSSTLTRHKKIH
+>Q6ZN08_PF00096_537 <unknown description>
+HKCNKCGKAFISSSNLSRHEIIH
+>Q9UC07_PF01352_23 <unknown description>
+PVAFDDVAVNFTQEEWALLDISQRKLYKEVMLETFRNLTSVG
+>Q9UC07_PF00096_192 <unknown description>
+YACKECGKTFISHSSIQRHVVMH
+>Q9UC07_PF00096_248 <unknown description>
+YECKQCGKSFSYSATLRIHERTH
+>Q9UC07_PF00096_332 <unknown description>
+YECTQCGKALSSLTSFQTHIRMH
+>Q9UC07_PF13894_360 <unknown description>
+YECKICGKGFCSANSFQRHEKTH
+>Q9UC07_PF00096_388 <unknown description>
+YKCKQCGKAFIHSSSLRYHERIH
+>Q9UC07_PF00096_416 <unknown description>
+YECKQCGKAFRSSSHLQLHGRTH
+>Q9UC07_PF00096_444 <unknown description>
+YECQECGKAFRSMKNLQSHERTQTH
+>Q9UC07_PF13894_478 <unknown description>
+YKCKLCGKGFYCPKSLQRHEKTH
+>Q9UC07_PF00096_506 <unknown description>
+YECKQCGEAFSSSSSFRYHERTH
+>Q9UC07_PF00096_534 <unknown description>
+YKCKQCGKAFRAASVLRMHGRTH
+>Q9UC06_PF00096_140 <unknown description>
+YACRECGKAFSQSSHLLRHLVIH
+>Q9UC06_PF00096_168 <unknown description>
+YECCECGKAFSQSSHLLRHQIIH
+>Q9UC06_PF00096_196 <unknown description>
+YECRECGKAFRQSSALTQHQKIH
+>Q9UC06_PF00096_224 <unknown description>
+YECRECGKDFSRSSSLRKHERIH
+>Q9UC06_PF00096_252 <unknown description>
+YQCKECGKSFNQSSGLSQHRKIH
+>Q9UC06_PF00096_280 <unknown description>
+HECDLCGKAFCHRSHLIRHQRIH
+>Q9UC06_PF00096_308 <unknown description>
+YKCDECGKAFSQSSNLIEHRKTH
+>Q9UC06_PF00096_336 <unknown description>
+YKCQKCGKAFSQSSSLIEHQRIH
+>Q9UC06_PF00096_364 <unknown description>
+YECCQCGKAFCHSSALIQHQRIH
+>Q9UC06_PF00096_419 <unknown description>
+YVCNLCGKSFRGSSHLIRHQKIH
+>Q16587_PF01352_42 <unknown description>
+SVSFKDVAVDFTQEEWGQLDSPQRALYRDVMLENYQNLLALG
+>Q16587_PF00096_248 <unknown description>
+FVCGECGKAFRQSSSLTLHRRWH
+>Q16587_PF00096_276 <unknown description>
+YKCDECGKAFTWSTNLLEHRRIH
+>Q16587_PF00096_304 <unknown description>
+FFCGECGKAFSCHSSLNVHQRIH
+>Q16587_PF00096_360 <unknown description>
+YRCGECGKAFNQRTHLTRHHRIH
+>Q16587_PF00096_416 <unknown description>
+FKCSDCEKAFNSRSRLTLHQRTH
+>Q16587_PF00096_472 <unknown description>
+FKCNECGKAFSSHAYLIVHRRIH
+>Q16587_PF00096_528 <unknown description>
+YKCSECGRAFSQNHCLIKHQKIH
+>Q16587_PF00096_556 <unknown description>
+FKCEKCGEMFNWSSHLTEHQRLH
+>P36508_PF00096_195 <unknown description>
+YRCDFPSCGKAFATGYGLKSHVRTH
+>P36508_PF00096_225 <unknown description>
+YKCPEELCSKAFKTSGDLQKHVRTH
+>P36508_PF00096_285 <unknown description>
+YTCPEPHCGRGFTSATNYKNHVRIH
+>P36508_PF00096_345 <unknown description>
+YTCSTCGKTYRQTSTLAMHKRSAH
+>Q15935_PF01352_4 <unknown description>
+VIFEEVAVNFTPEEWALLDHAQRSLYRDVMLETCRNLASLD
+>Q15935_PF00096_240 <unknown description>
+HACKVCGKTFMYYSYLTRHVRTH
+>Q15935_PF00096_268 <unknown description>
+YECKECGKAFSCPSYFREHVRTH
+>Q15935_PF13894_296 <unknown description>
+YECKHCGKSFSCYSSFRDHVRTH
+>Q15935_PF00096_352 <unknown description>
+YECKECGKAFRYPSSLRAHMRMH
+>Q15935_PF00096_408 <unknown description>
+YQCKECGKAYSFSSSLRIHVRTH
+>Q15935_PF00096_464 <unknown description>
+YECNQCGKAFSHAQYFQKHVRSH
+>Q15935_PF13894_492 <unknown description>
+YECTECGKAYSCSSSLRVHVRTH
+>Q15935_PF00096_520 <unknown description>
+YECKQCGKTFRYLASLQAHVRTH
+>Q15937_PF00096_193 <unknown description>
+YACNECGKAFSYCSSLSQHQKSH
+>Q15937_PF00096_221 <unknown description>
+YECSECGKAFSQSSSLIQHQRIH
+>Q15937_PF00096_249 <unknown description>
+YKCSECGRAFSQNANLTKHQRTH
+>Q15937_PF00096_277 <unknown description>
+YRCSECEKAFSDCSALVQHQRIH
+>Q15937_PF00096_305 <unknown description>
+YECSDCGKAFRHSANLTNHQRTH
+>Q15937_PF00096_333 <unknown description>
+YKCSECGKAFSYCAAFIQHQRIH
+>Q15937_PF00096_361 <unknown description>
+YRCAACGKAFSQSANLTNHQRTH
+>Q15937_PF00096_389 <unknown description>
+YKCSECGKAFSQSTNLIIHQKTH
+>Q15937_PF00096_417 <unknown description>
+YKCNECGKFFSESSALIRHHIIH
+>Q15937_PF00096_445 <unknown description>
+YECNECGKAFNQSSSLSQHQRIH
+>Q15937_PF00096_473 <unknown description>
+YECSECGKAFRCSSAFVRHQRLH
+>P17097_PF01352_4 <unknown description>
+VTFGDVAVHFSREEWQCLDPGQRALYREVMLENHSSVA
+>P17097_PF00096_250 <unknown description>
+YECAECGKVFRLCSQLNQHQRIH
+>P17097_PF00096_278 <unknown description>
+FKCTECGKAFRLSSKLIQHQRIH
+>P17097_PF00096_306 <unknown description>
+YRCEECGKAFGQSSSLIHHQRIH
+>P17097_PF00096_336 <unknown description>
+CRECGKAFSQQSQLVRHQRTH
+>P17097_PF00096_362 <unknown description>
+YPCKECGKAFSQSSTLAQHQRMH
+>P17097_PF00096_469 <unknown description>
+FKCDECGKGFVQGSHLIQHQRIH
+>P17097_PF00096_497 <unknown description>
+YVCNDCGKAFSQSSSLIYHQRIH
+>P17097_PF00096_525 <unknown description>
+YECLQCGKAFSMSTQLTIHQRVH
+>P17097_PF00096_553 <unknown description>
+YKCNECGKAFSQNSTLFQHQIIH
+>P17097_PF00096_581 <unknown description>
+YECSECGKAFSRSSYLIEHQRIH
+>P17097_PF00096_634 <unknown description>
+HQCEDCEKIFRWRSHLIIHQRIH
+>P17097_PF00096_662 <unknown description>
+YKCNDCGKAFNRSSRLTQHQKIH
+>P51504_PF00096_49 <unknown description>
+YKCKECGSVFNKNSLLVRHQQIH
+>P51504_PF00096_133 <unknown description>
+YECSECGKTFSYHSVFIQHRVTH
+>P51504_PF00096_163 <unknown description>
+CKECGKTFYYNSSLTRHMKIH
+>P51504_PF00096_217 <unknown description>
+YECKECGKGFYYSYSLTRHTRSH
+>P51508_PF01352_20 <unknown description>
+SVSFEDVTVDFSREEWQQLDSTQRRLYQDVMLENYSHLLSVG
+>P51508_PF00096_330 <unknown description>
+YICTKCGKAFIQNSELIMHEKTH
+>P51508_PF00096_358 <unknown description>
+YKCNECGKSFFQVSSLLRHQTTH
+>P51508_PF00096_386 <unknown description>
+FECSECGKGFSLNSALNIHQKIH
+>P51508_PF00096_414 <unknown description>
+HKCSECGKAFTQKSTLRMHQRIH
+>P51508_PF00096_442 <unknown description>
+YICTQCGQAFIQKAHLIAHQRIH
+>P51508_PF00096_470 <unknown description>
+YECSDCGKSFPSKSQLQMHKRIH
+>P51508_PF00096_498 <unknown description>
+YICTECGKAFTNRSNLNTHQKSH
+>P51508_PF00096_526 <unknown description>
+YICAECGKAFTDRSNFNKHQTIH
+>P51508_PF00096_554 <unknown description>
+YVCADCGRAFIQKSELITHQRIH
+>P51508_PF00096_582 <unknown description>
+YKCPDCEKSFSKKPHLKVHQRIH
+>P51508_PF00096_610 <unknown description>
+YICAECGKAFTDRSNFNKHQTIH
+>P51508_PF00096_638 <unknown description>
+YKCSDCGKGFTQKSVLSMHRNIH
+>P51523_PF01352_9 <unknown description>
+SFDDLSVDFTQKEWQLLDPSQKNLYKDVMLENYSSLVSLG
+>P51523_PF00096_207 <unknown description>
+YECSECRKRFSKKPSLIKHQSRH
+>P51523_PF00096_237 <unknown description>
+CGNCGKTFPQKSQFITHHRTH
+>P51523_PF00096_263 <unknown description>
+YNCSQCGKAFSQKSQLTSHQRTH
+>P51523_PF00096_291 <unknown description>
+YECGECGKAFSRKSHLISHWRTH
+>P51523_PF00096_321 <unknown description>
+CNECGRAFSEKSNLINHQRIH
+>P51523_PF00096_347 <unknown description>
+FECRECGKAFSRKSQLVTHHRTH
+>P51523_PF00096_403 <unknown description>
+YECSECRKAFRERSSLINHQRTH
+>P51523_PF00096_435 <unknown description>
+QCGKAFSQKSHLISHQMTH
+>P51523_PF13465_474 <unknown description>
+LVRHQRTHTGEKPYECSECGKAFS
+>P51523_PF00096_515 <unknown description>
+YVCSECGKAFCQKSHLISHQRTH
+>P51523_PF00096_543 <unknown description>
+YECSECGKAFGEKSSLATHQRTH
+>P51523_PF00096_571 <unknown description>
+YECRDCEKAFSQKSQLNTHQRIH
+>P51523_PF00096_599 <unknown description>
+YECSLCRKAFFEKSELIRHLRTH
+>P51523_PF00096_627 <unknown description>
+YECNECRKAFREKSSLINHQRIH
+>P51523_PF00096_655 <unknown description>
+FECSECGKAFSRKSHLIPHQRTH
+>P51523_PF00096_685 <unknown description>
+CSECRKAFSQKSQLVNHQRIH
+>P51523_PF00096_711 <unknown description>
+YRCIECGKAFSQKSQLINHQRTH
+>Q03923_PF01352_3 <unknown description>
+PLTFRDVAIEFSLKEWQCLDTAQRNLYRNVMLENYRNLVFLG
+>Q03923_PF00096_202 <unknown description>
+YKCEECGKAFNWSSTLTKHKRIH
+>Q03923_PF00096_230 <unknown description>
+YKCEECGKAFNQSSNLIKHKKIH
+>Q03923_PF00096_258 <unknown description>
+YKCEECGKTFNRFSTLTTHKIIH
+>Q03923_PF00096_286 <unknown description>
+YKCKECGKAFNRSSTLTTHRKIH
+>Q03923_PF00096_314 <unknown description>
+YKCEECGKAFKQSSNLTTHKIIH
+>Q03923_PF00096_342 <unknown description>
+YKCKKCGKAFNQSAHLTTHEVIH
+>Q03923_PF00096_370 <unknown description>
+YKCEKCGKAFNHFSHLTTHKIIH
+>Q03923_PF00096_398 <unknown description>
+YKCKECGKAFKHSSTLTKHKIIH
+>Q03923_PF00096_426 <unknown description>
+YKCKECEKAFNQSSKLTEHKKIH
+>Q03923_PF00096_454 <unknown description>
+YECEKCGKAFNQSSNLTRHKKSH
+>Q03923_PF00096_482 <unknown description>
+YKCEECGKGFKWPSTLTIHKIIH
+>Q03923_PF00096_510 <unknown description>
+YKCEECGKAFNQSSKLTKHKKIH
+>Q03923_PF00096_538 <unknown description>
+YTCEECGKAFNQSSNLTKHKRIH
+>P17098_PF01352_24 <unknown description>
+PVTFRDVAVDFTQEEWGQLDPTQRILYRDVMLETFGHLLSIG
+>P17098_PF00096_257 <unknown description>
+YKCTDCGKSFNHNAHLTVHKRIH
+>P17098_PF00096_285 <unknown description>
+YMCKECGKAFSQNSSLVQHERIH
+>P17098_PF00096_313 <unknown description>
+YKCAECGKSFCHSTHLTVHRRIH
+>P17098_PF00096_341 <unknown description>
+YECQDCGRAFNQNSSLGRHKRTH
+>P17098_PF00096_369 <unknown description>
+YTCSVCGKSFSRTTCLFLHLRTH
+>P17098_PF00096_397 <unknown description>
+YECNHCGKGFRHSSSLAQHQRKH
+>P17098_PF00096_467 <unknown description>
+FKCNQCGKCFIQSSHLIRHQITH
+>Q03938_PF01352_5 <unknown description>
+EFRDVAIEFSLEEWHCLDTAQQNLYRDVMLENYRHLVFLG
+>Q03938_PF00096_173 <unknown description>
+FKCIECGKAFNQSSTLATHKKIH
+>Q03938_PF00096_202 <unknown description>
+KCEECGKAFNRSSHLTSHKRIH
+>Q03938_PF00096_229 <unknown description>
+YKCEDCGKELKYSSTLTAHKRIH
+>Q03938_PF00096_257 <unknown description>
+YKCEDCGKELKYSSTLTAHKRIH
+>Q03938_PF00096_313 <unknown description>
+YKCEECGKAFKLSSILSTHKRIH
+>Q03938_PF00096_341 <unknown description>
+YKCEECGKAFRRSLVLRTHKRIH
+>Q03938_PF00096_369 <unknown description>
+YKCDKCGKAFISSSLLYKHKISH
+>Q03938_PF00096_397 <unknown description>
+YKCEECGKAFKRSSTLTIHKISH
+>Q03938_PF00096_425 <unknown description>
+YKCQECDKVFKRSSALSTHKIIH
+>Q03938_PF00096_453 <unknown description>
+YKCEECGKAFKRSSNLTTHKISH
+>Q03938_PF00096_481 <unknown description>
+YKCQECDKAFKYSSALSTHKIIH
+>Q03938_PF00096_509 <unknown description>
+YKCEECGKAFKRSSVLSKHKIIH
+>Q03938_PF00096_537 <unknown description>
+YKCEECGKAFKRSSQLTSHKISH
+>Q03938_PF00096_565 <unknown description>
+YKCEECGKAFNLSSDLNTHKRIH
+>Q05481_PF01352_13 <unknown description>
+LTFRDVAIEFSPEEWQCLDTAQQNLYRNVMLENYRNLAFLG
+>Q05481_PF00096_238 <unknown description>
+YKCEECGKAFKQLSTLTTHK
+>Q05481_PF00096_294 <unknown description>
+YKCEECGKAFSHSSTLAKHKRIH
+>Q05481_PF00096_322 <unknown description>
+YKCEECGKAFSRSSTLAKHKRIH
+>Q05481_PF00096_350 <unknown description>
+YKCKECGKAFSNSSTLANHKITH
+>Q05481_PF00096_378 <unknown description>
+YKCKECDKAFKRLSTLTKHKIIH
+>Q05481_PF00096_406 <unknown description>
+YKCEECGKAFNRSSNLTIHKFIH
+>Q05481_PF00096_434 <unknown description>
+YKCEECGKAFNWSSSLTKHKRFH
+>Q05481_PF00096_462 <unknown description>
+FKCKECGKAFIWSSTLTRHKRIH
+>Q05481_PF00096_490 <unknown description>
+YKCEECGKAFRQSSTLTKHKIIH
+>Q05481_PF00096_546 <unknown description>
+YKCKECGKAFKQFSTLTTHKIIH
+>Q05481_PF00096_574 <unknown description>
+YKCEECGKAFNHSSSLSTHKIIH
+>Q05481_PF00096_630 <unknown description>
+YKCEECGKAFSHSSALAKHKRIH
+>Q05481_PF00096_658 <unknown description>
+YKCKECGKAFSNSSTLANHKITH
+>Q05481_PF00096_686 <unknown description>
+YKCKECDKTFKRLSTLTKHKIIH
+>Q05481_PF00096_714 <unknown description>
+YKCEECGKAFNRSSNLTIHKFIH
+>Q05481_PF00096_742 <unknown description>
+YKCEECGKAFNWSSSLTKHKRIH
+>Q05481_PF00096_770 <unknown description>
+FKCKECGKAFIWSSTLTRHKRIH
+>Q05481_PF00096_798 <unknown description>
+YKCEECGKAFSRSSTLTKHKTIH
+>Q05481_PF00096_826 <unknown description>
+YKCKECGKAFKHSSALAKHKIIH
+>Q05481_PF00096_854 <unknown description>
+YKCEECGKAFNQSSNLTTHKIIH
+>Q05481_PF00096_910 <unknown description>
+YKCEECGKAFSQPSHLTTHKRMH
+>Q05481_PF00096_938 <unknown description>
+YKCEECGKAFSQSSTLTTHKIIH
+>Q05481_PF00096_966 <unknown description>
+YKCEECGKAFRKSSTLTEHKIIH
+>Q05481_PF00096_994 <unknown description>
+YKCEECGKAFSQSSTLTRHTRMH
+>Q05481_PF00096_1022 <unknown description>
+YKCEECGKAFNRSSKLTTHKIIH
+>Q05481_PF00096_1050 <unknown description>
+YKCEECGKAFISSSTLNGHKRIH
+>Q05481_PF00096_1078 <unknown description>
+YKCEECGKAFSQSSTLTRHKRLH
+>Q05481_PF00096_1106 <unknown description>
+YKCGECGKAFKESSALTKHKIIH
+>Q05481_PF00096_1134 <unknown description>
+YKCEKCGKAFNQSSILTNHKKIH
+>Q03936_PF01352_3 <unknown description>
+PLTFRDVKIEFSLEEWQCLDTAQRNLYRDVMLENYRNLVFLG
+>Q03936_PF00096_201 <unknown description>
+YKCEECGKAFNWSSTLTKHKIIH
+>Q03936_PF00096_229 <unknown description>
+YKCEECGKAFNRSSNLTKHKIIH
+>Q03936_PF00096_257 <unknown description>
+YKCEECGKAFNRSSTLTKHKRIH
+>Q03936_PF00096_285 <unknown description>
+YKCEECGKAFNQFSILNKHKRIH
+>Q03936_PF00096_341 <unknown description>
+YKCEECGKAFNQFSNLTKHKIIH
+>Q03936_PF00096_369 <unknown description>
+YKCDECGKAFNQSSTLTKHKRIH
+>Q03936_PF00096_397 <unknown description>
+YKCEECGKAFKQSSTLTEHKIIH
+>Q03936_PF00096_425 <unknown description>
+YKCEKCGKAFSWSSAFTKHKRNH
+>Q03936_PF00096_453 <unknown description>
+YKCEECGKAFSVFSTLTKHKIIH
+>Q03936_PF00096_481 <unknown description>
+YKCEECGKAFNQSSIFTKHKIIH
+>P35789_PF01352_4 <unknown description>
+LQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYSNLVFLG
+>P35789_PF13912_173 <unknown description>
+FKCIECGKAFNQFSTLITHKKIH
+>P35789_PF00096_201 <unknown description>
+YICEECGKAFKYSSALNTHKRIH
+>P35789_PF00096_229 <unknown description>
+YKCDKCDKAFIASSTLSKHEIIH
+>P35789_PF00096_257 <unknown description>
+YKCEECGKAFNQSSTLTKHKKIH
+>P35789_PF00096_285 <unknown description>
+YKCEECGKAFNQSSTLTKHKKIH
+>P35789_PF00096_313 <unknown description>
+YVCEECGKAFKYSRILTTHKRIH
+>P35789_PF00096_341 <unknown description>
+YKCNKCGKAFIASSTLSRHEFIH
+>P35789_PF00096_369 <unknown description>
+YKCEECGKAFIWSSVLTRHKRVH
+>P35789_PF00096_397 <unknown description>
+YKCEECGKAFKYSSTLSSHKRSH
+>P35789_PF00096_425 <unknown description>
+YKCEECGKAFVASSTLSKHEIIH
+>P35789_PF00096_453 <unknown description>
+YKCEECGKAFNQSSSLTKHKKIH
+>P35789_PF00096_481 <unknown description>
+YKCEECGKAFNQSSSLTKHKKIH
+>P35789_PF00096_509 <unknown description>
+YKCEECGKAFNQSSTLIKHKKIH
+>P35789_PF00096_565 <unknown description>
+YRCRECGKAFNHSATLSSHKKIH
+>P35789_PF00096_593 <unknown description>
+YECDKCGKAFISPSSLSRHEIIH
+>A6NK75_PF01352_13 <unknown description>
+LTFRDVALEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFVG
+>A6NK75_PF00096_182 <unknown description>
+FKCKECEKSFCMLSHLAQHKRIH
+>A6NK75_PF00096_210 <unknown description>
+YKCKECGKAYNEASNLSTHKRIH
+>A6NK75_PF00096_238 <unknown description>
+YKCEECGKAFNRLSHLTTHKIIH
+>A6NK75_PF00096_266 <unknown description>
+YKCEECGKAFNQSANLTTHKRIH
+>A6NK75_PF00096_294 <unknown description>
+YKCEECGRAFSQSSTLTAHKIIH
+>A6NK75_PF00096_322 <unknown description>
+YKCEECGKAFSQSSTLTTHKIIH
+>A6NK75_PF00096_350 <unknown description>
+YKCEECGKAFSRLSHLTTHKRIH
+>A6NK75_PF00096_378 <unknown description>
+YKCEECGKAFKQSSTLTTHKRIH
+>A6NK75_PF00096_406 <unknown description>
+YKCEVCSKAFSRFSHLTTHKRIH
+>A6NK75_PF00096_434 <unknown description>
+YKCEECGKAFNLSSQLTTHKIIH
+>A6NK75_PF00096_462 <unknown description>
+YKCEECGKAFNQSSTLSKHKVIH
+>A6NK75_PF00096_490 <unknown description>
+YKCEECGKAFNQSSHLTTHKMIH
+>A6NK75_PF00096_518 <unknown description>
+YKCEECGKAFNNSSILNRHKMIH
+>A8MXY4_PF01352_3 <unknown description>
+SLTFWDVTIEFALEEWQCLDMAQQNLYRNVMLENYRNLVFLG
+>A8MXY4_PF00096_258 <unknown description>
+KCEECGKVFNNSSTLMKHKIIH
+>A8MXY4_PF00096_285 <unknown description>
+YKCEECGKAFKQSSHLTRHKAIH
+>A8MXY4_PF00096_313 <unknown description>
+YKCEECGKAFNHFSALRKHQIIH
+>A8MXY4_PF00096_341 <unknown description>
+YKCEECGKAFSQSSTLRKHEIIH
+>A8MXY4_PF00096_397 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A8MXY4_PF00096_426 <unknown description>
+KCEECGKAFKRFSALRKHKIIH
+>A8MXY4_PF00096_453 <unknown description>
+YKCEECSKAFSNFSALRKHEIIH
+>A8MXY4_PF00096_481 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A8MXY4_PF00096_510 <unknown description>
+KCEECGKAFKHFSALRKHKIIH
+>A8MXY4_PF00096_537 <unknown description>
+YKCEECGKAFNNSSTLMKHKIIH
+>A8MXY4_PF00096_565 <unknown description>
+YKCEECGKAFKQSSHLTRHKAIH
+>A8MXY4_PF00096_593 <unknown description>
+YKCEECGKAFNHFSALRKHQIIH
+>A8MXY4_PF00096_621 <unknown description>
+YKCEECGKAFSQSSTLRKHEIIH
+>A8MXY4_PF00096_649 <unknown description>
+YKCEECGKAFKWSSHLTRHKVIH
+>A8MXY4_PF00096_677 <unknown description>
+YKCEECGKAFNHFSALRKHKIIH
+>A8MXY4_PF00096_705 <unknown description>
+YKCEECGKAFSQSSTLRKHEIIH
+>A8MXY4_PF00096_733 <unknown description>
+YKCEECGKAFKWSSKLTVHKVIH
+>A8MXY4_PF00096_762 <unknown description>
+KCEECGKAFKHFSALRKHKIIH
+>A8MXY4_PF00096_789 <unknown description>
+YKCEECGKAFNNSSTLRKHEIIH
+>Q9P2E3_PF13086_597 <unknown description>
+KLDDSQMEALQFALTRELAIIQGPPGTGKTYVGLKIVQALLTNESVWQISLQKFPILVVC
+YTNHALDQFLEGIYNCQKTSIVRVGGRSNSEILKQFTLRELRNKREFRRNLPMHLRRAYM
+SIMTQMKESEQELHEGAKTLECTMRGVLREQYLQKYISPQHWESLMNGPVQDSEWICFQH
+WKHSMMLEWLGLGVGSFTQSVSPAGPENTAQAEGDEEEEGEEESSLIEIAEEADLIQADR
+VIEEEEVVRPQRRKKEESGADQELAKMLLAMRLDHCGTGTAAGQEQATGEWQTQRNQKKK
+MKKRVKDELRKLNTMTAAEANEIEDVWQLDLSSRWQLYRLWLQLYQADTRRKILSYERQY
+RTSAERMAELRLQEDLHILKDAQVVGMTTTGAAKYRQILQKVEPRIVIVEEAAEVLEAHT
+IATLSKACQHLILIGDHQQLRP
+>Q9P2E3_PF13087_1052 <unknown description>
+EVSLFERLVKVNIPFVRLNYQHRMCPEIARLLTPHIYQDLENHPSVLKYEKIKGVSSNLF
+FVEHNFPEQEIQEGKSHQNQHEAHFVVELCKYFLCQEYLPSQITILTTYTGQLFCLRKLM
+PAKTFAGVRVHVVDKYQGEENDIILLSLVRSNQEGKVGFLQISNRICVALSRAKKGMYCI
+GNM
+>Q9BRT8_PF02492_43 <unknown description>
+PVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNEFGEGSALEKSLAVSQGGELYEEWLE
+LRNGCLCCSVKDSGLRAIENLMQKKGKFDYILLETTGLADPGAVASMFWVDAELGSDIYL
+DGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADAILINKTDLVPEEDVKKLRTTIRS
+INGLGQIL
+>Q9BRT8_PF07683_274 <unknown description>
+IVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQG
+VHELYDLEETPVSWKDDTERTNRLVLLGRNLDKDILKQLFIA
+>Q8IUF1_PF02492_43 <unknown description>
+PVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNEFGEGSALEKSLAVSQGGELYEEWLE
+LRNGCLCCSVKDNGLRAIENLMQKKGKFDYILLETTGLADPGAVASMFWVDAELGSDIYL
+DGIITIVDSKYGLKHLAEEKPDGLINEATRQVALADAILINKTDLVPEEDVKKLRATIRS
+INGLGQIL
+>Q8IUF1_PF07683_274 <unknown description>
+IVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQG
+VHELYDLEETPVSWKDDTERTNRLVLLGRNLDKDILKQLFIA
+>Q5JTY5_PF02492_43 <unknown description>
+PVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLE
+LRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVASMFWVDAELGSDIYL
+DGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTIRS
+INGLGQIL
+>Q5JTY5_PF07683_274 <unknown description>
+IVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQG
+VHELYDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIA
+>Q5RIA9_PF02492_43 <unknown description>
+PVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLE
+LRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVTSMFWVDAELGSDIYL
+DGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTIRS
+INGLGQIL
+>Q5RIA9_PF07683_274 <unknown description>
+IVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQG
+VHELYDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIA
+>Q4V339_PF02492_43 <unknown description>
+PVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLE
+LRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVASMFWVDAELGSDIYL
+DGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTLRS
+INGLGQIL
+>Q4V339_PF07683_274 <unknown description>
+IVTITFDVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQG
+VHELCDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIA
+>O43257_PF04438_113 <unknown description>
+QRPFCAVCGFPSPYTCVSCGARYCTVRCL
+>Q9UHR6_PF04438_4 <unknown description>
+AGPCGFCPAGEVQPARYTCPRCNAPYCSLRCYR
+>Q15649_PF04438_9 <unknown description>
+VVCVICLEKPKYRCPACRVPYCSVVCFR
+>O60232_PF06677_37 <unknown description>
+LMGDYLLRGYRMLGETCADCGTILLQDKQRKIYCVACQ
+>Q8ND25_PF13639_183 <unknown description>
+ECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCP
+>Q8NHG8_PF13639_198 <unknown description>
+ECAICLEELQQGDTIARLPCLCIYHKGCIDEWFEVNRSCP
+>Q9ULT6_PF18212_101 <unknown description>
+MHPLGLCNNNDEEDLYEYGWVGVVKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSE
+NPEAIDQLNQGSEDPLKRPVVYVKGADAIKLMNIVNKQKVARARIQHR
+>Q9ULT6_PF13639_292 <unknown description>
+DCAICLEKYIDGEELRVIPCTHRFHRKCVDPWLLQHHTCPHCR
+>Q8WWF5_PF02225_155 <unknown description>
+LGAIVLIRRYDCTFDLKVLNAQRAGFEAAIVHNVHSDDLVSMTHVYEDLRGQIAIPSVFV
+SEAASQDL
+>Q8WWF5_PF13639_308 <unknown description>
+LCAICLDEYEEGDQLKILPCSHTYHCKCIDPWFSQAPRRSCPVCK
+>Q6XR72_PF01545_11 <unknown description>
+LLFMLVLTVAFFVAELVSGYLGNSIALLSDSFNMLSDLISLCVGLSAGYIARRPTRGFSA
+TYGYARAEVVGALSNAVFLTALCFTIFVEAVLRLARPERIDDPELVLIVGVLGLLVNVVG
+LLIFQDCAAWFACCLRGRSRRLQQRQQLAEGCVPGAFGGPQGAEDPRRAADPTAPGSDSA
+VTLRGTSVERKREKGATVFANVAGDSFNTQNEPEDMMKKEKKSEALNIRGVLLHVMGDAL
+GSVVVVITAIIFYVLPLKSEDPCNWQCYIDPSLTVLMVIIILSSAFPLIKETAAILL
+>Q9Y6M5_PF01545_12 <unknown description>
+LCMLALTFMFMVLEVVVSRVTSSLAMLSDSFHMLSDVLALVVALVAERFARRTHATQKNT
+FGWIRAEVMGALVNAIFLTGLCFAILLEAIERFIEPHEMQQPLVVLGVGVAGLLVNVLGL
+CLFHHHSGFSQDSGHGHSHGGHGHGHGLPKGPRVKSTRPGSSDINVAPGEQGPDQEETNT
+LVANTSNSNGLKLDPADPENPRSGDTVEVQVNGNLVREPDHMELEEDRAGQLNMRGVFLH
+VLGDALGSVIVVVNALVFYFS
+>Q9BRI3_PF01545_74 <unknown description>
+LYVASAICLLFMIGEVVGGYLAHSLAVMTDAAHLLTDFASMLISLFSLWMSSRPATKTMN
+FGWQRAEILGALVSVLSIWVVTGVLVYLAVERLISGDYEIDGGTMLITSGCAVAVNIIMG
+LTLHQSGHGHSHGTTNQQEENPSVRAAFIHVIGDFMQSMGVLVAAYILYFKPEYKYVDPI
+CTFVFSILVLGTTLTILRDVILVLM
+>Q99726_PF01545_76 <unknown description>
+LYAACAVCFVFMAGEVVGGYLAHSLAIMTDAAHLLADVGSMMGSLFSLWLSTRPATRTMT
+FGWHRSETLGALASVVSLWMVTGILLYLAFVRLLHSDYHIEGGAMLLTASIAVCANLLMA
+FVLHQAGPPHSHGSRGAEYAPLEEGPEEPLPLGNTSVRAAFVHVLGDLLQSFGVLAASIL
+IYFKPQYKAADPISTFLFSICALGSTAPTLRDVLRILM
+>O14863_PF01545_114 <unknown description>
+LTIAAVLYLLFMIGELVGGYIANSLAIMTDALHMLTDLSAIILTLLALWLSSKSPTKRFT
+FGFHRLEVLSAMISVLLVYILMGFLLYEAVQRTIHMNYEINGDIMLITAAVGVAVNVIMG
+FLLNQSGHRHSHSHSLPSNSPTRGSGCERNHGQDSLAVRAAFVHALGDLVQSVGVLIAAY
+IIRFKPEYKIADPICTYVFSLLVAFTTFRIIWDTVVIIL
+>Q8TAD4_PF01545_420 <unknown description>
+FYFLCLNLLFTFVELFYGVLTNSLGLISDGFHMLFDCSALVMGLFAALMSRWKATRIFSY
+GYGRIEILSGFINGLFLIVIAFFVFMESVARLIDPPELDTHMLTPVSVGGLIVNLIGICA
+FSHAHSHAHGASQGSCHSSDHSHSHHMHGHSDHGHGHSHGSAGGGMNANMRGVFLHVLAD
+TLGSIGVIVSTVLIEQFGWFIADPLCSLFIAILIFLSVVPLIKDACQVLL
+>Q6NXT4_PF01545_35 <unknown description>
+LLFGVINLICTGFLLMWCSSTNSIALTAYTYLTIFDLFSLMTCLISYWVTLRKPSPVYSF
+GFERLEVLAVFASTVLAQLGALFILKESAERFLEQPEIHTGRLLVGTFVALCFNLFTMLS
+IRNKPFAYVSEAASTSWLQEHVADLSRSLCGIIPGLSSIFLPRMNPFVLIDLAGAFALCI
+TYMLIEINNYFAVDTASAIAIALMTFGTMYPMSVYSGKVLL
+>Q8NEW0_PF01545_38 <unknown description>
+LFFFLCLNLSFAFVELLYGIWSNCLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFS
+YGYVRAEVLAGFVNGLFLIFTAFFIFSEGVERALAPPDVHHERLLLVSILGFVVNLIGIF
+VFKHGGHGHSHGSGHGHSHSLFNGALDQAHGHVDHCHSHEVKHGAAHSHDHAHGHGHFHS
+HDGPSLKETTGPSRQILQGVFLHILADTLGSIGVIASAIMMQNFGLMIADPICSILIAIL
+IVVSVIPLLRESVGILM
+>Q8IWU4_PF01545_77 <unknown description>
+ASAICFIFMIAEVVGGHIAGSLAVVTDAAHLLIDLTSFLLSLFSLWLSSKPPSKRLTFGW
+HRAEILGALLSILCIWVVTGVLVYLACERLLYPDYQIQATVMIIVSSCAVAANIVLTVVL
+HQRCLGHNHKEVQANASVRAAFVHALGDLFQSISVLISALIIYFKPEYKIADPICTFIFS
+ILVLASTITILKD
+>Q6PML9_PF01545_241 <unknown description>
+MVAICINGLNCFFKFLAWIYTGSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPY
+GFSNMRYISSLISGVGIFMMGAGLSWYHGVMGLLHPQPIESLLWAYCILAGSLVSEGATL
+LVAVNELRRNARAKGMSFYKYVMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNP
+LYDSLGSLGVGTLLGMVSAFLIYTNTEALL
+>Q9UDY2_PF00595_34 <unknown description>
+VTLQKDSKRGFGIAVSGGRDNPHFENGETSIVISDVLPGGPADGLLQENDRVVMVNGTPM
+EDVLHSFAVQQLRKSGKVAAIV
+>Q9UDY2_PF00595_315 <unknown description>
+ANEEYGLRLGSQIFVKEMTRTGLATKDGNLHEGDIILKINGTVTENMSLTDARKLIEKSR
+GKLQLVVL
+>Q9UDY2_PF00595_516 <unknown description>
+KKGDSVGLRLAGGNDVGIFVAGIQEGTSAEQEGLQEGDQILKVNTQDFRGLVREDAVLYL
+LEIPK
+>Q9UDY2_PF07653_608 <unknown description>
+FIRSHFECEKETPQSLAFTRGEVFRVVDTLYDGKLGNWLAVRIGNELEKGLIPNKSRAEQ
+>Q9UDY2_PF00625_776 <unknown description>
+LNTVRQIIEQDKHALLDVTPKAVDLLNYTQWFPIVIFFNPDSRQGVKTMRQRLNPTSNKS
+SRKLFDQANKLKKTCAHLFTATINLNSANDSWFGSLKDTIQH
+>O95049_PF00595_13 <unknown description>
+TLSKDPRRGFGIAISGGRDRPGGSMVVSDVVPGGPAEGRLQTGDHIVMVNGVSMENATSA
+FAIQILKTCTKMANIT
+>O95049_PF00595_198 <unknown description>
+VKRRDSEEFGVKLGSQIFIKHITDSGLAARHRGLQEGDLILQINGVSSQNLSLNDTRRLI
+EKSEGKLSLLVL
+>O95049_PF00595_388 <unknown description>
+KGKSIGLRLAGGNDVGIFVSGVQAGSPADGQGIQEGDQILQVNDVPFQNLTREEAVQFLL
+G
+>O95049_PF07653_479 <unknown description>
+YIRTHFELEPSPPSGLGFTRGDVFHVLDTLHPGPGQSHARGGHWLAVRMGRDLREQERGI
+IPNQSRAEQ
+>O95049_PF00625_661 <unknown description>
+LDTVRVIAEKDKHALLDVTPSAIERLNYVQYYPIVVFFIPESRPALKALRQWLAPASRRS
+TRRLYAQAQKLRKHSSHLFTATIPLNGTSDTWYQELKAIIRE
+>P60852_PF00100_280 <unknown description>
+CFRDGYFVLVVSQEMALTHRITLANIHLAYAPTSCSPTQHTEAFVVFYFPLTHCGTTMQV
+AGDQLIYENWLVSGIHIQKGPQGSITRDSTFQLHVRCVFNASDFLPIQASIFPPPSPAPM
+TQPGPLRLELRIAKDETFSSYYGEDDYPIVRLLREPVHVEVRLLQRTDPNLVLLLHQCWG
+APSANPFQQPQWPILSDGCPFKGDSYRTQMVALDGATPFQSHYQRFTVATFALLDSGSQR
+ALRGLVYLFCSTSACHTSGLETCSTACS
+>Q05996_PF00100_372 <unknown description>
+CTQDGFMDVEVYSYQTQPALDLGTLRVGNSSCQPVFEAQSQGLVRFHIPLNGCGTRYKFE
+DDKVVYENEIHALWTDFPPSKISRDSEFRMTVKCSYSRNDMLLNINVESLTPPVASVKLG
+PFTLILQSYPDNSYQQPYGENEYPLVRFLRQPIYMEVRVLNRDDPNIKLVLDDCWATSTM
+DPDSFPQWNVVVDGCAYDLDNYQTTFHPVGSSVTHPDHYQRFDMKAFAFVSEAHVLSSLV
+YFHCSALICNRLSPDSPLC
+>P21754_PF00100_46 <unknown description>
+CQEATLMVMVSKDLFGTGKLIRAADLTLGPEACEPLVSMDTEDVVRFEVGLHECGNSMQV
+TDDALVYSTFLLHDPRPVGNLSIVRTNRAEIPIECRYPRQGNVSSQAILPTWLPFRTTVF
+SEEKLTFSLRLMEENWNAEKRSPTFHLGDAAHLQAEIHTGSHVPLRLFVDHCVATPTPDQ
+NASPYHTIVDFHGCLVDGLTDASSAFKVPRPGPDTLQFTVDVFHFANDSRNMIYITCHLK
+VTLAEQDPDELNKACS
+>Q12836_PF00088_143 <unknown description>
+CDSIPARDRLPCAPSPISRGDCEGLGCCYSSEEVNSCYYG
+>Q12836_PF00100_189 <unknown description>
+CTREGHFSIAVSRNVTSPPLLLDSVRLALRNDSACNPVMATQAFVLFQFPFTSCGTTRQI
+TGDRAVYENELVATRDVKNGSRGSVTRDSIFRLHVSCSYSVSSNSLPINVQVFTLPPPFP
+ETQPGPLTLELQIAKDKNYGSYYGVGDYPVVKLLRDPIYVEVSILHRTDPYLGLLLQQCW
+ATPSTDPLSQPQWPILVKGCPYIGDNYQTQLIPVQKALDLPFPSHHQRFSIFTFSFVNPT
+VEKQALRGPVHLHCSVSVCQPAETPSCVVTC
+>Q9BS86_PF07354_177 <unknown description>
+YHAAPCNSIYNISFEKKLLQILSKLLLDLSCEISLLKSECHRVKMQRAGLQNELFFAFSV
+SSLDTEKGPKRCTDHNCEPYKRLFKAKNLIERFFNQQVEILGRRAEQLPQIYYIEGTLQM
+VWINRCFPGYGMNVQQHPKCPECCVICSPGSYNPRDGIHCLQCNSSLVYGAKTCL
+>Q6X784_PF07354_150 <unknown description>
+FTTRSCIGRYNDVFFRVLKKILDSLISDLSCHVIEPSYKCHSVEIPEHGLIHELFIAFQV
+NPFAPGWKGACNGSVDCEDTTNHNILQARDRIEDFFRSQAYIFYHNFNKTLPAMHFVDHS
+LQVVRLDSCRPGFGKNERLHSNCASCCVVCSPATFSPDVNVTCQTCVSVLTYGAKSCP
+>Q9UK55_PF00079_79 <unknown description>
+TSNFGFSLLRKISMRHDGNMVFSPFGMSLAMTGLMLGATGPTETQIKRGLHLQALKPTKP
+GLLPSLFKGLRETLSRNLELGLTQGSFAFIHKDFDVKETFFNLSKRYFDTECVPMNFRNA
+SQAKRLMNHYINKETRGKIPKLFDEINPETKLILVDYILFKGKWLTPFDPVFTEVDTFHL
+DKYKTIKVPMMYGAGKFASTFDKNFRCHVLKLPYQGNATMLVVLMEKMGDHLALEDYLTT
+DLVETWLRNMKTRNMEVFFPKFKLDQKYEMHELLRQMGIRRIFSPFADLSELSATGRNLQ
+VSRVLQRTVIEVDERGTEAVAGILSEITAYSMPPVIKVDRPFHFMIYEETSGMLLFLGRV
+VNP
+>Q8TCW7_PF00100_44 <unknown description>
+CGVQAITMKINFCTVLFSGYSETDLALNGRHGDSHCRGFINNNTFPAVVIFIINLSTLEG
+CGNNLVVSTIPGVSAYGNATSVQVGNISGYIDTPDPPTIISYLPGLLYKFSCSYPLEYLV
+NNTQLASSSAAISVRENNGTFVSTLNLLLYNDSTYNQQLIIPSIGLPLKTKVFAAVQATN
+LDGRWNVLMDYCYTTPSGNPNDDIRYDLFLSCDKDPQTTVIENGRSQRGRFSFEVFRFVK
+HKNQKMSTVFLHCVTKLCRADD
+>O75312_PF03367_49 <unknown description>
+SLCMNCYCNGMTRLLLTKIPFFREIIVSSFSCEHCGWNNTEIQSAGRIQDQGVRYTLSVR
+ALEDMNREVVKTDSAATRIPELDFEIPAFSQKGALTTVEGLITRAISGLEQDQPARRANK
+DATAERIDEFIVKLKELKQVASPFTLIIDDPSGNSFVE
+>O75312_PF03367_258 <unknown description>
+NCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKSGGAVEPLGTRITLHITD
+ASDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGLLKDIRELVTKNPFTLGDSSN
+PGQTERLQEFSQKMDQIIEGNMKAHFIMDDPAGNSYLQ
+>O95218_PF00641_10 <unknown description>
+DGDWICPDKKCGNVNFARRTSCNRCG
+>O95218_PF00641_66 <unknown description>
+ANDWQCKTCSNVNWARRSECNMCNTPKY
+>Q5FWF4_PF00176_54 <unknown description>
+RCMVADEMGLGKTIQAIGITYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVI
+QNKTDVRRMSTSKVTVLGYGLLTADAKTLIDALNNQNFKVVIVDESHYMKSRNATRSRIL
+LPIVQKARRAILLTGTPALGRPEELFMQIEALFPQKFGRWTDYAKRYCNAHIRYFGKRPQ
+WDCRGASNLNELHQLLSDIMIRRLKTEVLTQLPPKVRQRIPFDLPSAAAKELNT
+>Q5FWF4_PF00271_334 <unknown description>
+LQNDSLKFLVFAHHLSMLQACTEAVIENKTRYIRIDGSVSSSERIHLVNQFQKDPDTRVA
+ILSIQAAGQGLTFTAASHVVFAELYWDPGHIKQAEDRAHRIG
+>Q5FWF4_PF00641_625 <unknown description>
+WQCSLCTYINNSELPYCEMCETP
+>Q5FWF4_PF01844_1011 <unknown description>
+PGEGHFWQVDHIKPVYGGGGQCSLDNLQTLCTVCHKERTAR
+>Q9UGI0_PF00641_7 <unknown description>
+KWACEYCTYENWPSAIKCTMCRAQRPS
+>Q9UGI0_PF00641_151 <unknown description>
+QHWTCSVCTYENWAKAKRCVVCDHPRP
+>Q9UGI0_PF18418_246 <unknown description>
+EVDFKKLKQIKNRMKKTDWLFLNACVGVVEGDLAAIEAYKSSGGDIARQLTADEVRLLNR
+PSAFDVGYTLVHLAIRFQRQDMLAILLTEVSQQAAK
+>Q9UGI0_PF02338_438 <unknown description>
+RTAGDCLLDSVLQATWGIYDKDSVLRKALHDSLHDCSHWFYTRWKDWESWYSQSFGLHFS
+LREEQWQEDWAFILSLASQPGASLEQTHIFVLAHILRRPIIVYGVKYYKSFRGETLGYTR
+FQGVYLPLLWEQSFCWKSPIALGYTRGHF
+>Q9BUG6_PF02023_40 <unknown description>
+PEISHVNFRMFSCPKESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQE
+LQVLVMMNGVQSCKDLEDLLRN
+>Q9BUG6_PF12874_412 <unknown description>
+YTCDVCQKQFTQKSYLKCHKRSH
+>Q9BUG6_PF00096_440 <unknown description>
+FECKDCKKVFTYRGSLKEHQRIH
+>Q9BUG6_PF00096_468 <unknown description>
+YKCSKCPRAFSRLKLLRRHQKTH
+>A6NJL1_PF02023_40 <unknown description>
+PETWHMNFRMFSCPEESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQE
+LQVLVKVNGVQSCKDLEDLLRN
+>A6NJL1_PF00096_355 <unknown description>
+FACDVCNKSFKYFSQLSIHRRSH
+>A6NJL1_PF00096_383 <unknown description>
+FQCDLCRKRFLQPSDLRVHQRVH
+>A6NJL1_PF12874_411 <unknown description>
+YMCDVCQKRFAHESTLQGHKR
+>A6NJL1_PF00096_439 <unknown description>
+FKCKYCSKVFSHKGNLNVHQRTH
+>A6NJL1_PF00096_467 <unknown description>
+YKCPTCQKAFRQLGTFKRHLKTH
+>A6NGD5_PF02023_40 <unknown description>
+PETCHVNFRMFSCPKESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQE
+LQVLVMMNGVQSCKDLEDLLRN
+>A6NGD5_PF00096_356 <unknown description>
+FACEVCGKRFKYRGKLAVHTRSH
+>A6NGD5_PF12874_412 <unknown description>
+YTCDICQKQFTQKSYLKCHKRSH
+>A6NGD5_PF00096_440 <unknown description>
+FECKDCKKVFTYKANLKEHQRIH
+>A6NGD5_PF00096_468 <unknown description>
+HKCSKCPRAFGRPATLRRHQKTH
+>O43309_PF02023_43 <unknown description>
+EVFRQYFRQFCYQETSGPREALSRLRELCHQWLRPETHTKEQILELLVLEQFLTILPEEL
+QAWVQEQHPESGEEVVTVLEDLERELDE
+>O43309_PF00096_276 <unknown description>
+CDDCGKAFSQHSHLIEHQRIH
+>O43309_PF00096_302 <unknown description>
+YKCEECGKAFRGRTVLIRHKIIH
+>O43309_PF00096_330 <unknown description>
+YKCNECGKAFGRWSALNQHQRLH
+>O43309_PF00096_358 <unknown description>
+YHCNDCGKAFSQKAGLFHHIKIH
+>O43309_PF00096_386 <unknown description>
+YQCTQCNKSFSRRSILTQHQGVH
+>O43309_PF00096_414 <unknown description>
+YECNECGKAFVYNSSLVSHQEIH
+>O43309_PF00096_442 <unknown description>
+YQCKECGKSFSQSGLIQHQRIH
+>O43309_PF00096_469 <unknown description>
+YKCDVCEKAFIQRTSLTEHQRIH
+>O43309_PF00096_497 <unknown description>
+YKCDKCGKAFTQRSVLTEHQRIH
+>O43309_PF00096_525 <unknown description>
+YKCDECGNAFRGITSLIQHQRIH
+>O43309_PF00096_553 <unknown description>
+YQCDECGKAFRQRSDLSKHQRIH
+>O43309_PF00096_581 <unknown description>
+YVCKECGKSFRQNSALTQHQTIH
+>Q9H4T2_PF02023_38 <unknown description>
+ELYRQHFRKLCYQDAPGPREALTQLWELCRQWLRPECHTKEQILDLLVLEQFLSILPKDL
+QAWVRAHHPETGEEAVTVLEDLERELDE
+>Q9H4T2_PF00096_236 <unknown description>
+YKCDECGKSFSHSSDLSKHRRTH
+>Q9H4T2_PF00096_264 <unknown description>
+YKCDECGKAFIQRSHLIGHHRVH
+>Q9H4T2_PF00096_292 <unknown description>
+YKCKECGKDFSGRTGLIQHQRIH
+>Q9H4T2_PF00096_320 <unknown description>
+YECDECGRPFRVSSALIRHQRIH
+>Q8TBC5_PF02023_46 <unknown description>
+EFSRLRFREFVYQEAAGPHQTLARLHELCRQWLMPEARSKEQMLELLVLEQFLGILPDKV
+RPWVVAQYPESCKKAASLVEGLADVLEE
+>P17040_PF02023_47 <unknown description>
+PEASRQRFRQFQYRDAAGPHEAFSQLWALCCRWLRPEIRLKEQILELLVLEQFLTILPRE
+VQTWVQARHPESGEEAVALVEDWHRETR
+>P17040_PF13837_324 <unknown description>
+VHWGYEETKTFLAILSESPFSEKLRTCHQNRQVYRAIAEQLRARGFLRTLEQCRYRVKNL
+LRNYRKAKSSHPPGTCPFYEELEAL
+>P17040_PF13837_484 <unknown description>
+VHWGYEETKAFLAILSESPFSEKLRTCHQNSQVYRAIAERLCALGFLRTLEQCRYRFKNL
+LRSYRKAKSSHPPGTCPFYEELDSL
+>P17040_PF00096_710 <unknown description>
+YKCDTCMKSFSRSSHFIAHQRIH
+>P17040_PF00096_738 <unknown description>
+YKCLECGKNFSDRSNLNTHQRIH
+>P17040_PF00096_766 <unknown description>
+YKCLECGKSFSDHSNLITHQRIH
+>P17040_PF00096_794 <unknown description>
+YKCGECWKSFNQSSNLLKHQRIH
+>P17040_PF00096_875 <unknown description>
+YECSECGRSFSKSSALISHQRIH
+>P17040_PF00096_903 <unknown description>
+YECAECGKSFSKSSTLANHQRTH
+>P17040_PF00096_931 <unknown description>
+YKCVDCGKCFSERSKLITHQRVH
+>P17040_PF00096_959 <unknown description>
+YKCLECGKFFRDRSNLITHQRIH
+>P17040_PF00096_987 <unknown description>
+YKCRECGKCFNQSSSLIIHQRIH
+>P17040_PF00096_1015 <unknown description>
+YKCTECGKDFNNSSHFSAHRRTH
+>Q9Y5A6_PF02023_42 <unknown description>
+EMFRQRFRQFGYHDTPGPREALSQLRVLCCEWLRPEIHTKEQILELLVLEQFLTILPQEL
+QAWVQEHCPESAEEAVTLLEDLERELDE
+>Q9Y5A6_PF00096_277 <unknown description>
+YICAECGKAFSNSSNLTKHRRTH
+>Q9Y5A6_PF00096_305 <unknown description>
+YVCTKCGKAFSHSSNLTLHYRTH
+>Q9Y5A6_PF00096_336 <unknown description>
+KCGKAFGQSSDLLKHQRMH
+>Q9Y5A6_PF00096_360 <unknown description>
+YQCKDCGKAFSGKGSLIRHYRIH
+>Q9Y5A6_PF00096_388 <unknown description>
+YQCNECGKSFSQHAGLSSHQRLH
+>Q9Y5A6_PF00096_416 <unknown description>
+YKCKECGKAFNHSSNFNKHHRIH
+>Q9Y5A6_PF00096_446 <unknown description>
+CHHCGKTFCSKSNLSKHQRVH
+>P10073_PF02023_45 <unknown description>
+SEAARLRFRHFRYEEASGPHEALAHLRALCCQWLQPEAHSKEQILELLVLEQFLGALPPE
+IQAWVGAQSPKSGEEAAVLVEDLTQVLD
+>P10073_PF00096_269 <unknown description>
+KCRECRKMFQSASALEAHQKTH
+>P10073_PF00096_296 <unknown description>
+YACSECGKAFSRSTHLAQHQVVH
+>P10073_PF00096_324 <unknown description>
+HECKECGKAFSRVTHLTQHQRIH
+>P10073_PF00096_352 <unknown description>
+YKCGECGKTFSRSTHLTQHQRVH
+>P10073_PF00096_380 <unknown description>
+YECDACGKAFSQSTHLTQHQRIH
+>P10073_PF00096_408 <unknown description>
+YKCDACGRAFSDCSALIRHLRIH
+>P10073_PF00096_436 <unknown description>
+YQCKVCPKAFAQSSSLIEHQRIH
+>P10073_PF00096_464 <unknown description>
+YKCSDCGKAFSRSSALMVHLRIH
+>Q3MJ62_PF02023_45 <unknown description>
+EIFRRRFRQFCYQESPGPREALQRLQELCHQWLRPEMHTKEQILELLVLEQFLTILPEEL
+QAWVRQHRPVSGEEAVTVLEDLERELDD
+>Q3MJ62_PF00096_249 <unknown description>
+YICSECGKSFTQNSILIEHQRTH
+>Q3MJ62_PF00096_277 <unknown description>
+YECDECGRAFSQRSGLFQHQRLH
+>Q3MJ62_PF00096_305 <unknown description>
+YQCSVCGKAFSQNAGLFHHLRIH
+>Q3MJ62_PF00096_333 <unknown description>
+YQCNQCNKSFSRRSVLIKHQRIH
+>Q3MJ62_PF00096_361 <unknown description>
+YECEECGKNFIYHCNLIQHRKVH
+>Q6NSZ9_PF02023_38 <unknown description>
+PETFRLRFRQFRYQEAAGPQEALRELQELCRRWLRPELHTKEQILELLVLEQFLTILPRE
+FYAWIREHGPESGKALAAMVEDLTER
+>Q6NSZ9_PF00096_348 <unknown description>
+FQCPECGKGFSRSSNLVRHQRTH
+>Q6NSZ9_PF00096_377 <unknown description>
+CVECGKGFTLREYLMKHQRTH
+>Q6NSZ9_PF00096_403 <unknown description>
+YVCSECWKTFSQRHHLEVHQRSH
+>Q6NSZ9_PF00096_431 <unknown description>
+YKCGDCWKSFSRRQHLQVHRRTH
+>Q6NSZ9_PF00096_462 <unknown description>
+ECGKSFSRNANLAVHRRAH
+>Q6NSZ9_PF00096_488 <unknown description>
+CQVCGKRFSKGERLVRHQRIH
+>Q6NSZ9_PF00096_514 <unknown description>
+YHCPACGRSFNQRSILNRHQKTQH
+>Q8IWY8_PF02023_14 <unknown description>
+SETFRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELLVLEQFLTVLPGE
+IQNWVQEQCPENGEEAVTLVEDLEREPGR
+>Q8IWY8_PF13837_246 <unknown description>
+VHWGYEETRTLLAILSQTEFYEALRNCHRNSQVYGAVAERLREYGFLRTLEQCRTKFKGL
+QKSYRKVKSGHPPETCPFFEEMEAL
+>Q8IWY8_PF13837_409 <unknown description>
+VHWGYEETKTYLAILSETQFYEALRNCHRNSQLYGAVAERLWEYGFLRTPEQCRTKFKSL
+QTSYRKVKNGQAPETCPFFEEMDAL
+>Q8IWY8_PF00096_678 <unknown description>
+YKCADCGKSFSRSARLIRHRRIH
+>Q8IWY8_PF00096_706 <unknown description>
+YKCLDCGKSFRDSSNFITHRRIH
+>Q8IWY8_PF00096_734 <unknown description>
+YQCGECGKCFNQSSSLIIHQRTH
+>Q8IWY8_PF00096_762 <unknown description>
+YQCEECGKSFNNSSHFSAHRRIH
+>Q8IWY8_PF00096_790 <unknown description>
+HVCPDCGKSFSKSSDLRAHHRTH
+>Q8IWY8_PF00096_820 <unknown description>
+CHDCGKCFSKSSALNKHGEIH
+>Q86W11_PF02023_44 <unknown description>
+QEVFRQKFRQFSYSDSTGPREALSRLRELCCQWLRPEVHSKEQILELLMLEQFLAILPEE
+LQAWLREHRPENGEEAVTMLEELEKELEE
+>Q86W11_PF00096_301 <unknown description>
+YECFDCGKAFCQSSKLIRHQRIH
+>Q86W11_PF00096_329 <unknown description>
+YACKECGKAFSLSSDLVRHQRIH
+>Q86W11_PF00096_357 <unknown description>
+YECCECGKAFRGSSELIRHRRIH
+>Q86W11_PF00096_385 <unknown description>
+YECGECGKAFSRSSALIQHKKIH
+>Q86W11_PF00096_441 <unknown description>
+YECNECGKSFNQSSALTQHQRIH
+>Q86W11_PF00096_469 <unknown description>
+YECSECRKTFRHRSGLMQHQRTH
+>Q96LW9_PF02023_35 <unknown description>
+QEASRQLFRQFCYQETPGPREALSRLRELCHQWLRPEIHTKEQILELLVLEQFLTILPEE
+LQAWVREHHPESGEEAVAVVEDLEQELSE
+>Q96LW9_PF00096_239 <unknown description>
+HRCNECGKSFTKSSVLIEHQRIH
+>Q96LW9_PF00096_267 <unknown description>
+YECEECGKAFSRRSSLNEHRRSH
+>Q96LW9_PF00096_295 <unknown description>
+YQCKECGKAFSASNGLTRHRRIH
+>Q96LW9_PF00096_351 <unknown description>
+YQCRECGKAFIQNAGLFQHLRVH
+>Q96LW9_PF00096_379 <unknown description>
+YQCSQCSKLFSKRTLLKKHQKIH
+>Q9NX65_PF02023_33 <unknown description>
+SEASRQRFRQFCYQEVTGPHEAFSKLWELCCQWLRPKTHSKEEILELLVLEQFLTILPEE
+IQTWVREQHPENGEEAVALVEDVQRAPGQ
+>Q9NX65_PF13837_255 <unknown description>
+PWGYEETKTLLAILSSSQFYGKLQTCQQNSQIYRAMAEGLWEQGFLRTPEQCRTKFKSLQ
+LSYRKVRRGRVPEPCIFYEEMNAL
+>Q9NX65_PF00096_522 <unknown description>
+QCPECGKTFSRSSYLVRHQRIH
+>Q9NX65_PF00096_549 <unknown description>
+HKCSECGKGFSERSNLTAHLRTH
+>Q9NX65_PF00096_577 <unknown description>
+YQCGQCGKSFNQSSSLIVHQRTH
+>Q9NX65_PF00096_605 <unknown description>
+YQCIVCGKRFNNSSQFSAHRRIH
+>Q9NX65_PF00096_633 <unknown description>
+YKCAVCGKIFNNSSHFSAHRKTH
+>Q9NX65_PF00096_661 <unknown description>
+YRCSHCERGFTKNSALTRHQTVH
+>O15535_PF02023_49 <unknown description>
+EIFRRHFRQLCYQETPGPREALTRLQELCYQWLRPHVSTKEQILDLLVLEQFLSILPKEL
+QGWVREHCPESGEEAVILLEDLERELDE
+>O15535_PF00096_254 <unknown description>
+HKCDECGKSFTQSSGLIRHQRIH
+>O15535_PF00096_282 <unknown description>
+YECNECGKAFSRSSGLFNHRGIH
+>O15535_PF00096_310 <unknown description>
+YHCKECGKVFSQSAGLIQHQRIH
+>O15535_PF00096_338 <unknown description>
+YQCSQCSKSYSRRSFLIEHQRSH
+>O15535_PF00096_366 <unknown description>
+HQCIECGKSFNRHCNLIRHQKIH
+>Q8NBB4_PF02023_35 <unknown description>
+EAQRLRFRQFQYHVASGPHLALGQLWTLCRQWLRPEARSKEQMLELLVLEQFLGALPSKM
+RTWVQSQGPRSCREAASLVEDLTQMCQQ
+>Q7Z7L9_PF02023_69 <unknown description>
+VTRGPQGALGRLRELCRRWLRPEVHTKEQMLTMLPKEIQAWLQEHRPESSEEAAALVEDL
+TQTLQD
+>Q7Z7L9_PF00096_222 <unknown description>
+YECPQCGKTFSRKSHLITHERTH
+>Q7Z7L9_PF00096_250 <unknown description>
+YKCDECGKSFSDGSNFSRHQTTH
+>Q7Z7L9_PF00096_278 <unknown description>
+YKCRDCGKSFSRSANLITHQRIH
+>Q7Z7L9_PF00096_306 <unknown description>
+FQCAECGKSFSRSPNLIAHQRTH
+>Q7Z7L9_PF00096_334 <unknown description>
+YSCPECGKSFGNRSSLNTHQGIH
+>Q7Z7L9_PF00096_362 <unknown description>
+YECKECGESFSYNSNLIRHQRIH
+>Q7Z7L9_PF00096_390 <unknown description>
+YKCTDCGQRFSQSSALITHRRTH
+>Q7Z7L9_PF00096_418 <unknown description>
+YQCSECGKSFSRSSNLATHRRTH
+>Q7Z7L9_PF00096_446 <unknown description>
+YKCGVCGKSFSQSSSLIAHQGMH
+>Q7Z7L9_PF00096_474 <unknown description>
+YECLTCGESFSWSSNLLKHQRIH
+>Q7Z7L9_PF00096_502 <unknown description>
+YKCSECGKCFSQRSQLVVHQRTH
+>Q7Z7L9_PF00096_530 <unknown description>
+YKCLMCGKSFSRGSILVMHQRAH
+>Q7Z7L9_PF00096_558 <unknown description>
+YRCPECGKGFSWNSVLIIHQRIH
+>Q7Z7L9_PF00096_586 <unknown description>
+YKCPECGKGFSNSSNFITHQRTH
+>Q8NAM6_PF02023_40 <unknown description>
+SEFSRMVLNSFQDSNNSYARQELQRLYRIFHSWLQPEKHSKDEIISLLVLEQFMIGGHCN
+DKASVKEKWKSSGKNLERFIEDLTDDS
+>Q8NAM6_PF00096_312 <unknown description>
+YKCEECPKVFKYLCHLLAHQRRH
+>Q8NAM6_PF00096_340 <unknown description>
+FVCPECQKGFFQISDLRVHQIIH
+>Q8NAM6_PF00096_368 <unknown description>
+FTCSMCKKSFSHKTNLRSHERIH
+>Q8NAM6_PF00096_396 <unknown description>
+YTCPFCKTSYRQSSTYHRHMRTH
+>Q9BR11_PF04434_374 <unknown description>
+VQPQPPASCSCYFNQAFHLPCRHILAMLSA
+>Q9BR11_PF19286_411 <unknown description>
+DMLPAQWTAGCATSLDSILGSKWSETLDKHLAVTHLTEEVGQLLQHCTKEEFERRYSTLR
+ELADSWIGPYEQVQL
+>Q8NEG5_PF04434_54 <unknown description>
+FRVFLGNPHVCNCSTFPKGGELCKHICWVLLKK
+>Q8NEG5_PF00569_231 <unknown description>
+GIPCNNCKQFPIEGKCYKCTECIEYHLCQECFDSCCHLSHT
+>Q8NEG5_PF13639_343 <unknown description>
+QCLLCLKAFHLGQHTRLLPCTHKFHRKCIDNWLFHKCNSCPI
+>Q96MP5_PF04434_533 <unknown description>
+VQLLEDSHQVSKDGCSCSCSFQQWYHLPCRHILALLH
+>Q96MP5_PF19286_579 <unknown description>
+MVCRRWQKKYQYLLGPNGELQDRGMVPNTGQPEKQGRNDMIQDLSRELANLLMQTEGPEL
+EERYSTLRKIVDIWAGPSQPSE
+>Q19AV6_PF04434_67 <unknown description>
+QVLGSSSKTYTCLASCHYCSCPAFAFSVLRKSDSILCKHLLAVYLS
+>Q86XI8_PF17738_13 <unknown description>
+GQEEQELRERAFFSWAEFSRFFDAWCQQRLALFFVKSSMHLARCRWASAPPLYTLIDVLK
+YSYVRLVCKDVRAPSRPAVGPPQPGCPAFIIVKLSPLRDRLVVTECQLTHSHPACPLEFA
+YYFRPGHLLANACLPVRTTNKISKQFVAPADVRRLLSYCKGRDHGVLDALHVLEGLFRTD
+PEAKVKLVFVEDQAVVETVFFLTSRTRALLRRFPRMLLVDRLPGLQGALDLLAVLCVDGS
+GRARQAACCVARPGTPSLLRFALASLLQSAPDVKGRVRCLTAGPEVAAQLPAVRQLLPCA
+RVQICRAQ
+>Q96AP4_PF07910_337 <unknown description>
+VRRVWLSSVVDHFHSSLGDKGWGCGYRNFQMLLSSLLQNDAYNDCLKGMLIPCIPKIQSM
+IEDAWKEGFDPQGASQLNNRLQGTKAWIGACEVYILLTSLRVKCHIVDFHKSTGPLGTHP
+RLFEWILNYYSSEGEGSPKVVCTSKPPIYLQHQGHSRTVIGIEEKKNRTLCLLILDPGCP
+SREMQKLLKQDIEASSLKQLRKSMGNLKHKQYQIL
+>O43264_PF06248_27 <unknown description>
+LTRRVEEIKGEVCNMISKKYSEFLPSMQSAQGLITQVDKLSEDIDLLKSRIESEVRRDLH
+VSTGEFTDLKQQLERDSVVLSLLKQLQEFSTAIEEYNCALTEKKYVTGAQRLEEAQKCLK
+LLKSRKCFDLKILKSLSMELTIQKQNILYHLGEEWQKLIVWKFPPSKDTSSLESYLQTEL
+HLYTEQSHKEEKTPMPPISSVLLAFSVLGELHSKLKSFGQMLLKYILRPLASCPSLHAVI
+ESQPNIVIIRFESIMTNLEYPSPSEVFTKIRLVLEVLQKQLLDLPLDTDLENEKTSTVPL
+AEMLGDMIWEDLSECLIKNCLVYSIPTNSSKLQQYEEIIQSTEEFENALKEMRFLKGDTT
+DLLKYARNINSHFANKKCQDVIVAARNLMTSEIHNTVKIIPDSKINVPELPTPDEDNKLE
+VQKVSNTQYHEVMNLEPENTLDQHSFSLPTCRISESVKKLMELAYQTLLEATTSSDQCAV
+QLFYSVRNIFHLFHDVVPTYHKENLQKLPQLAAIHHNNCMYIAHHL
+>Q9H900_PF09817_31 <unknown description>
+PFLYEADVQVQLISKGQPNPLKNILNENDIVFIVEKVPLEKEETSHIEELQSEETAISDF
+STGENVGPLALPVGKARQLIGLYTMAHNPNMTHLKINLPVTALPPLWVRCDSSDPEGTCW
+LGAELITTNNSITGIVLYVVSCKADKNYSVNLENLKNLHKKRHHLSTVTSKGFAQYELFK
+SSALDDTITASQTAIALDISWSPVDEILQIPPLSSTATLNIKVESGEPRGPLNHLYRELK
+FLLVLADGLRTGVTEWLEPLEAKSAVELVQEFLNDLNKLDGFGDSTKKDTEVETLKHDTA
+AVDRSVKRLFKVRSDLDFAEQLWCKMSSSVISYQDLVKCFTLIIQSLQRGDIQPWLHSGS
+NSLLSKLIHQSYHGTMDTVSLSGTIPVQMLLEIGLDKLKKDYISFFIGQELASLNHLEYF
+IAPSVDIQEQVYRVQKLHHILEILVSCMPFIKSQHELLFSLTQICIKYYKQNPLDEQHIF
+QLPVRPTAVKNLYQSEKPQKWRVEIYSGQKKIKTVWQLSDSSPIDHLNFHKPDFSELTLN
+G
+>O95229_PF15556_29 <unknown description>
+QEEAELPAKILVEFVVDSQKKDKLLCSQLQVADFLQNILAQEDTAKGLDPLASEDTSRQK
+AIAAKEQWKELKATYREHVEAIKIGLTKALTQMEEAQRKRTQLREAFEQLQAKKQMAMEK
+RRAVQNQWQLQQEKHLQHLAEVSAEVRERKTGTQQELDRVFQKLGNLKQQAEQERDKLQR
+YQTFLQLLYTLQGKLLFPEAEAEAENLPDDKPQQPTRPQEQSTGDTMGRDPGVSFKAVGL
+QPAGDVNLP
+>P98168_PF00096_300 <unknown description>
+FKCPLGGCGWTFTTSYKLKRHLQSH
+>P98168_PF00096_332 <unknown description>
+CPAEGCGKSFTTVYNLKAHMKGH
+>P98168_PF13912_360 <unknown description>
+FKCEVCEESFPTQAKLGAHQRSH
+>P98168_PF00096_480 <unknown description>
+FMCPVEGCGKSFTRAEHLKGHSITH
+>P98169_PF00096_271 <unknown description>
+YLCPEAQCGQTFAKKHQLKVHLLTH
+>P98169_PF00096_304 <unknown description>
+FKCPLGGCGWTFTTSYKLKRHLQSH
+>P98169_PF00096_336 <unknown description>
+CPAEGCGKSFTTVYNLKAHMKGH
+>P98169_PF00096_364 <unknown description>
+FKCEVCEESFPTQAKLSAHQRSH
+>P98169_PF00096_484 <unknown description>
+FMCPVEGCGKSFTRAEHLKGHSITH
+>Q2QGD7_PF00096_208 <unknown description>
+FKCPLEGCGWAFTTSYKLKRHLQSH
+>Q2QGD7_PF00096_240 <unknown description>
+CPVGGCGKKFTTVYNLKAHMKGH
+>Q2QGD7_PF00096_388 <unknown description>
+FTCPVEGCGKSFTRAEHLKGHSITH
+>Q15942_PF00412_384 <unknown description>
+CGRCHQPLARAQPAVRALGQLFHIACFTCHQCAQQLQGQQFYSLEGAPYCEGCYTD
+>Q15942_PF00412_444 <unknown description>
+CNTCGEPITDRMLRATGKAYHPHCFTCVVCARPLEGTSFIVDQANRPHCVPDYHKQ
+>Q15942_PF00412_504 <unknown description>
+CSVCSEPIMPEPGRDETVRVVALDKNFHMKCYKCEDCGKPLSIEADDNGCFPLDGHVLCR
+KCHTA
+>O43149_PF03256_259 <unknown description>
+SSNSADIDKMTNGETSSYWQSDGSACSHWIRLKMKPDVVLRHLSIAVAATDQSYMPQQVT
+VAVGRNASDLQEVRDVHIPSNVTGYVTLLENANVSQLYVQINIKRCLSDGCDTRIHGLRA
+VG
+>O43149_PF00569_1781 <unknown description>
+ISCDGCDEIAPWHRYRCLQCSDMDLCKTCFLG
+>O43149_PF00569_1828 <unknown description>
+MEFTCDHCQGLIIGRRMNCNVCDDFDLCYGCYAAKKYSYGHL
+>Q8IYH5_PF00249_655 <unknown description>
+WTVEEQKKLEQLLIKYPPEEVESRRWQKIADELGNRTAKQVASRVQKY
+>Q8IYH5_PF00569_818 <unknown description>
+HVGFKCDNCGIEPIQGVRWHCQDCPPEMSLDFCDSCSDCLHETDIHK